]> git.sur5r.net Git - freertos/blob - Demo/Cortex_STM32L152_IAR/system_and_ST_code/STM32L1xx_StdPeriph_Driver/inc/stm32l1xx_spi.h
86a2b31604a4f8d2c5c96f586c3e35212fc45392
[freertos] / Demo / Cortex_STM32L152_IAR / system_and_ST_code / STM32L1xx_StdPeriph_Driver / inc / stm32l1xx_spi.h
1 /**\r
2   ******************************************************************************\r
3   * @file    stm32l1xx_spi.h\r
4   * @author  MCD Application Team\r
5   * @version V1.0.0RC1\r
6   * @date    07/02/2010\r
7   * @brief   This file contains all the functions prototypes for the SPI \r
8   *          firmware library.\r
9   ******************************************************************************\r
10   * @copy\r
11   *\r
12   * THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS\r
13   * WITH CODING INFORMATION REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE\r
14   * TIME. AS A RESULT, STMICROELECTRONICS SHALL NOT BE HELD LIABLE FOR ANY\r
15   * DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING\r
16   * FROM THE CONTENT OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE\r
17   * CODING INFORMATION CONTAINED HEREIN IN CONNECTION WITH THEIR PRODUCTS.\r
18   *\r
19   * <h2><center>&copy; COPYRIGHT 2010 STMicroelectronics</center></h2>\r
20   */ \r
21 \r
22 /* Define to prevent recursive inclusion -------------------------------------*/\r
23 #ifndef __STM32L1xx_SPI_H\r
24 #define __STM32L1xx_SPI_H\r
25 \r
26 #ifdef __cplusplus\r
27  extern "C" {\r
28 #endif\r
29 \r
30 /* Includes ------------------------------------------------------------------*/\r
31 #include "stm32l1xx.h"\r
32 \r
33 /** @addtogroup STM32L1xx_StdPeriph_Driver\r
34   * @{\r
35   */\r
36 \r
37 /** @addtogroup SPI\r
38   * @{\r
39   */ \r
40 \r
41 /** @defgroup SPI_Exported_Types\r
42   * @{\r
43   */\r
44 \r
45 /** \r
46   * @brief  SPI Init structure definition  \r
47   */\r
48 \r
49 typedef struct\r
50 {\r
51   uint16_t SPI_Direction;           /*!< Specifies the SPI unidirectional or bidirectional data mode.\r
52                                          This parameter can be any combination of @ref SPI_data_direction */\r
53 \r
54   uint16_t SPI_Mode;                /*!< Specifies the SPI operating mode.\r
55                                          This parameter can be any combination of @ref SPI_mode */\r
56 \r
57   uint16_t SPI_DataSize;            /*!< Specifies the SPI data size.\r
58                                          This parameter can be any combination of @ref SPI_data_size */\r
59 \r
60   uint16_t SPI_CPOL;                /*!< Specifies the serial clock steady state.\r
61                                          This parameter can be any combination of @ref SPI_Clock_Polarity */\r
62 \r
63   uint16_t SPI_CPHA;                /*!< Specifies the clock active edge for the bit capture.\r
64                                          This parameter can be any combination of @ref SPI_Clock_Phase */\r
65 \r
66   uint16_t SPI_NSS;                 /*!< Specifies whether the NSS signal is managed by\r
67                                          hardware (NSS pin) or by software using the SSI bit.\r
68                                          This parameter can be any combination of @ref SPI_Slave_Select_management */\r
69  \r
70   uint16_t SPI_BaudRatePrescaler;   /*!< Specifies the Baud Rate prescaler value which will be\r
71                                          used to configure the transmit and receive SCK clock.\r
72                                          This parameter can be any combination of @ref SPI_BaudRate_Prescaler.\r
73                                          @note The communication clock is derived from the master\r
74                                                clock. The slave clock does not need to be set. */\r
75 \r
76   uint16_t SPI_FirstBit;            /*!< Specifies whether data transfers start from MSB or LSB bit.\r
77                                          This parameter can be any combination of @ref SPI_MSB_LSB_transmission */\r
78 \r
79   uint16_t SPI_CRCPolynomial;       /*!< Specifies the polynomial used for the CRC calculation. */\r
80 }SPI_InitTypeDef;\r
81 \r
82 /**\r
83   * @}\r
84   */\r
85 \r
86 /** @defgroup SPI_Exported_Constants\r
87   * @{\r
88   */\r
89 \r
90 #define IS_SPI_ALL_PERIPH(PERIPH) (((PERIPH) == SPI1) || \\r
91                                    ((PERIPH) == SPI2))\r
92 \r
93 /** @defgroup SPI_data_direction \r
94   * @{\r
95   */\r
96   \r
97 #define SPI_Direction_2Lines_FullDuplex ((uint16_t)0x0000)\r
98 #define SPI_Direction_2Lines_RxOnly     ((uint16_t)0x0400)\r
99 #define SPI_Direction_1Line_Rx          ((uint16_t)0x8000)\r
100 #define SPI_Direction_1Line_Tx          ((uint16_t)0xC000)\r
101 #define IS_SPI_DIRECTION_MODE(MODE) (((MODE) == SPI_Direction_2Lines_FullDuplex) || \\r
102                                      ((MODE) == SPI_Direction_2Lines_RxOnly) || \\r
103                                      ((MODE) == SPI_Direction_1Line_Rx) || \\r
104                                      ((MODE) == SPI_Direction_1Line_Tx))\r
105 /**\r
106   * @}\r
107   */\r
108 \r
109 /** @defgroup SPI_mode \r
110   * @{\r
111   */\r
112 \r
113 #define SPI_Mode_Master                 ((uint16_t)0x0104)\r
114 #define SPI_Mode_Slave                  ((uint16_t)0x0000)\r
115 #define IS_SPI_MODE(MODE) (((MODE) == SPI_Mode_Master) || \\r
116                            ((MODE) == SPI_Mode_Slave))\r
117 /**\r
118   * @}\r
119   */\r
120 \r
121 /** @defgroup SPI_data_size \r
122   * @{\r
123   */\r
124 \r
125 #define SPI_DataSize_16b                ((uint16_t)0x0800)\r
126 #define SPI_DataSize_8b                 ((uint16_t)0x0000)\r
127 #define IS_SPI_DATASIZE(DATASIZE) (((DATASIZE) == SPI_DataSize_16b) || \\r
128                                    ((DATASIZE) == SPI_DataSize_8b))\r
129 /**\r
130   * @}\r
131   */ \r
132 \r
133 /** @defgroup SPI_Clock_Polarity \r
134   * @{\r
135   */\r
136 \r
137 #define SPI_CPOL_Low                    ((uint16_t)0x0000)\r
138 #define SPI_CPOL_High                   ((uint16_t)0x0002)\r
139 #define IS_SPI_CPOL(CPOL) (((CPOL) == SPI_CPOL_Low) || \\r
140                            ((CPOL) == SPI_CPOL_High))\r
141 /**\r
142   * @}\r
143   */\r
144 \r
145 /** @defgroup SPI_Clock_Phase \r
146   * @{\r
147   */\r
148 \r
149 #define SPI_CPHA_1Edge                  ((uint16_t)0x0000)\r
150 #define SPI_CPHA_2Edge                  ((uint16_t)0x0001)\r
151 #define IS_SPI_CPHA(CPHA) (((CPHA) == SPI_CPHA_1Edge) || \\r
152                            ((CPHA) == SPI_CPHA_2Edge))\r
153 /**\r
154   * @}\r
155   */\r
156 \r
157 /** @defgroup SPI_Slave_Select_management \r
158   * @{\r
159   */\r
160 \r
161 #define SPI_NSS_Soft                    ((uint16_t)0x0200)\r
162 #define SPI_NSS_Hard                    ((uint16_t)0x0000)\r
163 #define IS_SPI_NSS(NSS) (((NSS) == SPI_NSS_Soft) || \\r
164                          ((NSS) == SPI_NSS_Hard))\r
165 /**\r
166   * @}\r
167   */ \r
168 \r
169 /** @defgroup SPI_BaudRate_Prescaler \r
170   * @{\r
171   */\r
172 \r
173 #define SPI_BaudRatePrescaler_2         ((uint16_t)0x0000)\r
174 #define SPI_BaudRatePrescaler_4         ((uint16_t)0x0008)\r
175 #define SPI_BaudRatePrescaler_8         ((uint16_t)0x0010)\r
176 #define SPI_BaudRatePrescaler_16        ((uint16_t)0x0018)\r
177 #define SPI_BaudRatePrescaler_32        ((uint16_t)0x0020)\r
178 #define SPI_BaudRatePrescaler_64        ((uint16_t)0x0028)\r
179 #define SPI_BaudRatePrescaler_128       ((uint16_t)0x0030)\r
180 #define SPI_BaudRatePrescaler_256       ((uint16_t)0x0038)\r
181 #define IS_SPI_BAUDRATE_PRESCALER(PRESCALER) (((PRESCALER) == SPI_BaudRatePrescaler_2) || \\r
182                                               ((PRESCALER) == SPI_BaudRatePrescaler_4) || \\r
183                                               ((PRESCALER) == SPI_BaudRatePrescaler_8) || \\r
184                                               ((PRESCALER) == SPI_BaudRatePrescaler_16) || \\r
185                                               ((PRESCALER) == SPI_BaudRatePrescaler_32) || \\r
186                                               ((PRESCALER) == SPI_BaudRatePrescaler_64) || \\r
187                                               ((PRESCALER) == SPI_BaudRatePrescaler_128) || \\r
188                                               ((PRESCALER) == SPI_BaudRatePrescaler_256))\r
189 /**\r
190   * @}\r
191   */ \r
192 \r
193 /** @defgroup SPI_MSB_LSB_transmission \r
194   * @{\r
195   */\r
196 \r
197 #define SPI_FirstBit_MSB                ((uint16_t)0x0000)\r
198 #define SPI_FirstBit_LSB                ((uint16_t)0x0080)\r
199 #define IS_SPI_FIRST_BIT(BIT) (((BIT) == SPI_FirstBit_MSB) || \\r
200                                ((BIT) == SPI_FirstBit_LSB))\r
201 /**\r
202   * @}\r
203   */\r
204 \r
205 /** @defgroup SPI_DMA_transfer_requests \r
206   * @{\r
207   */\r
208 \r
209 #define SPI_DMAReq_Tx               ((uint16_t)0x0002)\r
210 #define SPI_DMAReq_Rx               ((uint16_t)0x0001)\r
211 #define IS_SPI_DMAREQ(DMAREQ) ((((DMAREQ) & (uint16_t)0xFFFC) == 0x00) && ((DMAREQ) != 0x00))\r
212 /**\r
213   * @}\r
214   */\r
215 \r
216 /** @defgroup SPI_NSS_internal_software_mangement \r
217   * @{\r
218   */\r
219 \r
220 #define SPI_NSSInternalSoft_Set         ((uint16_t)0x0100)\r
221 #define SPI_NSSInternalSoft_Reset       ((uint16_t)0xFEFF)\r
222 #define IS_SPI_NSS_INTERNAL(INTERNAL) (((INTERNAL) == SPI_NSSInternalSoft_Set) || \\r
223                                        ((INTERNAL) == SPI_NSSInternalSoft_Reset))\r
224 /**\r
225   * @}\r
226   */\r
227 \r
228 /** @defgroup SPI_CRC_Transmit_Receive \r
229   * @{\r
230   */\r
231 \r
232 #define SPI_CRC_Tx                      ((uint8_t)0x00)\r
233 #define SPI_CRC_Rx                      ((uint8_t)0x01)\r
234 #define IS_SPI_CRC(CRC) (((CRC) == SPI_CRC_Tx) || ((CRC) == SPI_CRC_Rx))\r
235 /**\r
236   * @}\r
237   */\r
238 \r
239 /** @defgroup SPI_direction_transmit_receive \r
240   * @{\r
241   */\r
242 \r
243 #define SPI_Direction_Rx                ((uint16_t)0xBFFF)\r
244 #define SPI_Direction_Tx                ((uint16_t)0x4000)\r
245 #define IS_SPI_DIRECTION(DIRECTION) (((DIRECTION) == SPI_Direction_Rx) || \\r
246                                      ((DIRECTION) == SPI_Direction_Tx))\r
247 /**\r
248   * @}\r
249   */\r
250 \r
251 /** @defgroup SPI_interrupts_definition \r
252   * @{\r
253   */\r
254 \r
255 #define SPI_IT_TXE                  ((uint8_t)0x71)\r
256 #define SPI_IT_RXNE                 ((uint8_t)0x60)\r
257 #define SPI_IT_ERR                  ((uint8_t)0x50)\r
258 #define IS_SPI_CONFIG_IT(IT) (((IT) == SPI_IT_TXE) || \\r
259                                  ((IT) == SPI_IT_RXNE) || \\r
260                                  ((IT) == SPI_IT_ERR))\r
261 #define SPI_IT_OVR                  ((uint8_t)0x56)\r
262 #define SPI_IT_MODF                     ((uint8_t)0x55)\r
263 #define SPI_IT_CRCERR                   ((uint8_t)0x54)\r
264 #define IS_SPI_CLEAR_IT(IT) (((IT) == SPI_IT_CRCERR))\r
265 #define IS_SPI_GET_IT(IT) (((IT) == SPI_IT_RXNE) || ((IT) == SPI_IT_TXE) || \\r
266                                ((IT) == SPI_IT_CRCERR) || \\r
267                                ((IT) == SPI_IT_MODF) || ((IT) == SPI_IT_OVR))\r
268 /**\r
269   * @}\r
270   */\r
271 \r
272 /** @defgroup SPI_flags_definition \r
273   * @{\r
274   */\r
275 \r
276 #define SPI_FLAG_RXNE               ((uint16_t)0x0001)\r
277 #define SPI_FLAG_TXE                ((uint16_t)0x0002)\r
278 #define SPI_FLAG_CRCERR                 ((uint16_t)0x0010)\r
279 #define SPI_FLAG_MODF                   ((uint16_t)0x0020)\r
280 #define SPI_FLAG_OVR                ((uint16_t)0x0040)\r
281 #define SPI_FLAG_BSY                ((uint16_t)0x0080)\r
282 #define IS_SPI_CLEAR_FLAG(FLAG) (((FLAG) == SPI_FLAG_CRCERR))\r
283 #define IS_SPI_GET_FLAG(FLAG) (((FLAG) == SPI_FLAG_BSY) || ((FLAG) == SPI_FLAG_OVR) || \\r
284                                    ((FLAG) == SPI_FLAG_MODF) || ((FLAG) == SPI_FLAG_CRCERR) || \\r
285                                    ((FLAG) == SPI_FLAG_TXE) || ((FLAG) == SPI_FLAG_RXNE))\r
286 /**\r
287   * @}\r
288   */\r
289 \r
290 /** @defgroup SPI_CRC_polynomial \r
291   * @{\r
292   */\r
293 \r
294 #define IS_SPI_CRC_POLYNOMIAL(POLYNOMIAL) ((POLYNOMIAL) >= 0x1)\r
295 /**\r
296   * @}\r
297   */\r
298 \r
299 /** @defgroup SPI_Legacy \r
300   * @{\r
301   */\r
302 \r
303 #define SPI_I2S_DMAReq_Tx            SPI_DMAReq_Tx\r
304 #define SPI_I2S_DMAReq_Rx            SPI_DMAReq_Rx\r
305 #define SPI_I2S_IT_TXE               SPI_IT_TXE\r
306 #define SPI_I2S_IT_RXNE              SPI_IT_RXNE\r
307 #define SPI_I2S_IT_ERR               SPI_IT_ERR\r
308 #define SPI_I2S_IT_OVR               SPI_IT_OVR\r
309 #define SPI_I2S_FLAG_RXNE            SPI_FLAG_RXNE\r
310 #define SPI_I2S_FLAG_TXE             SPI_FLAG_TXE\r
311 #define SPI_I2S_FLAG_OVR             SPI_FLAG_OVR\r
312 #define SPI_I2S_FLAG_BSY             SPI_FLAG_BSY\r
313 #define SPI_I2S_DeInit               SPI_DeInit\r
314 #define SPI_I2S_ITConfig             SPI_ITConfig\r
315 #define SPI_I2S_DMACmd               SPI_DMACmd\r
316 #define SPI_I2S_SendData             SPI_SendData\r
317 #define SPI_I2S_ReceiveData          SPI_ReceiveData\r
318 #define SPI_I2S_GetFlagStatus        SPI_GetFlagStatus\r
319 #define SPI_I2S_ClearFlag            SPI_ClearFlag\r
320 #define SPI_I2S_GetITStatus          SPI_GetITStatus\r
321 #define SPI_I2S_ClearITPendingBit    SPI_ClearITPendingBit\r
322 /**\r
323   * @}\r
324   */\r
325   \r
326 /**\r
327   * @}\r
328   */\r
329 \r
330 /** @defgroup SPI_Exported_Macros\r
331   * @{\r
332   */\r
333 \r
334 /**\r
335   * @}\r
336   */\r
337 \r
338 /** @defgroup SPI_Exported_Functions\r
339   * @{\r
340   */\r
341 \r
342 void SPI_DeInit(SPI_TypeDef* SPIx);\r
343 void SPI_Init(SPI_TypeDef* SPIx, SPI_InitTypeDef* SPI_InitStruct);\r
344 void SPI_StructInit(SPI_InitTypeDef* SPI_InitStruct);\r
345 void SPI_Cmd(SPI_TypeDef* SPIx, FunctionalState NewState);\r
346 void SPI_ITConfig(SPI_TypeDef* SPIx, uint8_t SPI_IT, FunctionalState NewState);\r
347 void SPI_DMACmd(SPI_TypeDef* SPIx, uint16_t SPI_DMAReq, FunctionalState NewState);\r
348 void SPI_SendData(SPI_TypeDef* SPIx, uint16_t Data);\r
349 uint16_t SPI_ReceiveData(SPI_TypeDef* SPIx);\r
350 void SPI_NSSInternalSoftwareConfig(SPI_TypeDef* SPIx, uint16_t SPI_NSSInternalSoft);\r
351 void SPI_SSOutputCmd(SPI_TypeDef* SPIx, FunctionalState NewState);\r
352 void SPI_DataSizeConfig(SPI_TypeDef* SPIx, uint16_t SPI_DataSize);\r
353 void SPI_TransmitCRC(SPI_TypeDef* SPIx);\r
354 void SPI_CalculateCRC(SPI_TypeDef* SPIx, FunctionalState NewState);\r
355 uint16_t SPI_GetCRC(SPI_TypeDef* SPIx, uint8_t SPI_CRC);\r
356 uint16_t SPI_GetCRCPolynomial(SPI_TypeDef* SPIx);\r
357 void SPI_BiDirectionalLineConfig(SPI_TypeDef* SPIx, uint16_t SPI_Direction);\r
358 FlagStatus SPI_GetFlagStatus(SPI_TypeDef* SPIx, uint16_t SPI_FLAG);\r
359 void SPI_ClearFlag(SPI_TypeDef* SPIx, uint16_t SPI_FLAG);\r
360 ITStatus SPI_GetITStatus(SPI_TypeDef* SPIx, uint8_t SPI_IT);\r
361 void SPI_ClearITPendingBit(SPI_TypeDef* SPIx, uint8_t SPI_IT);\r
362 \r
363 #ifdef __cplusplus\r
364 }\r
365 #endif\r
366 \r
367 #endif /*__STM32l15x_SPI_H */\r
368 /**\r
369   * @}\r
370   */\r
371 \r
372 /**\r
373   * @}\r
374   */\r
375 \r
376 /**\r
377   * @}\r
378   */\r
379 \r
380 /******************* (C) COPYRIGHT 2010 STMicroelectronics *****END OF FILE****/\r