]> git.sur5r.net Git - freertos/blob - Demo/HCS12_CodeWarrior_banked/CODE/RTOSDemo.PRM
Add Full build configuration to the Kinetis demo. Still a work in progress.
[freertos] / Demo / HCS12_CodeWarrior_banked / CODE / RTOSDemo.PRM
1 /*\r
2 ** ###################################################################\r
3 **     THIS BEAN MODULE IS GENERATED BY THE TOOL. DO NOT MODIFY IT.\r
4 **     Filename  : RTOSDemo.PRM\r
5 **     Project   : RTOSDemo\r
6 **     Processor : MC9S12DP256BCPV\r
7 **     Compiler  : Metrowerks HC12 C Compiler\r
8 **     Date/Time : 19/06/2005, 15:54\r
9 **     Abstract  :\r
10 **        This file is used by the linker. It describes files to be linked,\r
11 **        memory ranges, stack size, etc. For detailed description of the PRM file\r
12 **        see CodeWarrior documentation. This file is generated by default.\r
13 **        You can switch off generation by setting the property\r
14 **        "Generate PRM file = no" on the "Build options" tab in CPU bean and then modify\r
15 **        this file if needed.\r
16 **\r
17 **     (c) Copyright UNIS, spol. s r.o. 1997-2002\r
18 **     UNIS, spol. s r.o.\r
19 **     Jundrovska 33\r
20 **     624 00 Brno\r
21 **     Czech Republic\r
22 **     http      : www.processorexpert.com\r
23 **     mail      : info@processorexpert.com\r
24 ** ###################################################################\r
25 */\r
26 \r
27 NAMES\r
28 \r
29 END\r
30 \r
31 SECTIONS\r
32       /* List of all sections specified on the "Build options" tab */\r
33       RAM  =  READ_WRITE                0x00001000 TO 0x00003FFF;\r
34       ROM_C000  =  READ_ONLY            0x0000C000 TO 0x0000C511;\r
35       ROM_4000  =  READ_ONLY            0x00004000 TO 0x00004255;\r
36       ROM_PAGE30  =  READ_ONLY          0x00308000 TO 0x00308255;\r
37       ROM_PAGE31  =  READ_ONLY          0x00318000 TO 0x00318255;\r
38       ROM_PAGE32  =  READ_ONLY          0x00328000 TO 0x00328255;\r
39       ROM_PAGE33  =  READ_ONLY          0x00338000 TO 0x00338255;\r
40       ROM_PAGE34  =  READ_ONLY          0x00348000 TO 0x00348255;\r
41       ROM_PAGE35  =  READ_ONLY          0x00358000 TO 0x00358255;\r
42       ROM_PAGE36  =  READ_ONLY          0x00368000 TO 0x00368255;\r
43       ROM_PAGE37  =  READ_ONLY          0x00378000 TO 0x00378255;\r
44       ROM_PAGE38  =  READ_ONLY          0x00388000 TO 0x00388255;\r
45       ROM_PAGE39  =  READ_ONLY          0x00398000 TO 0x00398255;\r
46       ROM_PAGE3A  =  READ_ONLY          0x003A8000 TO 0x003A8255;\r
47       ROM_PAGE3B  =  READ_ONLY          0x003B8000 TO 0x003B8255;\r
48       ROM_PAGE3C  =  READ_ONLY          0x003C8000 TO 0x003C8255;\r
49       ROM_PAGE3D  =  READ_ONLY          0x003D8000 TO 0x003D8255;\r
50 END\r
51 \r
52 PLACEMENT\r
53       DEFAULT_RAM                      INTO RAM;\r
54       DEFAULT_ROM                      INTO ROM_PAGE30, ROM_PAGE31, ROM_PAGE32, ROM_PAGE33, ROM_PAGE34, ROM_PAGE35, ROM_PAGE36,\r
55 ROM_PAGE37, ROM_PAGE38, ROM_PAGE39, ROM_PAGE3A, ROM_PAGE3B, ROM_PAGE3C, ROM_PAGE3D;\r
56       _PRESTART, STARTUP,\r
57       ROM_VAR, STRINGS,\r
58       NON_BANKED, COPY                 INTO ROM_C000, ROM_4000;\r
59 END\r
60 \r
61 INIT _EntryPoint                       /* The entry point of the application. This function is generated into the CPU module. */\r
62 \r
63 STACKSIZE 0x0080                       /* Size of the system stack. Value can be changed on the "Build options" tab */\r
64 \r