]> git.sur5r.net Git - freertos/blob - Demo/MicroBlaze_Spartan-6_SP605/RTOSDemo.mhs
Create MicroBlaze hardware - work in progress.
[freertos] / Demo / MicroBlaze_Spartan-6_SP605 / RTOSDemo.mhs
1 \r
2 # ##############################################################################\r
3 # Created by Base System Builder Wizard for Xilinx EDK 13.1 Build EDK_O.40d\r
4 # Mon May 30 16:46:06 2011\r
5 # Target Board:  xilinx.com sp605 Rev C\r
6 # Family:    spartan6\r
7 # Device:    xc6slx45t\r
8 # Package:   fgg484\r
9 # Speed Grade:  -3\r
10 # ##############################################################################\r
11  PARAMETER VERSION = 2.1.0\r
12 \r
13 \r
14  PORT RESET = RESET, DIR = I, SIGIS = RST, RST_POLARITY = 1\r
15  PORT CLK_P = CLK, DIR = I, DIFFERENTIAL_POLARITY = P, SIGIS = CLK, CLK_FREQ = 200000000\r
16  PORT CLK_N = CLK, DIR = I, DIFFERENTIAL_POLARITY = N, SIGIS = CLK, CLK_FREQ = 200000000\r
17  PORT RS232_Uart_1_sout = RS232_Uart_1_sout, DIR = O\r
18  PORT RS232_Uart_1_sin = RS232_Uart_1_sin, DIR = I\r
19  PORT LEDs_4Bits_TRI_O = LEDs_4Bits_TRI_O, DIR = O, VEC = [0:3]\r
20  PORT Push_Buttons_4Bits_TRI_I = Push_Buttons_4Bits_TRI_I, DIR = I, VEC = [0:3]\r
21  PORT Ethernet_Lite_MDIO = Ethernet_Lite_MDIO, DIR = IO\r
22  PORT Ethernet_Lite_MDC = Ethernet_Lite_MDC, DIR = O\r
23  PORT Ethernet_Lite_TXD = Ethernet_Lite_TXD, DIR = O, VEC = [3:0]\r
24  PORT Ethernet_Lite_TX_EN = Ethernet_Lite_TX_EN, DIR = O\r
25  PORT Ethernet_Lite_TX_CLK = Ethernet_Lite_TX_CLK, DIR = I\r
26  PORT Ethernet_Lite_COL = Ethernet_Lite_COL, DIR = I\r
27  PORT Ethernet_Lite_RXD = Ethernet_Lite_RXD, DIR = I, VEC = [3:0]\r
28  PORT Ethernet_Lite_RX_ER = Ethernet_Lite_RX_ER, DIR = I\r
29  PORT Ethernet_Lite_RX_CLK = Ethernet_Lite_RX_CLK, DIR = I\r
30  PORT Ethernet_Lite_CRS = Ethernet_Lite_CRS, DIR = I\r
31  PORT Ethernet_Lite_RX_DV = Ethernet_Lite_RX_DV, DIR = I\r
32  PORT Ethernet_Lite_PHY_RST_N = Ethernet_Lite_PHY_RST_N, DIR = O\r
33 \r
34 \r
35 BEGIN axi_interconnect\r
36  PARAMETER INSTANCE = axi4_0\r
37  PARAMETER HW_VER = 1.02.a\r
38  PORT interconnect_aclk = clk_100_0000MHzPLL0\r
39  PORT INTERCONNECT_ARESETN = proc_sys_reset_0_Interconnect_aresetn\r
40 END\r
41 \r
42 BEGIN axi_interconnect\r
43  PARAMETER INSTANCE = axi4lite_0\r
44  PARAMETER HW_VER = 1.02.a\r
45  PARAMETER C_INTERCONNECT_CONNECTIVITY_MODE = 0\r
46  PORT INTERCONNECT_ARESETN = proc_sys_reset_0_Interconnect_aresetn\r
47  PORT INTERCONNECT_ACLK = clk_50_0000MHzPLL0\r
48 END\r
49 \r
50 BEGIN microblaze\r
51  PARAMETER INSTANCE = microblaze_0\r
52  PARAMETER HW_VER = 8.10.a\r
53  PARAMETER C_INTERCONNECT = 2\r
54  PARAMETER C_USE_BARREL = 1\r
55  PARAMETER C_USE_FPU = 1\r
56  PARAMETER C_DEBUG_ENABLED = 1\r
57  PARAMETER C_ICACHE_BASEADDR = 0xc0000000\r
58  PARAMETER C_ICACHE_HIGHADDR = 0xcfffffff\r
59  PARAMETER C_USE_ICACHE = 1\r
60  PARAMETER C_ICACHE_ALWAYS_USED = 1\r
61  PARAMETER C_DCACHE_BASEADDR = 0xc0000000\r
62  PARAMETER C_DCACHE_HIGHADDR = 0xcfffffff\r
63  PARAMETER C_USE_DCACHE = 1\r
64  PARAMETER C_DCACHE_ALWAYS_USED = 1\r
65  PARAMETER C_INTERCONNECT_M_AXI_DC_AW_REGISTER = 1\r
66  PARAMETER C_INTERCONNECT_M_AXI_DC_W_REGISTER = 1\r
67  PARAMETER C_INTERCONNECT_M_AXI_DP_AW_REGISTER = 1\r
68  PARAMETER C_INTERCONNECT_M_AXI_DP_AR_REGISTER = 1\r
69  PARAMETER C_INTERCONNECT_M_AXI_DP_W_REGISTER = 1\r
70  PARAMETER C_INTERCONNECT_M_AXI_DP_R_REGISTER = 1\r
71  PARAMETER C_INTERCONNECT_M_AXI_DP_B_REGISTER = 1\r
72  PARAMETER C_INTERCONNECT_M_AXI_DC_AR_REGISTER = 1\r
73  PARAMETER C_INTERCONNECT_M_AXI_DC_R_REGISTER = 1\r
74  PARAMETER C_INTERCONNECT_M_AXI_DC_B_REGISTER = 1\r
75  PARAMETER C_INTERCONNECT_M_AXI_IC_AW_REGISTER = 1\r
76  PARAMETER C_INTERCONNECT_M_AXI_IC_AR_REGISTER = 1\r
77  PARAMETER C_INTERCONNECT_M_AXI_IC_W_REGISTER = 1\r
78  PARAMETER C_INTERCONNECT_M_AXI_IC_R_REGISTER = 1\r
79  PARAMETER C_INTERCONNECT_M_AXI_IC_B_REGISTER = 1\r
80  BUS_INTERFACE ILMB = microblaze_0_ilmb\r
81  BUS_INTERFACE DLMB = microblaze_0_dlmb\r
82  BUS_INTERFACE M_AXI_DP = axi4lite_0\r
83  BUS_INTERFACE M_AXI_DC = axi4_0\r
84  BUS_INTERFACE M_AXI_IC = axi4_0\r
85  BUS_INTERFACE DEBUG = microblaze_0_debug\r
86  PORT MB_RESET = proc_sys_reset_0_MB_Reset\r
87  PORT CLK = clk_100_0000MHzPLL0\r
88  PORT INTERRUPT = microblaze_0_interrupt\r
89 END\r
90 \r
91 BEGIN lmb_v10\r
92  PARAMETER INSTANCE = microblaze_0_ilmb\r
93  PARAMETER HW_VER = 2.00.a\r
94  PORT SYS_RST = proc_sys_reset_0_BUS_STRUCT_RESET\r
95  PORT LMB_CLK = clk_100_0000MHzPLL0\r
96 END\r
97 \r
98 BEGIN lmb_v10\r
99  PARAMETER INSTANCE = microblaze_0_dlmb\r
100  PARAMETER HW_VER = 2.00.a\r
101  PORT SYS_RST = proc_sys_reset_0_BUS_STRUCT_RESET\r
102  PORT LMB_CLK = clk_100_0000MHzPLL0\r
103 END\r
104 \r
105 BEGIN lmb_bram_if_cntlr\r
106  PARAMETER INSTANCE = microblaze_0_i_bram_ctrl\r
107  PARAMETER HW_VER = 3.00.a\r
108  PARAMETER C_BASEADDR = 0x00000000\r
109  PARAMETER C_HIGHADDR = 0x00001fff\r
110  BUS_INTERFACE SLMB = microblaze_0_ilmb\r
111  BUS_INTERFACE BRAM_PORT = microblaze_0_i_bram_ctrl_2_microblaze_0_bram_block\r
112 END\r
113 \r
114 BEGIN lmb_bram_if_cntlr\r
115  PARAMETER INSTANCE = microblaze_0_d_bram_ctrl\r
116  PARAMETER HW_VER = 3.00.a\r
117  PARAMETER C_BASEADDR = 0x00000000\r
118  PARAMETER C_HIGHADDR = 0x00001fff\r
119  BUS_INTERFACE SLMB = microblaze_0_dlmb\r
120  BUS_INTERFACE BRAM_PORT = microblaze_0_d_bram_ctrl_2_microblaze_0_bram_block\r
121 END\r
122 \r
123 BEGIN bram_block\r
124  PARAMETER INSTANCE = microblaze_0_bram_block\r
125  PARAMETER HW_VER = 1.00.a\r
126  BUS_INTERFACE PORTA = microblaze_0_i_bram_ctrl_2_microblaze_0_bram_block\r
127  BUS_INTERFACE PORTB = microblaze_0_d_bram_ctrl_2_microblaze_0_bram_block\r
128 END\r
129 \r
130 BEGIN proc_sys_reset\r
131  PARAMETER INSTANCE = proc_sys_reset_0\r
132  PARAMETER HW_VER = 3.00.a\r
133  PARAMETER C_EXT_RESET_HIGH = 1\r
134  PORT Ext_Reset_In = RESET\r
135  PORT MB_Reset = proc_sys_reset_0_MB_Reset\r
136  PORT Slowest_sync_clk = clk_50_0000MHzPLL0\r
137  PORT Interconnect_aresetn = proc_sys_reset_0_Interconnect_aresetn\r
138  PORT Dcm_locked = proc_sys_reset_0_Dcm_locked\r
139  PORT MB_Debug_Sys_Rst = proc_sys_reset_0_MB_Debug_Sys_Rst\r
140  PORT BUS_STRUCT_RESET = proc_sys_reset_0_BUS_STRUCT_RESET\r
141 END\r
142 \r
143 BEGIN clock_generator\r
144  PARAMETER INSTANCE = clock_generator_0\r
145  PARAMETER HW_VER = 4.01.a\r
146  PARAMETER C_CLKIN_FREQ = 200000000\r
147  PARAMETER C_CLKOUT0_FREQ = 600000000\r
148  PARAMETER C_CLKOUT0_GROUP = PLL0\r
149  PARAMETER C_CLKOUT0_BUF = FALSE\r
150  PARAMETER C_CLKOUT1_FREQ = 600000000\r
151  PARAMETER C_CLKOUT1_PHASE = 180\r
152  PARAMETER C_CLKOUT1_GROUP = PLL0\r
153  PARAMETER C_CLKOUT1_BUF = FALSE\r
154  PARAMETER C_CLKOUT2_FREQ = 100000000\r
155  PARAMETER C_CLKOUT2_GROUP = PLL0\r
156  PARAMETER C_CLKOUT3_FREQ = 50000000\r
157  PARAMETER C_CLKOUT3_GROUP = PLL0\r
158  PORT RST = RESET\r
159  PORT CLKIN = CLK\r
160  PORT CLKOUT2 = clk_100_0000MHzPLL0\r
161  PORT CLKOUT3 = clk_50_0000MHzPLL0\r
162  PORT LOCKED = proc_sys_reset_0_Dcm_locked\r
163 END\r
164 \r
165 BEGIN mdm\r
166  PARAMETER INSTANCE = debug_module\r
167  PARAMETER HW_VER = 2.00.b\r
168  PARAMETER C_INTERCONNECT = 2\r
169  PARAMETER C_USE_UART = 1\r
170  PARAMETER C_INTERCONNECT_S_AXI_AW_REGISTER = 1\r
171  PARAMETER C_INTERCONNECT_S_AXI_AR_REGISTER = 1\r
172  PARAMETER C_INTERCONNECT_S_AXI_W_REGISTER = 1\r
173  PARAMETER C_INTERCONNECT_S_AXI_R_REGISTER = 1\r
174  PARAMETER C_INTERCONNECT_S_AXI_B_REGISTER = 1\r
175  PARAMETER C_BASEADDR = 0x74800000\r
176  PARAMETER C_HIGHADDR = 0x7480ffff\r
177  BUS_INTERFACE S_AXI = axi4lite_0\r
178  BUS_INTERFACE MBDEBUG_0 = microblaze_0_debug\r
179  PORT S_AXI_ACLK = clk_50_0000MHzPLL0\r
180  PORT Debug_SYS_Rst = proc_sys_reset_0_MB_Debug_Sys_Rst\r
181 END\r
182 \r
183 BEGIN axi_uartlite\r
184  PARAMETER INSTANCE = RS232_Uart_1\r
185  PARAMETER HW_VER = 1.01.a\r
186  PARAMETER C_BAUDRATE = 115200\r
187  PARAMETER C_DATA_BITS = 8\r
188  PARAMETER C_USE_PARITY = 0\r
189  PARAMETER C_ODD_PARITY = 1\r
190  PARAMETER C_INTERCONNECT_S_AXI_AW_REGISTER = 1\r
191  PARAMETER C_INTERCONNECT_S_AXI_AR_REGISTER = 1\r
192  PARAMETER C_INTERCONNECT_S_AXI_W_REGISTER = 1\r
193  PARAMETER C_INTERCONNECT_S_AXI_R_REGISTER = 1\r
194  PARAMETER C_INTERCONNECT_S_AXI_B_REGISTER = 1\r
195  PARAMETER C_BASEADDR = 0x40600000\r
196  PARAMETER C_HIGHADDR = 0x4060ffff\r
197  BUS_INTERFACE S_AXI = axi4lite_0\r
198  PORT TX = RS232_Uart_1_sout\r
199  PORT RX = RS232_Uart_1_sin\r
200  PORT S_AXI_ACLK = clk_50_0000MHzPLL0\r
201  PORT Interrupt = RS232_Uart_1_Interrupt\r
202 END\r
203 \r
204 BEGIN axi_gpio\r
205  PARAMETER INSTANCE = LEDs_4Bits\r
206  PARAMETER HW_VER = 1.01.a\r
207  PARAMETER C_GPIO_WIDTH = 4\r
208  PARAMETER C_ALL_INPUTS = 0\r
209  PARAMETER C_INTERRUPT_PRESENT = 0\r
210  PARAMETER C_IS_DUAL = 0\r
211  PARAMETER C_INTERCONNECT_S_AXI_AW_REGISTER = 1\r
212  PARAMETER C_INTERCONNECT_S_AXI_AR_REGISTER = 1\r
213  PARAMETER C_INTERCONNECT_S_AXI_W_REGISTER = 1\r
214  PARAMETER C_INTERCONNECT_S_AXI_R_REGISTER = 1\r
215  PARAMETER C_INTERCONNECT_S_AXI_B_REGISTER = 1\r
216  PARAMETER C_BASEADDR = 0x40020000\r
217  PARAMETER C_HIGHADDR = 0x4002ffff\r
218  BUS_INTERFACE S_AXI = axi4lite_0\r
219  PORT GPIO_IO_O = LEDs_4Bits_TRI_O\r
220  PORT S_AXI_ACLK = clk_50_0000MHzPLL0\r
221 END\r
222 \r
223 BEGIN axi_gpio\r
224  PARAMETER INSTANCE = Push_Buttons_4Bits\r
225  PARAMETER HW_VER = 1.01.a\r
226  PARAMETER C_GPIO_WIDTH = 4\r
227  PARAMETER C_ALL_INPUTS = 1\r
228  PARAMETER C_INTERRUPT_PRESENT = 1\r
229  PARAMETER C_IS_DUAL = 0\r
230  PARAMETER C_INTERCONNECT_S_AXI_AW_REGISTER = 1\r
231  PARAMETER C_INTERCONNECT_S_AXI_AR_REGISTER = 1\r
232  PARAMETER C_INTERCONNECT_S_AXI_W_REGISTER = 1\r
233  PARAMETER C_INTERCONNECT_S_AXI_R_REGISTER = 1\r
234  PARAMETER C_INTERCONNECT_S_AXI_B_REGISTER = 1\r
235  PARAMETER C_BASEADDR = 0x40000000\r
236  PARAMETER C_HIGHADDR = 0x4000ffff\r
237  BUS_INTERFACE S_AXI = axi4lite_0\r
238  PORT GPIO_IO_I = Push_Buttons_4Bits_TRI_I\r
239  PORT S_AXI_ACLK = clk_50_0000MHzPLL0\r
240  PORT IP2INTC_Irpt = Push_Buttons_4Bits_IP2INTC_Irpt\r
241 END\r
242 \r
243 BEGIN axi_ethernetlite\r
244  PARAMETER INSTANCE = Ethernet_Lite\r
245  PARAMETER HW_VER = 1.00.a\r
246  PARAMETER C_INTERCONNECT_S_AXI_AW_REGISTER = 1\r
247  PARAMETER C_INTERCONNECT_S_AXI_AR_REGISTER = 1\r
248  PARAMETER C_INTERCONNECT_S_AXI_W_REGISTER = 1\r
249  PARAMETER C_INTERCONNECT_S_AXI_R_REGISTER = 1\r
250  PARAMETER C_INTERCONNECT_S_AXI_B_REGISTER = 1\r
251  PARAMETER C_BASEADDR = 0x40e00000\r
252  PARAMETER C_HIGHADDR = 0x40e0ffff\r
253  BUS_INTERFACE S_AXI = axi4lite_0\r
254  PORT PHY_MDIO = Ethernet_Lite_MDIO\r
255  PORT PHY_MDC = Ethernet_Lite_MDC\r
256  PORT PHY_tx_data = Ethernet_Lite_TXD\r
257  PORT PHY_tx_en = Ethernet_Lite_TX_EN\r
258  PORT PHY_tx_clk = Ethernet_Lite_TX_CLK\r
259  PORT PHY_col = Ethernet_Lite_COL\r
260  PORT PHY_rx_data = Ethernet_Lite_RXD\r
261  PORT PHY_rx_er = Ethernet_Lite_RX_ER\r
262  PORT PHY_rx_clk = Ethernet_Lite_RX_CLK\r
263  PORT PHY_crs = Ethernet_Lite_CRS\r
264  PORT PHY_dv = Ethernet_Lite_RX_DV\r
265  PORT PHY_rst_n = Ethernet_Lite_PHY_RST_N\r
266  PORT S_AXI_ACLK = clk_50_0000MHzPLL0\r
267  PORT IP2INTC_Irpt = Ethernet_Lite_IP2INTC_Irpt\r
268 END\r
269 \r
270 BEGIN axi_timer\r
271  PARAMETER INSTANCE = axi_timer_0\r
272  PARAMETER HW_VER = 1.01.a\r
273  PARAMETER C_COUNT_WIDTH = 32\r
274  PARAMETER C_ONE_TIMER_ONLY = 0\r
275  PARAMETER C_INTERCONNECT_S_AXI_AW_REGISTER = 1\r
276  PARAMETER C_INTERCONNECT_S_AXI_AR_REGISTER = 1\r
277  PARAMETER C_INTERCONNECT_S_AXI_W_REGISTER = 1\r
278  PARAMETER C_INTERCONNECT_S_AXI_R_REGISTER = 1\r
279  PARAMETER C_INTERCONNECT_S_AXI_B_REGISTER = 1\r
280  PARAMETER C_BASEADDR = 0x41c00000\r
281  PARAMETER C_HIGHADDR = 0x41c0ffff\r
282  BUS_INTERFACE S_AXI = axi4lite_0\r
283  PORT S_AXI_ACLK = clk_50_0000MHzPLL0\r
284  PORT Interrupt = axi_timer_0_Interrupt\r
285 END\r
286 \r
287 BEGIN axi_bram_ctrl\r
288  PARAMETER INSTANCE = axi_bram_ctrl_0\r
289  PARAMETER HW_VER = 1.02.a\r
290  PARAMETER C_INTERCONNECT_S_AXI_MASTERS = microblaze_0.M_AXI_DC & microblaze_0.M_AXI_IC\r
291  PARAMETER C_INTERCONNECT_S_AXI_AW_REGISTER = 1\r
292  PARAMETER C_INTERCONNECT_S_AXI_AR_REGISTER = 1\r
293  PARAMETER C_INTERCONNECT_S_AXI_W_REGISTER = 1\r
294  PARAMETER C_INTERCONNECT_S_AXI_R_REGISTER = 1\r
295  PARAMETER C_INTERCONNECT_S_AXI_B_REGISTER = 1\r
296  PARAMETER C_S_AXI_BASEADDR = 0xC4000000\r
297  PARAMETER C_S_AXI_HIGHADDR = 0xC400FFFF\r
298  BUS_INTERFACE BRAM_PORTA = axi_bram_ctrl_0_bram_porta_2_axi_bram_ctrl_0_bram_block_porta\r
299  BUS_INTERFACE BRAM_PORTB = axi_bram_ctrl_0_bram_portb_2_axi_bram_ctrl_0_bram_block_portb\r
300  BUS_INTERFACE S_AXI = axi4_0\r
301  PORT S_AXI_ACLK = clk_100_0000MHzPLL0\r
302 END\r
303 \r
304 BEGIN bram_block\r
305  PARAMETER INSTANCE = axi_bram_ctrl_0_bram_block\r
306  PARAMETER HW_VER = 1.00.a\r
307  BUS_INTERFACE PORTA = axi_bram_ctrl_0_bram_porta_2_axi_bram_ctrl_0_bram_block_porta\r
308  BUS_INTERFACE PORTB = axi_bram_ctrl_0_bram_portb_2_axi_bram_ctrl_0_bram_block_portb\r
309 END\r
310 \r
311 BEGIN axi_intc\r
312  PARAMETER INSTANCE = microblaze_0_intc\r
313  PARAMETER HW_VER = 1.01.a\r
314  PARAMETER C_INTERCONNECT_S_AXI_AW_REGISTER = 1\r
315  PARAMETER C_INTERCONNECT_S_AXI_AR_REGISTER = 1\r
316  PARAMETER C_INTERCONNECT_S_AXI_W_REGISTER = 1\r
317  PARAMETER C_INTERCONNECT_S_AXI_R_REGISTER = 1\r
318  PARAMETER C_INTERCONNECT_S_AXI_B_REGISTER = 1\r
319  PARAMETER C_BASEADDR = 0x41200000\r
320  PARAMETER C_HIGHADDR = 0x4120ffff\r
321  BUS_INTERFACE S_AXI = axi4lite_0\r
322  PORT IRQ = microblaze_0_interrupt\r
323  PORT S_AXI_ACLK = clk_50_0000MHzPLL0\r
324  PORT INTR = RS232_Uart_1_Interrupt & Push_Buttons_4Bits_IP2INTC_Irpt & Ethernet_Lite_IP2INTC_Irpt & axi_timer_0_Interrupt\r
325 END\r
326 \r