]> git.sur5r.net Git - freertos/blob - FreeRTOS/Demo/CORTEX_M0_STM32F0518_IAR/Libraries/STM32F0xx_StdPeriph_Driver/inc/stm32f0xx_spi.h
Add FreeRTOS-Plus directory.
[freertos] / FreeRTOS / Demo / CORTEX_M0_STM32F0518_IAR / Libraries / STM32F0xx_StdPeriph_Driver / inc / stm32f0xx_spi.h
1 /**\r
2   ******************************************************************************\r
3   * @file    stm32f0xx_spi.h\r
4   * @author  MCD Application Team\r
5   * @version V1.0.0RC1\r
6   * @date    27-January-2012\r
7   * @brief   This file contains all the functions prototypes for the SPI \r
8   *          firmware library.\r
9   ******************************************************************************\r
10   * @attention\r
11   *\r
12   * THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS\r
13   * WITH CODING INFORMATION REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE\r
14   * TIME. AS A RESULT, STMICROELECTRONICS SHALL NOT BE HELD LIABLE FOR ANY\r
15   * DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING\r
16   * FROM THE CONTENT OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE\r
17   * CODING INFORMATION CONTAINED HEREIN IN CONNECTION WITH THEIR PRODUCTS.\r
18   *\r
19   * FOR MORE INFORMATION PLEASE READ CAREFULLY THE LICENSE AGREEMENT FILE\r
20   * LOCATED IN THE ROOT DIRECTORY OF THIS FIRMWARE PACKAGE.\r
21   *\r
22   * <h2><center>&copy; COPYRIGHT 2012 STMicroelectronics</center></h2>\r
23   ******************************************************************************\r
24   */\r
25 \r
26 /* Define to prevent recursive inclusion -------------------------------------*/\r
27 #ifndef __STM32F0XX_SPI_H\r
28 #define __STM32F0XX_SPI_H\r
29 \r
30 #ifdef __cplusplus\r
31  extern "C" {\r
32 #endif\r
33 \r
34 /* Includes ------------------------------------------------------------------*/\r
35 #include "stm32f0xx.h"\r
36 \r
37 /** @addtogroup STM32F0xx_StdPeriph_Driver\r
38   * @{\r
39   */\r
40 \r
41 /** @addtogroup SPI\r
42   * @{\r
43   */\r
44 \r
45 /* Exported types ------------------------------------------------------------*/\r
46 \r
47 /** \r
48   * @brief  SPI Init structure definition  \r
49   */\r
50 \r
51 typedef struct\r
52 {\r
53   uint16_t SPI_Direction;           /*!< Specifies the SPI unidirectional or bidirectional data mode.\r
54                                          This parameter can be a value of @ref SPI_data_direction */\r
55 \r
56   uint16_t SPI_Mode;                /*!< Specifies the SPI mode (Master/Slave).\r
57                                          This parameter can be a value of @ref SPI_mode */\r
58   \r
59   uint16_t SPI_DataSize;            /*!< Specifies the SPI data size.\r
60                                          This parameter can be a value of @ref SPI_data_size */\r
61 \r
62   uint16_t SPI_CPOL;                /*!< Specifies the serial clock steady state.\r
63                                          This parameter can be a value of @ref SPI_Clock_Polarity */\r
64 \r
65   uint16_t SPI_CPHA;                /*!< Specifies the clock active edge for the bit capture.\r
66                                          This parameter can be a value of @ref SPI_Clock_Phase */\r
67 \r
68   uint16_t SPI_NSS;                 /*!< Specifies whether the NSS signal is managed by\r
69                                          hardware (NSS pin) or by software using the SSI bit.\r
70                                          This parameter can be a value of @ref SPI_Slave_Select_management */\r
71  \r
72   uint16_t SPI_BaudRatePrescaler;   /*!< Specifies the Baud Rate prescaler value which will be\r
73                                          used to configure the transmit and receive SCK clock.\r
74                                          This parameter can be a value of @ref SPI_BaudRate_Prescaler\r
75                                          @note The communication clock is derived from the master\r
76                                                clock. The slave clock does not need to be set. */\r
77 \r
78   uint16_t SPI_FirstBit;            /*!< Specifies whether data transfers start from MSB or LSB bit.\r
79                                          This parameter can be a value of @ref SPI_MSB_LSB_transmission */\r
80 \r
81   uint16_t SPI_CRCPolynomial;       /*!< Specifies the polynomial used for the CRC calculation. */\r
82 }SPI_InitTypeDef;\r
83 \r
84 \r
85 /** \r
86   * @brief  I2S Init structure definition  \r
87   */\r
88 \r
89 typedef struct\r
90 {\r
91   uint16_t I2S_Mode;         /*!< Specifies the I2S operating mode.\r
92                                   This parameter can be a value of @ref SPI_I2S_Mode */\r
93 \r
94   uint16_t I2S_Standard;     /*!< Specifies the standard used for the I2S communication.\r
95                                   This parameter can be a value of @ref SPI_I2S_Standard */\r
96 \r
97   uint16_t I2S_DataFormat;   /*!< Specifies the data format for the I2S communication.\r
98                                   This parameter can be a value of @ref SPI_I2S_Data_Format */\r
99 \r
100   uint16_t I2S_MCLKOutput;   /*!< Specifies whether the I2S MCLK output is enabled or not.\r
101                                   This parameter can be a value of @ref SPI_I2S_MCLK_Output */\r
102 \r
103   uint32_t I2S_AudioFreq;    /*!< Specifies the frequency selected for the I2S communication.\r
104                                   This parameter can be a value of @ref SPI_I2S_Audio_Frequency */\r
105 \r
106   uint16_t I2S_CPOL;         /*!< Specifies the idle state of the I2S clock.\r
107                                   This parameter can be a value of @ref SPI_I2S_Clock_Polarity */\r
108 }I2S_InitTypeDef;\r
109 \r
110 /* Exported constants --------------------------------------------------------*/\r
111 \r
112 /** @defgroup SPI_Exported_Constants\r
113   * @{\r
114   */\r
115 \r
116 #define IS_SPI_ALL_PERIPH(PERIPH) (((PERIPH) == SPI1) || \\r
117                                    ((PERIPH) == SPI2))\r
118 \r
119 #define IS_SPI_1_PERIPH(PERIPH) (((PERIPH) == SPI1))\r
120 \r
121 /** @defgroup SPI_data_direction \r
122   * @{\r
123   */\r
124   \r
125 #define SPI_Direction_2Lines_FullDuplex ((uint16_t)0x0000)\r
126 #define SPI_Direction_2Lines_RxOnly     ((uint16_t)0x0400)\r
127 #define SPI_Direction_1Line_Rx          ((uint16_t)0x8000)\r
128 #define SPI_Direction_1Line_Tx          ((uint16_t)0xC000)\r
129 #define IS_SPI_DIRECTION_MODE(MODE) (((MODE) == SPI_Direction_2Lines_FullDuplex) || \\r
130                                      ((MODE) == SPI_Direction_2Lines_RxOnly) || \\r
131                                      ((MODE) == SPI_Direction_1Line_Rx) || \\r
132                                      ((MODE) == SPI_Direction_1Line_Tx))\r
133 /**\r
134   * @}\r
135   */\r
136 \r
137 /** @defgroup SPI_mode \r
138   * @{\r
139   */\r
140 \r
141 #define SPI_Mode_Master                 ((uint16_t)0x0104)\r
142 #define SPI_Mode_Slave                  ((uint16_t)0x0000)\r
143 #define IS_SPI_MODE(MODE) (((MODE) == SPI_Mode_Master) || \\r
144                            ((MODE) == SPI_Mode_Slave))\r
145 /**\r
146   * @}\r
147   */\r
148 \r
149 /** @defgroup SPI_data_size\r
150   * @{\r
151   */\r
152 \r
153 #define SPI_DataSize_4b                 ((uint16_t)0x0300)\r
154 #define SPI_DataSize_5b                 ((uint16_t)0x0400)\r
155 #define SPI_DataSize_6b                 ((uint16_t)0x0500)\r
156 #define SPI_DataSize_7b                 ((uint16_t)0x0600)\r
157 #define SPI_DataSize_8b                 ((uint16_t)0x0700)\r
158 #define SPI_DataSize_9b                 ((uint16_t)0x0800)\r
159 #define SPI_DataSize_10b                ((uint16_t)0x0900)\r
160 #define SPI_DataSize_11b                ((uint16_t)0x0A00)\r
161 #define SPI_DataSize_12b                ((uint16_t)0x0B00)\r
162 #define SPI_DataSize_13b                ((uint16_t)0x0C00)\r
163 #define SPI_DataSize_14b                ((uint16_t)0x0D00)\r
164 #define SPI_DataSize_15b                ((uint16_t)0x0E00)\r
165 #define SPI_DataSize_16b                ((uint16_t)0x0F00)\r
166 #define IS_SPI_DATA_SIZE(SIZE) (((SIZE) == SPI_DataSize_4b) || \\r
167                                  ((SIZE) == SPI_DataSize_5b) || \\r
168                                  ((SIZE) == SPI_DataSize_6b) || \\r
169                                  ((SIZE) == SPI_DataSize_7b) || \\r
170                                  ((SIZE) == SPI_DataSize_8b) || \\r
171                                  ((SIZE) == SPI_DataSize_9b) || \\r
172                                  ((SIZE) == SPI_DataSize_10b) || \\r
173                                  ((SIZE) == SPI_DataSize_11b) || \\r
174                                  ((SIZE) == SPI_DataSize_12b) || \\r
175                                  ((SIZE) == SPI_DataSize_13b) || \\r
176                                  ((SIZE) == SPI_DataSize_14b) || \\r
177                                  ((SIZE) == SPI_DataSize_15b) || \\r
178                                  ((SIZE) == SPI_DataSize_16b))\r
179 /**\r
180   * @}\r
181   */\r
182 \r
183 /** @defgroup SPI_CRC_length\r
184   * @{\r
185   */\r
186 \r
187 #define SPI_CRCLength_8b                ((uint16_t)0x0000)\r
188 #define SPI_CRCLength_16b               SPI_CR1_CRCL\r
189 #define IS_SPI_CRC_LENGTH(LENGTH) (((LENGTH) == SPI_CRCLength_8b) || \\r
190                                    ((LENGTH) == SPI_CRCLength_16b))\r
191 /**\r
192   * @}\r
193   */\r
194 \r
195 /** @defgroup SPI_Clock_Polarity \r
196   * @{\r
197   */\r
198 \r
199 #define SPI_CPOL_Low                    ((uint16_t)0x0000)\r
200 #define SPI_CPOL_High                   SPI_CR1_CPOL\r
201 #define IS_SPI_CPOL(CPOL) (((CPOL) == SPI_CPOL_Low) || \\r
202                            ((CPOL) == SPI_CPOL_High))\r
203 /**\r
204   * @}\r
205   */\r
206 \r
207 /** @defgroup SPI_Clock_Phase \r
208   * @{\r
209   */\r
210 \r
211 #define SPI_CPHA_1Edge                  ((uint16_t)0x0000)\r
212 #define SPI_CPHA_2Edge                  SPI_CR1_CPHA\r
213 #define IS_SPI_CPHA(CPHA) (((CPHA) == SPI_CPHA_1Edge) || \\r
214                            ((CPHA) == SPI_CPHA_2Edge))\r
215 /**\r
216   * @}\r
217   */\r
218 \r
219 /** @defgroup SPI_Slave_Select_management \r
220   * @{\r
221   */\r
222 \r
223 #define SPI_NSS_Soft                    SPI_CR1_SSM\r
224 #define SPI_NSS_Hard                    ((uint16_t)0x0000)\r
225 #define IS_SPI_NSS(NSS) (((NSS) == SPI_NSS_Soft) || \\r
226                          ((NSS) == SPI_NSS_Hard))\r
227 /**\r
228   * @}\r
229   */\r
230 \r
231 /** @defgroup SPI_BaudRate_Prescaler \r
232   * @{\r
233   */\r
234 \r
235 #define SPI_BaudRatePrescaler_2         ((uint16_t)0x0000)\r
236 #define SPI_BaudRatePrescaler_4         ((uint16_t)0x0008)\r
237 #define SPI_BaudRatePrescaler_8         ((uint16_t)0x0010)\r
238 #define SPI_BaudRatePrescaler_16        ((uint16_t)0x0018)\r
239 #define SPI_BaudRatePrescaler_32        ((uint16_t)0x0020)\r
240 #define SPI_BaudRatePrescaler_64        ((uint16_t)0x0028)\r
241 #define SPI_BaudRatePrescaler_128       ((uint16_t)0x0030)\r
242 #define SPI_BaudRatePrescaler_256       ((uint16_t)0x0038)\r
243 #define IS_SPI_BAUDRATE_PRESCALER(PRESCALER) (((PRESCALER) == SPI_BaudRatePrescaler_2) || \\r
244                                               ((PRESCALER) == SPI_BaudRatePrescaler_4) || \\r
245                                               ((PRESCALER) == SPI_BaudRatePrescaler_8) || \\r
246                                               ((PRESCALER) == SPI_BaudRatePrescaler_16) || \\r
247                                               ((PRESCALER) == SPI_BaudRatePrescaler_32) || \\r
248                                               ((PRESCALER) == SPI_BaudRatePrescaler_64) || \\r
249                                               ((PRESCALER) == SPI_BaudRatePrescaler_128) || \\r
250                                               ((PRESCALER) == SPI_BaudRatePrescaler_256))\r
251 /**\r
252   * @}\r
253   */\r
254 \r
255 /** @defgroup SPI_MSB_LSB_transmission \r
256   * @{\r
257   */\r
258 \r
259 #define SPI_FirstBit_MSB                ((uint16_t)0x0000)\r
260 #define SPI_FirstBit_LSB                SPI_CR1_LSBFIRST\r
261 #define IS_SPI_FIRST_BIT(BIT) (((BIT) == SPI_FirstBit_MSB) || \\r
262                                ((BIT) == SPI_FirstBit_LSB))\r
263 /**\r
264   * @}\r
265   */\r
266   \r
267 /** @defgroup SPI_I2S_Mode \r
268   * @{\r
269   */\r
270 \r
271 #define I2S_Mode_SlaveTx                ((uint16_t)0x0000)\r
272 #define I2S_Mode_SlaveRx                ((uint16_t)0x0100)\r
273 #define I2S_Mode_MasterTx               ((uint16_t)0x0200)\r
274 #define I2S_Mode_MasterRx               ((uint16_t)0x0300)\r
275 #define IS_I2S_MODE(MODE) (((MODE) == I2S_Mode_SlaveTx) || \\r
276                            ((MODE) == I2S_Mode_SlaveRx) || \\r
277                            ((MODE) == I2S_Mode_MasterTx)|| \\r
278                            ((MODE) == I2S_Mode_MasterRx))\r
279 /**\r
280   * @}\r
281   */\r
282 \r
283 /** @defgroup SPI_I2S_Standard \r
284   * @{\r
285   */\r
286 \r
287 #define I2S_Standard_Phillips           ((uint16_t)0x0000)\r
288 #define I2S_Standard_MSB                ((uint16_t)0x0010)\r
289 #define I2S_Standard_LSB                ((uint16_t)0x0020)\r
290 #define I2S_Standard_PCMShort           ((uint16_t)0x0030)\r
291 #define I2S_Standard_PCMLong            ((uint16_t)0x00B0)\r
292 #define IS_I2S_STANDARD(STANDARD) (((STANDARD) == I2S_Standard_Phillips) || \\r
293                                    ((STANDARD) == I2S_Standard_MSB) || \\r
294                                    ((STANDARD) == I2S_Standard_LSB) || \\r
295                                    ((STANDARD) == I2S_Standard_PCMShort) || \\r
296                                    ((STANDARD) == I2S_Standard_PCMLong))\r
297 /**\r
298   * @}\r
299   */\r
300 \r
301 /** @defgroup SPI_I2S_Data_Format \r
302   * @{\r
303   */\r
304 \r
305 #define I2S_DataFormat_16b              ((uint16_t)0x0000)\r
306 #define I2S_DataFormat_16bextended      ((uint16_t)0x0001)\r
307 #define I2S_DataFormat_24b              ((uint16_t)0x0003)\r
308 #define I2S_DataFormat_32b              ((uint16_t)0x0005)\r
309 #define IS_I2S_DATA_FORMAT(FORMAT) (((FORMAT) == I2S_DataFormat_16b) || \\r
310                                     ((FORMAT) == I2S_DataFormat_16bextended) || \\r
311                                     ((FORMAT) == I2S_DataFormat_24b) || \\r
312                                     ((FORMAT) == I2S_DataFormat_32b))\r
313 /**\r
314   * @}\r
315   */\r
316 \r
317 /** @defgroup SPI_I2S_MCLK_Output \r
318   * @{\r
319   */\r
320 \r
321 #define I2S_MCLKOutput_Enable           SPI_I2SPR_MCKOE\r
322 #define I2S_MCLKOutput_Disable          ((uint16_t)0x0000)\r
323 #define IS_I2S_MCLK_OUTPUT(OUTPUT) (((OUTPUT) == I2S_MCLKOutput_Enable) || \\r
324                                     ((OUTPUT) == I2S_MCLKOutput_Disable))\r
325 /**\r
326   * @}\r
327   */\r
328 \r
329 /** @defgroup SPI_I2S_Audio_Frequency \r
330   * @{\r
331   */\r
332 \r
333 #define I2S_AudioFreq_192k               ((uint32_t)192000)\r
334 #define I2S_AudioFreq_96k                ((uint32_t)96000)\r
335 #define I2S_AudioFreq_48k                ((uint32_t)48000)\r
336 #define I2S_AudioFreq_44k                ((uint32_t)44100)\r
337 #define I2S_AudioFreq_32k                ((uint32_t)32000)\r
338 #define I2S_AudioFreq_22k                ((uint32_t)22050)\r
339 #define I2S_AudioFreq_16k                ((uint32_t)16000)\r
340 #define I2S_AudioFreq_11k                ((uint32_t)11025)\r
341 #define I2S_AudioFreq_8k                 ((uint32_t)8000)\r
342 #define I2S_AudioFreq_Default            ((uint32_t)2)\r
343 \r
344 #define IS_I2S_AUDIO_FREQ(FREQ) ((((FREQ) >= I2S_AudioFreq_8k) && \\r
345                                  ((FREQ) <= I2S_AudioFreq_192k)) || \\r
346                                  ((FREQ) == I2S_AudioFreq_Default))\r
347 /**\r
348   * @}\r
349   */\r
350 \r
351 /** @defgroup SPI_I2S_Clock_Polarity \r
352   * @{\r
353   */\r
354 \r
355 #define I2S_CPOL_Low                    ((uint16_t)0x0000)\r
356 #define I2S_CPOL_High                   SPI_I2SCFGR_CKPOL\r
357 #define IS_I2S_CPOL(CPOL) (((CPOL) == I2S_CPOL_Low) || \\r
358                            ((CPOL) == I2S_CPOL_High))\r
359 /**\r
360   * @}\r
361   */\r
362 \r
363 /** @defgroup SPI_FIFO_reception_threshold \r
364   * @{\r
365   */\r
366 \r
367 #define SPI_RxFIFOThreshold_HF          ((uint16_t)0x0000)\r
368 #define SPI_RxFIFOThreshold_QF          SPI_CR2_FRXTH\r
369 #define IS_SPI_RX_FIFO_THRESHOLD(THRESHOLD) (((THRESHOLD) == SPI_RxFIFOThreshold_HF) || \\r
370                                              ((THRESHOLD) == SPI_RxFIFOThreshold_QF))\r
371 /**\r
372   * @}\r
373   */\r
374 \r
375 /** @defgroup SPI_I2S_DMA_transfer_requests \r
376   * @{\r
377   */\r
378 \r
379 #define SPI_I2S_DMAReq_Tx               SPI_CR2_TXDMAEN\r
380 #define SPI_I2S_DMAReq_Rx               SPI_CR2_RXDMAEN\r
381 #define IS_SPI_I2S_DMA_REQ(REQ) ((((REQ) & (uint16_t)0xFFFC) == 0x00) && ((REQ) != 0x00))\r
382 /**\r
383   * @}\r
384   */\r
385 \r
386 /** @defgroup SPI_last_DMA_transfers\r
387   * @{\r
388   */\r
389 \r
390 #define SPI_LastDMATransfer_TxEvenRxEven   ((uint16_t)0x0000)\r
391 #define SPI_LastDMATransfer_TxOddRxEven    ((uint16_t)0x4000)\r
392 #define SPI_LastDMATransfer_TxEvenRxOdd    ((uint16_t)0x2000)\r
393 #define SPI_LastDMATransfer_TxOddRxOdd     ((uint16_t)0x6000)\r
394 #define IS_SPI_LAST_DMA_TRANSFER(TRANSFER) (((TRANSFER) == SPI_LastDMATransfer_TxEvenRxEven) || \\r
395                                             ((TRANSFER) == SPI_LastDMATransfer_TxOddRxEven) || \\r
396                                             ((TRANSFER) == SPI_LastDMATransfer_TxEvenRxOdd) || \\r
397                                             ((TRANSFER) == SPI_LastDMATransfer_TxOddRxOdd))\r
398 /**\r
399   * @}\r
400   */\r
401 /** @defgroup SPI_NSS_internal_software_management \r
402   * @{\r
403   */\r
404 \r
405 #define SPI_NSSInternalSoft_Set         SPI_CR1_SSI\r
406 #define SPI_NSSInternalSoft_Reset       ((uint16_t)0xFEFF)\r
407 #define IS_SPI_NSS_INTERNAL(INTERNAL) (((INTERNAL) == SPI_NSSInternalSoft_Set) || \\r
408                                        ((INTERNAL) == SPI_NSSInternalSoft_Reset))\r
409 /**\r
410   * @}\r
411   */\r
412 \r
413 /** @defgroup SPI_CRC_Transmit_Receive \r
414   * @{\r
415   */\r
416 \r
417 #define SPI_CRC_Tx                      ((uint8_t)0x00)\r
418 #define SPI_CRC_Rx                      ((uint8_t)0x01)\r
419 #define IS_SPI_CRC(CRC) (((CRC) == SPI_CRC_Tx) || ((CRC) == SPI_CRC_Rx))\r
420 /**\r
421   * @}\r
422   */\r
423 \r
424 /** @defgroup SPI_direction_transmit_receive \r
425   * @{\r
426   */\r
427 \r
428 #define SPI_Direction_Rx                ((uint16_t)0xBFFF)\r
429 #define SPI_Direction_Tx                ((uint16_t)0x4000)\r
430 #define IS_SPI_DIRECTION(DIRECTION) (((DIRECTION) == SPI_Direction_Rx) || \\r
431                                      ((DIRECTION) == SPI_Direction_Tx))\r
432 /**\r
433   * @}\r
434   */\r
435 \r
436 /** @defgroup SPI_I2S_interrupts_definition \r
437   * @{\r
438   */\r
439 \r
440 #define SPI_I2S_IT_TXE                  ((uint8_t)0x71)\r
441 #define SPI_I2S_IT_RXNE                 ((uint8_t)0x60)\r
442 #define SPI_I2S_IT_ERR                  ((uint8_t)0x50)\r
443 \r
444 #define IS_SPI_I2S_CONFIG_IT(IT) (((IT) == SPI_I2S_IT_TXE) || \\r
445                                   ((IT) == SPI_I2S_IT_RXNE) || \\r
446                                   ((IT) == SPI_I2S_IT_ERR))\r
447 \r
448 #define I2S_IT_UDR                      ((uint8_t)0x53)\r
449 #define SPI_IT_MODF                     ((uint8_t)0x55)\r
450 #define SPI_I2S_IT_OVR                  ((uint8_t)0x56)\r
451 #define SPI_I2S_IT_FRE                  ((uint8_t)0x58)\r
452 \r
453 #define IS_SPI_I2S_GET_IT(IT) (((IT) == SPI_I2S_IT_RXNE) || ((IT) == SPI_I2S_IT_TXE) || \\r
454                                ((IT) == SPI_I2S_IT_OVR) || ((IT) == SPI_IT_MODF) || \\r
455                                ((IT) == SPI_I2S_IT_FRE)|| ((IT) == I2S_IT_UDR))\r
456 /**\r
457   * @}\r
458   */\r
459 \r
460 \r
461 /** @defgroup SPI_transmission_fifo_status_level \r
462   * @{\r
463   */ \r
464 \r
465 #define SPI_TransmissionFIFOStatus_Empty           ((uint16_t)0x0000)\r
466 #define SPI_TransmissionFIFOStatus_1QuarterFull    ((uint16_t)0x0800) \r
467 #define SPI_TransmissionFIFOStatus_HalfFull        ((uint16_t)0x1000) \r
468 #define SPI_TransmissionFIFOStatus_Full            ((uint16_t)0x1800)\r
469 \r
470 /**\r
471   * @}\r
472   */ \r
473 \r
474 /** @defgroup SPI_reception_fifo_status_level \r
475   * @{\r
476   */ \r
477 #define SPI_ReceptionFIFOStatus_Empty           ((uint16_t)0x0000)\r
478 #define SPI_ReceptionFIFOStatus_1QuarterFull    ((uint16_t)0x0200) \r
479 #define SPI_ReceptionFIFOStatus_HalfFull        ((uint16_t)0x0400) \r
480 #define SPI_ReceptionFIFOStatus_Full            ((uint16_t)0x0600)\r
481 \r
482 /**\r
483   * @}\r
484   */ \r
485 \r
486 \r
487 /** @defgroup SPI_I2S_flags_definition \r
488   * @{\r
489   */\r
490 \r
491 #define SPI_I2S_FLAG_RXNE               SPI_SR_RXNE\r
492 #define SPI_I2S_FLAG_TXE                SPI_SR_TXE\r
493 #define I2S_FLAG_CHSIDE                 SPI_SR_CHSIDE\r
494 #define I2S_FLAG_UDR                    SPI_SR_UDR\r
495 #define SPI_FLAG_CRCERR                 SPI_SR_CRCERR\r
496 #define SPI_FLAG_MODF                   SPI_SR_MODF\r
497 #define SPI_I2S_FLAG_OVR                SPI_SR_OVR\r
498 #define SPI_I2S_FLAG_BSY                SPI_SR_BSY\r
499 #define SPI_I2S_FLAG_FRE                SPI_SR_FRE\r
500 \r
501 \r
502 \r
503 #define IS_SPI_CLEAR_FLAG(FLAG) (((FLAG) == SPI_FLAG_CRCERR))\r
504 #define IS_SPI_I2S_GET_FLAG(FLAG) (((FLAG) == SPI_I2S_FLAG_BSY) || ((FLAG) == SPI_I2S_FLAG_OVR) || \\r
505                                    ((FLAG) == SPI_FLAG_MODF) || ((FLAG) == SPI_FLAG_CRCERR) || \\r
506                                    ((FLAG) == SPI_I2S_FLAG_TXE) || ((FLAG) == SPI_I2S_FLAG_RXNE)|| \\r
507                                    ((FLAG) == SPI_I2S_FLAG_FRE)|| ((FLAG) == I2S_FLAG_CHSIDE)|| \\r
508                                    ((FLAG) == I2S_FLAG_UDR))\r
509 /**\r
510   * @}\r
511   */\r
512 \r
513 /** @defgroup SPI_CRC_polynomial \r
514   * @{\r
515   */\r
516 \r
517 #define IS_SPI_CRC_POLYNOMIAL(POLYNOMIAL) ((POLYNOMIAL) >= 0x1)\r
518 /**\r
519   * @}\r
520   */\r
521 \r
522 /**\r
523   * @}\r
524   */\r
525 \r
526 /* Exported macro ------------------------------------------------------------*/\r
527 /* Exported functions ------------------------------------------------------- */\r
528 \r
529 /* Initialization and Configuration functions *********************************/\r
530 void SPI_I2S_DeInit(SPI_TypeDef* SPIx);\r
531 void SPI_Init(SPI_TypeDef* SPIx, SPI_InitTypeDef* SPI_InitStruct);\r
532 void I2S_Init(SPI_TypeDef* SPIx, I2S_InitTypeDef* I2S_InitStruct);\r
533 void SPI_StructInit(SPI_InitTypeDef* SPI_InitStruct);\r
534 void I2S_StructInit(I2S_InitTypeDef* I2S_InitStruct);\r
535 void SPI_TIModeCmd(SPI_TypeDef* SPIx, FunctionalState NewState);\r
536 void SPI_NSSPulseModeCmd(SPI_TypeDef* SPIx, FunctionalState NewState);\r
537 void SPI_Cmd(SPI_TypeDef* SPIx, FunctionalState NewState);\r
538 void I2S_Cmd(SPI_TypeDef* SPIx, FunctionalState NewState);\r
539 void SPI_DataSizeConfig(SPI_TypeDef* SPIx, uint16_t SPI_DataSize);\r
540 void SPI_RxFIFOThresholdConfig(SPI_TypeDef* SPIx, uint16_t SPI_RxFIFOThreshold);\r
541 void SPI_BiDirectionalLineConfig(SPI_TypeDef* SPIx, uint16_t SPI_Direction);\r
542 void SPI_NSSInternalSoftwareConfig(SPI_TypeDef* SPIx, uint16_t SPI_NSSInternalSoft);\r
543 void SPI_SSOutputCmd(SPI_TypeDef* SPIx, FunctionalState NewState);\r
544 \r
545 /* Data transfers functions ***************************************************/\r
546 void SPI_SendData8(SPI_TypeDef* SPIx, uint8_t Data);\r
547 void SPI_I2S_SendData16(SPI_TypeDef* SPIx, uint16_t Data);\r
548 uint8_t SPI_ReceiveData8(SPI_TypeDef* SPIx);\r
549 uint16_t SPI_I2S_ReceiveData16(SPI_TypeDef* SPIx);\r
550 \r
551 /* Hardware CRC Calculation functions *****************************************/\r
552 void SPI_CRCLengthConfig(SPI_TypeDef* SPIx, uint16_t SPI_CRCLength);\r
553 void SPI_CalculateCRC(SPI_TypeDef* SPIx, FunctionalState NewState);\r
554 void SPI_TransmitCRC(SPI_TypeDef* SPIx);\r
555 uint16_t SPI_GetCRC(SPI_TypeDef* SPIx, uint8_t SPI_CRC);\r
556 uint16_t SPI_GetCRCPolynomial(SPI_TypeDef* SPIx);\r
557 \r
558 /* DMA transfers management functions *****************************************/\r
559 void SPI_I2S_DMACmd(SPI_TypeDef* SPIx, uint16_t SPI_I2S_DMAReq, FunctionalState NewState);\r
560 void SPI_LastDMATransferCmd(SPI_TypeDef* SPIx, uint16_t SPI_LastDMATransfer);\r
561 \r
562 /* Interrupts and flags management functions **********************************/\r
563 void SPI_I2S_ITConfig(SPI_TypeDef* SPIx, uint8_t SPI_I2S_IT, FunctionalState NewState);\r
564 uint16_t SPI_GetTransmissionFIFOStatus(SPI_TypeDef* SPIx);\r
565 uint16_t SPI_GetReceptionFIFOStatus(SPI_TypeDef* SPIx);\r
566 FlagStatus SPI_I2S_GetFlagStatus(SPI_TypeDef* SPIx, uint16_t SPI_I2S_FLAG);\r
567 void SPI_I2S_ClearFlag(SPI_TypeDef* SPIx, uint16_t SPI_I2S_FLAG);\r
568 ITStatus SPI_I2S_GetITStatus(SPI_TypeDef* SPIx, uint8_t SPI_I2S_IT);\r
569 \r
570 #ifdef __cplusplus\r
571 }\r
572 #endif\r
573 \r
574 #endif /*__STM32F0XX_SPI_H */\r
575 \r
576 /**\r
577   * @}\r
578   */\r
579 \r
580 /**\r
581   * @}\r
582   */\r
583 \r
584 /******************* (C) COPYRIGHT 2012 STMicroelectronics *****END OF FILE****/\r