]> git.sur5r.net Git - freertos/blob - FreeRTOS/Demo/MicroBlaze_Spartan-6_EthernetLite/PlatformStudioProject/system.mhs
Correct calculation of xHeapStructSize in heap_4 and heap_5.
[freertos] / FreeRTOS / Demo / MicroBlaze_Spartan-6_EthernetLite / PlatformStudioProject / system.mhs
1 \r
2 # ##############################################################################\r
3 # Created by Base System Builder Wizard for Xilinx EDK 13.1 Build EDK_O.40d\r
4 # Mon May 30 21:43:34 2011\r
5 # Target Board:  xilinx.com sp605 Rev C\r
6 # Family:    spartan6\r
7 # Device:    xc6slx45t\r
8 # Package:   fgg484\r
9 # Speed Grade:  -3\r
10 # ##############################################################################\r
11  PARAMETER VERSION = 2.1.0\r
12 \r
13 \r
14  PORT RESET = RESET, DIR = I, SIGIS = RST, RST_POLARITY = 1\r
15  PORT CLK_P = CLK, DIR = I, DIFFERENTIAL_POLARITY = P, SIGIS = CLK, CLK_FREQ = 200000000\r
16  PORT CLK_N = CLK, DIR = I, DIFFERENTIAL_POLARITY = N, SIGIS = CLK, CLK_FREQ = 200000000\r
17  PORT RS232_Uart_1_sout = RS232_Uart_1_sout, DIR = O\r
18  PORT RS232_Uart_1_sin = RS232_Uart_1_sin, DIR = I\r
19  PORT LEDs_4Bits_TRI_O = LEDs_4Bits_TRI_O, DIR = O, VEC = [0:3]\r
20  PORT Push_Buttons_4Bits_TRI_I = Push_Buttons_4Bits_TRI_I, DIR = I, VEC = [3:0]\r
21  PORT mcbx_dram_clk = mcbx_dram_clk, DIR = O\r
22  PORT mcbx_dram_clk_n = mcbx_dram_clk_n, DIR = O\r
23  PORT mcbx_dram_cke = mcbx_dram_cke, DIR = O\r
24  PORT mcbx_dram_odt = mcbx_dram_odt, DIR = O\r
25  PORT mcbx_dram_ras_n = mcbx_dram_ras_n, DIR = O\r
26  PORT mcbx_dram_cas_n = mcbx_dram_cas_n, DIR = O\r
27  PORT mcbx_dram_we_n = mcbx_dram_we_n, DIR = O\r
28  PORT mcbx_dram_udm = mcbx_dram_udm, DIR = O\r
29  PORT mcbx_dram_ldm = mcbx_dram_ldm, DIR = O\r
30  PORT mcbx_dram_ba = mcbx_dram_ba, DIR = O, VEC = [2:0]\r
31  PORT mcbx_dram_addr = mcbx_dram_addr, DIR = O, VEC = [12:0]\r
32  PORT mcbx_dram_ddr3_rst = mcbx_dram_ddr3_rst, DIR = O\r
33  PORT mcbx_dram_dq = mcbx_dram_dq, DIR = IO, VEC = [15:0]\r
34  PORT mcbx_dram_dqs = mcbx_dram_dqs, DIR = IO\r
35  PORT mcbx_dram_dqs_n = mcbx_dram_dqs_n, DIR = IO\r
36  PORT mcbx_dram_udqs = mcbx_dram_udqs, DIR = IO\r
37  PORT mcbx_dram_udqs_n = mcbx_dram_udqs_n, DIR = IO\r
38  PORT rzq = rzq, DIR = IO\r
39  PORT zio = zio, DIR = IO\r
40  PORT Ethernet_Lite_MDIO = Ethernet_Lite_MDIO, DIR = IO\r
41  PORT Ethernet_Lite_MDC = Ethernet_Lite_MDC, DIR = O\r
42  PORT Ethernet_Lite_TXD = Ethernet_Lite_TXD, DIR = O, VEC = [3:0]\r
43  PORT Ethernet_Lite_TX_EN = Ethernet_Lite_TX_EN, DIR = O\r
44  PORT Ethernet_Lite_TX_CLK = Ethernet_Lite_TX_CLK, DIR = I\r
45  PORT Ethernet_Lite_COL = Ethernet_Lite_COL, DIR = I\r
46  PORT Ethernet_Lite_RXD = Ethernet_Lite_RXD, DIR = I, VEC = [3:0]\r
47  PORT Ethernet_Lite_RX_ER = Ethernet_Lite_RX_ER, DIR = I\r
48  PORT Ethernet_Lite_RX_CLK = Ethernet_Lite_RX_CLK, DIR = I\r
49  PORT Ethernet_Lite_CRS = Ethernet_Lite_CRS, DIR = I\r
50  PORT Ethernet_Lite_RX_DV = Ethernet_Lite_RX_DV, DIR = I\r
51  PORT Ethernet_Lite_PHY_RST_N = Ethernet_Lite_PHY_RST_N, DIR = O\r
52 \r
53 \r
54 BEGIN axi_interconnect\r
55  PARAMETER INSTANCE = axi4_0\r
56  PARAMETER HW_VER = 1.02.a\r
57  PORT interconnect_aclk = clk_100_0000MHzPLL0\r
58  PORT INTERCONNECT_ARESETN = proc_sys_reset_0_Interconnect_aresetn\r
59 END\r
60 \r
61 BEGIN axi_interconnect\r
62  PARAMETER INSTANCE = axi4lite_0\r
63  PARAMETER HW_VER = 1.02.a\r
64  PARAMETER C_INTERCONNECT_CONNECTIVITY_MODE = 0\r
65  PORT INTERCONNECT_ARESETN = proc_sys_reset_0_Interconnect_aresetn\r
66  PORT INTERCONNECT_ACLK = clk_50_0000MHzPLL0\r
67 END\r
68 \r
69 BEGIN microblaze\r
70  PARAMETER INSTANCE = microblaze_0\r
71  PARAMETER HW_VER = 8.10.a\r
72  PARAMETER C_INTERCONNECT = 2\r
73  PARAMETER C_USE_BARREL = 1\r
74  PARAMETER C_USE_FPU = 1\r
75  PARAMETER C_DEBUG_ENABLED = 1\r
76  PARAMETER C_ICACHE_BASEADDR = 0xc0000000\r
77  PARAMETER C_ICACHE_HIGHADDR = 0xc7ffffff\r
78  PARAMETER C_USE_ICACHE = 1\r
79  PARAMETER C_ICACHE_ALWAYS_USED = 1\r
80  PARAMETER C_DCACHE_BASEADDR = 0xc0000000\r
81  PARAMETER C_DCACHE_HIGHADDR = 0xc7ffffff\r
82  PARAMETER C_USE_DCACHE = 1\r
83  PARAMETER C_DCACHE_ALWAYS_USED = 1\r
84  PARAMETER C_INTERCONNECT_M_AXI_DC_AW_REGISTER = 1\r
85  PARAMETER C_INTERCONNECT_M_AXI_DC_W_REGISTER = 1\r
86  PARAMETER C_INTERCONNECT_M_AXI_DP_AW_REGISTER = 1\r
87  PARAMETER C_INTERCONNECT_M_AXI_DP_AR_REGISTER = 1\r
88  PARAMETER C_INTERCONNECT_M_AXI_DP_W_REGISTER = 1\r
89  PARAMETER C_INTERCONNECT_M_AXI_DP_R_REGISTER = 1\r
90  PARAMETER C_INTERCONNECT_M_AXI_DP_B_REGISTER = 1\r
91  PARAMETER C_INTERCONNECT_M_AXI_DC_AR_REGISTER = 1\r
92  PARAMETER C_INTERCONNECT_M_AXI_DC_R_REGISTER = 1\r
93  PARAMETER C_INTERCONNECT_M_AXI_DC_B_REGISTER = 1\r
94  PARAMETER C_INTERCONNECT_M_AXI_IC_AW_REGISTER = 1\r
95  PARAMETER C_INTERCONNECT_M_AXI_IC_AR_REGISTER = 1\r
96  PARAMETER C_INTERCONNECT_M_AXI_IC_W_REGISTER = 1\r
97  PARAMETER C_INTERCONNECT_M_AXI_IC_R_REGISTER = 1\r
98  PARAMETER C_INTERCONNECT_M_AXI_IC_B_REGISTER = 1\r
99  PARAMETER C_NUMBER_OF_PC_BRK = 7\r
100  PARAMETER C_NUMBER_OF_WR_ADDR_BRK = 2\r
101  PARAMETER C_NUMBER_OF_RD_ADDR_BRK = 2\r
102  PARAMETER C_CACHE_BYTE_SIZE = 16384\r
103  PARAMETER C_DCACHE_BYTE_SIZE = 16384\r
104  PARAMETER C_FPU_EXCEPTION = 1\r
105  PARAMETER C_DIV_ZERO_EXCEPTION = 1\r
106  PARAMETER C_M_AXI_I_BUS_EXCEPTION = 1\r
107  PARAMETER C_M_AXI_D_BUS_EXCEPTION = 1\r
108  PARAMETER C_ILL_OPCODE_EXCEPTION = 1\r
109  PARAMETER C_OPCODE_0x0_ILLEGAL = 1\r
110  PARAMETER C_UNALIGNED_EXCEPTIONS = 1\r
111  PARAMETER C_USE_DIV = 1\r
112  BUS_INTERFACE M_AXI_DP = axi4lite_0\r
113  BUS_INTERFACE M_AXI_DC = axi4_0\r
114  BUS_INTERFACE M_AXI_IC = axi4_0\r
115  BUS_INTERFACE DEBUG = microblaze_0_debug\r
116  BUS_INTERFACE DLMB = microblaze_0_dlmb\r
117  BUS_INTERFACE ILMB = microblaze_0_ilmb\r
118  PORT MB_RESET = proc_sys_reset_0_MB_Reset\r
119  PORT CLK = clk_100_0000MHzPLL0\r
120  PORT INTERRUPT = microblaze_0_interrupt\r
121 END\r
122 \r
123 BEGIN lmb_v10\r
124  PARAMETER INSTANCE = microblaze_0_ilmb\r
125  PARAMETER HW_VER = 2.00.a\r
126  PORT SYS_RST = proc_sys_reset_0_BUS_STRUCT_RESET\r
127  PORT LMB_CLK = clk_100_0000MHzPLL0\r
128 END\r
129 \r
130 BEGIN lmb_v10\r
131  PARAMETER INSTANCE = microblaze_0_dlmb\r
132  PARAMETER HW_VER = 2.00.a\r
133  PORT SYS_RST = proc_sys_reset_0_BUS_STRUCT_RESET\r
134  PORT LMB_CLK = clk_100_0000MHzPLL0\r
135 END\r
136 \r
137 BEGIN lmb_bram_if_cntlr\r
138  PARAMETER INSTANCE = microblaze_0_i_bram_ctrl\r
139  PARAMETER HW_VER = 3.00.a\r
140  PARAMETER C_BASEADDR = 0x00000000\r
141  PARAMETER C_HIGHADDR = 0x00001fff\r
142  BUS_INTERFACE SLMB = microblaze_0_ilmb\r
143  BUS_INTERFACE BRAM_PORT = microblaze_0_i_bram_ctrl_2_microblaze_0_bram_block\r
144 END\r
145 \r
146 BEGIN lmb_bram_if_cntlr\r
147  PARAMETER INSTANCE = microblaze_0_d_bram_ctrl\r
148  PARAMETER HW_VER = 3.00.a\r
149  PARAMETER C_BASEADDR = 0x00000000\r
150  PARAMETER C_HIGHADDR = 0x00001fff\r
151  BUS_INTERFACE SLMB = microblaze_0_dlmb\r
152  BUS_INTERFACE BRAM_PORT = microblaze_0_d_bram_ctrl_2_microblaze_0_bram_block\r
153 END\r
154 \r
155 BEGIN bram_block\r
156  PARAMETER INSTANCE = microblaze_0_bram_block\r
157  PARAMETER HW_VER = 1.00.a\r
158  BUS_INTERFACE PORTA = microblaze_0_i_bram_ctrl_2_microblaze_0_bram_block\r
159  BUS_INTERFACE PORTB = microblaze_0_d_bram_ctrl_2_microblaze_0_bram_block\r
160 END\r
161 \r
162 BEGIN proc_sys_reset\r
163  PARAMETER INSTANCE = proc_sys_reset_0\r
164  PARAMETER HW_VER = 3.00.a\r
165  PARAMETER C_EXT_RESET_HIGH = 1\r
166  PORT Ext_Reset_In = RESET\r
167  PORT MB_Reset = proc_sys_reset_0_MB_Reset\r
168  PORT Slowest_sync_clk = clk_50_0000MHzPLL0\r
169  PORT Interconnect_aresetn = proc_sys_reset_0_Interconnect_aresetn\r
170  PORT Dcm_locked = proc_sys_reset_0_Dcm_locked\r
171  PORT MB_Debug_Sys_Rst = proc_sys_reset_0_MB_Debug_Sys_Rst\r
172  PORT BUS_STRUCT_RESET = proc_sys_reset_0_BUS_STRUCT_RESET\r
173 END\r
174 \r
175 BEGIN clock_generator\r
176  PARAMETER INSTANCE = clock_generator_0\r
177  PARAMETER HW_VER = 4.01.a\r
178  PARAMETER C_CLKIN_FREQ = 200000000\r
179  PARAMETER C_CLKOUT0_FREQ = 600000000\r
180  PARAMETER C_CLKOUT0_GROUP = PLL0\r
181  PARAMETER C_CLKOUT0_BUF = FALSE\r
182  PARAMETER C_CLKOUT1_FREQ = 600000000\r
183  PARAMETER C_CLKOUT1_PHASE = 180\r
184  PARAMETER C_CLKOUT1_GROUP = PLL0\r
185  PARAMETER C_CLKOUT1_BUF = FALSE\r
186  PARAMETER C_CLKOUT2_FREQ = 100000000\r
187  PARAMETER C_CLKOUT2_GROUP = PLL0\r
188  PARAMETER C_CLKOUT3_FREQ = 50000000\r
189  PARAMETER C_CLKOUT3_GROUP = PLL0\r
190  PORT RST = RESET\r
191  PORT CLKIN = CLK\r
192  PORT CLKOUT2 = clk_100_0000MHzPLL0\r
193  PORT CLKOUT3 = clk_50_0000MHzPLL0\r
194  PORT CLKOUT0 = clk_600_0000MHzPLL0_nobuf\r
195  PORT CLKOUT1 = clk_600_0000MHz180PLL0_nobuf\r
196  PORT LOCKED = proc_sys_reset_0_Dcm_locked\r
197 END\r
198 \r
199 BEGIN mdm\r
200  PARAMETER INSTANCE = debug_module\r
201  PARAMETER HW_VER = 2.00.b\r
202  PARAMETER C_INTERCONNECT = 2\r
203  PARAMETER C_USE_UART = 1\r
204  PARAMETER C_INTERCONNECT_S_AXI_AW_REGISTER = 1\r
205  PARAMETER C_INTERCONNECT_S_AXI_AR_REGISTER = 1\r
206  PARAMETER C_INTERCONNECT_S_AXI_W_REGISTER = 1\r
207  PARAMETER C_INTERCONNECT_S_AXI_R_REGISTER = 1\r
208  PARAMETER C_INTERCONNECT_S_AXI_B_REGISTER = 1\r
209  PARAMETER C_BASEADDR = 0x74800000\r
210  PARAMETER C_HIGHADDR = 0x7480ffff\r
211  BUS_INTERFACE S_AXI = axi4lite_0\r
212  BUS_INTERFACE MBDEBUG_0 = microblaze_0_debug\r
213  PORT S_AXI_ACLK = clk_50_0000MHzPLL0\r
214  PORT Debug_SYS_Rst = proc_sys_reset_0_MB_Debug_Sys_Rst\r
215 END\r
216 \r
217 BEGIN axi_uartlite\r
218  PARAMETER INSTANCE = RS232_Uart_1\r
219  PARAMETER HW_VER = 1.01.a\r
220  PARAMETER C_BAUDRATE = 115200\r
221  PARAMETER C_DATA_BITS = 8\r
222  PARAMETER C_USE_PARITY = 0\r
223  PARAMETER C_ODD_PARITY = 1\r
224  PARAMETER C_INTERCONNECT_S_AXI_AW_REGISTER = 1\r
225  PARAMETER C_INTERCONNECT_S_AXI_AR_REGISTER = 1\r
226  PARAMETER C_INTERCONNECT_S_AXI_W_REGISTER = 1\r
227  PARAMETER C_INTERCONNECT_S_AXI_R_REGISTER = 1\r
228  PARAMETER C_INTERCONNECT_S_AXI_B_REGISTER = 1\r
229  PARAMETER C_BASEADDR = 0x40600000\r
230  PARAMETER C_HIGHADDR = 0x4060ffff\r
231  BUS_INTERFACE S_AXI = axi4lite_0\r
232  PORT TX = RS232_Uart_1_sout\r
233  PORT RX = RS232_Uart_1_sin\r
234  PORT S_AXI_ACLK = clk_50_0000MHzPLL0\r
235  PORT Interrupt = RS232_Uart_1_Interrupt\r
236 END\r
237 \r
238 BEGIN axi_gpio\r
239  PARAMETER INSTANCE = LEDs_4Bits\r
240  PARAMETER HW_VER = 1.01.a\r
241  PARAMETER C_GPIO_WIDTH = 4\r
242  PARAMETER C_ALL_INPUTS = 0\r
243  PARAMETER C_INTERRUPT_PRESENT = 0\r
244  PARAMETER C_IS_DUAL = 0\r
245  PARAMETER C_INTERCONNECT_S_AXI_AW_REGISTER = 1\r
246  PARAMETER C_INTERCONNECT_S_AXI_AR_REGISTER = 1\r
247  PARAMETER C_INTERCONNECT_S_AXI_W_REGISTER = 1\r
248  PARAMETER C_INTERCONNECT_S_AXI_R_REGISTER = 1\r
249  PARAMETER C_INTERCONNECT_S_AXI_B_REGISTER = 1\r
250  PARAMETER C_BASEADDR = 0x40020000\r
251  PARAMETER C_HIGHADDR = 0x4002ffff\r
252  BUS_INTERFACE S_AXI = axi4lite_0\r
253  PORT GPIO_IO_O = LEDs_4Bits_TRI_O\r
254  PORT S_AXI_ACLK = clk_50_0000MHzPLL0\r
255 END\r
256 \r
257 BEGIN axi_gpio\r
258  PARAMETER INSTANCE = Push_Buttons_4Bits\r
259  PARAMETER HW_VER = 1.01.a\r
260  PARAMETER C_GPIO_WIDTH = 4\r
261  PARAMETER C_ALL_INPUTS = 1\r
262  PARAMETER C_INTERRUPT_PRESENT = 1\r
263  PARAMETER C_IS_DUAL = 0\r
264  PARAMETER C_INTERCONNECT_S_AXI_AW_REGISTER = 1\r
265  PARAMETER C_INTERCONNECT_S_AXI_AR_REGISTER = 1\r
266  PARAMETER C_INTERCONNECT_S_AXI_W_REGISTER = 1\r
267  PARAMETER C_INTERCONNECT_S_AXI_R_REGISTER = 1\r
268  PARAMETER C_INTERCONNECT_S_AXI_B_REGISTER = 1\r
269  PARAMETER C_BASEADDR = 0x40000000\r
270  PARAMETER C_HIGHADDR = 0x4000ffff\r
271  BUS_INTERFACE S_AXI = axi4lite_0\r
272  PORT GPIO_IO_I = Push_Buttons_4Bits_TRI_I\r
273  PORT S_AXI_ACLK = clk_50_0000MHzPLL0\r
274  PORT IP2INTC_Irpt = Push_Buttons_4Bits_IP2INTC_Irpt\r
275 END\r
276 \r
277 BEGIN axi_s6_ddrx\r
278  PARAMETER INSTANCE = MCB_DDR3\r
279  PARAMETER HW_VER = 1.02.a\r
280  PARAMETER C_MCB_RZQ_LOC = K7\r
281  PARAMETER C_MCB_ZIO_LOC = R7\r
282  PARAMETER C_MEM_TYPE = DDR3\r
283  PARAMETER C_MEM_PARTNO = MT41J64M16XX-187E\r
284  PARAMETER C_MEM_BANKADDR_WIDTH = 3\r
285  PARAMETER C_MEM_NUM_COL_BITS = 10\r
286  PARAMETER C_SKIP_IN_TERM_CAL = 0\r
287  PARAMETER C_S0_AXI_ENABLE = 1\r
288  PARAMETER C_INTERCONNECT_S0_AXI_MASTERS = microblaze_0.M_AXI_DC & microblaze_0.M_AXI_IC\r
289  PARAMETER C_INTERCONNECT_S0_AXI_AW_REGISTER = 1\r
290  PARAMETER C_INTERCONNECT_S0_AXI_AR_REGISTER = 1\r
291  PARAMETER C_INTERCONNECT_S0_AXI_W_REGISTER = 1\r
292  PARAMETER C_INTERCONNECT_S0_AXI_R_REGISTER = 1\r
293  PARAMETER C_INTERCONNECT_S0_AXI_B_REGISTER = 1\r
294  PARAMETER C_S0_AXI_BASEADDR = 0xc0000000\r
295  PARAMETER C_S0_AXI_HIGHADDR = 0xc7ffffff\r
296  BUS_INTERFACE S0_AXI = axi4_0\r
297  PORT mcbx_dram_clk = mcbx_dram_clk\r
298  PORT mcbx_dram_clk_n = mcbx_dram_clk_n\r
299  PORT mcbx_dram_cke = mcbx_dram_cke\r
300  PORT mcbx_dram_odt = mcbx_dram_odt\r
301  PORT mcbx_dram_ras_n = mcbx_dram_ras_n\r
302  PORT mcbx_dram_cas_n = mcbx_dram_cas_n\r
303  PORT mcbx_dram_we_n = mcbx_dram_we_n\r
304  PORT mcbx_dram_udm = mcbx_dram_udm\r
305  PORT mcbx_dram_ldm = mcbx_dram_ldm\r
306  PORT mcbx_dram_ba = mcbx_dram_ba\r
307  PORT mcbx_dram_addr = mcbx_dram_addr\r
308  PORT mcbx_dram_ddr3_rst = mcbx_dram_ddr3_rst\r
309  PORT mcbx_dram_dq = mcbx_dram_dq\r
310  PORT mcbx_dram_dqs = mcbx_dram_dqs\r
311  PORT mcbx_dram_dqs_n = mcbx_dram_dqs_n\r
312  PORT mcbx_dram_udqs = mcbx_dram_udqs\r
313  PORT mcbx_dram_udqs_n = mcbx_dram_udqs_n\r
314  PORT rzq = rzq\r
315  PORT zio = zio\r
316  PORT s0_axi_aclk = clk_100_0000MHzPLL0\r
317  PORT ui_clk = clk_100_0000MHzPLL0\r
318  PORT sysclk_2x = clk_600_0000MHzPLL0_nobuf\r
319  PORT sysclk_2x_180 = clk_600_0000MHz180PLL0_nobuf\r
320  PORT SYS_RST = proc_sys_reset_0_BUS_STRUCT_RESET\r
321  PORT PLL_LOCK = proc_sys_reset_0_Dcm_locked\r
322 END\r
323 \r
324 BEGIN axi_ethernetlite\r
325  PARAMETER INSTANCE = Ethernet_Lite\r
326  PARAMETER HW_VER = 1.00.a\r
327  PARAMETER C_INTERCONNECT_S_AXI_AW_REGISTER = 1\r
328  PARAMETER C_INTERCONNECT_S_AXI_AR_REGISTER = 1\r
329  PARAMETER C_INTERCONNECT_S_AXI_W_REGISTER = 1\r
330  PARAMETER C_INTERCONNECT_S_AXI_R_REGISTER = 1\r
331  PARAMETER C_INTERCONNECT_S_AXI_B_REGISTER = 1\r
332  PARAMETER C_BASEADDR = 0x40e00000\r
333  PARAMETER C_HIGHADDR = 0x40e0ffff\r
334  PARAMETER C_S_AXI_PROTOCOL = AXI4LITE\r
335  PARAMETER C_RX_PING_PONG = 1\r
336  PARAMETER C_TX_PING_PONG = 1\r
337  PARAMETER C_S_AXI_ID_WIDTH = 1\r
338  BUS_INTERFACE S_AXI = axi4lite_0\r
339  PORT PHY_MDIO = Ethernet_Lite_MDIO\r
340  PORT PHY_MDC = Ethernet_Lite_MDC\r
341  PORT PHY_tx_data = Ethernet_Lite_TXD\r
342  PORT PHY_tx_en = Ethernet_Lite_TX_EN\r
343  PORT PHY_tx_clk = Ethernet_Lite_TX_CLK\r
344  PORT PHY_col = Ethernet_Lite_COL\r
345  PORT PHY_rx_data = Ethernet_Lite_RXD\r
346  PORT PHY_rx_er = Ethernet_Lite_RX_ER\r
347  PORT PHY_rx_clk = Ethernet_Lite_RX_CLK\r
348  PORT PHY_crs = Ethernet_Lite_CRS\r
349  PORT PHY_dv = Ethernet_Lite_RX_DV\r
350  PORT PHY_rst_n = Ethernet_Lite_PHY_RST_N\r
351  PORT S_AXI_ACLK = clk_50_0000MHzPLL0\r
352  PORT IP2INTC_Irpt = Ethernet_Lite_IP2INTC_Irpt\r
353 END\r
354 \r
355 BEGIN axi_timer\r
356  PARAMETER INSTANCE = axi_timer_0\r
357  PARAMETER HW_VER = 1.01.a\r
358  PARAMETER C_COUNT_WIDTH = 32\r
359  PARAMETER C_ONE_TIMER_ONLY = 0\r
360  PARAMETER C_INTERCONNECT_S_AXI_AW_REGISTER = 1\r
361  PARAMETER C_INTERCONNECT_S_AXI_AR_REGISTER = 1\r
362  PARAMETER C_INTERCONNECT_S_AXI_W_REGISTER = 1\r
363  PARAMETER C_INTERCONNECT_S_AXI_R_REGISTER = 1\r
364  PARAMETER C_INTERCONNECT_S_AXI_B_REGISTER = 1\r
365  PARAMETER C_BASEADDR = 0x41c00000\r
366  PARAMETER C_HIGHADDR = 0x41c0ffff\r
367  BUS_INTERFACE S_AXI = axi4lite_0\r
368  PORT S_AXI_ACLK = clk_50_0000MHzPLL0\r
369  PORT Interrupt = axi_timer_0_Interrupt\r
370 END\r
371 \r
372 BEGIN axi_intc\r
373  PARAMETER INSTANCE = microblaze_0_intc\r
374  PARAMETER HW_VER = 1.01.a\r
375  PARAMETER C_INTERCONNECT_S_AXI_AW_REGISTER = 1\r
376  PARAMETER C_INTERCONNECT_S_AXI_AR_REGISTER = 1\r
377  PARAMETER C_INTERCONNECT_S_AXI_W_REGISTER = 1\r
378  PARAMETER C_INTERCONNECT_S_AXI_R_REGISTER = 1\r
379  PARAMETER C_INTERCONNECT_S_AXI_B_REGISTER = 1\r
380  PARAMETER C_BASEADDR = 0x41200000\r
381  PARAMETER C_HIGHADDR = 0x4120ffff\r
382  BUS_INTERFACE S_AXI = axi4lite_0\r
383  PORT IRQ = microblaze_0_interrupt\r
384  PORT S_AXI_ACLK = clk_50_0000MHzPLL0\r
385  PORT INTR = Push_Buttons_4Bits_IP2INTC_Irpt & Ethernet_Lite_IP2INTC_Irpt & axi_timer_0_Interrupt & RS232_Uart_1_Interrupt\r
386 END\r
387 \r