; ; Ullrich von Bassewitz, 2004-06-03 ; ; Rom VTABZ routine ; .export VTABZ VTABZ := $FC24 ; Generate text base address