X-Git-Url: https://git.sur5r.net/?a=blobdiff_plain;f=arch%2Farm%2Fcpu%2Fixp%2Fstart.S;h=cb32121a07120ee0ba0760e11730b25ce354550c;hb=287e3ad488fcb73b44d81eea477f1b52f3145df2;hp=a975d42a260e7e15472287f55cf8831f0d06295d;hpb=177f38609bf6e49ca5bb86da08739664b2bab044;p=u-boot diff --git a/arch/arm/cpu/ixp/start.S b/arch/arm/cpu/ixp/start.S index a975d42a26..cb32121a07 100644 --- a/arch/arm/cpu/ixp/start.S +++ b/arch/arm/cpu/ixp/start.S @@ -284,7 +284,7 @@ copy_loop: cmp r0, r2 /* until source end address [r2] */ blo copy_loop -#ifndef CONFIG_PRELOADER +#ifndef CONFIG_SPL_BUILD /* * fix .rel.dyn relocations */ @@ -326,7 +326,7 @@ fixnext: #endif clear_bss: -#ifndef CONFIG_PRELOADER +#ifndef CONFIG_SPL_BUILD ldr r0, _bss_start_ofs ldr r1, _bss_end_ofs mov r4, r6 /* reloc addr */ @@ -340,7 +340,7 @@ clbss_l:str r2, [r0] /* clear loop... */ bne clbss_l bl coloured_LED_init - bl red_LED_on + bl red_led_on #endif /*