]> git.sur5r.net Git - freertos/commitdiff
Added two missing STM32 peripheral drivers from the STM32L152 demo project.
authorrichardbarry <richardbarry@1d2547de-c912-0410-9cb9-b8ca96c0e9e2>
Thu, 23 Dec 2010 17:10:24 +0000 (17:10 +0000)
committerrichardbarry <richardbarry@1d2547de-c912-0410-9cb9-b8ca96c0e9e2>
Thu, 23 Dec 2010 17:10:24 +0000 (17:10 +0000)
git-svn-id: https://svn.code.sf.net/p/freertos/code/trunk@1185 1d2547de-c912-0410-9cb9-b8ca96c0e9e2

Demo/Cortex_STM32L152_IAR/system_and_ST_code/STM32L1xx_StdPeriph_Driver/inc/stm32l1xx_i2c.h [new file with mode: 0644]
Demo/Cortex_STM32L152_IAR/system_and_ST_code/STM32L1xx_StdPeriph_Driver/src/stm32l1xx_spi.c [new file with mode: 0644]

diff --git a/Demo/Cortex_STM32L152_IAR/system_and_ST_code/STM32L1xx_StdPeriph_Driver/inc/stm32l1xx_i2c.h b/Demo/Cortex_STM32L152_IAR/system_and_ST_code/STM32L1xx_StdPeriph_Driver/inc/stm32l1xx_i2c.h
new file mode 100644 (file)
index 0000000..d4d2997
--- /dev/null
@@ -0,0 +1,670 @@
+/**\r
+  ******************************************************************************\r
+  * @file    stm32l1xx_i2c.h\r
+  * @author  MCD Application Team\r
+  * @version V1.0.0RC1\r
+  * @date    07/02/2010\r
+  * @brief   This file contains all the functions prototypes for the I2C firmware \r
+  *          library.\r
+  ******************************************************************************\r
+  * @copy\r
+  *\r
+  * THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS\r
+  * WITH CODING INFORMATION REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE\r
+  * TIME. AS A RESULT, STMICROELECTRONICS SHALL NOT BE HELD LIABLE FOR ANY\r
+  * DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING\r
+  * FROM THE CONTENT OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE\r
+  * CODING INFORMATION CONTAINED HEREIN IN CONNECTION WITH THEIR PRODUCTS.\r
+  *\r
+  * <h2><center>&copy; COPYRIGHT 2010 STMicroelectronics</center></h2>\r
+  */ \r
+\r
+/* Define to prevent recursive inclusion -------------------------------------*/\r
+#ifndef __STM32L1xx_I2C_H\r
+#define __STM32L1xx_I2C_H\r
+\r
+#ifdef __cplusplus\r
+ extern "C" {\r
+#endif\r
+\r
+/* Includes ------------------------------------------------------------------*/\r
+#include "stm32l1xx.h"\r
+\r
+/** @addtogroup STM32L1xx_StdPeriph_Driver\r
+  * @{\r
+  */\r
+\r
+/** @addtogroup I2C\r
+  * @{\r
+  */\r
+\r
+/** @defgroup I2C_Exported_Types\r
+  * @{\r
+  */\r
+\r
+/** \r
+  * @brief  I2C Init structure definition  \r
+  */\r
+\r
+typedef struct\r
+{\r
+  uint32_t I2C_ClockSpeed;          /*!< Specifies the clock frequency.\r
+                                         This parameter must be set to a value lower than 400kHz */\r
+\r
+  uint16_t I2C_Mode;                /*!< Specifies the I2C mode.\r
+                                         This parameter can be a value of @ref I2C_mode */\r
+\r
+  uint16_t I2C_DutyCycle;           /*!< Specifies the I2C fast mode duty cycle.\r
+                                         This parameter can be a value of @ref I2C_duty_cycle_in_fast_mode */\r
+\r
+  uint16_t I2C_OwnAddress1;         /*!< Specifies the first device own address.\r
+                                         This parameter can be a 7-bit or 10-bit address. */\r
+\r
+  uint16_t I2C_Ack;                 /*!< Enables or disables the acknowledgement.\r
+                                         This parameter can be a value of @ref I2C_acknowledgement */\r
+\r
+  uint16_t I2C_AcknowledgedAddress; /*!< Specifies if 7-bit or 10-bit address is acknowledged.\r
+                                         This parameter can be a value of @ref I2C_acknowledged_address */\r
+}I2C_InitTypeDef;\r
+\r
+/**\r
+  * @}\r
+  */ \r
+\r
+\r
+/** @defgroup I2C_Exported_Constants\r
+  * @{\r
+  */\r
+\r
+#define IS_I2C_ALL_PERIPH(PERIPH) (((PERIPH) == I2C1) || \\r
+                                   ((PERIPH) == I2C2))\r
+/** @defgroup I2C_mode \r
+  * @{\r
+  */\r
+\r
+#define I2C_Mode_I2C                    ((uint16_t)0x0000)\r
+#define I2C_Mode_SMBusDevice            ((uint16_t)0x0002)  \r
+#define I2C_Mode_SMBusHost              ((uint16_t)0x000A)\r
+#define IS_I2C_MODE(MODE) (((MODE) == I2C_Mode_I2C) || \\r
+                           ((MODE) == I2C_Mode_SMBusDevice) || \\r
+                           ((MODE) == I2C_Mode_SMBusHost))\r
+/**\r
+  * @}\r
+  */\r
+\r
+/** @defgroup I2C_duty_cycle_in_fast_mode \r
+  * @{\r
+  */\r
+\r
+#define I2C_DutyCycle_16_9              ((uint16_t)0x4000) /*!< I2C fast mode Tlow/Thigh = 16/9 */\r
+#define I2C_DutyCycle_2                 ((uint16_t)0xBFFF) /*!< I2C fast mode Tlow/Thigh = 2 */\r
+#define IS_I2C_DUTY_CYCLE(CYCLE) (((CYCLE) == I2C_DutyCycle_16_9) || \\r
+                                  ((CYCLE) == I2C_DutyCycle_2))\r
+/**\r
+  * @}\r
+  */ \r
+\r
+/** @defgroup I2C_acknowledgement\r
+  * @{\r
+  */\r
+\r
+#define I2C_Ack_Enable                  ((uint16_t)0x0400)\r
+#define I2C_Ack_Disable                 ((uint16_t)0x0000)\r
+#define IS_I2C_ACK_STATE(STATE) (((STATE) == I2C_Ack_Enable) || \\r
+                                 ((STATE) == I2C_Ack_Disable))\r
+/**\r
+  * @}\r
+  */\r
+\r
+/** @defgroup I2C_transfer_direction \r
+  * @{\r
+  */\r
+\r
+#define  I2C_Direction_Transmitter      ((uint8_t)0x00)\r
+#define  I2C_Direction_Receiver         ((uint8_t)0x01)\r
+#define IS_I2C_DIRECTION(DIRECTION) (((DIRECTION) == I2C_Direction_Transmitter) || \\r
+                                     ((DIRECTION) == I2C_Direction_Receiver))\r
+/**\r
+  * @}\r
+  */\r
+\r
+/** @defgroup I2C_acknowledged_address \r
+  * @{\r
+  */\r
+\r
+#define I2C_AcknowledgedAddress_7bit    ((uint16_t)0x4000)\r
+#define I2C_AcknowledgedAddress_10bit   ((uint16_t)0xC000)\r
+#define IS_I2C_ACKNOWLEDGE_ADDRESS(ADDRESS) (((ADDRESS) == I2C_AcknowledgedAddress_7bit) || \\r
+                                             ((ADDRESS) == I2C_AcknowledgedAddress_10bit))\r
+/**\r
+  * @}\r
+  */ \r
+\r
+/** @defgroup I2C_registers \r
+  * @{\r
+  */\r
+\r
+#define I2C_Register_CR1                ((uint8_t)0x00)\r
+#define I2C_Register_CR2                ((uint8_t)0x04)\r
+#define I2C_Register_OAR1               ((uint8_t)0x08)\r
+#define I2C_Register_OAR2               ((uint8_t)0x0C)\r
+#define I2C_Register_DR                 ((uint8_t)0x10)\r
+#define I2C_Register_SR1                ((uint8_t)0x14)\r
+#define I2C_Register_SR2                ((uint8_t)0x18)\r
+#define I2C_Register_CCR                ((uint8_t)0x1C)\r
+#define I2C_Register_TRISE              ((uint8_t)0x20)\r
+#define IS_I2C_REGISTER(REGISTER) (((REGISTER) == I2C_Register_CR1) || \\r
+                                   ((REGISTER) == I2C_Register_CR2) || \\r
+                                   ((REGISTER) == I2C_Register_OAR1) || \\r
+                                   ((REGISTER) == I2C_Register_OAR2) || \\r
+                                   ((REGISTER) == I2C_Register_DR) || \\r
+                                   ((REGISTER) == I2C_Register_SR1) || \\r
+                                   ((REGISTER) == I2C_Register_SR2) || \\r
+                                   ((REGISTER) == I2C_Register_CCR) || \\r
+                                   ((REGISTER) == I2C_Register_TRISE))\r
+/**\r
+  * @}\r
+  */\r
+\r
+/** @defgroup I2C_SMBus_alert_pin_level \r
+  * @{\r
+  */\r
+\r
+#define I2C_SMBusAlert_Low              ((uint16_t)0x2000)\r
+#define I2C_SMBusAlert_High             ((uint16_t)0xDFFF)\r
+#define IS_I2C_SMBUS_ALERT(ALERT) (((ALERT) == I2C_SMBusAlert_Low) || \\r
+                                   ((ALERT) == I2C_SMBusAlert_High))\r
+/**\r
+  * @}\r
+  */\r
+\r
+/** @defgroup I2C_PEC_position \r
+  * @{\r
+  */\r
+\r
+#define I2C_PECPosition_Next            ((uint16_t)0x0800)\r
+#define I2C_PECPosition_Current         ((uint16_t)0xF7FF)\r
+#define IS_I2C_PEC_POSITION(POSITION) (((POSITION) == I2C_PECPosition_Next) || \\r
+                                       ((POSITION) == I2C_PECPosition_Current))\r
+/**\r
+  * @}\r
+  */ \r
+\r
+/** @defgroup I2C_interrupts_definition \r
+  * @{\r
+  */\r
+\r
+#define I2C_IT_BUF                      ((uint16_t)0x0400)\r
+#define I2C_IT_EVT                      ((uint16_t)0x0200)\r
+#define I2C_IT_ERR                      ((uint16_t)0x0100)\r
+#define IS_I2C_CONFIG_IT(IT) ((((IT) & (uint16_t)0xF8FF) == 0x00) && ((IT) != 0x00))\r
+/**\r
+  * @}\r
+  */ \r
+\r
+/** @defgroup I2C_interrupts_definition \r
+  * @{\r
+  */\r
+\r
+#define I2C_IT_SMBALERT                 ((uint32_t)0x01008000)\r
+#define I2C_IT_TIMEOUT                  ((uint32_t)0x01004000)\r
+#define I2C_IT_PECERR                   ((uint32_t)0x01001000)\r
+#define I2C_IT_OVR                      ((uint32_t)0x01000800)\r
+#define I2C_IT_AF                       ((uint32_t)0x01000400)\r
+#define I2C_IT_ARLO                     ((uint32_t)0x01000200)\r
+#define I2C_IT_BERR                     ((uint32_t)0x01000100)\r
+#define I2C_IT_TXE                      ((uint32_t)0x06000080)\r
+#define I2C_IT_RXNE                     ((uint32_t)0x06000040)\r
+#define I2C_IT_STOPF                    ((uint32_t)0x02000010)\r
+#define I2C_IT_ADD10                    ((uint32_t)0x02000008)\r
+#define I2C_IT_BTF                      ((uint32_t)0x02000004)\r
+#define I2C_IT_ADDR                     ((uint32_t)0x02000002)\r
+#define I2C_IT_SB                       ((uint32_t)0x02000001)\r
+\r
+#define IS_I2C_CLEAR_IT(IT) ((((IT) & (uint16_t)0x20FF) == 0x00) && ((IT) != (uint16_t)0x00))\r
+\r
+#define IS_I2C_GET_IT(IT) (((IT) == I2C_IT_SMBALERT) || ((IT) == I2C_IT_TIMEOUT) || \\r
+                           ((IT) == I2C_IT_PECERR) || ((IT) == I2C_IT_OVR) || \\r
+                           ((IT) == I2C_IT_AF) || ((IT) == I2C_IT_ARLO) || \\r
+                           ((IT) == I2C_IT_BERR) || ((IT) == I2C_IT_TXE) || \\r
+                           ((IT) == I2C_IT_RXNE) || ((IT) == I2C_IT_STOPF) || \\r
+                           ((IT) == I2C_IT_ADD10) || ((IT) == I2C_IT_BTF) || \\r
+                           ((IT) == I2C_IT_ADDR) || ((IT) == I2C_IT_SB))\r
+/**\r
+  * @}\r
+  */\r
+\r
+/** @defgroup I2C_flags_definition \r
+  * @{\r
+  */\r
+\r
+/** \r
+  * @brief  SR2 register flags  \r
+  */\r
+\r
+#define I2C_FLAG_DUALF                  ((uint32_t)0x00800000)\r
+#define I2C_FLAG_SMBHOST                ((uint32_t)0x00400000)\r
+#define I2C_FLAG_SMBDEFAULT             ((uint32_t)0x00200000)\r
+#define I2C_FLAG_GENCALL                ((uint32_t)0x00100000)\r
+#define I2C_FLAG_TRA                    ((uint32_t)0x00040000)\r
+#define I2C_FLAG_BUSY                   ((uint32_t)0x00020000)\r
+#define I2C_FLAG_MSL                    ((uint32_t)0x00010000)\r
+\r
+/** \r
+  * @brief  SR1 register flags  \r
+  */\r
+\r
+#define I2C_FLAG_SMBALERT               ((uint32_t)0x10008000)\r
+#define I2C_FLAG_TIMEOUT                ((uint32_t)0x10004000)\r
+#define I2C_FLAG_PECERR                 ((uint32_t)0x10001000)\r
+#define I2C_FLAG_OVR                    ((uint32_t)0x10000800)\r
+#define I2C_FLAG_AF                     ((uint32_t)0x10000400)\r
+#define I2C_FLAG_ARLO                   ((uint32_t)0x10000200)\r
+#define I2C_FLAG_BERR                   ((uint32_t)0x10000100)\r
+#define I2C_FLAG_TXE                    ((uint32_t)0x10000080)\r
+#define I2C_FLAG_RXNE                   ((uint32_t)0x10000040)\r
+#define I2C_FLAG_STOPF                  ((uint32_t)0x10000010)\r
+#define I2C_FLAG_ADD10                  ((uint32_t)0x10000008)\r
+#define I2C_FLAG_BTF                    ((uint32_t)0x10000004)\r
+#define I2C_FLAG_ADDR                   ((uint32_t)0x10000002)\r
+#define I2C_FLAG_SB                     ((uint32_t)0x10000001)\r
+\r
+#define IS_I2C_CLEAR_FLAG(FLAG) ((((FLAG) & (uint16_t)0x20FF) == 0x00) && ((FLAG) != (uint16_t)0x00))\r
+\r
+#define IS_I2C_GET_FLAG(FLAG) (((FLAG) == I2C_FLAG_DUALF) || ((FLAG) == I2C_FLAG_SMBHOST) || \\r
+                               ((FLAG) == I2C_FLAG_SMBDEFAULT) || ((FLAG) == I2C_FLAG_GENCALL) || \\r
+                               ((FLAG) == I2C_FLAG_TRA) || ((FLAG) == I2C_FLAG_BUSY) || \\r
+                               ((FLAG) == I2C_FLAG_MSL) || ((FLAG) == I2C_FLAG_SMBALERT) || \\r
+                               ((FLAG) == I2C_FLAG_TIMEOUT) || ((FLAG) == I2C_FLAG_PECERR) || \\r
+                               ((FLAG) == I2C_FLAG_OVR) || ((FLAG) == I2C_FLAG_AF) || \\r
+                               ((FLAG) == I2C_FLAG_ARLO) || ((FLAG) == I2C_FLAG_BERR) || \\r
+                               ((FLAG) == I2C_FLAG_TXE) || ((FLAG) == I2C_FLAG_RXNE) || \\r
+                               ((FLAG) == I2C_FLAG_STOPF) || ((FLAG) == I2C_FLAG_ADD10) || \\r
+                               ((FLAG) == I2C_FLAG_BTF) || ((FLAG) == I2C_FLAG_ADDR) || \\r
+                               ((FLAG) == I2C_FLAG_SB))\r
+/**\r
+  * @}\r
+  */\r
+\r
+/** @defgroup I2C_Events \r
+  * @{\r
+  */\r
+\r
+/*========================================\r
+     \r
+                     I2C Master Events (Events grouped in order of communication)\r
+                                                        ==========================================*/\r
+/** \r
+  * @brief  Communication start\r
+  * \r
+  * After sending the START condition (I2C_GenerateSTART() function) the master \r
+  * has to wait for this event. It means that the Start condition has been correctly \r
+  * released on the I2C bus (the bus is free, no other devices is communicating).\r
+  * \r
+  */\r
+/* --EV5 */\r
+#define  I2C_EVENT_MASTER_MODE_SELECT                      ((uint32_t)0x00030001)  /* BUSY, MSL and SB flag */\r
+\r
+/** \r
+  * @brief  Address Acknowledge\r
+  * \r
+  * After checking on EV5 (start condition correctly released on the bus), the \r
+  * master sends the address of the slave(s) with which it will communicate \r
+  * (I2C_Send7bitAddress() function, it also determines the direction of the communication: \r
+  * Master transmitter or Receiver). Then the master has to wait that a slave acknowledges \r
+  * his address. If an acknowledge is sent on the bus, one of the following events will \r
+  * be set:\r
+  * \r
+  *  1) In case of Master Receiver (7-bit addressing): the I2C_EVENT_MASTER_RECEIVER_MODE_SELECTED \r
+  *     event is set.\r
+  *  \r
+  *  2) In case of Master Transmitter (7-bit addressing): the I2C_EVENT_MASTER_TRANSMITTER_MODE_SELECTED \r
+  *     is set\r
+  *  \r
+  *  3) In case of 10-Bit addressing mode, the master (just after generating the START \r
+  *  and checking on EV5) has to send the header of 10-bit addressing mode (I2C_SendData() \r
+  *  function). Then master should wait on EV9. It means that the 10-bit addressing \r
+  *  header has been correctly sent on the bus. Then master should send the second part of \r
+  *  the 10-bit address (LSB) using the function I2C_Send7bitAddress(). Then master \r
+  *  should wait for event EV6. \r
+  *     \r
+  */\r
+\r
+/* --EV6 */\r
+#define  I2C_EVENT_MASTER_TRANSMITTER_MODE_SELECTED        ((uint32_t)0x00070082)  /* BUSY, MSL, ADDR, TXE and TRA flags */\r
+#define  I2C_EVENT_MASTER_RECEIVER_MODE_SELECTED           ((uint32_t)0x00030002)  /* BUSY, MSL and ADDR flags */\r
+/* --EV9 */\r
+#define  I2C_EVENT_MASTER_MODE_ADDRESS10                   ((uint32_t)0x00030008)  /* BUSY, MSL and ADD10 flags */\r
+\r
+/** \r
+  * @brief Communication events\r
+  * \r
+  * If a communication is established (START condition generated and slave address \r
+  * acknowledged) then the master has to check on one of the following events for \r
+  * communication procedures:\r
+  *  \r
+  * 1) Master Receiver mode: The master has to wait on the event EV7 then to read \r
+  *    the data received from the slave (I2C_ReceiveData() function).\r
+  * \r
+  * 2) Master Transmitter mode: The master has to send data (I2C_SendData() \r
+  *    function) then to wait on event EV8 or EV8_2.\r
+  *    These two events are similar: \r
+  *     - EV8 means that the data has been written in the data register and is \r
+  *       being shifted out.\r
+  *     - EV8_2 means that the data has been physically shifted out and output \r
+  *       on the bus.\r
+  *     In most cases, using EV8 is sufficient for the application.\r
+  *     Using EV8_2 leads to a slower communication but ensure more reliable test.\r
+  *     EV8_2 is also more suitable than EV8 for testing on the last data transmission \r
+  *     (before Stop condition generation).\r
+  *     \r
+  *  @note In case the  user software does not guarantee that this event EV7 is \r
+  *  managed before the current byte end of transfer, then user may check on EV7 \r
+  *  and BTF flag at the same time (ie. (I2C_EVENT_MASTER_BYTE_RECEIVED | I2C_FLAG_BTF)).\r
+  *  In this case the communication may be slower.\r
+  * \r
+  */\r
+\r
+/* Master RECEIVER mode -----------------------------*/ \r
+/* --EV7 */\r
+#define  I2C_EVENT_MASTER_BYTE_RECEIVED                    ((uint32_t)0x00030040)  /* BUSY, MSL and RXNE flags */\r
+\r
+/* Master TRANSMITTER mode --------------------------*/\r
+/* --EV8 */\r
+#define I2C_EVENT_MASTER_BYTE_TRANSMITTING                 ((uint32_t)0x00070080) /* TRA, BUSY, MSL, TXE flags */\r
+/* --EV8_2 */\r
+#define  I2C_EVENT_MASTER_BYTE_TRANSMITTED                 ((uint32_t)0x00070084)  /* TRA, BUSY, MSL, TXE and BTF flags */\r
+\r
+\r
+/*========================================\r
+     \r
+                     I2C Slave Events (Events grouped in order of communication)\r
+                                                        ==========================================*/\r
+\r
+/** \r
+  * @brief  Communication start events\r
+  * \r
+  * Wait on one of these events at the start of the communication. It means that \r
+  * the I2C peripheral detected a Start condition on the bus (generated by master \r
+  * device) followed by the peripheral address. The peripheral generates an ACK \r
+  * condition on the bus (if the acknowledge feature is enabled through function \r
+  * I2C_AcknowledgeConfig()) and the events listed above are set :\r
+  *  \r
+  * 1) In normal case (only one address managed by the slave), when the address \r
+  *   sent by the master matches the own address of the peripheral (configured by \r
+  *   I2C_OwnAddress1 field) the I2C_EVENT_SLAVE_XXX_ADDRESS_MATCHED event is set \r
+  *   (where XXX could be TRANSMITTER or RECEIVER).\r
+  *    \r
+  * 2) In case the address sent by the master matches the second address of the \r
+  *   peripheral (configured by the function I2C_OwnAddress2Config() and enabled \r
+  *   by the function I2C_DualAddressCmd()) the events I2C_EVENT_SLAVE_XXX_SECONDADDRESS_MATCHED \r
+  *   (where XXX could be TRANSMITTER or RECEIVER) are set.\r
+  *   \r
+  * 3) In case the address sent by the master is General Call (address 0x00) and \r
+  *   if the General Call is enabled for the peripheral (using function I2C_GeneralCallCmd()) \r
+  *   the following event is set I2C_EVENT_SLAVE_GENERALCALLADDRESS_MATCHED.   \r
+  * \r
+  */\r
+\r
+/* --EV1  (all the events below are variants of EV1) */   \r
+/* 1) Case of One Single Address managed by the slave */\r
+#define  I2C_EVENT_SLAVE_RECEIVER_ADDRESS_MATCHED          ((uint32_t)0x00020002) /* BUSY and ADDR flags */\r
+#define  I2C_EVENT_SLAVE_TRANSMITTER_ADDRESS_MATCHED       ((uint32_t)0x00060082) /* TRA, BUSY, TXE and ADDR flags */\r
+\r
+/* 2) Case of Dual address managed by the slave */\r
+#define  I2C_EVENT_SLAVE_RECEIVER_SECONDADDRESS_MATCHED    ((uint32_t)0x00820000)  /* DUALF and BUSY flags */\r
+#define  I2C_EVENT_SLAVE_TRANSMITTER_SECONDADDRESS_MATCHED ((uint32_t)0x00860080)  /* DUALF, TRA, BUSY and TXE flags */\r
+\r
+/* 3) Case of General Call enabled for the slave */\r
+#define  I2C_EVENT_SLAVE_GENERALCALLADDRESS_MATCHED        ((uint32_t)0x00120000)  /* GENCALL and BUSY flags */\r
+\r
+/** \r
+  * @brief  Communication events\r
+  * \r
+  * Wait on one of these events when EV1 has already been checked and: \r
+  * \r
+  * - Slave RECEIVER mode:\r
+  *     - EV2: When the application is expecting a data byte to be received. \r
+  *     - EV4: When the application is expecting the end of the communication: master \r
+  *       sends a stop condition and data transmission is stopped.\r
+  *    \r
+  * - Slave Transmitter mode:\r
+  *    - EV3: When a byte has been transmitted by the slave and the application is expecting \r
+  *      the end of the byte transmission. The two events I2C_EVENT_SLAVE_BYTE_TRANSMITTED and\r
+  *      I2C_EVENT_SLAVE_BYTE_TRANSMITTING are similar. The second one can optionally be \r
+  *      used when the user software doesn't guarantee the EV3 is managed before the\r
+  *      current byte end of tranfer.\r
+  *    - EV3_2: When the master sends a NACK in order to tell slave that data transmission \r
+  *      shall end (before sending the STOP condition). In this case slave has to stop sending \r
+  *      data bytes and expect a Stop condition on the bus.\r
+  *      \r
+  *  @note In case the  user software does not guarantee that the event EV2 is \r
+  *  managed before the current byte end of transfer, then user may check on EV2 \r
+  *  and BTF flag at the same time (ie. (I2C_EVENT_SLAVE_BYTE_RECEIVED | I2C_FLAG_BTF)).\r
+  *  In this case the communication may be slower.\r
+  *\r
+  */\r
+\r
+/* Slave RECEIVER mode --------------------------*/ \r
+/* --EV2 */\r
+#define  I2C_EVENT_SLAVE_BYTE_RECEIVED                     ((uint32_t)0x00020040)  /* BUSY and RXNE flags */\r
+/* --EV4  */\r
+#define  I2C_EVENT_SLAVE_STOP_DETECTED                     ((uint32_t)0x00000010)  /* STOPF flag */\r
+\r
+/* Slave TRANSMITTER mode -----------------------*/\r
+/* --EV3 */\r
+#define  I2C_EVENT_SLAVE_BYTE_TRANSMITTED                  ((uint32_t)0x00060084)  /* TRA, BUSY, TXE and BTF flags */\r
+#define  I2C_EVENT_SLAVE_BYTE_TRANSMITTING                 ((uint32_t)0x00060080)  /* TRA, BUSY and TXE flags */\r
+/* --EV3_2 */\r
+#define  I2C_EVENT_SLAVE_ACK_FAILURE                       ((uint32_t)0x00000400)  /* AF flag */\r
+\r
+/*===========================      End of Events Description           ==========================================*/\r
+\r
+#define IS_I2C_EVENT(EVENT) (((EVENT) == I2C_EVENT_SLAVE_TRANSMITTER_ADDRESS_MATCHED) || \\r
+                             ((EVENT) == I2C_EVENT_SLAVE_RECEIVER_ADDRESS_MATCHED) || \\r
+                             ((EVENT) == I2C_EVENT_SLAVE_TRANSMITTER_SECONDADDRESS_MATCHED) || \\r
+                             ((EVENT) == I2C_EVENT_SLAVE_RECEIVER_SECONDADDRESS_MATCHED) || \\r
+                             ((EVENT) == I2C_EVENT_SLAVE_GENERALCALLADDRESS_MATCHED) || \\r
+                             ((EVENT) == I2C_EVENT_SLAVE_BYTE_RECEIVED) || \\r
+                             ((EVENT) == (I2C_EVENT_SLAVE_BYTE_RECEIVED | I2C_FLAG_DUALF)) || \\r
+                             ((EVENT) == (I2C_EVENT_SLAVE_BYTE_RECEIVED | I2C_FLAG_GENCALL)) || \\r
+                             ((EVENT) == I2C_EVENT_SLAVE_BYTE_TRANSMITTED) || \\r
+                             ((EVENT) == (I2C_EVENT_SLAVE_BYTE_TRANSMITTED | I2C_FLAG_DUALF)) || \\r
+                             ((EVENT) == (I2C_EVENT_SLAVE_BYTE_TRANSMITTED | I2C_FLAG_GENCALL)) || \\r
+                             ((EVENT) == I2C_EVENT_SLAVE_STOP_DETECTED) || \\r
+                             ((EVENT) == I2C_EVENT_MASTER_MODE_SELECT) || \\r
+                             ((EVENT) == I2C_EVENT_MASTER_TRANSMITTER_MODE_SELECTED) || \\r
+                             ((EVENT) == I2C_EVENT_MASTER_RECEIVER_MODE_SELECTED) || \\r
+                             ((EVENT) == I2C_EVENT_MASTER_BYTE_RECEIVED) || \\r
+                             ((EVENT) == I2C_EVENT_MASTER_BYTE_TRANSMITTED) || \\r
+                             ((EVENT) == I2C_EVENT_MASTER_BYTE_TRANSMITTING) || \\r
+                             ((EVENT) == I2C_EVENT_MASTER_MODE_ADDRESS10) || \\r
+                             ((EVENT) == I2C_EVENT_SLAVE_ACK_FAILURE))\r
+/**\r
+  * @}\r
+  */\r
+\r
+/** @defgroup I2C_own_address1 \r
+  * @{\r
+  */\r
+\r
+#define IS_I2C_OWN_ADDRESS1(ADDRESS1) ((ADDRESS1) <= 0x3FF)\r
+/**\r
+  * @}\r
+  */\r
+\r
+/** @defgroup I2C_clock_speed \r
+  * @{\r
+  */\r
+\r
+#define IS_I2C_CLOCK_SPEED(SPEED) (((SPEED) >= 0x1) && ((SPEED) <= 400000))\r
+/**\r
+  * @}\r
+  */\r
+\r
+/**\r
+  * @}\r
+  */\r
+\r
+/** @defgroup I2C_Exported_Macros\r
+  * @{\r
+  */\r
+\r
+/**\r
+  * @}\r
+  */\r
+\r
+/** @defgroup I2C_Exported_Functions\r
+  * @{\r
+  */\r
+\r
+void I2C_DeInit(I2C_TypeDef* I2Cx);\r
+void I2C_Init(I2C_TypeDef* I2Cx, I2C_InitTypeDef* I2C_InitStruct);\r
+void I2C_StructInit(I2C_InitTypeDef* I2C_InitStruct);\r
+void I2C_Cmd(I2C_TypeDef* I2Cx, FunctionalState NewState);\r
+void I2C_DMACmd(I2C_TypeDef* I2Cx, FunctionalState NewState);\r
+void I2C_DMALastTransferCmd(I2C_TypeDef* I2Cx, FunctionalState NewState);\r
+void I2C_GenerateSTART(I2C_TypeDef* I2Cx, FunctionalState NewState);\r
+void I2C_GenerateSTOP(I2C_TypeDef* I2Cx, FunctionalState NewState);\r
+void I2C_AcknowledgeConfig(I2C_TypeDef* I2Cx, FunctionalState NewState);\r
+void I2C_OwnAddress2Config(I2C_TypeDef* I2Cx, uint8_t Address);\r
+void I2C_DualAddressCmd(I2C_TypeDef* I2Cx, FunctionalState NewState);\r
+void I2C_GeneralCallCmd(I2C_TypeDef* I2Cx, FunctionalState NewState);\r
+void I2C_ITConfig(I2C_TypeDef* I2Cx, uint16_t I2C_IT, FunctionalState NewState);\r
+void I2C_SendData(I2C_TypeDef* I2Cx, uint8_t Data);\r
+uint8_t I2C_ReceiveData(I2C_TypeDef* I2Cx);\r
+void I2C_Send7bitAddress(I2C_TypeDef* I2Cx, uint8_t Address, uint8_t I2C_Direction);\r
+uint16_t I2C_ReadRegister(I2C_TypeDef* I2Cx, uint8_t I2C_Register);\r
+void I2C_SoftwareResetCmd(I2C_TypeDef* I2Cx, FunctionalState NewState);\r
+void I2C_SMBusAlertConfig(I2C_TypeDef* I2Cx, uint16_t I2C_SMBusAlert);\r
+void I2C_TransmitPEC(I2C_TypeDef* I2Cx, FunctionalState NewState);\r
+void I2C_PECPositionConfig(I2C_TypeDef* I2Cx, uint16_t I2C_PECPosition);\r
+void I2C_CalculatePEC(I2C_TypeDef* I2Cx, FunctionalState NewState);\r
+uint8_t I2C_GetPEC(I2C_TypeDef* I2Cx);\r
+void I2C_ARPCmd(I2C_TypeDef* I2Cx, FunctionalState NewState);\r
+void I2C_StretchClockCmd(I2C_TypeDef* I2Cx, FunctionalState NewState);\r
+void I2C_FastModeDutyCycleConfig(I2C_TypeDef* I2Cx, uint16_t I2C_DutyCycle);\r
+\r
+/**\r
+ * @brief\r
+ ****************************************************************************************\r
+ *\r
+ *                         I2C State Monitoring Functions\r
+ *                       \r
+ ****************************************************************************************   \r
+ * This I2C driver provides three different ways for I2C state monitoring\r
+ *  depending on the application requirements and constraints:\r
+ *        \r
+ *  \r
+ * 1) Basic state monitoring:\r
+ *    Using I2C_CheckEvent() function:\r
+ *    It compares the status registers (SR1 and SR2) content to a given event\r
+ *    (can be the combination of one or more flags).\r
+ *    It returns SUCCESS if the current status includes the given flags \r
+ *    and returns ERROR if one or more flags are missing in the current status.\r
+ *    - When to use:\r
+ *      - This function is suitable for most applications as well as for startup \r
+ *      activity since the events are fully described in the product reference manual \r
+ *      (RM0008).\r
+ *      - It is also suitable for users who need to define their own events.\r
+ *    - Limitations:\r
+ *      - If an error occurs (ie. error flags are set besides to the monitored flags),\r
+ *        the I2C_CheckEvent() function may return SUCCESS despite the communication\r
+ *        hold or corrupted real state. \r
+ *        In this case, it is advised to use error interrupts to monitor the error\r
+ *        events and handle them in the interrupt IRQ handler.\r
+ *        \r
+ *        @note \r
+ *        For error management, it is advised to use the following functions:\r
+ *          - I2C_ITConfig() to configure and enable the error interrupts (I2C_IT_ERR).\r
+ *          - I2Cx_ER_IRQHandler() which is called when the error interurpt occurs.\r
+ *            Where x is the peripheral instance (I2C1, I2C2 ...)\r
+ *          - I2C_GetFlagStatus() or I2C_GetITStatus() to be called into the I2Cx_ER_IRQHandler() function \r
+ *            in order to determine which error occured.\r
+ *          - I2C_ClearFlag() or I2C_ClearITPendingBit() and/or I2C_SoftwareResetCmd() and/or I2C_GenerateStop() \r
+ *            in order to clear the error flag and source and return to correct \r
+ *            communication status.\r
+ *            \r
+ *\r
+ *  2) Advanced state monitoring:\r
+ *     Using the function I2C_GetLastEvent() which returns the image of both status \r
+ *     registers in a single word (uint32_t) (Status Register 2 value is shifted left \r
+ *     by 16 bits and concatenated to Status Register 1).\r
+ *     - When to use:\r
+ *       - This function is suitable for the same applications above but it allows to\r
+ *         overcome the limitations of I2C_GetFlagStatus() function (see below).\r
+ *         The returned value could be compared to events already defined in the \r
+ *         library (stm32f10x_i2c.h) or to custom values defined by user.\r
+ *       - This function is suitable when multiple flags are monitored at the same time.\r
+ *       - At the opposite of I2C_CheckEvent() function, this function allows user to\r
+ *         choose when an event is accepted (when all events flags are set and no \r
+ *         other flags are set or just when the needed flags are set like \r
+ *         I2C_CheckEvent() function).\r
+ *     - Limitations:\r
+ *       - User may need to define his own events.\r
+ *       - Same remark concerning the error management is applicable for this \r
+ *         function if user decides to check only regular communication flags (and \r
+ *         ignores error flags).\r
+ *     \r
+ *\r
+ *  3) Flag-based state monitoring:\r
+ *     Using the function I2C_GetFlagStatus() which simply returns the status of \r
+ *     one single flag (ie. I2C_FLAG_RXNE ...). \r
+ *     - When to use:\r
+ *        - This function could be used for specific applications or in debug phase.\r
+ *        - It is suitable when only one flag checking is needed (most I2C events \r
+ *          are monitored through multiple flags).\r
+ *     - Limitations: \r
+ *        - When calling this function, the Status register is accessed. Some flags are\r
+ *          cleared when the status register is accessed. So checking the status\r
+ *          of one Flag, may clear other ones.\r
+ *        - Function may need to be called twice or more in order to monitor one \r
+ *          single event.\r
+ *            \r
+ */\r
+\r
+/**\r
+ * \r
+ *  1) Basic state monitoring\r
+ *******************************************************************************\r
+ */\r
+ErrorStatus I2C_CheckEvent(I2C_TypeDef* I2Cx, uint32_t I2C_EVENT);\r
+/**\r
+ * \r
+ *  2) Advanced state monitoring\r
+ *******************************************************************************\r
+ */\r
+uint32_t I2C_GetLastEvent(I2C_TypeDef* I2Cx);\r
+/**\r
+ * \r
+ *  3) Flag-based state monitoring\r
+ *******************************************************************************\r
+ */\r
+FlagStatus I2C_GetFlagStatus(I2C_TypeDef* I2Cx, uint32_t I2C_FLAG);\r
+/**\r
+ *\r
+ *******************************************************************************\r
+ */\r
+\r
+void I2C_ClearFlag(I2C_TypeDef* I2Cx, uint32_t I2C_FLAG);\r
+ITStatus I2C_GetITStatus(I2C_TypeDef* I2Cx, uint32_t I2C_IT);\r
+void I2C_ClearITPendingBit(I2C_TypeDef* I2Cx, uint32_t I2C_IT);\r
+\r
+#ifdef __cplusplus\r
+}\r
+#endif\r
+\r
+#endif /*__STM32L1xx_I2C_H */\r
+/**\r
+  * @}\r
+  */ \r
+\r
+/**\r
+  * @}\r
+  */ \r
+\r
+/**\r
+  * @}\r
+  */ \r
+\r
+/******************* (C) COPYRIGHT 2010 STMicroelectronics *****END OF FILE****/\r
diff --git a/Demo/Cortex_STM32L152_IAR/system_and_ST_code/STM32L1xx_StdPeriph_Driver/src/stm32l1xx_spi.c b/Demo/Cortex_STM32L152_IAR/system_and_ST_code/STM32L1xx_StdPeriph_Driver/src/stm32l1xx_spi.c
new file mode 100644 (file)
index 0000000..67e4b38
--- /dev/null
@@ -0,0 +1,641 @@
+/**\r
+  ******************************************************************************\r
+  * @file    stm32l1xx_spi.c\r
+  * @author  MCD Application Team\r
+  * @version V1.0.0RC1\r
+  * @date    07/02/2010\r
+  * @brief   This file provides all the SPI firmware functions.\r
+  ******************************************************************************\r
+  * @copy\r
+  *\r
+  * THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS\r
+  * WITH CODING INFORMATION REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE\r
+  * TIME. AS A RESULT, STMICROELECTRONICS SHALL NOT BE HELD LIABLE FOR ANY\r
+  * DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING\r
+  * FROM THE CONTENT OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE\r
+  * CODING INFORMATION CONTAINED HEREIN IN CONNECTION WITH THEIR PRODUCTS.\r
+  *\r
+  * <h2><center>&copy; COPYRIGHT 2010 STMicroelectronics</center></h2>\r
+  */ \r
+\r
+/* Includes ------------------------------------------------------------------*/\r
+#include "stm32l1xx_spi.h"\r
+#include "stm32l1xx_rcc.h"\r
+\r
+/** @addtogroup STM32L1xx_StdPeriph_Driver\r
+  * @{\r
+  */\r
+\r
+/** @defgroup SPI \r
+  * @brief SPI driver modules\r
+  * @{\r
+  */ \r
+\r
+/** @defgroup SPI_Private_TypesDefinitions\r
+  * @{\r
+  */\r
+\r
+/**\r
+  * @}\r
+  */ \r
+\r
+\r
+/** @defgroup SPI_Private_Defines\r
+  * @{\r
+  */\r
+\r
+/* SPI registers Masks */\r
+#define CR1_CLEAR_MASK       ((uint16_t)0x3040)\r
+\r
+/**\r
+  * @}\r
+  */\r
+\r
+/** @defgroup SPI_Private_Macros\r
+  * @{\r
+  */\r
+\r
+/**\r
+  * @}\r
+  */\r
+\r
+/** @defgroup SPI_Private_Variables\r
+  * @{\r
+  */\r
+\r
+/**\r
+  * @}\r
+  */\r
+\r
+/** @defgroup SPI_Private_FunctionPrototypes\r
+  * @{\r
+  */\r
+\r
+/**\r
+  * @}\r
+  */\r
+\r
+/** @defgroup SPI_Private_Functions\r
+  * @{\r
+  */\r
+\r
+/**\r
+  * @brief  Deinitializes the SPIx peripheral registers to their default\r
+  *         reset values.\r
+  * @param  SPIx: where x can be 1 or 2 to select the SPI peripheral.\r
+  * @retval None\r
+  */\r
+void SPI_DeInit(SPI_TypeDef* SPIx)\r
+{\r
+  /* Check the parameters */\r
+  assert_param(IS_SPI_ALL_PERIPH(SPIx));\r
+\r
+  if (SPIx == SPI1)\r
+  {\r
+    /* Enable SPI1 reset state */\r
+    RCC_APB2PeriphResetCmd(RCC_APB2Periph_SPI1, ENABLE);\r
+    /* Release SPI1 from reset state */\r
+    RCC_APB2PeriphResetCmd(RCC_APB2Periph_SPI1, DISABLE);\r
+  }\r
+  else\r
+  {\r
+    if (SPIx == SPI2)\r
+    {\r
+      /* Enable SPI2 reset state */\r
+      RCC_APB1PeriphResetCmd(RCC_APB1Periph_SPI2, ENABLE);\r
+      /* Release SPI2 from reset state */\r
+      RCC_APB1PeriphResetCmd(RCC_APB1Periph_SPI2, DISABLE);\r
+    }\r
+  }\r
+}\r
+\r
+/**\r
+  * @brief  Initializes the SPIx peripheral according to the specified \r
+  *   parameters in the SPI_InitStruct.\r
+  * @param  SPIx: where x can be 1 or 2 to select the SPI peripheral.\r
+  * @param  SPI_InitStruct: pointer to a SPI_InitTypeDef structure that\r
+  *   contains the configuration information for the specified SPI peripheral.\r
+  * @retval None\r
+  */\r
+void SPI_Init(SPI_TypeDef* SPIx, SPI_InitTypeDef* SPI_InitStruct)\r
+{\r
+  uint16_t tmpreg = 0;\r
+  \r
+  /* check the parameters */\r
+  assert_param(IS_SPI_ALL_PERIPH(SPIx));   \r
+  \r
+  /* Check the SPI parameters */\r
+  assert_param(IS_SPI_DIRECTION_MODE(SPI_InitStruct->SPI_Direction));\r
+  assert_param(IS_SPI_MODE(SPI_InitStruct->SPI_Mode));\r
+  assert_param(IS_SPI_DATASIZE(SPI_InitStruct->SPI_DataSize));\r
+  assert_param(IS_SPI_CPOL(SPI_InitStruct->SPI_CPOL));\r
+  assert_param(IS_SPI_CPHA(SPI_InitStruct->SPI_CPHA));\r
+  assert_param(IS_SPI_NSS(SPI_InitStruct->SPI_NSS));\r
+  assert_param(IS_SPI_BAUDRATE_PRESCALER(SPI_InitStruct->SPI_BaudRatePrescaler));\r
+  assert_param(IS_SPI_FIRST_BIT(SPI_InitStruct->SPI_FirstBit));\r
+  assert_param(IS_SPI_CRC_POLYNOMIAL(SPI_InitStruct->SPI_CRCPolynomial));\r
+\r
+/*---------------------------- SPIx CR1 Configuration ------------------------*/\r
+  /* Get the SPIx CR1 value */\r
+  tmpreg = SPIx->CR1;\r
+  /* Clear BIDIMode, BIDIOE, RxONLY, SSM, SSI, LSBFirst, BR, MSTR, CPOL and CPHA bits */\r
+  tmpreg &= CR1_CLEAR_MASK;\r
+  /* Configure SPIx: direction, NSS management, first transmitted bit, BaudRate prescaler\r
+     master/salve mode, CPOL and CPHA */\r
+  /* Set BIDImode, BIDIOE and RxONLY bits according to SPI_Direction value */\r
+  /* Set SSM, SSI and MSTR bits according to SPI_Mode and SPI_NSS values */\r
+  /* Set LSBFirst bit according to SPI_FirstBit value */\r
+  /* Set BR bits according to SPI_BaudRatePrescaler value */\r
+  /* Set CPOL bit according to SPI_CPOL value */\r
+  /* Set CPHA bit according to SPI_CPHA value */\r
+  tmpreg |= (uint16_t)((uint32_t)SPI_InitStruct->SPI_Direction | SPI_InitStruct->SPI_Mode |\r
+                  SPI_InitStruct->SPI_DataSize | SPI_InitStruct->SPI_CPOL |  \r
+                  SPI_InitStruct->SPI_CPHA | SPI_InitStruct->SPI_NSS |  \r
+                  SPI_InitStruct->SPI_BaudRatePrescaler | SPI_InitStruct->SPI_FirstBit);\r
+  /* Write to SPIx CR1 */\r
+  SPIx->CR1 = tmpreg;\r
+  \r
+/*---------------------------- SPIx CRCPOLY Configuration --------------------*/\r
+  /* Write to SPIx CRCPOLY */\r
+  SPIx->CRCPR = SPI_InitStruct->SPI_CRCPolynomial;\r
+}\r
+\r
+/**\r
+  * @brief  Fills each SPI_InitStruct member with its default value.\r
+  * @param  SPI_InitStruct : pointer to a SPI_InitTypeDef structure which will be initialized.\r
+  * @retval None\r
+  */\r
+void SPI_StructInit(SPI_InitTypeDef* SPI_InitStruct)\r
+{\r
+/*--------------- Reset SPI init structure parameters values -----------------*/\r
+  /* Initialize the SPI_Direction member */\r
+  SPI_InitStruct->SPI_Direction = SPI_Direction_2Lines_FullDuplex;\r
+  /* initialize the SPI_Mode member */\r
+  SPI_InitStruct->SPI_Mode = SPI_Mode_Slave;\r
+  /* initialize the SPI_DataSize member */\r
+  SPI_InitStruct->SPI_DataSize = SPI_DataSize_8b;\r
+  /* Initialize the SPI_CPOL member */\r
+  SPI_InitStruct->SPI_CPOL = SPI_CPOL_Low;\r
+  /* Initialize the SPI_CPHA member */\r
+  SPI_InitStruct->SPI_CPHA = SPI_CPHA_1Edge;\r
+  /* Initialize the SPI_NSS member */\r
+  SPI_InitStruct->SPI_NSS = SPI_NSS_Hard;\r
+  /* Initialize the SPI_BaudRatePrescaler member */\r
+  SPI_InitStruct->SPI_BaudRatePrescaler = SPI_BaudRatePrescaler_2;\r
+  /* Initialize the SPI_FirstBit member */\r
+  SPI_InitStruct->SPI_FirstBit = SPI_FirstBit_MSB;\r
+  /* Initialize the SPI_CRCPolynomial member */\r
+  SPI_InitStruct->SPI_CRCPolynomial = 7;\r
+}\r
+\r
+\r
+/**\r
+  * @brief  Enables or disables the specified SPI peripheral.\r
+  * @param  SPIx: where x can be 1 or 2 to select the SPI peripheral.\r
+  * @param  NewState: new state of the SPIx peripheral. \r
+  *   This parameter can be: ENABLE or DISABLE.\r
+  * @retval None\r
+  */\r
+void SPI_Cmd(SPI_TypeDef* SPIx, FunctionalState NewState)\r
+{\r
+  /* Check the parameters */\r
+  assert_param(IS_SPI_ALL_PERIPH(SPIx));\r
+  assert_param(IS_FUNCTIONAL_STATE(NewState));\r
+  if (NewState != DISABLE)\r
+  {\r
+    /* Enable the selected SPI peripheral */\r
+    SPIx->CR1 |= SPI_CR1_SPE;\r
+  }\r
+  else\r
+  {\r
+    /* Disable the selected SPI peripheral */\r
+    SPIx->CR1 &= (uint16_t)~((uint16_t)SPI_CR1_SPE);\r
+  }\r
+}\r
+\r
+/**\r
+  * @brief  Enables or disables the specified SPI interrupts.\r
+  * @param  SPIx: where x can be 1 or 2 in SPI mode \r
+  * @param  SPI_IT: specifies the SPI interrupt source to be enabled or disabled. \r
+  *   This parameter can be one of the following values:\r
+  *     @arg SPI_IT_TXE: Tx buffer empty interrupt mask\r
+  *     @arg SPI_IT_RXNE: Rx buffer not empty interrupt mask\r
+  *     @arg SPI_IT_ERR: Error interrupt mask\r
+  * @param  NewState: new state of the specified SPI interrupt.\r
+  *   This parameter can be: ENABLE or DISABLE.\r
+  * @retval None\r
+  */\r
+void SPI_ITConfig(SPI_TypeDef* SPIx, uint8_t SPI_IT, FunctionalState NewState)\r
+{\r
+  uint16_t itpos = 0, itmask = 0 ;\r
+  /* Check the parameters */\r
+  assert_param(IS_SPI_ALL_PERIPH(SPIx));\r
+  assert_param(IS_FUNCTIONAL_STATE(NewState));\r
+  assert_param(IS_SPI_CONFIG_IT(SPI_IT));\r
+\r
+  /* Get the SPI IT index */\r
+  itpos = SPI_IT >> 4;\r
+\r
+  /* Set the IT mask */\r
+  itmask = (uint16_t)1 << (uint16_t)itpos;\r
+\r
+  if (NewState != DISABLE)\r
+  {\r
+    /* Enable the selected SPI interrupt */\r
+    SPIx->CR2 |= itmask;\r
+  }\r
+  else\r
+  {\r
+    /* Disable the selected SPI interrupt */\r
+    SPIx->CR2 &= (uint16_t)~itmask;\r
+  }\r
+}\r
+\r
+/**\r
+  * @brief  Enables or disables the SPIx DMA interface.\r
+  * @param  SPIx: where x can be 1 or 2 in SPI mode \r
+  * @param  SPI_DMAReq: specifies the SPI DMA transfer request to be enabled or disabled. \r
+  *   This parameter can be any combination of the following values:\r
+  *     @arg SPI_DMAReq_Tx: Tx buffer DMA transfer request\r
+  *     @arg SPI_DMAReq_Rx: Rx buffer DMA transfer request\r
+  * @param  NewState: new state of the selected SPI DMA transfer request.\r
+  *   This parameter can be: ENABLE or DISABLE.\r
+  * @retval None\r
+  */\r
+void SPI_DMACmd(SPI_TypeDef* SPIx, uint16_t SPI_DMAReq, FunctionalState NewState)\r
+{\r
+  /* Check the parameters */\r
+  assert_param(IS_SPI_ALL_PERIPH(SPIx));\r
+  assert_param(IS_FUNCTIONAL_STATE(NewState));\r
+  assert_param(IS_SPI_DMAREQ(SPI_DMAReq));\r
+  if (NewState != DISABLE)\r
+  {\r
+    /* Enable the selected SPI DMA requests */\r
+    SPIx->CR2 |= SPI_DMAReq;\r
+  }\r
+  else\r
+  {\r
+    /* Disable the selected SPI DMA requests */\r
+    SPIx->CR2 &= (uint16_t)~SPI_DMAReq;\r
+  }\r
+}\r
+\r
+/**\r
+  * @brief  Transmits a Data through the SPIx peripheral.\r
+  * @param  SPIx: where x can be 1 or 2 in SPI mode \r
+  * @param  Data : Data to be transmitted.\r
+  * @retval None\r
+  */\r
+void SPI_SendData(SPI_TypeDef* SPIx, uint16_t Data)\r
+{\r
+  /* Check the parameters */\r
+  assert_param(IS_SPI_ALL_PERIPH(SPIx));\r
+  \r
+  /* Write in the DR register the data to be sent */\r
+  SPIx->DR = Data;\r
+}\r
+\r
+/**\r
+  * @brief  Returns the most recent received data by the SPIx peripheral. \r
+  * @param  SPIx: where x can be 1 or 2 in SPI mode \r
+  * @retval The value of the received data.\r
+  */\r
+uint16_t SPI_ReceiveData(SPI_TypeDef* SPIx)\r
+{\r
+  /* Check the parameters */\r
+  assert_param(IS_SPI_ALL_PERIPH(SPIx));\r
+  \r
+  /* Return the data in the DR register */\r
+  return SPIx->DR;\r
+}\r
+\r
+/**\r
+  * @brief  Configures internally by software the NSS pin for the selected SPI.\r
+  * @param  SPIx: where x can be 1 or 2 to select the SPI peripheral.\r
+  * @param  SPI_NSSInternalSoft: specifies the SPI NSS internal state.\r
+  *   This parameter can be one of the following values:\r
+  *     @arg SPI_NSSInternalSoft_Set: Set NSS pin internally\r
+  *     @arg SPI_NSSInternalSoft_Reset: Reset NSS pin internally\r
+  * @retval None\r
+  */\r
+void SPI_NSSInternalSoftwareConfig(SPI_TypeDef* SPIx, uint16_t SPI_NSSInternalSoft)\r
+{\r
+  /* Check the parameters */\r
+  assert_param(IS_SPI_ALL_PERIPH(SPIx));\r
+  assert_param(IS_SPI_NSS_INTERNAL(SPI_NSSInternalSoft));\r
+  if (SPI_NSSInternalSoft != SPI_NSSInternalSoft_Reset)\r
+  {\r
+    /* Set NSS pin internally by software */\r
+    SPIx->CR1 |= SPI_NSSInternalSoft_Set;\r
+  }\r
+  else\r
+  {\r
+    /* Reset NSS pin internally by software */\r
+    SPIx->CR1 &= SPI_NSSInternalSoft_Reset;\r
+  }\r
+}\r
+\r
+/**\r
+  * @brief  Enables or disables the SS output for the selected SPI.\r
+  * @param  SPIx: where x can be 1 or 2 to select the SPI peripheral.\r
+  * @param  NewState: new state of the SPIx SS output. \r
+  *   This parameter can be: ENABLE or DISABLE.\r
+  * @retval None\r
+  */\r
+void SPI_SSOutputCmd(SPI_TypeDef* SPIx, FunctionalState NewState)\r
+{\r
+  /* Check the parameters */\r
+  assert_param(IS_SPI_ALL_PERIPH(SPIx));\r
+  assert_param(IS_FUNCTIONAL_STATE(NewState));\r
+  if (NewState != DISABLE)\r
+  {\r
+    /* Enable the selected SPI SS output */\r
+    SPIx->CR2 |= (uint16_t)SPI_CR2_SSOE;\r
+  }\r
+  else\r
+  {\r
+    /* Disable the selected SPI SS output */\r
+    SPIx->CR2 &= (uint16_t)~((uint16_t)SPI_CR2_SSOE);\r
+  }\r
+}\r
+\r
+/**\r
+  * @brief  Configures the data size for the selected SPI.\r
+  * @param  SPIx: where x can be 1 or 2  to select the SPI peripheral.\r
+  * @param  SPI_DataSize: specifies the SPI data size.\r
+  *   This parameter can be one of the following values:\r
+  *     @arg SPI_DataSize_16b: Set data frame format to 16bit\r
+  *     @arg SPI_DataSize_8b: Set data frame format to 8bit\r
+  * @retval None\r
+  */\r
+void SPI_DataSizeConfig(SPI_TypeDef* SPIx, uint16_t SPI_DataSize)\r
+{\r
+  /* Check the parameters */\r
+  assert_param(IS_SPI_ALL_PERIPH(SPIx));\r
+  assert_param(IS_SPI_DATASIZE(SPI_DataSize));\r
+  /* Clear DFF bit */\r
+  SPIx->CR1 &= (uint16_t)~SPI_DataSize_16b;\r
+  /* Set new DFF bit value */\r
+  SPIx->CR1 |= SPI_DataSize;\r
+}\r
+\r
+/**\r
+  * @brief  Transmit the SPIx CRC value.\r
+  * @param  SPIx: where x can be 1 or 2  to select the SPI peripheral.\r
+  * @retval None\r
+  */\r
+void SPI_TransmitCRC(SPI_TypeDef* SPIx)\r
+{\r
+  /* Check the parameters */\r
+  assert_param(IS_SPI_ALL_PERIPH(SPIx));\r
+  \r
+  /* Enable the selected SPI CRC transmission */\r
+  SPIx->CR1 |= SPI_CR1_CRCNEXT;\r
+}\r
+\r
+/**\r
+  * @brief  Enables or disables the CRC value calculation of the transfered bytes.\r
+  * @param  SPIx: where x can be 1 or 2  to select the SPI peripheral.\r
+  * @param  NewState: new state of the SPIx CRC value calculation.\r
+  *   This parameter can be: ENABLE or DISABLE.\r
+  * @retval None\r
+  */\r
+void SPI_CalculateCRC(SPI_TypeDef* SPIx, FunctionalState NewState)\r
+{\r
+  /* Check the parameters */\r
+  assert_param(IS_SPI_ALL_PERIPH(SPIx));\r
+  assert_param(IS_FUNCTIONAL_STATE(NewState));\r
+  if (NewState != DISABLE)\r
+  {\r
+    /* Enable the selected SPI CRC calculation */\r
+    SPIx->CR1 |= SPI_CR1_CRCEN;\r
+  }\r
+  else\r
+  {\r
+    /* Disable the selected SPI CRC calculation */\r
+    SPIx->CR1 &= (uint16_t)~((uint16_t)SPI_CR1_CRCEN);\r
+  }\r
+}\r
+\r
+/**\r
+  * @brief  Returns the transmit or the receive CRC register value for the specified SPI.\r
+  * @param  SPIx: where x can be 1 or 2  to select the SPI peripheral.\r
+  * @param  SPI_CRC: specifies the CRC register to be read.\r
+  *   This parameter can be one of the following values:\r
+  *     @arg SPI_CRC_Tx: Selects Tx CRC register\r
+  *     @arg SPI_CRC_Rx: Selects Rx CRC register\r
+  * @retval The selected CRC register value..\r
+  */\r
+uint16_t SPI_GetCRC(SPI_TypeDef* SPIx, uint8_t SPI_CRC)\r
+{\r
+  uint16_t crcreg = 0;\r
+  /* Check the parameters */\r
+  assert_param(IS_SPI_ALL_PERIPH(SPIx));\r
+  assert_param(IS_SPI_CRC(SPI_CRC));\r
+  if (SPI_CRC != SPI_CRC_Rx)\r
+  {\r
+    /* Get the Tx CRC register */\r
+    crcreg = SPIx->TXCRCR;\r
+  }\r
+  else\r
+  {\r
+    /* Get the Rx CRC register */\r
+    crcreg = SPIx->RXCRCR;\r
+  }\r
+  /* Return the selected CRC register */\r
+  return crcreg;\r
+}\r
+\r
+/**\r
+  * @brief  Returns the CRC Polynomial register value for the specified SPI.\r
+  * @param  SPIx: where x can be 1 or 2  to select the SPI peripheral.\r
+  * @retval The CRC Polynomial register value.\r
+  */\r
+uint16_t SPI_GetCRCPolynomial(SPI_TypeDef* SPIx)\r
+{\r
+  /* Check the parameters */\r
+  assert_param(IS_SPI_ALL_PERIPH(SPIx));\r
+  \r
+  /* Return the CRC polynomial register */\r
+  return SPIx->CRCPR;\r
+}\r
+\r
+/**\r
+  * @brief  Selects the data transfer direction in bi-directional mode for the specified SPI.\r
+  * @param  SPIx: where x can be 1 or 2  to select the SPI peripheral.\r
+  * @param  SPI_Direction: specifies the data transfer direction in bi-directional mode. \r
+  *   This parameter can be one of the following values:\r
+  *     @arg SPI_Direction_Tx: Selects Tx transmission direction\r
+  *     @arg SPI_Direction_Rx: Selects Rx receive direction\r
+  * @retval None\r
+  */\r
+void SPI_BiDirectionalLineConfig(SPI_TypeDef* SPIx, uint16_t SPI_Direction)\r
+{\r
+  /* Check the parameters */\r
+  assert_param(IS_SPI_ALL_PERIPH(SPIx));\r
+  assert_param(IS_SPI_DIRECTION(SPI_Direction));\r
+  if (SPI_Direction == SPI_Direction_Tx)\r
+  {\r
+    /* Set the Tx only mode */\r
+    SPIx->CR1 |= SPI_Direction_Tx;\r
+  }\r
+  else\r
+  {\r
+    /* Set the Rx only mode */\r
+    SPIx->CR1 &= SPI_Direction_Rx;\r
+  }\r
+}\r
+\r
+/**\r
+  * @brief  Checks whether the specified SPI flag is set or not.\r
+  * @param  SPIx: where x can be 1 or 2 in SPI mode \r
+  * @param  SPI_FLAG: specifies the SPI flag to check. \r
+  *   This parameter can be one of the following values:\r
+  *     @arg SPI_FLAG_TXE: Transmit buffer empty flag.\r
+  *     @arg SPI_FLAG_RXNE: Receive buffer not empty flag.\r
+  *     @arg SPI_FLAG_BSY: Busy flag.\r
+  *     @arg SPI_FLAG_OVR: Overrun flag.\r
+  *     @arg SPI_FLAG_MODF: Mode Fault flag.\r
+  *     @arg SPI_FLAG_CRCERR: CRC Error flag.\r
+  * @retval The new state of SPI_FLAG (SET or RESET).\r
+  */\r
+FlagStatus SPI_GetFlagStatus(SPI_TypeDef* SPIx, uint16_t SPI_FLAG)\r
+{\r
+  FlagStatus bitstatus = RESET;\r
+  /* Check the parameters */\r
+  assert_param(IS_SPI_ALL_PERIPH(SPIx));\r
+  assert_param(IS_SPI_GET_FLAG(SPI_FLAG));\r
+  /* Check the status of the specified SPI flag */\r
+  if ((SPIx->SR & SPI_FLAG) != (uint16_t)RESET)\r
+  {\r
+    /* SPI_FLAG is set */\r
+    bitstatus = SET;\r
+  }\r
+  else\r
+  {\r
+    /* SPI_FLAG is reset */\r
+    bitstatus = RESET;\r
+  }\r
+  /* Return the SPI_FLAG status */\r
+  return  bitstatus;\r
+}\r
+\r
+/**\r
+  * @brief  Clears the SPIx CRC Error (CRCERR) flag.\r
+  * @param  SPIx: where x can be 1 or 2 in SPI mode \r
+  * @param  SPI_FLAG: specifies the SPI flag to clear. \r
+  *   This function clears only CRCERR flag.\r
+  * @note\r
+  *   - OVR (OverRun error) flag is cleared by software sequence: a read \r
+  *     operation to SPI_DR register (SPI_ReceiveData()) followed by a read \r
+  *     operation to SPI_SR register (SPI_GetFlagStatus()).\r
+  *   - UDR (UnderRun error) flag is cleared by a read operation to \r
+  *     SPI_SR register (SPI_GetFlagStatus()).\r
+  *   - MODF (Mode Fault) flag is cleared by software sequence: a read/write \r
+  *     operation to SPI_SR register (SPI_GetFlagStatus()) followed by a \r
+  *     write operation to SPI_CR1 register (SPI_Cmd() to enable the SPI).\r
+  * @retval None\r
+  */\r
+void SPI_ClearFlag(SPI_TypeDef* SPIx, uint16_t SPI_FLAG)\r
+{\r
+  /* Check the parameters */\r
+  assert_param(IS_SPI_ALL_PERIPH(SPIx));\r
+  assert_param(IS_SPI_CLEAR_FLAG(SPI_FLAG));\r
+    \r
+    /* Clear the selected SPI CRC Error (CRCERR) flag */\r
+    SPIx->SR = (uint16_t)~SPI_FLAG;\r
+}\r
+\r
+/**\r
+  * @brief  Checks whether the specified SPI interrupt has occurred or not.\r
+  * @param  SPIx: where x can be\r
+  *   - 1 or 2 in SPI mode \r
+  * @param  SPI_IT: specifies the SPI interrupt source to check. \r
+  *   This parameter can be one of the following values:\r
+  *     @arg SPI_IT_TXE: Transmit buffer empty interrupt.\r
+  *     @arg SPI_IT_RXNE: Receive buffer not empty interrupt.\r
+  *     @arg SPI_IT_OVR: Overrun interrupt.\r
+  *     @arg SPI_IT_MODF: Mode Fault interrupt.\r
+  *     @arg SPI_IT_CRCERR: CRC Error interrupt.\r
+  * @retval The new state of SPI_IT (SET or RESET).\r
+  */\r
+ITStatus SPI_GetITStatus(SPI_TypeDef* SPIx, uint8_t SPI_IT)\r
+{\r
+  ITStatus bitstatus = RESET;\r
+  uint16_t itpos = 0, itmask = 0, enablestatus = 0;\r
+\r
+  /* Check the parameters */\r
+  assert_param(IS_SPI_ALL_PERIPH(SPIx));\r
+  assert_param(IS_SPI_GET_IT(SPI_IT));\r
+\r
+  /* Get the SPI IT index */\r
+  itpos = 0x01 << (SPI_IT & 0x0F);\r
+\r
+  /* Get the SPI IT mask */\r
+  itmask = SPI_IT >> 4;\r
+\r
+  /* Set the IT mask */\r
+  itmask = 0x01 << itmask;\r
+\r
+  /* Get the SPI_IT enable bit status */\r
+  enablestatus = (SPIx->CR2 & itmask) ;\r
+\r
+  /* Check the status of the specified SPI interrupt */\r
+  if (((SPIx->SR & itpos) != (uint16_t)RESET) && enablestatus)\r
+  {\r
+    /* SPI_IT is set */\r
+    bitstatus = SET;\r
+  }\r
+  else\r
+  {\r
+    /* SPI_IT is reset */\r
+    bitstatus = RESET;\r
+  }\r
+  /* Return the SPI_IT status */\r
+  return bitstatus;\r
+}\r
+\r
+/**\r
+  * @brief  Clears the SPIx CRC Error (CRCERR) interrupt pending bit.\r
+  * @param  SPIx: where x can be\r
+  *   - 1 or 2 in SPI mode \r
+  * @param  SPI_IT: specifies the SPI interrupt pending bit to clear.\r
+  *   This function clears only CRCERR intetrrupt pending bit.   \r
+  * @note\r
+  *   - OVR (OverRun Error) interrupt pending bit is cleared by software \r
+  *     sequence: a read operation to SPI_DR register (SPI_ReceiveData()) \r
+  *     followed by a read operation to SPI_SR register (SPI_GetITStatus()).\r
+  *   - UDR (UnderRun Error) interrupt pending bit is cleared by a read \r
+  *     operation to SPI_SR register (SPI_GetITStatus()).\r
+  *   - MODF (Mode Fault) interrupt pending bit is cleared by software sequence:\r
+  *     a read/write operation to SPI_SR register (SPI_GetITStatus()) \r
+  *     followed by a write operation to SPI_CR1 register (SPI_Cmd() to enable \r
+  *     the SPI).\r
+  * @retval None\r
+  */\r
+void SPI_ClearITPendingBit(SPI_TypeDef* SPIx, uint8_t SPI_IT)\r
+{\r
+  uint16_t itpos = 0;\r
+  /* Check the parameters */\r
+  assert_param(IS_SPI_ALL_PERIPH(SPIx));\r
+  assert_param(IS_SPI_CLEAR_IT(SPI_IT));\r
+\r
+  /* Get the SPI IT index */\r
+  itpos = 0x01 << (SPI_IT & 0x0F);\r
+\r
+  /* Clear the selected SPI CRC Error (CRCERR) interrupt pending bit */\r
+  SPIx->SR = (uint16_t)~itpos;\r
+}\r
+/**\r
+  * @}\r
+  */ \r
+\r
+/**\r
+  * @}\r
+  */ \r
+\r
+/**\r
+  * @}\r
+  */ \r
+\r
+/******************* (C) COPYRIGHT 2010 STMicroelectronics *****END OF FILE****/\r