From 132ed46d3e97b74e202d114bfbf56d1c18700cfe Mon Sep 17 00:00:00 2001 From: richardbarry Date: Wed, 16 Jul 2008 09:55:52 +0000 Subject: [PATCH] Update project to use the new asm file for the port yield function, and remove the "user frame pointer" optimisation option. git-svn-id: https://svn.code.sf.net/p/freertos/code/trunk@410 1d2547de-c912-0410-9cb9-b8ca96c0e9e2 --- Demo/PIC24_MPLAB/RTOSDemo.mcw | Bin 154624 -> 156160 bytes Demo/PIC24_MPLAB/RTOSDemo_PIC24.mcp | 76 +++++++++++++++++++++++++--- Demo/PIC24_MPLAB/RTOSDemo_PIC24.mcs | 4 ++ Demo/PIC24_MPLAB/serial/serial.c | 6 +-- 4 files changed, 76 insertions(+), 10 deletions(-) diff --git a/Demo/PIC24_MPLAB/RTOSDemo.mcw b/Demo/PIC24_MPLAB/RTOSDemo.mcw index 61dc2e940e5bdfad3faa94c8b4487bdb46a5ac43..be6548079a4b8bd03604ca1af04e61a8de144855 100644 GIT binary patch literal 156160 zcmeHQ3w)bZ-9Bk|Edxf`#s(XPG1w-oORww3Hrl4`R$15Bgl$S;&60OrSek?+T{^5| z%0*B<9G6c~0f*NiiYQY-@B>9~d=8lkI_}dC1z{rK(1FOl=Xo#5o2FgUvI4^Y^!Mak z-v2rObDr}*m-kKH(_If8wdcm~9s38>%Pm%g>dgaV)hJtfA;JzTK3=H;ghv2x9yoBo zN_HV|AAlrr{{amA8+BwKe+gh;js(U4qk#_sbATe?Fkl>TIB*1TBmfgoM*+pa(ZB>? zA}|R!2AB*?0geTZ1EvDgfa8G=08ZcpU^;LjFatOVI2o7;%mQWu6JT_wz&{oEEKmZ> z1-1aJ|DEv5feN4!r~>8z)xdmU0dN|y5Lg5(22KZRfLfpqa0B%~1JDR80hR(yz=wb{ zfMq~4upDRsJiv#6R=^ARfHQ#=z)D~h@Dbpnz*#^Wa5iubuo`Fw{Jwpk&F0dX51D!wwhypR-JRlAvfF#fbYyeU~H?R>nANUy11AH9V1Y7`Y23k>46giz} zc?5o3&w&ehx=FAY%gkvD2XoHrrrk07*&U8T2yG^Da32MeakO01TVbRP=JN>5LY98| z`R1if(z((4PB0lo>ouK$X7ot}=*X*1(^Y|E1j@1Mpcgv82h3S*WhOZ4kD$jx(ANx& zorn*n4~`u|`#DZc_4?dhfH?bvX&nEY4>LH6A={!tx${&q(r zYeOAfaep!tjX3K<7YDS){ zr|R|!8LJE@QmLEcYO}Mzvkilfwp#<86~CIQF49u^I%-w{SB!O*zGq7#K5t}DpKmUD zrC3cb_}2yd;lJ^ULmxx45I*wCx=mC6j#c?DTY75Ll|3-c1B>8aU0H=Cz{r0s!j3&y zLjpId=WZG6`0R-KP3w@4ae`&iwV|9pR#)|SUY@U)!+e)sdu-2cye+|;5P9@}%wZ>IHF?LOn`x=rkF+8y0C)FB2r)^Ys)V#R*&K<1h!m3j^`cYVdZ$&zJ1 z{tod`$j5qc-e$Wek3C1#0NrEGQEVTp&MM6~p;qUL@rS|hI+V8@eZg`jAk21eFxxr4 zWJ1q)q%ls}B*JXBb)EWVp|19Jk4IWxE{42Ej4|}|Ak2Q91%ErsMEYjib4=TH0n_x?-ZfPSqpN^P>&B+KcikK2^Jkc8@<3qH2yq7&kM&82;n#k>#LE(~Q}oHqM~ zMfW!BKI5wCj)xYd-sIMJP;MD!MZdoP3Sw3cVILX8KGTmm7Yjfh?s!-s?a6X^WYO;t zxR<4Cfj;iL8lgLkP<~pCy!@On1pRL!pJgUoE7oAw+>z&gFQeHAVH7#IpW?j+$8}$> zrpfzg)r0ptto^TD=B?`=Jpa5mW1Z>h^cC2T6_Fnv%8T3aHmWB%C;yoX2FrNZ=PipnQ2knpI4ok!SAc@^X2jYWqlhFU1r#Ous)=$QR z_5WMfU*KT7$3b_#?dRTOsoSV5*IqhnuB87u3VH%y`UilMkoa>nQ0t7AbOvHqP2z<^ z2)s`>oyyMKN)y@j*qwa%I9fxjrOf*YK+D^M;YFTW!lXFFFpd4J1PwG^|p|I36QVE1v@xJMg~u&Yc(? zF^}tJ3gEP6U7-sJoPPq$Mav9 zgZhtz@2I?a`nNvz$Whl{s60RZ<{N)wNzNO${qZ)O|8r0Db6!5(DR@IJtEmK3)2GK;`|O zrDV^`FiwYa@Z1-+hjn0DZ#VvqGv`si<=e0Kt-n$~N7gRaUzn%UQSZ?Z;JHG5xviI8 zUr+s8Z}{Tx?|%CJ0oq>;7Rm;rUqAncb+FDf?OlhhhtE9W2hiVs-;d^=*h~LP-PQv7 zS+l+K^%{Bfzg>!C)e%J5Yy}kQCqu+@$BY6@SPlENs3iER~#nHj@ zh*$@@d~!{N5YJ1Zv+Ez+$T#wjAHw-pRUpfne=_G+uEzP7O*nz7Tt73@D1G2hoWGUs z|9snDhGNJ4*eKgN2P>bmIjysS`aglQ6FtW>#CY1KaSkT!V(Jeb*3T+3G#a0ty~S!* z)n3(R`kNOR=_7ccD4cFk@U8kiQ@K|^W0i59STt67QlMVHe%IfM)jE{3daf@;eLSR8 zKfD$mfm*{rRO4_IaxXpXL$tAd)*D4 z+fgrq-0w8wR-GS4{Ph}-UMFNx`w@R+u`k856bU-!Wq8%dy=s>aeEhXooeG)V(9d(l zc+M*Al`@-gjq*O$32q_cUtjD=RUqHrraoOpfl-VRb-yY<#@+NVej`a+W9^>(c zJ^qLm9_{wWc>3$8;uWDpmp|-miiDCOe>il$79Z)3hNJP(V*}y3WTep_(8e|5_^1;1 zI)6N&B}X4w-_Tfl<}%-E_tM%{Z-cMMxT@zF7YMHmMS{_kPwP$|re83QqAGZGtR7qL z@6<*e-t2XH{gH&ztHncWjr`u^#;|snI-(^UbjLM+Qfr9^wfLA(-he->1;-rj33Y4X zghz`vMFQ&z+KZ^bEE-!8&d5A{Yl#-|CXsnTBb|$jw0;IxmX}nm;9ke&Y+kCt=XrYe*p59~4Gn8TaLY!sU{deQ^vaKbYMQ_p1b*0?3xVG=c z%{@&2R)a%7uk;C?qnZ3+;VxV|AJr*CJ-`Dqw!MKr_#lml=7pIm;JF= zcw=2xG8v65Q_2b_NMtfp$mZKDLk+ab`km_J<-(D}21j%EUv_cqqA1XEzgdu~j^<8Trzxmuvc= z&74Oxoikc(Lw6Da22rljpFqkdfeV3)0G>m43GgZ4Qs6S+)4*qd%Ypv_t^mFYTn$_a zTm@VMTnqd+@Hyb~z!!k6z!!n*0G=awJ^UMhF9SCMUkAPd(AGJBeG@*HrV}8`_)Q3Z z3%D8hHt-#Qqv=+F*S`n<`@n6$cHjrV4}l*6w*z+o_X9r%?gD-S{10$9a1ZcP;Ag{3Xs;7Xbfjz(TQ2rpUmhWfhfYM8mn~ z8Gh|Mvo`%i*EsIsjNO<~VDEj`!uZ}1DHzj;gXk;3H9KH7>Gh6bHDliy^u!4R!hkR! z3}o)_IX_}Mk>$A0=Kg6XCfz$Qu~J5#M};_HKo}4PgaKhd7!U@80bxKG5C((+VL%uV z2801&Ko}4PgaKhd7!U@80bxKG5C((+VL%uV2801&Ko}4PgaKhd7!U@80bxKG5C((+ zVL%uV2801&Ko}4PgaKhd7!U@80bxKG5C((+VL%uV2801&Ko}4PgaKhd7!U@80bxKG z5C((+VL%uV2801&Ko}4PgaKhd7!U@80bxKG5C((+VL%uV2801&Ko}4PgaKhd7!U@8 z0bxKG5C((+VL%uV2801&Ko}4PgaKhd7!U@80bxKG5C((+VL%uV2801&Ko}4PgaKhd z7!U@80bxKG5C((+VL%uV2801&Ko}4PgaKhd7!U@80bxKG5C((+VL%uV2801&Ko}4P zgaKhd7!U@80bxKG5C((+VL%uV2801&Kp4nkz^$SxqLNC}KNDHk@`)6y0>=TH%O}sV z5E^F+dR3!pRZg`;uYsoG%CExt6k^Iu9)kWk$UE80->5>+r=bR|`0P-LLDpr)5cD4p z-BV5dL1>JrQv6k_dH5_-)oQ+SA)KF^svM4Dh_cLS{bX@HfA&RxdhmY7mRrZn`V0JC zV!=?tzb335j^u{!WK$&B5MjJ@G!pu`R(6nU1aTDwp3|eQe}BbmFFc@(T~)T>DqhZp=dmm+~}H)B(Ihq;i0k&#w(6at!VhBk{Kbshes7GzO|k=m|OX)LZK0{*bZ>7SFH{nkHna163es=TG$t@U@P@Xcr;uIjzH8^;wrP##4)^ZVSUT;24~Z9udjBw zyTQ8{ZXo)6=k8TKe=Sz8*LYGa&zg#r@}!)I@4@w@z*vOa;5RPuq;7@$xEG7n_R76# zTlrpGQECU$zP{L#svfylk)bzH5M`c2`emmZWiy>=EH9lGaXPk%WsO1HstaW-x2wvi z2jfnF@^qGex)DvsDep9|7s6+c)|m0T{#LB6g`U-OeJR$7upGmbXIt&Adacpc?a24s zDZZ3-jb-Wm0e?5HJw7M;rhkpp49itJji>uksWEDN19buo0+$J<{uo& zQMh^Q!b}~kdKRG})W`T1GoxEAP;IIKsK*+#0e&OaK@ESc%BNbC7b#w>(_KgnAhiwa zwIA!odY}X;O4T8)6KNr=;zkPjqK>IQcsN}@Q=RhcEmq{W4St!v+Eio38JZi1^{)(B zod9hwpHfO7WcB+yvHrF~zqS6Z0A~p>%Uy?4HG#hn*bV9NkUAf1#}C@1ld=ACQe@jd z(2wN!s;$R;^~w7ko4@?)1L3E#*WY(y|HXQq!+8vjcCdMY5gx$_1nvM02hQrFXE2UA zA280bH5PM=Dlo2d{H%i=S!0nixH8LOF_iZmpBV?7BUWLY(Qk*pmhy0)1ekXtKrZ3s zqD?DyHRJ(PXzVtG~-sAA4Z(>80G2qBmT%@Uy8Ju z)aiK{UWGYxmk;9!bLFX!;XK0e$MuAEMw!jHMqQk*=@#1NTgF)@%CHP;zGXVoIEPz# zlZex?o-+3v{izdz5BAmDJ@=p0>{(V@H>b&6Uv_rUN`E|py+nP;AC7h`)7B;zRm?BT zPW44&iz-}|MaycvzSS#gmo0LogH82j!t#cdX2{dpvShVem#Pg0vAIb!M1qT|t6i1z zi_8RX(jQNvl!mxJq1A@Ni%N^I1J&XYf7q4<*RvC?Y}giQ=WEF1LFrn2gVxZ1!q6PI zKOA5IxYVq5M&ld3T38EU^MyU_+E7PMazg_u+l;)~(w5qs3!ZqiBku3aDW|DEE!rCG z!d^^EG={?13wCOY+DLPox3#vpw5nuj!>XdQmbhz!8|pmfK0B<%&o0t=cNLLnS5Zq$ zi@>Y%)cceEW`8oUPLDN37&n8g34?LXzrG~`Vgl=1HfZs+;b;oUdPc2Nry?C&;Sb}I z$Dc^Jqg@fw&qC00Q><0<2WtZX^cUJ^RkER@W1xDvyW(}8x#)>{0p{S;!>D$bhEVTfKwC~JRvN@T znKC?95xpwXM*(IM@-sX4e|+*#ZcUcq5ZcGZ5zhYngHJxnQJZR2 zg(o5TIX?fOUd#2BtNjbRw)wE{vV6OYvHx0&9bbpOzxM09KRy$4Vpq+*V8Sk*6XyPp z+oy%Vi8)t|ge`af(l`6*`yI~frrk07*&WuFLceI&pO3!YDkcr#`O_p6GR`cBQK#=@F6fJY^qSBGcn%P4}1OvIeA z^PI~M`NU{7o>W?4vr2F8q-iNfMXaD%j{&DsYvl}US`6!*8C_ZLLs`aS8gDWhTWPMo zOa0+wOQg=z65+PPiuqDe#N1)cAE$6i7tXAmhFz80?aEx*ZA)fGmyz97QbJ`Y?Ad;$0(a2>$K;ddJmZNPTm2fz;jw&!;EcK|;I?gZ`veggat@F(CN z;HSXPfO~i?-WymNgG{F{z$knO;w< zjh1b!6lLANhjEX+z4DpE-iiCKQdfD^yhC*V^&ffLW!}3rcImg9X3VQ~bYcgLSb)*)UH#nr@83M4V`{pXx+ToZwsw7b|!aR#*RF_np+F?U?%GK(T3hz zkB3vMJx%ViijvMi?AObcnv3Pmy`Zh3tzO&E#^+nDz7{VcozXU3Zngf%u8pbnntta2 z>S?UTZOHrUhF}@KSL(ohZa~%Io|oT-XetE)^L3N4DxU=5V}O(U$rO9)53_1;|7-bn zF`xd64}PmPc-<^#0`9**2p`)U-Py~w!p>J4oz!pnRyzGm`<*%i{2pjy9^d;|WgI_* z`|ocf^W_Cb3H-)y4W2x7;G4cE{=)c1ZZK{V+J6Kxu<^6uchBSw6<;@B_q3y;z1`@_ zpB#R}#6RQrAD_JB`47R@1y|gD#OZG%|C)eZ8?gU*mFRPW;w`R_noG zUyoRAYa4^c!e)*}XwM#(=_jtXr7rWVHKUjV8kxB!!t3L~Zf2!S>oi&k@vP=rk9gA$ zbme~6c;;P~Uau-#rDa3A-?eNppYP5eKFavsiw68#bNmecyYm=ESzP}HeGNw27?j2P zJi2Fj^9gt6^LLD+-&*UUmv+s{gZZKs&jnZG`}ii+tjg0OZ7Mi&9k6HiPe!&MeS6_< zU!8+#%xpXqZLKX$m&8DyrI{l6l$JeD^jVrIa#)vE`ijcwsN$Y&pHPdCz*p*{AtFx)#2l5}}KL$TUo&tq@DrH48^ zD4RO0JS?ZZ;Hf12Z@*fsCQPbV>*nts@%gC=>pbe`P$Pb-&kB@K>d)ZeCEzfGqi9nZ z${YO+-+7mBoL_s@!jZv`&UyUdU?NspR$5j)&o!@no}Me`V-r(Y-&4&?43lHJ*8%D| z3T013dOJ9J2)dG&M9#H;|1uxnytwcUOa=Zb&2k3v`2EWX5SkBAS6}j5&zN{qHTE5r zZ+Iz&0s2e*H9fH+~5Fud|_M{a8cSA<&=Zxxe=JZq6+QCcjvWrm&s^auMEHxlJP-TdQrKU)UFaZT+TUro4s*uJ+_!VS zRQ4G4-_zWE_1p)tzkh2+EBUKA{^E{3aPZdVmOsI?KMra3L9Rq@$~;Hrw|}J=IsDCc zb*@-mDfs?%K>m9dv;g|eDPKMGz$Ht+`ol#|-|xQXNzXH7r4{|~pVvI&hQbZNgXoki zfHyyL^*v8Hx|%Qf*`r^+aK)He`ZqznE0rD3OHU))f$}GzF>$LwDCew-sL!L@^KEr4Rw<;YL}eE3#B_Wlj#N`0KH*X^YP^Hmh{R>;f`Pc6j4 zL_3@b-ztM;^4FOOSXLa;yuY-^kil_r4TSn|vF;CZIi0h(tGg+wb$UbRYsw$OPhvXw zyS*un8suTKPXw?8s~UjuXRyXr13&8YrhgynL^{FxP=4n}r!c7AIP&_@DSVm0eEm5J zvR%D>$}?^A=dL}2;laAe$iTh9rPal1W3?y6_(cfc9-rbMSa$4VMm?`Z`f-q<{CK3_ zia6n0?n!MuZHi;ed4}8*NW0nXNu3UvOOd{H;S|TzO~y5HR*yorl}~YyFNO=@(@~eT zPZpPMG3gn3tLU^h@0%SjU}<@EbwI+1|;cC+b{n-7`g(qyLTMv>wVI zX_iYb_>qn3<}2zpZNF{0YM*heeq|fNbURTe^1kh~V)fY(^_y;mT%pc}emU#owN>5} z?SSr?$|;T@^47O|Q_eP|0=FWrR(l8Y_{b>iZT=*WhsqTVq9Bnq$a*jHFbH!{b{@ng z^JC7=*+4l&_Psyeq>rVK?eoC9!5-}O=`Z|lsHfCH#t!dO#l3$Su+L92AIN<0ez6C8 zJNpaEc#!d6w+*oeu?MjSI|IXO54oChQ)EmIZyyN1!moYQi9Lut*zF;ou-J^)jNMMe z9>gBR9_$PZuRY{y%B1AJf6~t{zcN{}Lj3*VOr-D$>KyppGf&mO%C^6M;<>5i$M@j( zpEVCpeq~>;_fHPN?=J`Z{bN>z5xE=C%-?_Jw!fmc_(9`rKYw$}_VdNFX|rEgbZ^7% zGp?HMcxX}T%@Stbe9@}g>;7_opXYyg@td$t{LV6rUxp?1-4*MxV|gF9_xre|l@(RCac!G9Xn5{Jeg0I%{P|UxDPU0NPYvx@i$j0-(7{h0 z;!e45_kKS&-J!-h_L)Ck9?Wwci%r+tbng1?Q;c_9SXG_@M)y|V9p_2xnfI>S@Gc+U zVl2bkYi)Ql$au@#IE~%VXFqrSoj!M4?!Dgnz1RDF?7v-O_j9(f%d`C6O|Gx^t&KbR z0|(ZjqI{3~7kIhuYP=%#iN~%u?&GKa{-}$`s`fEeS2Wk-AMda&Yr-3y%kUDs{$d{! zGH$^4AUmTx_UPgS-!km$*rS!v_a~Y^>qePX8uZ_X)&n(1%dy+q(67E+MKC+ z|DkQT*zu=Sx~#sQC@1kxz zn{pPwGbVWc=P5u5V99+AGT#8KxcEb3z%+xbchRi0{`|r0^F-EQQFss@?Bhx7LF~b9 z5BY?}X2fRfb|Us5_8|6PXJB~kAy-pwij2wO?E~Rg__dEZu?MjSyFKI+7Ml^9vD=B* zgV=-EgPnolwTE0yxhXOxhqn)eU*Xq2>ck$z9_;pzPgrb5Y{qUUVh>^uVh?r(hSwf) zHRYzrm>k|d5PpSU`=}Fp5PPuOLq1`#8L=6=orpb%J%~Nn85mxB$kmjaB4cuR`#|^= ze(j@9>_P0oZV&l{#b(52>~?IBlFZi6&36@KlbPV7PK!EO)vgvDmWX6$w%_8|5k_F!jVcHq-;aB*z zk2Tur$tGA4(&4}@Rg*FNgR9>gB(_K;6l zY({LxZYN?7Vh>^ub_Rym9&$D1rpTBa-aZh1gsp!&sPH5ph3Y_D{V+E#8{n}PVm`JU9JE1jbp zrYvRZf!C0JB+{79w51<+j9gW`{o318jI*tl zKE|g)U+{1J>!bY7+cRxNRLw%1d~8O(nsdD=rqQu1miY$KzXp_tv#-5!rZ-iCw0*et z>KspMI)K*bP27pJ(It!D!li!rTlo}6H=ZB! z**qE8gYfpsDGsJHejVa`{;#f>;$Q;5E1=9>fc^PD)3*7iIIO%6BTi>L|DWP`0`biA zf1_+(-&F-&$j5L!KCS2emTx_ex8jty<=lTCN(D%ea^zr%szV1w0sc%Qp;C4Uq*`NQjEb2_cp92;`aNkcu4&{kyULac%cu{+}7^ z{&1JprOkA)otMPl~c!Z?0RCDxmJe*_s07xVWb8W|_s!S=`mV zOpA0R*P)O7p=2o1VXX7a#&!He!1^AYajr$xfPLL|BAq}N>he23n!Sz=wH*a0?*u?^ z2mL-=Y(rhw+O=9-z0K`VgXoXRW7z~ae8d0Kk$p4*Ndfmde_XwL+wsIhTYCP!{>fAw z>d$_@^q>T~=M^z(-0EQ}MnGUZaGX#Y?d z7zh2d4Qme83mxENwypim%A=O4T2-f3D=%1RMsK(fuEToVfb=tPy#?vZ!IZa;a$T@m zOE>*KL~@c`(9boPj`wW^2p6(Wz({~U=;93le-wmY3aKLCFkl>TIB*1TBrqO03g8$! z8khh~1SSE;0Fwb)`LV!pz*Jxwa6Iq{(V@H>b&6Uv_rUN`E|p zRlPps4@WzeX={^)DA`w#K-oov$I22c>KA z4O&A33PV%e{&0W=;8L^J8I5oBYGEyq)PnA4WNoM;C%K^km2F1eY-vkv&IM0A+7b76 z=9JS^pB8P6c4330B^pCvE#mLg7PXP$Hg9Wfb7@t{(uP$h=3n0u0WpE~EgQ7>+Hf?5WIdzS zsZ)`Tt?-9&$>UEX+|jNG>1QEmxhdAF`Gd8A0Qw8^56D06sBKcfgLG7)pc<~f!R`M|ImPb#gjS*5pk&{)b*5i4lcW5D5L z&75IPi($SqqbuuuD61jjUxThqMq?|@*>|ZwoNS5Id0HY|cC45$6-CS)*8Fh_r*z@W z+-cZVx!tbJsogeZW^@_ZT}9rNR{1WJo{5#Fb**fPw01=ht#=#thui&F7iV<68HZp{ z*Ll*@2CdHRk0ra}9PPMZpF|G9;Lm8vQk1Rdt<4bWw%A*e)s7+0A=cz-qbR>8hb`WE z>`+#Fn%rd-C7prTsxx>`qm+9=TSHq?>x{L<18tRU6l&9jR_hzKwpL&7oMZLJI78nF z4lQTa(qYZ-_Laj*gVCht{0f(=x+p#E4_nXcYOLkq?d^Q~;K-sVXHB$LRegsHl8n{V zT&pt!?kt_tSTqz#CeALxHVY#V()k(L(7IM~{Rc2mb8iyg|6Ryif8#qyJW~oH?wNZ5 z9?>vW+7f{u~*Ne+KX6wY%_cU%NNuoQ2dY9O~PM`&WBY&jRmde%_9@ z>AOQ`>42)N?^|Y3()_-KBlHbCiz2SUmnQ`nwX>1NXN*hWZ$a8lbQ$HW(QMD-*1zKm zD<_^VCJ_#(^^nZpO+u-QA$|(LM`C^DZ2!n>kKDIohr{yiGC_Rf7{e3TG9A^b3eP_) z0PbP(aZ{CJ!0#WOXFhV}PUyFMd%3;!&%@K#d4qT&4*iP#u?VQK7i3~K z%KPZhp+jc22N#b5$l~z-00aL-8)=Nc1rz~8fZ@O};4EMUPz)Rcj08pjqk&@qOaWmm zPy!qWj04646M*A^iNFcKiNHy~B;aJ=6yP&}8<-4C0Zs*`0;d6|17`r!fHQ&Vm~>}T z3|t130_Ol*0c!t`5SIfLKqXKG%mk`|S-@=I-+(#5TwoqBA6NkRfEvIL)B*vZ4p;~* z0_uU!0_Oq^KqIgiXaa)3=YVD)1cZU}fF(c+uoU=r;6H$6Km=G0{3oyiSP6*0Dj*8P z00~$Pv;u2@IPiI3Esy}(02xREDd2oS0n&g9WPo;{1Ly?S0qcP;0A0WrfepX~z($}M zH6>Bfjh@Sh6|Dqr*bEaeW6WtzbK!7d!KBoeAGqb`u0jYsLZl-62bfgQb4lxko;Q%s z(Xc|Mev0jurMW`EkKVU|$s~F&X$&-COk|+dR-2{>1+F60W41x7w4V=5XJHE!LLmJz zMm!FEjnLSJ^gzbo#6k3b9P}FMHQQZ)G>r-6(fTKQK@K2O6uX~GW zkSL$l_heuSa4Ikru&#evgS7t70?hSK>)x!>gX=QD3pl<0Nr&C_Pivp#?X3UXA^Wei z{>gUxlk)0#Yeo^(cv5!P#MLy-fToO^%BW=Xo5M|^TB$8r+7>0VB3QFYG6*Z_$0$_5 za()&g;)Ug12+QihHI328Ka&ZuV_h_-xGvD!%{= zkJcWA4-2t!Y2qWy#qRd*j(X#>PU7A^54Yud%&WT<_m>JLumfezC`;fg*`Qs z^b6y&6ASVqTAW6p@+3>VQ=cekYXUN69d6{2Zd$t=-37r(Xaubf>i>hte`D{~uA8pg zsI_^^Uv!?gQanZwb|c>%#F)N)mPwVc3HQQ=-0=5HgdNvi)b)r_p5)8Bf*retOG6q? zl7{S`C=Drkw?v?8vw{av_Lh0NjG2e#WCQK%6wG@H`tkwz{5|j#GV5^fCh+hg`Z4AN ziA)qai2Bc-?$XOhL#9LLxUInsO6vj^S>#ci0kU3X$^X%19F<`Ikhagdx?tjllTGEuk& z_dEwngx_1_Y0gmHcMQF}>qD*Vm6|SEbB_L1x=yI76X5n{M)NFl9+*8`3ntXb+(I zOMRX=V!p5d=o~&@*p7D4lqABYPS)2ar7MD03S&^;v<+@;ZaoN{_w1k0)lN4NE-5;` zYZvk;O|p|9+w?;2KIz*-<&Am$NZ|>>SSUGnBbCk%6CoE_uj`qLYj*>2J@ByY9~ay| z@KU)F#KC*l-@RkOtZlV7{px}*tlBhL>1j`O`R~APjQZaAF0xCMGyi3>6?6uejk93@ zsKp7O9dRAb022N+<4oCvv!#BA&IS>SwOxS|gRAn6DYt*&nXxxqBm^J0^~1NRzJY`W z)G2D`uNbt)agI#koGIcIn6_2TDVQNNXUt%y5RKFhL$C?@=-gEY-3eUT)0)#VZV>t> zptyPdA;wqX)ZA+GyqD9gUzG?h7oDf*d_rrkx3Hi-^xLW@A9L+_D!!S{zgC$e*FSLn zk4FQj%@k_1nI_`-g3iEk?F2lKF_<_A{!fP9pK03Zd2J^C(UaQXLNU(Y6)0K-&>XZ= z3q6yX;~zx-lX$|C@C2q}J+&e|kT3z`Pqvaw+%bI=Jlyynx&8td^_%t#yZxtg!6Luj z*zS8TO-^&~)g^E~N9L2*2=pUh>IzyvPK7Zr`W<*kPgd$Rn)+Y0jO9@1K3m_2dZ={? zKPx9Vnt)H!B-Q3=xRgb#fWJR(KEX_j<1~^?l9Ns^*E2xoK4YL7kG9? zSUbmrgLR%^;U)ETNu_P59u+l6tJMObFq}*k2t!)pF?CJhSBi!%lH#pv)WWx1qkK^{ z-Y#L6QkA5xmEHsBI}O<*+Gkc+_L)-TL}4E|Fayj0Gr$Zm1Iz$3zzi@0%m6dM3@`)C z05iZ0Fayj0Gr$Zm1Iz$3zzi@0%m6dM3@`)C05iZ0Fayj0Gr$Zm1Iz$3zzi@0%m6dM z3@`)C05iZ0Fayj0Gr$Zm1Iz$3zzi@0%m6dM3@`)C05kBf%)q&^l@o8?{BYCFv&t{N zq-FZ8|H^H(wk_ZPNWKRkt6X2#r@#vo`KzE{`XB2q`_602HNQ7zY`uTv`gQ*&dJF9N ze<5FSrr(pC|D7z@#4LG z`2PvP=S~~^50TF$75Wvy4L?kDE!t`X{4cqt8v3aXb_$&YA-H5uYVHsliwHWPhb5Xv-`Z6q~DCKb@Z;kQu8BgmFw#o`Zc}BL4f=U z^%k~YazicY-@fg--#q+$fBmlp7v6#B*Zlub-^tHzZ(;kWGbY~${VN~6|D031>2J~I zT2%8j+gsWG@gs*9ujKbXr{4{qk>m-CJi&^Xh4unG zEV{@a5w(GWol>-3$zKu$tNwwle7*dbLHNJA3q`xg$sj@_{OmNqS7r@7?u6h+vk^1j zqu;Xi3IAK!@weN5FKQn7fL^`n2kS_D(3w4mz>op? zJWwL+sX8D;jCkX0qdmj*SesC|G~4%559N`m+(ht5}BCJ6@E6xe$ z{68A?kbaU6pK<`7de~8ZKv?<~{8%DhiFmUQzLX4ks*CER`xF*^9_0*U=|-CBBapw> zebBiR?IIBOPZ(*l&3lplV1e$F5=Dgfk$z@gxP$y05-3nvx?VcufUqYFKCpjGhs-|c zC;rISHqBR(*@$~2AEq`T%t4y`YF48x1!@z?P#u@f4|W(`(#q{e8MCa4`xL01iDAQo zVj?KYQev22OpyoJp(RV=>5Q0g*UND=E+*pZC1r>|nMf+bMnn@es$3^VrIB@TA64pK zBPwZ09d>MOpw4$*LwJRMk*_%v2p8*jwK5~4iI%t=OLl~%PW2e=hVF{0;Jp!AYO&ZR z4IR}Oa)(4Y?G8ywe6?Oaq^?Uy#|WdF5;4CbiK^73#3W_-&`?xNNU`Cgg7Ho%kq$~q zy&PRru(FsG7**paLKd04Z!MJMkcz^y>nM0=lh%B2)qS?iTfNZdDf5g(R++~$BN&(6 z;m_3tiJci09(PP?^-p)7U0tbN(JtdH^(>k0E-$TGLT4S1yK#|(nEdHk-ILM$p!q<4 zIq#iN0bh@IBL`{$^3!SB8Iqy=Iq;|1`L6E0Y8!YDvjO1o7XZ;xZ(NEIeaIEl2EI16>e)##eI-0G8KjY&+lq|%51 zqir+<|7lUR7Xhj?Ad_ZarW5_OG=<9PxBRILW<@-;MpNHRevK`1q&h1hDPkfgMJu8# zoGhD)xLJxO+a<*xJWsESB(IxlZ=$yNGFPx)s;~+y>kZ+yUGP{1Bkk z^gZAn;QPQ2fO~~nQfTw^xz|+A00KW$I0?z>d3;YJy2mBWJ9q=shd*BbibHMY! ze&7Y*Mc|LXOTf#(E5NJ3YryNk8^E7{1HhZWTfp1EJHVfTzX0z72Z8s1zXE>)-Ut2; zd;t6d_z?If@DZRH9`sd||0&Qt$ae0qgyVV2;*wY@M&aJfn#N_C#xM-k5(%QwCJAN<68=)pedJ$O=iS&f#fsIVN@bld`HL6Q_lfrc_1Gf@Om( z=pAgq^1&9Yuvc(6n3yvsmXxJ=^JoCes#e9-aJ)@Y%4qVH(}$Nn>gcYRN+s6SWK=aN z4>6NNaUp5b&@y9)x>iw@VpNqrNiBCtX-bjOQ86J=@wB9dlb+GIQb}{2BAuU+lurWWJ*#{TWvlcT@zQz7PXdXg;^o2ivKA5y`xU{7dKSbyGg&QGMC6NK>FbQC-84HrqlnX4q4uw}aAdfaEEd@q9g* zO_RLaxL=5vMs$IZe(>!Q;Tq^!aZb2{+C(q|U6VZZ)f%c->wVpca<82o?lA9BUD|jc z-iLe7&d9$P9Uks@4SCaW|Kjps#}B+glzmt)j=ZapXVw)l%66Xdf=h4}Zrna6*9Nnl z#pnp>qx4pzpkJ6RM1%lPi#@0vaUJ$S3ICdfu+SugkQ2f_ok4CCxe@HwBKD27Kq+zr zp$2(v$ctka*K>$3(lP12z1j8|>V)8d5`p-QAogmzO*M9$!G)37|H@F*4ba@PQ%fm? z%yB;&`)@P!oBQt)aFzzMbn0*m_24fKcH>$)F02RJ%3-^7I`&^Oiq!Yd^dUK>YWqny z|KTy$#&17;DDhnW{(CgeU)0Y3Bs+#c^I+p_{kn(@2*M$nI0)t#y@Yj4_JGo~wno6V z2nG6mT0d(rkIc157F;mup=wAzd`fN|kd0W1bw=?@#H&dj;X;7Qt^Jn|g*g^`OKEBo$xt1py`_B0BO7j(RgtDZ z?PS}pkEccmK4`2yY1_Z7G1%a%nNjbr^)4@N5fvF{iQ2fBNVYaetJS#`v%LAa;bdxV zg{QK(!50dzSmJA#>&af$*BTj%11-i?u(@gB3cn`hi^XubNeATE-0Esi<*Z^OBczIo zidq7Sn3jBr#N4uCoIoW-788~txSyYC7Q?YfS}&nc5VcE6yA%kZGIYl;CZbdUZZ%46 zNo8F~N=Q*0zHp{p9dGTC9SESYjVPNhZK~~YBd8=>6|t>HJ@vI&(dJ|ZXE7;V7f;|U z*e1=55Y3TLv#+tNs&r9cY4Ng!eqXG;CTN_q6OyvLSmWJOOhkK%n^KaDs3uq|s$!$4 zM%QSmdYRJ3CAEYu6-iv%B!igf+NO3%S)E9BAX_UawP{pjQ%l4IZUx13+MmqGL_bx6 zo*QD#k{I(vqZlvr&unC%wY9%?`ZG#RaB-%MnsYRpoTodOWeZmZS-o>b9A`Pu6FO5C zqVjmM(qtW>tj4UuUdP6&PP^8%Isa>Pl83|Y2s{w62YBBR59?aDdG^^;=kX|Y< zvQVCi)A^6yJd~S8bbv9P|7icDJ&s~K#oZ7(NSh;q_5BCE`6x$gs)Z`N39%RG{Rina zLvN*4Ub|;U80RiCw#w+|uhls5wQA>UQ9J$7J25v-)pQn2Tg7`^)A?^2a!LQGJ?`om zTOa;q_{`@YbM1L5zWIS$er_Hqw3}A_cJy^uF<}tzpT31kMj927iSiVivnPKR_ndrs z4hra-5iX3GYuY#%=p~Zk9l)xhWgimTQVA&(#j6sss~?Z)%PE42T*9!}Hf_1%hSBUi zQE6TqP5NYwrl}kaF)xjF^lMJdoiiaxDeQMfvZXeRy7bpHAvKw5F?QcYVnS__Yl2NO z9XrfaxFd;_KOu<<33q71xxG`LSLN1uWo+%1Eiv3h4R9@R9dJEBI&MI`4fr;&9k>y=3Ah>f4sZ+bUEo$=2XGs37A)o+i0=pP z0`3OB2iyaEANT=qFR&B15BMQKx^^Mn4Lkt+2zU^92>3DZGVloS6X3sqp8`Jv9t9o) zehxei`~vuI;FrJ?z>~nQfTw^xz|+A00KW$I0?z>d3;YJy2mBWJ9q=shd*BbibHMY! ze&7Y*Mc|LXO8~X|6~wOsuK{lud4EDoPmXT_Zvk%u?*M-W{sO!U90cA2{tEmJcpvyX z@Bu*eW?`hy0I$Y@rQdS`?Gx3r$}6&4MRrD8=X2g{9_VILJ*zUipO^xu+^4g`I`?wHy(M&74@X^~uWdLrd;Nkf+qDQ){QOX>M zF^)G{u-0u}yWgvGlYTQc^C`~t->oq~-vh0)@x6~($0>t&{=O51w-o3#&^Lao@aCZv z-}EK%FM)651`;Nq|D#cWI)5hO&NJwQim#imf8JHGa-}|$4~^P3{uTWF$Az2U_$*>g z!1%E4EtXek=6b;5FfaLOaImlV$|@_WKEbEKit?EigM1ns$Ual$sjNQorvY;=+WGE$ z?^ykNFPgx=HrCI;zdKK1l_}ac=&P{WhNCWe&ZF=uJ$xdZ_r~s#6gT@k6lFuB5QM$( z;l1Drd>>ygGz#Tek%$l*vIf|H=0ihP9=EG-U%19ac~n?`DcbBS%hp5}z1C)k*r_ew zPV`!vA#%9d@|whnPaOkS;tT3k=yichDEf&uTYFNlth{Qu(0sRW*Y@#U*l!CiL%ej` zqlM!j>cTpF2loipFae1T@0Dm-D+``e>EceL$4#ge*38;h^i5lOXF9I;DqDK19oKuc zExofG*LzJrdad8T%)&P>9()5+fq#|S$o2n$?_Y$;5F>L;XOP}XY(IDWZPhq;n6XtR zjPp+${sOjM@Z+za1|G%?;{3H7YSxa>bvd9vd-m+B|J{3a5i)ig`h(bu=mbuG_uPhm z{r$aB_qyo(N6VIUQ|Nx5((n9z`bCd`e>3hbv#i47_`JKepLs`ZSXNQyxZdk*>Ge9U z_gl8~mOHNZdRuxc9M^k;ExnbF>)mEcuk-uWw{7Wle!tpoORw|$)s43FI=^4tWJhnM z^Y-dyTY8H>%6_X-IiYG z?bRK&^g3^^?zE$~%6WTrmo2@{+pD{6>2=;-eb1I&=k3)!w)8r0ufA_fuk-fm2e$M& zZ?EpPrPq0TwbPbf=k3*fw)8r0uYTA~?-D$RX@8mJ&VM`E{TY$c^xj5B`9ew*2!(yU zFG}OB5WNp_@_kXtXtygU6#XLa8w_fU9-<$k-ow5hgL!wlzvp)wC$o>%cp1#Q(|Q#< zdSTw3)|oz}bFj@~lo&!bS9}Zowrw;ZRvI1UVYh?Ugz!ACARcB zZ?7)3rPq0T^%YxsowrwCwWZg2d$q-uUgz!A*KFx^-d=s(j^1+T?bT(r^g3^^w%XF` zyuG^ImR{%W)fKk%I&ZJOVN0*`_R9J`!Mt8J)A{*(zn%WgbbkKsvZdGg`McYeUgziU z1Ge-!KYxE@ORw|u_d#2Fo!@UCvZdGg{r1PU^g6%aK5R>`^ZV^JqXc0Z8Kwfkb+Kun zy2Yu^&t;^HPh%pT^)=Xq36 zKKaZ)&HZU$-?>*zaXmG++If&~E_p1dpcgH}>6@^OdQO9*y6?{B|CV-@mi} zG4?<9@w!aoU#y#+>n!eVEM8=~`HeXeS2g5>#`IG&SpPHl@w!Rfk^Xj^JhA6~cOAj6!|7LyUi^A8f?qW1zcSaovFi%0 ze%Ft--)(;OI`U_)Py5>cq}J|LmbFX1{QlL#7V?4rPKj{O7u{XdwlQ#E1{c{G{O=<1 zr0lMVtLYw1rgugZ$aI>|{$@M}nK9%)gZHqV=6hKZ?j?k3;hcL#u-@;jC~b?To?a{n z^cu=PI}(W0O6?Ky@7)}33L(*!jA(KzG?(QO%B_`h_ABetVnF{F1pfb}y!Q9f#2#7X zUvZ={kY4!uoe7&+)kDf&2K*0e|F;Rbx*-1Sl==sR%vao`xf(6V_w-?>7G1{le{7DO zosu6~^!I%KX!n=*x+Z%6NG}=5j|r9RJcB%4=KKFiy?Cki-tnH7Hf`(v{?UcGhQDnW z3V|YE2rv{F1`G#A0L8#Dz(`;eFd8@(7z2z2N`T{lalm+B0&qMq5jX)j5jY8$1e^?< z0(=H=1CxO%z^OpiKPAW{-;~pUGXe7dc{X6)H@W8c$QhWUc#AsVOw?Qt+T`i>0qV8y zq`Jo>MtK{ry5?NE=D-Xv1Iz$3FmMLQj&fiIm;q*h8DIvO0cL<1Uh$sp)o>%%cA~J{@vbFF6du2Lciw~JVF`zKUfAv4x;~OTKeC6 z3kQ}v(EeL%{|JQ((4InS6EFlA3Je2=10#T9;22;eFbWtA91DyA#sVe4alklWJTL(` z9+(K60GtS%1WW=>22KG!1Gs_7z!czAU@CALa5_Nknr6gwP2k`>1J=1PnCoSY!3JN= zjCy~qcX@G(sK{}-wKgs$lC2HWYIUwBKQEk2A*;a`3a?n=Yp`6_*BTj%11-i?u(@gB z3cn`hi^UWvoes#cxz*L4%2~xmMo1ME(h*R^wB$=9=9U!)I#o%L#e}5@?&oKk#p)DE zS}&nc5VcE6yA%kZGIYf+CZbdUZZ%46No8F~N=Q*viusfB>Ue99>_7mGZA968X;W>F z8$l)6s)%hp>Zz~IiZ&-RYFw7mb@7BGi*3@}2vHmfHTxRNs!A6HmKHBt==a6iYl3K# zsw5K$Nm*WOaIVwbl#*oBR}-uiRk2Z2qieKOy-aE2l3GHSiX^UWl0i&#ZBx6XtWG35 zkgXMz+B7P%sU>0pw}N6i?N4T8qMs^3&keC=NsRfTQH&S*XErj>+S*?`{TZbuxH!{B z%{iJ)&eI*tvV|*ytlqgIjxm=x0nCmoW`&q#7qPZAx0c1cznlQC)TOl@XFX=Z4XO3T!zi>HJ>wRIcZssBVmKVYJ+w<5-|e zB*i-bR%zHnVp}R9g`%{CQRl}q^>d1#B9|~$tj)FT_`)zdPgI)MMw33-MPn*QL(EH~ z9sMpR({d&xDFu6HBwK33s4F0gt1z@`GSy;O-$i0VZIWw(O)_meW-8o~M9QC#M1_Pq zG~t}>)aO;XbzT{!-C{Cxy7c0n;!ulOzX!GFQe|0PElqNBMnp0ey zENzRXE=~)A7xMnukw8S1+ENiE8mWxb;_wm)*89B`E3{);q&eIaqRbUqCY2m9%9fgs fO-Nj8>=1pL*WRcpF1E+c$JT7Fm>Ky0f`R`7M4HqY diff --git a/Demo/PIC24_MPLAB/RTOSDemo_PIC24.mcp b/Demo/PIC24_MPLAB/RTOSDemo_PIC24.mcp index 82a1d5ad4..3f74120a9 100644 --- a/Demo/PIC24_MPLAB/RTOSDemo_PIC24.mcp +++ b/Demo/PIC24_MPLAB/RTOSDemo_PIC24.mcp @@ -2,6 +2,7 @@ magic_cookie={66E99B07-E706-4689-9E80-9B2582898A13} file_version=1.0 [PATH_INFO] +BuildDirPolicy=BuildDirIsSourceDir dir_src= dir_bin= dir_tmp= @@ -15,6 +16,60 @@ filter_inc=*.h;*.inc filter_obj=*.o filter_lib=*.a filter_lkr=*.gld +[CAT_SUBFOLDERS] +subfolder_src= +subfolder_inc= +subfolder_obj= +subfolder_lib= +subfolder_lkr= +[FILE_SUBFOLDERS] +file_000=. +file_001=. +file_002=. +file_003=. +file_004=. +file_005=. +file_006=. +file_007=. +file_008=. +file_009=. +file_010=. +file_011=. +file_012=. +file_013=. +file_014=. +file_015=. +file_016=. +file_017=. +file_018=. +file_019=. +file_020=. +file_021=. +file_022=. +[GENERATED_FILES] +file_000=no +file_001=no +file_002=no +file_003=no +file_004=no +file_005=no +file_006=no +file_007=no +file_008=no +file_009=no +file_010=no +file_011=no +file_012=no +file_013=no +file_014=no +file_015=no +file_016=no +file_017=no +file_018=no +file_019=no +file_020=no +file_021=no +file_022=no [OTHER_FILES] file_000=no file_001=no @@ -38,6 +93,7 @@ file_018=no file_019=no file_020=no file_021=no +file_022=no [FILE_INFO] file_000=main.c file_001=..\..\source\list.c @@ -55,21 +111,27 @@ file_012=..\Common\Minimal\comtest.c file_013=serial\serial.c file_014=timertest.c file_015=lcd.c -file_016=..\..\source\include\semphr.h -file_017=..\..\source\include\task.h -file_018=..\..\source\include\croutine.h -file_019=..\..\source\include\queue.h -file_020=FreeRTOSConfig.h -file_021=p24FJ128GA010.gld +file_016=C:\E\Dev\FreeRTOS\WorkingCopy2\Source\portable\MPLAB\PIC24_dsPIC\portasm_PIC24_dsPIC.S +file_017=..\..\source\include\semphr.h +file_018=..\..\source\include\task.h +file_019=..\..\source\include\croutine.h +file_020=..\..\source\include\queue.h +file_021=FreeRTOSConfig.h +file_022=p24FJ128GA010.gld [SUITE_INFO] suite_guid={479DDE59-4D56-455E-855E-FFF59A3DB57E} suite_state= [TOOL_SETTINGS] TS{7D9C6ECE-785D-44CB-BA22-17BF2E119622}=-g -TS{25AC22BD-2378-4FDB-BFB6-7345A15512D3}=-g -Wall -DMPLAB_PIC24_PORT -mlarge-code -fomit-frame-pointer -fno-schedule-insns -fno-schedule-insns2 +TS{25AC22BD-2378-4FDB-BFB6-7345A15512D3}=-g -Wall -DMPLAB_PIC24_PORT -mlarge-code -O1 -fno-schedule-insns -fno-schedule-insns2 TS{7DAC9A1D-4C45-45D6-B25A-D117C74E8F5A}=--defsym=__ICD2RAM=1 -Map="$(TARGETBASE).map" -o"$(TARGETBASE).$(TARGETSUFFIX)" TS{509E5861-1E2A-483B-8B6B-CA8DB7F2DD78}= [INSTRUMENTED_TRACE] enable=0 transport=0 format=0 +[CUSTOM_BUILD] +Pre-Build= +Pre-BuildEnabled=1 +Post-Build= +Post-BuildEnabled=1 diff --git a/Demo/PIC24_MPLAB/RTOSDemo_PIC24.mcs b/Demo/PIC24_MPLAB/RTOSDemo_PIC24.mcs index ad85eb9d5..d24f9c117 100644 --- a/Demo/PIC24_MPLAB/RTOSDemo_PIC24.mcs +++ b/Demo/PIC24_MPLAB/RTOSDemo_PIC24.mcs @@ -1,3 +1,7 @@ [Header] MagicCookie={0b13fe8c-dfe0-40eb-8900-6712719559a7} Version=1.0 +[TOOL_LOC_STAMPS] +tool_loc{DE18EB1A-B46B-486B-B96F-A811A635DFAC}=C:\Devtools\Microchip\MPLAB C30\bin\pic30-as.exe +tool_loc{069BD372-6CA0-40D4-BF2F-5DC806D05083}=C:\Devtools\Microchip\MPLAB C30\bin\pic30-gcc.exe +tool_loc{433C3D55-811D-409D-A6BF-159CF9355B42}=C:\Devtools\Microchip\MPLAB C30\bin\pic30-ld.exe diff --git a/Demo/PIC24_MPLAB/serial/serial.c b/Demo/PIC24_MPLAB/serial/serial.c index cb837eb50..a544b1a91 100644 --- a/Demo/PIC24_MPLAB/serial/serial.c +++ b/Demo/PIC24_MPLAB/serial/serial.c @@ -219,7 +219,7 @@ portBASE_TYPE xHigherPriorityTaskWoken = pdFALSE; void __attribute__((__interrupt__, auto_psv)) _U2TXInterrupt( void ) { signed portCHAR cChar; -portBASE_TYPE xTaskWoken = pdFALSE; +portBASE_TYPE xHigherPriorityTaskWoken = pdFALSE; /* If the transmit buffer is full we cannot get the next character. Another interrupt will occur the next time there is space so this does @@ -227,7 +227,7 @@ portBASE_TYPE xTaskWoken = pdFALSE; IFS1bits.U2TXIF = serCLEAR_FLAG; while( !( U2STAbits.UTXBF ) ) { - if( xQueueReceiveFromISR( xCharsForTx, &cChar, &xTaskWoken ) == pdTRUE ) + if( xQueueReceiveFromISR( xCharsForTx, &cChar, &xHigherPriorityTaskWoken ) == pdTRUE ) { /* Send the next character queued for Tx. */ U2TXREG = cChar; @@ -240,7 +240,7 @@ portBASE_TYPE xTaskWoken = pdFALSE; } } - if( xTaskWoken != pdFALSE ) + if( xHigherPriorityTaskWoken != pdFALSE ) { taskYIELD(); } -- 2.39.2