From 8b3d91fbd307203a04432bd051a00b4d0674f3d2 Mon Sep 17 00:00:00 2001 From: richardbarry Date: Sat, 27 Aug 2011 11:55:55 +0000 Subject: [PATCH] Update platform studio project for MicroBlaze with full Ethernet. git-svn-id: https://svn.code.sf.net/p/freertos/code/trunk@1562 1d2547de-c912-0410-9cb9-b8ca96c0e9e2 --- .../SDK/SDK_Export/hw/system.bit | Bin 0 -> 1484497 bytes .../SDK/SDK_Export/hw/system.html | 12 + .../SDK/SDK_Export/hw/system.xml | 6926 +++++++++++++++++ .../SDK/SDK_Export/hw/system_bd.bmm | 32 + .../SDK/SDK_Export/hw/system_main.html | 5984 ++++++++++++++ .../SDK/SDK_Export/hw/system_mainNF.html | 5994 ++++++++++++++ .../SDK/SDK_Export/hw/system_toc.html | 73 + .../__xps/MCB_DDR3/param_input.xml | 2 +- .../__xps/MCB_DDR3/tcl.log | 34 +- .../__xps/ise/system.xreport | 4 +- .../PlatformStudioProject/__xps/system.xml | 227 +- .../PlatformStudioProject/etc/system.filters | 7 +- .../PlatformStudioProject/etc/system.gui | 33 +- .../implementation/system_summary.html | 80 +- .../PlatformStudioProject/system.mhs | 12 +- 15 files changed, 19223 insertions(+), 197 deletions(-) create mode 100644 Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/SDK/SDK_Export/hw/system.bit create mode 100644 Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/SDK/SDK_Export/hw/system.html create mode 100644 Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/SDK/SDK_Export/hw/system.xml create mode 100644 Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/SDK/SDK_Export/hw/system_bd.bmm create mode 100644 Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/SDK/SDK_Export/hw/system_main.html create mode 100644 Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/SDK/SDK_Export/hw/system_mainNF.html create mode 100644 Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/SDK/SDK_Export/hw/system_toc.html diff --git a/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/SDK/SDK_Export/hw/system.bit b/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/SDK/SDK_Export/hw/system.bit new file mode 100644 index 0000000000000000000000000000000000000000..16cece4a1e772ca6912bdf0d580122ae8d1a6282 GIT binary patch literal 1484497 zcmeFaeXK0WbstvU)BV`<^vF9StfG|zOV!qc{2*B2C6|;|hzozxsg+)PJJh_QSvU3%~lVcmL9jpa1!H zJ^3#6v+6tEx%-ZHynXk{x4-j8)X%BzJKp)8C*Jj*-FK-EtAFRe|E1{yoZZ~Nu{&08 z(dr%J3s>HeJr%y=diN{u_-qu~|9bZi)N8vFb*R;*-xG&>|Kc5o$>F_y2z4vkE}&yMKi)ND^E-P~Y(%{txus z8}t&Tc)f`*K-%_AO#i+m{oma;PIt~oOB83at~l_v$9Vsu?Wo^WGkjB1zmvrEoe)0| z+Tek3eZ+J@1BogGv!Z#l3*-;qyF#!BDM+H&T>nLZb_EX}x(<5Z#tM%pTj$Lb&@%C( zH%|hj|0Wj@9-a^Onebq_-=Cs0_lM0&E}MHOv0WMYVFl=imC)*RKEFEXQOLv5!1}8C zj)x+|B{WI;p`>(giF7ddlxNrin??MhpAWtHm~H;Y7PvliZ=~8gF%MIaAHVi6Q(I2! z&?>e5mROGS2y@RGxR0HNO?5bFFJC>&!)WGw*hkB|GZcO|vYd}X#AF|nwm02K~DKSX=cQxozu}#TWQ}_^QN~pq#ic}Foj%}d_+1J3@z-GL@Qe*m0Cm1IewrGakqr1oY zwFPKp`z$_-YA~_esK3e7H789> zq*>RRZDUECbw;Uj-5c?S+zc%GT$QK_DIN=K3-#tm_mSf8P)&~1fyxH7)rI@TdRJZA z{iVmc2b=lr?SW>h)QivDym9l!jhj0==jWSaZKk-r*D3Ezf@fZY05@;mxL*=Hmfcbx zS64o>SyoYi+S^0wH=zpr?+;uVd?8aGzj9?8ZcKD<4<&FzP47T}>!>qtUCsYsz_4Fm zO;Cq?m-@jU{@lN>Ug}-fyCpcj6?*m)w5VxxpzDykcFc_g$iGUOPW~zwAl(A)V}z%; zh`o4*!7*908; z96(7oWubv?iQ!PpRV(O53vX+RsDZ7K&LMoH$L2XgrquwVxC{&QuDC{O8iWI-;R`}2 zUAo=_Dm=xwTz=@9w`%}AMi_;mhp)=0++zICRMglMv=+evp~nR-J@jD~dFPMdOe9u; z^p>4(lvcQk#*?(z!>S%6NJwdzHNY!BR$IW7c{e)k9jQSO=6RK;poOqP_0@5D?C%=js-!G~sn(-%tS601*Kulr z1pQ+K&m?060XyoV#4OV86bK(+3L!?NjeYo{eL6aUrqg*&*1E=3qdfB>JPF4Q!4RfE zU`w!{oWipJLz}3P&e9{*(HKOJMPy@ZEm!2)N9%`LMG8`5)WwSdiAEuKz4iMl4klxu z0aW||SDCAjcAEsI%pFM^hx*RB=$|Z6?$hXt!$@fb#E|X zF;+-Atm=2N$;7lsU=!2JQj^#)jKh?1I^eS!!f}Wx5AZiNS-}KEA&52_$LZLf#_(t4 zV*A$6o|LKSqq5Px06JCLrpZZK#F;uyQkBH9O&5q7-dGhrHDU)SyHH0p!DJE^I+-O@ z23o=q81odxN9w8%cu(%!Wwzw=WqPnbo#AtdBkps&9#5!H-FRnIk4cxkuvRe&_G{l z4{F6;xNxDyQv%!v?nVIiQs?}3zd!SDCxK&kCoWyF*;daaSf{BExz#QX|$cLZuPsxfgR)iLS!mMOw_c zyY5kHKJsy2c^x!HTX!MVqbQFw@JIuXH1J3Rk2LV@s{u}Zzqz25UKZfqg>u~=YD?orqNPS+Nw=!X$9>v$iE@18TWT53SUEwkWufB%omqY@m+CHxScxbt~r` zSUHw`h84Zz&Ygpsz=EKI4Sm#Jx`}vAxQuvwvUk7+a*@SSgjUv(mCm{glNr)gP1r6Y?3c6jtS(s$8qPUj_#O7$ z>x8py$APGT!w9Q`QEUUI-bICM86?dKw$?L5sJ58>k5s4(JR7+&j|r|J4wJ}Wr=vT} zHR3D*@@13`)XB15=V_7aA+~`Idqsf&y{+Ennaugfhpl2hj=F>KY~@nWEk+M_Epu#b zOW<9h$cPQ4&W?_3UNv&-IkA+iBeBbEY%?y4Y6~co?oA*?p7(63w}`-|5W8Ve0C|o= zxmVDre5}g3PA8|uY0H}EQVNo4Zd5+fhCBap z^vaOA0IO_bRj;F?V!Uy0av0J_PFparzsv-@;~9WI0}d%ybYU;J@m|MkDV^5r)! zu2~IQj=BQF!;Y?;JwLT!U_hc*kk#n3*Y;)gf)ZSOOec{$mcOF;j@oz@Ld~HlGfvo17J^Soh;;jRJy7SYx6(fzs%gu4F z@4lsEyL?^!FxKXRl@YLJ$5p{C;JUghl-GCH?gDOd`r7&VId#y)-MhOuC=S47cNaZ!#8UK7 zU8*!jN-I}Ad-Jhc61t0`6E1iJTR1Fo50|F96;|saE~x>$xORlT8v2(415-H1N^?+$ zM9^Y{*$+Kbks6a5!%7J^IqVIYPf3~g0_qSY7)ivs{41Zga_?x`79JZQ|1rv3!&At7 z0oDldH!2Teks9)6b%@8iO<#j$JWQ5$JXUTGcNhN*QyilROvI z8HH_uz?ZmC07t_?)CmCW0&N02DLP1BoA@}jEJEneZi*z~r)p$T3+z!xYmEC6qf&`^ zGKr1t*fICjVQ1Zjrk$)h{j!W9_;{==RIxQADCW4A*dwbIwguNISrx_u6iiP|!qMq$ zci#eI)DutvLX+X`RD)LokIF$37iw!b!8kd{k^*~d0a6GOw5^MXA|Lpl5@cb=e~q>^Kn7aRVGh*NFq2veTz^DCMpXirc?vdf$6|=th~#X z?U-?P+{f$P-7pKgtU56+=x76UPza~kKigGdlE=rW0@$3Yj{11tI5M%+=^kpwrsost z-SM$1(qj|$uyfbOW<$EO-~eeR)+#6pn{urV@mNYI{ZRGCT^k{I)IYNBo|;9Qa~V{7 zg`L)rxEqX5vw?}`!qli>G*w^3E3!A71fI(__Vn55vt8`|CoL+|Kn zd|GzcHh4Fk*VWrF?&tU)&9lUO8(ga3UR~}s|F8AZoB|7gFi;S`P}FB&n^Kq z{S#MrrqgX_G@$V>D)ra@dd+X|BFYjiz0!L6z0>bKf8+d(I&9`ZEwHr@L(QGv`@Kp6 zFk}EMv1_804o8_~7r*!7_X^S8MOc(T>F))ov(21t?cL(m-{PC!yrg=)mtThaj^MSa zI# z5|m}RQ39q|(pUfkfAd-he&n5Lfc=TT5KwnQ?{99))hn9yjkO^~x2Lo25Re*;U5tit zpfb(0v+=+C+-;bNnK9OMZcXjuHA@Q*lp0v`&})q_AP}50#mTO)haOh@ zOLUZhN&LQ(M!q9*z-ynLylLN`o47iRX2y8i3OtL^?iw`F+|$t1RMS0oFIBNJM0Pau zlue$G+>bQyNCS^F@JIuXG_bt}-1IOXa-0WPoC_#7Fftp$w+Zga;LC!vS6$o0nx`@I z-aGN}EET001HFnu<1s59B1AB8%o$ZDdQn$G)7mqci#_v{wG*r?J!I)G`kMvfj)duP z4%`=$CdDGOinT~2e$y};1Yn@W+fhbo_wEx11Hj!r>mXej zh(z(L0M%1hPFMk^PF;nCzWDPH!GY};6yy#-$gv*hM@5^X4;$PgR6In{yPaG>H-Oawll%Q6#B?behSoyBt%+C-who%PW|1tCZ!)Wi zYll_*F-8L0cznPGfHp`4c?`x>n?$Y1O2z%-C4NI-Q2End3brU+&e8$I97f_6!$3Cl z%R56`r~s6uV3iKKvd9$y*P!;b%@IWgC#K+{x+^FY;^O8qOtIuA^kY%jV)FzM<;22!n1?=lU^T8YQ`YdpwxY>>Ee+pxdoDmA9W0F zmu_x};KlpfQHrO{FhC*}vVDpI89~26a5BPxH0}l(+pdE_w;u$#9^ut!uU;lx6-)rf zP~n6Yl+;j_rwRzXkb#0@!RkZ`l|*a6AmPZU5!Q<|;}d!&=->t130mH6kWR*I^-2ku zGdyR1Mi0;IfkyNxZ0;G4PR*aZa3}ec!VOi+IC~) zvjVMkrlo4I+8X1T}Gu% zRER~GJWyqk2ctNEX4oRlV8jJpM)ufJJWxL$R3pilI}=|hXAzfNhg2cpLS;o zUe2h!HaNI2e^xES84WOSF|$J#1M7Sevx=b()~@!s6LvVQer^Aa>1{Qega6vsmHJ!2 zbLD{G5?f<}LUy?}Y>o(V%6DSMvVNgz>?)AwUl zY=636A8>MY&*eS-p);->2t2#<43|z8fO}C%(7dQSl9B5Id5hPvAE7?ZOK^3M`(5K7 zQtBs?pOA{RA75*Yzbt_-H*#%>GKa!hw7Xboe+jn{&b>iZ@-Zl!$q??4o)4@XEEenpxAK z^=q<&n)$kNeoJ=Hp5MlLGz#e2GqV*lu8gU`mrv&E(~MEoi&ke?(ffPdht5g!5pg->MnyWwjHIh6LXYkR|A5 z_y+f9_P%xd3;X-~Sn&E3>y$55teD;QQK6&o{Qvc9+IxGmleL6Gdpf1K*7n95Z)~jt z#+;Mj-M{yHduO+0z48*kbDpX{SLgqum*Cg-eyzTXJcYL@!L@7Gww8dEt9f}ouyw=z z0G&PXZeER~DA*<_Hxaaj`y9J4JchfN&UcgX7Io5rt?URk?#&9ccZhAFJzN5&vbVCx zH1w2)MPT9M1-%bx0jL%N#q~JnE!^3j$#FGY}Gbw4FQ<~O+eQ+AiF~i zG^nY4I27!@>X)iaN~KC2V?KnPM{f8$R-ID&dWpnbaddm=l514}F_eVM0(^MDO(hZR zb-?H6FdP*@HX5rCadF|M!~wylI58>)J!CZ~HKv#J~%WoyWz^ML!Ch`wV0!N&s zL&3N^Cs$Ei(^mM}(r_UMj7A8xuwd-~9OWz!be&~Zh?~1D{3$Mt3*eF|>C`j~bP;Gc zL=M_es2gk3ZUqZ0uM8K#idh+v*tl6ER@DH$O0-H3O9;RmYT=Fgo`zb1!ItTI+HF>?*L6 zkh1o*V04PJOp_q&PE@zo4Nf~L^r{Usj!=lIaxGJAx#qnw4}4RVpeLAlh|*pm zzGXT=Hx2Bt#Vv@^>Opmw_~Lm9ehr$A;cc6i3!QkhfKro>E;d2FfZXTr%k z)6(`yp=7MZDYG`iT(eF3P9rVFC2<=@@2F?+{OIKU(=O^yFC0#Kcm`ra7lgr~x;Rf3 z6GaUIBLd-Qa6NKOMuP-2K{y@77|{(sT?Mn1*a zoUU&^GZ$lWjN(RV2$?%)4ugwxQrDsCvzGpC;0!|pO7OMw4peYKhRVWRID&&w|HAY6 z)-+U8`+|6HE=b^Lrph1A>ihCO?nAMMQYG#vDQxQ7dG5K7e;k7mZ0)`Au^+npLzln* z`>}5p@HZ~~4H=>MF%!p67y<5?nW9&uWq3rhAGxidsnOG&^QHty_;<8MVRrV|rrsjm zH*oN-r+?SpQ+w(ags%k~;5~+ICD8bS3{`hsJymvfJzB3u?SYKie^V85>Mh6O{?uDI zYQVT3cQ1CzmKgURU%&X&=Q_HmLpM111sQ(LXn%jYLk&~oU;gqpzxm45Pwo8C^pB=H z(>=9&^$i&}V%$o-tbiE6py^Y;8=v1kTRw$$MLed@uNhGS-iq-wj?d2Cc!LrQrUM`; z!9|(9xqaKNxxw#Vf+t?(H=QV8XwleR`_!kVXL!*6o!|J4t5-{rySQD{qjo{G8h$o| zf!E&nwb{%T!1f&2r{JN5j^Xv4XL8thTia64s~#+9ivQBDFzr#HgqmtX;HL)A3@E#BZf( zBDo2bV^UQA+^{TUJQ@d9WrYf?aefeKcBd`8jtGur$OWZcfC-)L8z+;+C_f&5V4Pm0g#`Nb3^AQ z@uEAByAY-tR{hJNkPJ~0qYx|kF_yZRd%m&evSmrV9-KDT)A)M`RUVm&8pN@4ml%l# z+PM{qfly>HdRkW61+l>xtPh_nP)uW>^36ndEiyAJ878ePtFC9sP)^<i`M+N(<*Y z%K5Mm1%P+QYh-mZ2DAfWM`-o7&?xU&4Bp+HQ%F^)dA2(;zk)rOjloHIa6v}%-+54l zAGnq8xzT$&M|Ht*V(8Hdl2-KjrQ5q|ckO5lYj)?Yap#dHL$(||_Sj>tI(x(#`_k=8 zx4FEyh6Dq%b)j|Gyab!y?W`hVRIH|(7Djg-`@TAzH4dk!XSpH@SVK4$w1?4|tlH0E z=RJ>o-fo%bo%wL&+};URbPHV2uHviJG(E$eE8yze(o)();=6(NO2`RRu`W{ z(H26x%5a=qsN6`a2#Lo{-wI#&!WX0j7s7Qb@dH6p0@ty6AndnbiYl~s?T!6uQwQhQ z&VOx-sP~EF)~#C@gYVDPWJqxBKCy4G;WJ@^b~mq<*t82{`{7K% zIY|vh2X(F{XB9Iytlh-93fCLy3Q%z8wGB+n?y-j|In)EqtNIm?*1|oiu%3E_-K<3` zNO*&DWdXf`Fc@N>06PC{l_(ao+?KlFkCXSxa@SW{VVldNsva|}OsqA4xrV3#thA7h zZvP}6_DhSdAwm+cEhYJh8pA%euG|=Dx%9YyoPGZsY7))CHv$`_v?KR1RVGPrwvE(Ma8AJ*n71UQMT^A&>^lSITfq`3c_i<+u$#WF^1?Ct#xT0y|HUt=w}U8bSwdZ9E91lMb)* zyHHn~u>$A4GrO0h7F%gg&@8$wz7>sC)+kJ-VHr{S+%~i^V@*03Sb!Me^vPS3GYC);s=)dVHV#EWg|D@MbFcBMy|ZX=8B*imz5Ep0l(7vO zNsM)v^bP7l($(xdl;y$*3?8tgo2LQp`gzd(u!qtL zv9g@o6gytHfg;8dw6$1i?k4savYqG?$OYwVG+w$m!o(lAkis^&C0UqpeH@$G&>mnq zwUhObjhbSl3LEvJ|W^W%JF0X*s+$MA# z2&Y-hI@HIk5zCHgi4HR08-Q8pwEj{h17)A+Ild)I0@y9^Np*qPot@>ysqZg#h*Lb?@yeXdCN=O{4kgD2;a;UvmRB2GZIJ*g z+VA-sX6Z0Wzfj&pW5$!7|1$i~$vMqI=Vv?Eg)Y0&oqembSNXA9{j+5wo$I*u*hXAe zosy?T`F-o2gq*u|YwfY>@BifAN0oIm9cTZ{or_d|E%*G)pX{U=0gJz%>aafUm9h%F zyba-%>GYNF`0~ZGqF6C^a?4tBYQm+TymYDemwJDRxU+xe&P94{TDmfxNgz?M_zMZp zJ_3kXmH=Od{ZUF=W)GCgN!r4i&BV}EbD6Mpucf3!?8 zK-IbQPnCM%1*s)!fB)vfZOb&zka{BdQwwI!5KFLh(#X7K2*-8d$shWH1%6M&MA~c9x)X z6;V+UiDkU$>CiE0hOcy!iXHJ~aCxy1VJ4wv|3V&Yd~SQN#!+aG07oV97?*S!KM$IL z0*=c27VQYnWscqvS69#Hc!;!(5h3QtBkS@IR9N=Rhmwu%aO*YiEw0&7!k*DV*e;Q-y>vGK*KD=h0cnz(bCC}9W2NZ z7=`-G?qUvq5UbRYIZ3^{vJs3yN{~e8|DhOk39rGhWDuQ#JKoe~?@*ZxePjzarWZ~l z#i@nPV1W}ef*;3r1Y2qdV(7vT5NH_G)dGNNpp?d*^^+Lm1Gp_HP^>tt9e7fAs++{s z0pb!|1wsg*s({=bf102UsTVTQq|kIb481eRASYsA=ETN%G%Qid6U9nY*fa@Jyy`d{ zBm>Zgz(JjwD?bDG-XK$Qz&<`MlzS9(A`CBk1(Gu;gj20S5<#E>k`xr91t#bh2FH4+ zZK^_u6dDaGJ?t6kUD82~04~L*FCBpIG4RY0!z(bz2AmxYeD6f3S|axGo+coO6kd`z zF;OD|@ql0)bYmQ79BY;!YN9GJ6p2vgN4P`FG@t}&l$2U=LdnA4fgn2QE334t8Ne{@ z3>O3i_|i}zAI2DjgOc|O!HU^1w8kh+MZE%~DQbfR#TH7_QfAQ*E=F@9ejOP&1sYYT zc5u`XSi5lYa=2Oh=0cD$3@=Tble{=>Ea&-{7Ma0q3{{H?;T@!18pKZBr`|{?0&~uOn`PJob80kj>d_bAP}54Y>a_=tZd&zRvXL}V74IC z<1s$))Wt^WW19g{T4)9gITS;Q_gU&-&Cxvo>=P!-I>+p0?n0bYUIpi94Ogj*J{oI_ z&?XqnYD6Pk&~1sL>P29N(fP5O;O&w@REC-E6eb^=Anf5S0;YgX&2D@*RQb>`lXBsm z5vXt|9_%kdAq|g9ss(jP4UFlk!D;$&tlePp8y~Ytbehp-xySbCv>&B2yN{z;FVy zZpB6s!v+zKr?8WL0na=nsjDm%HnrG&!6vNK^2Jjp|JA1tbh^-8OZct zdrsm-MINaPVxoy>@C2_WAVVTA{SGo{AIgg0D;x%efii;|$335(#+cp0dFsY6uw_76 zJr+Mi_Q*67OjeEeJtyx+xNsh3a{{brP+tC@Kl2$>N|45}L7(@Jsul15;Q#o$JT16} z^V{d^M#5Oeu1Vp*d!GB~J+F&VlfV4(XZ&7Yl+P>Ezf(!j`v*_HTob{uNrK1zxJga- zSHIejKyI9#x_WhY_xb0uS~t)C3@NW(y;aRyOf5!6==Za#{K=2}?pqj2D3n%sLwn_z zWN(k}Bj1`zC6pLZ^sUIR@XCV|ghd-mFEmtMoz(ZTc2;sMv0PH(B-Wun(!;MnYo zS_1$0UH>a_0vZym8<9|g-}ux#g&%}^9?r%}_SMf_l3n3Hf_J((hW_F5t?EVC^m7Ef z2fvct;|TZk3@2XTEhTagA2?2Ei}`h=mkRflq{PPruOBT>brq#)p>kBUmuNEy z4wX8@?s2?OfXgUD3F^)UX!ZC1t3SLq37%S-M|u@}G18SBQ=D>_riY7fTtv~8#`~SU ztOIDE;^1`PH8LMh?W>&uU;ztnQv!G`eo%k|a;)YODomRWwwJ&*B`}?Xn(4Qx!`!1> z>2myX8MOw(#)H=kvYGQ9UGvXJS2H+wKNP+OCORv1Rd9*<&WH0o08`INrCkbrjRpz= zO5Q1+6Bc#Th0n}NI|i6hLv+R=ZU*Jd*>GWX!3E47oCBwixQvC4;?*LSokEbgm^4Zn zhDJ$4;trHXD@lQ1%P^mPuq`0Rd#x(02yq@5Ih!rwZADUNVkI@#zzHQT$SbWOF%Swc z%d>dJKkFsAm@cFxuk%&fkDu1D?8Bi;0psEiwMK;r*u8~WQA^NbGsUe;x zBqML==2ujs4qX1U>=fGR4?C`$hxkq@Xk)LLk}=qo*QMxe2o;XZ(B!d3fL6L6f$ONI zC%Fff4u;nF5oo3=c8W(#_{Hr)g+vVYiiumq&nTcn5uccOs(BykI9B#}enC=477FRI z*R4QRiR#j*X%`%N&hPG|W^pbya&zR5JdZT+NCS^F@JIuXH1O@If%i;;Xp?l| zIoV3njHltz$}T*qn-neXi1S>^p!+~`E##z;o|`!4XiMq$QAF-UX&2Q>42~JvAqCpv z=S;fj-WDtfd1ciFYXJteW-#Md_s|xI6g#d4;acewR~?LQ+gb=oo8ejs%y%Za$Hp>Q zol_?XCVOKnPcRZD;W&dTkkSlzx$aL?Yb_F?;*tb9&?cmVWv5vL+JF_hiSQdP|43h) zmH;P!$(D7lDg}*rfRO1Ti{K`*5C~xp)7GRUSk+4J{B{Qx9}v5 zZ+>bfqdU-`ooTUlAv7|G;lYW{1vtdQes95ZR0GZeV#i)%71D>W(kHf?1_mofGUS!2 z1MI+rNGH;T#20#ltak#jDqBYR zuqHh*gR#&eNrS{8Kq`KOOY&Y6m!JeOk;wsBrqr`_5zZu1W ztkoT=4?gWy{XST&-BqiA-^p8*_t;^DKa{<_O1XH#r&{;BCaZbCTwo0FsbU<+Zr=}b zS|96(9%kQh)fMU+U%R08&i8J63ZBw)CJ=;U+iHQdumK-vfd*2KUZ9 zSRKv~I6{2BHmlB;u72qQ-}t~cxI*aZWJPFs6DD^tU-mRJTk9Ko=9_o%Br;-l&#{4F z1#~W7z5Ia-AGpx{DEL?KF0M(vVpc2F6IS;8%3?E+Cy|N2T+)mqtKOuw*9}(*xzkqO zv_&t;tuyk?+FTXvHM#I>S3j4)@97u=dvGP@RqXaH(3UMv zLz*>k4J*|Mu64jYx>o=a2Gy2`C_-&^?@Bat|_;0 zJ6-(NDI~Z>g0lQKC2bG8>Z*5&EnMa>&hxdw*Zf+09lE!_GqroRZXbRMudu7#TmMr{ zaCeTz9noa4JJ@ZL0GhI;r!#cys(Y0{x_h4IqK!tPrwNw6*L3Oc7_n3@E#(MXOxqOYK_7NWJG%$WrRueIPafrl#ckIt=KOYQ>M zigL`Ch@wXh39}RzI%|S=b1PhjG=itWm6l_t|2S)P3k3!uA1z=z6XcCNB1gv3Yg|4kq6;LCJc?l4Yxb7$~ zP*AA5JTgH|&>bx&0$X&g@I^1U z$EZpfS~xROlkO3aCv0OJoe(b@hi(i$z+^th*cTTmhG}!`-3;~LWBMSnir{p@|Rw( z@oOBAV0CDDb$Yf@0tir#veXr~FZb51sk;35>tCu_vo^Gp2df`q5t?%lo~PY!OR1bQ1WNNEXQ`!7DF({JCZ+ z;dM`8hY$S7=zTHHqTlbR_4Z=8ayxIA&_M8PXf`0%ukrm>B^bfKOU%g>*VTI& zF8Ho0+E{qpZ~yk$Y9)B0CEIJ6CpCZaqaXd`i_g6HqQkj8xIDhOj#Szb?v|HX9K~<{ zHg>b#F?cOA)q^}gpaghp6tfn~5?ChzYJqJ{XL^3N=4NP(0^}2~$}!nDKmC=je42WA z`Q@88^G_aplr@_(D_`k<`u(qeX}h~o-IWBdKEW9`eC@2?e?Rr`%fEc%#=%GPPx|+< zKmGo%^e;dD_~lhOsPX98!X23!!DhAzT0G8d;^DT=ubox-oJTq2Jgy2_uw1K+mcpfL z7J}D$8;^55+EB_32viB5!NsReiEB03Iw-AOGY9$FnYYa~d$3hP7@@K-Lvd{~7_E_; zg;;Dy4StKT)Z1cb&|>nt4j^B~-ULIWZW|@<^wXHhMwdq`9fBVhh6p8^8$$;Q zpimkq7G#7mqp`#)J1BJo!Foo#G>Y2AE(u_$Vl`2bb)^MF4Ome@4&0AH!j5Po;;yZ?nn;3_B)Fl3-+U35(GI_YY#E=b~tvp~)w z=8B?shkP0GI658Les+@ePw~1SPCi1uwbIZ~HEfcIEk=anquKSI4Xz;yGyy=qVhn=N zyI@*Q(n<#jOAmxVPBM~!032}8Sns$uGzb7o0zT~0)sCI`ok4Ybhy(9x+EzSPS?HRk z0+p^(bacQKWNa186Wj}^gzP9-u1n&sFbHw2gC2o)6||-<#Ca9P*?5-^{qb-{-b13t zkd89Qoz#tv3l1Dhtex{M+5+1!PE^1!61%LX;KR&}u@ZvAaF6fZ9(b z2n1N!CeS>wC*4zf0t|eag%y?>AD%;ygwqDNVsUO~ig-a2R2l(z zFWEI6kOArO$8~Uw^K2YVpkn;ARY%!yJU%_eE3+e^(+&u_q~mnnO1P%j zlC;1Du4AKx%iPHLP{!Cp7FR`o02emQESzUGBrA@kpT1U&p@oiEf%dVp51qF;h?!l> z>v^O;EN_*xciib!bk@*}adY)xrKVqY%u=T$;P)rXG^m;-u{-KFEG%9%;FPBu-XjvQ z{8%Or7KUl~V~IhvO#iFpgjSE8muZ243S>>WvYR@c(HmvN^E-eSKr#!#hKT8n+Rv{( zHN&=Y``DGe$lvpD?^aHKXSyUoG`;M3sLPjsV4VbL#FhbHUw$&i*O$NR`VU_H+)t{P z>d`$3z3TNn-JgATnW68hN5DIZqgge**_=m-7AmVSyekRn65o4lCc%{}FJ18x6zWq- z<`U*5@L8C%amL&wd=I*oeJ*f?r~S2eeAa4iHtO{+J%0JcXV$%k?AhOi1b@8un2$ZP zA;H_=bFDTUUwZxW&Iop)ulfp*uoXd7GY#7NE_4|Zhv_+`y>D@W^ELF zJU14aN?S6!Wlv|s{m7-MPRhDt0SI&YhX7j7n{RmGN3Mbki~xVkuAH`1<3_B9aD zhD8wpqR92@!~?ZzgV86JSW-<)Tqxb4T!VfAwPEaCl|MLC!1VS^Z=zuc}v0N%w&iJLZb}E zukc~u1`|iEsStV75eBOmtU=O&iclbVtl|;`c!@Yh`uxQZ)B!2L#=J$$djf!btH?@?v@(U(!7DQg01n^==s1gh-l=`1>zRrZ+#-P;S z51{Y}2_6jxd5m%&phTiv8Dmsl_Bb33`X!LiLt22I8ivgw84hLFCs0p-y$%e(sLdT@ z6_lWGex+GxvIUtal^kKK_XuB2#>)MKcMs}miRAE8L(JA7S^AZU@DC9I`o%gxsZItb z$jStm3Ze=8BbX2%IIX(9x!70+uP9Gxiv&IzcBw$OJ>AQ+wfV8ax22&d%QL6wh^_OZ z=2_x_#D|X6X%B}zK^gU%_oN1ugEh7lrU$mb9?&gDj)1Kt%U z!4VuK&oaZQ@Oah(t9S}EZA_-k+mnR%bA2nQ3}fpHpgXw0zskI=;E}(xv&#Rp8@=Q7 zDrpijCgDcu>hNhdzP~2+)9Hb;)q(7Ee_CCW@jp(lLQ1ZPT;wZX`0`_WxAtzGKeO}9 zIl9@qS6?g7v2*(40G#c7>-=nI|6l&SfBE+q_ROo#yvkU+yH{l#hT#J3i#xJXe{1jg zFZ`o_R6OhWHU|97!9U%%R9Vr8MG4OK&-N*S+L@j^3C_=71@MGpF9E|AC3t@NtVrU9 z2exn)1dCv0r`PtcX&k?`B z|AJc))h?Av2UU`P`)}{iUftQ>-`jf?zkMwFPWNu% zoZWqqUeq+#l{KF+-1~x@{;T}qK%v7x_V#v4{;Z8B>bCF>#su!>^H|)`D*zSa9{7d_tl_r zCiEXR&WP>~$|6(mDPwSG!t|%8&~x?JwBEOTd++wjF(gh87F|wph7||gYInx$?CixC zcg`30T z+)QszZ#VI)+&G7vZ4=;Ih_wqPG~wory}eI-E-x>=w4;h?am$C(K0CX8 zcKbHQ&gJ}_+N5c^_aZNWe0lo38HQIZZtm@UsWo z#pH88h0ABlm1c8)r3*)QcL41~SUreb#D(fdr1b9NI-H#=ayIB-ECbjzAh)2g@&i4D zbH4^fKLscC`@9P)v6B3|wL5 zt1T-oF`epNNFBaU-zlL}o-jR%wuP$OIlkI00~TlmuNOaV7^CS{0Tc7Uf%-1l`bq zAebpDArvV@Y;cmp!(fBGHW5f7!;uum*0>7oxq+f&R06;3Ox+WhA0BR{VL@RU2IeEg z3q%2QgiAogX5Cl~0fUgF2MmWT;+x8!d3;ropz;=A^nN!VVs>Gfpg0*}GqOA1dk~Cf znKF}LGQ>&Ss!APu(WB$27?c>4M}cH>E-ecm2BdR1-ySeo>|{s>7`V(4(1U>thBCxk zj;&xL@s_B?u)d433jEeia}VUeo7I ziCH1yAVPSIZyhawfqxiqzO$5&p_pQDoEr9PM1*}c!PrDd=LnN4#f-4&iffN#CDu|1 ze5|OG?nyU3t@(LEi&oQOjU6>yP>re@MiZPREeH{O7==1ThDIu}*pngTO+znKM8CIN zyW6CjMA?b$Ea~8A(9byRSIRcI!JT1M3*u$nAvZ;tuxp|eYCx^wbWgNEEndu1%%NEj zH4IT6$?Qmg8ee8HDgo<32^>em9s*4B;0n|jtr{C>6&NHOpw{T1@KiJV;84cQHNyK3 z9sUAr*$ZA1R1SxK8a{spw5O2yr#M^)w-nl;V zp%2x)bz=NG&&j2?%_Lx5MH#)BFk1nCU44F~AmI^$%9eXb-MHZ*O%JCi@)>Zy^n2d@ z?)MP$^wYO)-MF!T_Qu!0E@MUPB)>YNoVi|q{qrkD5DBoCV@B;2w4+4<7z zuYZXnSjNA3V}G9`*?-kLhp&4;Tm@*Jtas1bsOU4ex{I%hEZq!eSfma)jkp9iZk(TU zk2XiJ47_o3f1e}SFF!V&Pk$+9JEAC*GM{Y^Ll3G&2X6m5(|`5Vy*;kHPa(yvy{}=y zW2dvzB6B-;k1bTOPJ)(ZzW@IB<0*pk(r-}$?mn1Fa9-ZI1YVM@Yzr!0D?zUefu`}h zCm0|$S!i5skP~U!LWt9i09(0<^X>|Dua-fdtJhL);M=TEx*9eRr*Un9JE&O}DcNRP z6>oVN5N`I4ph}P}fu55kTMDlc(o4|J%N{5)U5Cva#mos8(*^XDN@0O{WIRp+)*Fjm zC+Kg;X0OromE>S(tOQn}p@hBaap_y{E-4 zTW~8;vf;W4)hfvh%0W|WOpce1^hm?To^6@{l-L~uiWiy$1Y)9~>jLEQaG0l&*Jxaw zh%p!=X%A!u4qM$Tu%)iRDyw3H6Hw4dXOdMCE(nVCe%2Bbk{XaZ3uX_iLnOw;$%uKz z{ZK;f0P)cwqk=k-_v_L&l7E6VK zv{>!z?t>6*R@gF@+r zNr5L+5k{dwvba6*ZbGigqF7zVjLq9^4Y=SIqD)q}>zIcZWD#5)4rpJK=2q_53ra2C zxpgGxICKRMy?5)0ZndQa?2dd|3#`G2Dk|5MqLIKHr?& z>K(D`;ttO6YI+6F?(D1Yd(8cwdMb7j@GJmIfCI!l-75R8BzTgWdu#CTc6)oaNc&_> zv&un=moQd#C$240&GwluhCC#HmR-4GTF#JsNXa7^N)WJpe{j5sAf%N^Ib>&s+F{|Nd)&`!5=K7yb!#` zn^s}|%~>+CkU`)MDpcFdqGPhX$r9j`)UAEfmL6v3e`D-{LYK%jR22^wnOuKVd z4-p>OP?HQVr_v(ZWv~uaCx8`tH?|KNw7TJzd__`gn^>k?RtTqqBXwjUgiem_KVO%^emv;~sQU_g>d`CGVnIbd==NsnvVw&`iOSK%8ZOHP9-$h&}9 zW{WVaE?8@Tlx?sI-=JM2G4%>A?+z9-MQ|;)6uS7FQTs75&_Lgz)6UTw@wtOA=%gYX zSXf!*R!WPDGKw?qS3wRhfp=@n*4~9Z*qH5DS>KFoN4}0KSO{G7F9dsUDW$hqrap9g z8!P7+wWB5;R-<}&ju0Jp`~=oT&xwBG1;pjwOZQ0HHANHoz}!3+?ahTZUxGI`|NnNS z?%yiMcbs`@dg1NGS%G*qOW@8`FR&W#PHdl7NHOnyV7}?C?1Ay#lJT1_!Ipx4Ta#&# zuGwdN_~PDERl)1we>kl{Ke z*o<<2VTcaN5WiAPJcBDJykmpiE-f|5YdbJPCjIVwIYwHcB)k#aQc{i3^-iaLnk@-d zg;LkE^{nnLbf)Opc%z)LiZF$=FdUu*wzyn=S^~B}6`%>F^jQ-MOu}%pw}aAI+F1|U zl#8B4hQvlj_#UhD(9@Ces4LFfvhoS{RL^&W3sMFw(Blu(Z3V0RUAv{o}Q5Vz+~Pc|tG+ti2a7t<|tC zTp9))4-E_s*uWkroEcDrqp(E7ROF-Pwy6g$$zfKly^D?H4(HeAw-exAM(x#-`}7T* z70z{dYtd2{#Y@|zd_So6Zhz)mTzfyqYqwV*LG>o@mHWYaJ05QB{i(QKdspg`!aIuF z#l9a@Tzh{iek$hbJJ;TER{Ev$uRlx))a;vOek8nmXE}R_>bf(*9#Q}QMgv+!kahIX z(PU^l~%Bo>#(rGly>3XSxl9x((hX5|o1;xYHjCf9(a zz(lDDD?c~{tY2V(fE2Qx>F;Fd-`^_~!oX*jBL@fa_HpH2V;O|`$Sq`Rf-34%Y`$CW z-Y++bm>6bnWGb3fmV}E|Hm9?SM*$rc_z_&mj`}6eN(!Pvmt4Tt+;k97DsASfDbsZ6 z4(*WVgbNIuMU$~3=cSOVAcX|XwbKCdErdxN1z1nu`?{zb{2>9b!G7Deo7EuFvJ#x= z!cU9YZbWnY8=wV|yO9$_llQ1$@{QOg)Gux;WCFlg1s!nBa}eYT!!F{3v{D)0$V^7q zQXZzYHfO|(b11WrJ9XtLJiDp zQkJ?#h$Fx;Z>ZiU*h@kP#9V1m1iY`%!&a68NsGdo>DKvmykXZNXhq1(1pS zFa}o1M8nUjwcRKQaVUlQM7II;3MIu&4fRv8Gqedn;5)*Id zgvlwtW{D_`_jZ~Q7u|SGq^E}w(Q=lGsJ%hNQs9NLw2pdu77~;=@NImHL2Xf0gS!BL9*<` zAoY*&ZLM!ChsfR;llggclqs?-@Yn*hKH2X=KlCq5+KITlYm*wc2~W!UG0#sSH7=bl()`(c>Lju z?~%9AXFKVe4D-6_;#=m@rowh7DRPZmo>0vQ>)p@aZhrZ#rrnYYK76o5QM%Q)z7 ze|NeoTSLyzXD2q){MI zWLw1MMTl@|I;1avIt{PZauG>?1*O1iEn@3Pivi1iqe>uo z0b=yw&A<$uk7p8VI58Z+k5+;*qltvlfL-u7 zXOArlG;rZ4f!*uleJ2l6BILj`l_82;K5(5qY6e27NK9S>ECf%sJg}F8qY{NQ5Uh%T zKjQgkghI@j5tE09<^H}8Y1kq>BzF+O;x!Mwk>H97%Ou!ArMIqHe3LfArSWq-8N3B( zM-$t?YRMz&wkcVz7F}&zro%U3RlhK0G)$werlKRfjEq+wU3bkuW;}Z|HKdiX0no-O z18tN}Oa!ciR6=m1SEMyg`I0=yIStGT#s&vNY~&Dp z`mtL85@CcJ=#j?jnWEjHgsd=f!Sj{s2njL`Rw4tdq>hnWAdyY1jN|)w%Dt2|(5!5^ zQ#aWUER6b25T1+$O%Pv0Si6=Sl+=b+jTNgmE{&cSsc_7bI>!70@|zWK<78=+a%2&t z;_Ax;bOV{umiQ?hr!hx=h_|%5&d`;BvA}7X3}$s0qi_xCBvdCj{VeG)-wBF5gRq>~ z@m09VF+Dler)F|`(#!MHX22%50f8+$_(ZfFc-j~rB*VM!{iCR##vMBXLu;&6I*SwS zGF_2+QF=HiQe*04G&xFQPJ(O$9EY)laE17cO~<4x3Lx1U^eo;m!H47HI4sgoX(;s= zL5PEIHyhG`$ckMVMasDspb{Ldchn47?Jn6A@tDm)9_7L4L}~z#5C|VULttrewtqi7 z(HiC2Gkp7agktddhGzyv7k5+u8S?on&!yj0D0K?X+oRM&7fx_{h~l?n^)}}ihGE# za1>}=^po%?FsjgrE>(bo*pcmk?G_W6RfKMNdU~1zpPihX<{A_m0F~|nNDke8bu|$C zTD9W+v~nxqLxo-G2N%?cXUM@8IB*tC!9XSdAA9c?BS~`KcSdGq4XZ}8>?(N#>0p_P zA{NJiAe~wkxs-+0PUOl21lu}|VGZ;mVP|~C-is~Lcd)?+EjK1R+gEKI;A;77Ksf81 z{UAVS30gxS?tze(S(+mVNIon9`LOq3Ks*qZ2=QTCTCONBM_c##Mr2lHRagI+;nK3` z`E_Uf`Nc1O@rz$XM#cYZLKwA&K#kt1Fyy1N;~n>f!0pYi<^S;Ims=v;G}XOr+IvFS zE)~NydRr`Rw#31vmo7Lxd1vp&ul?GV&!??XpY<#jFE1X3`?F(ME5YbHxP{|-@46>j zdUYd6f|Fb85)g8#=j8g{4Psw$q_P@QA;8PZLX!lRoQuWZ`8#*+^m=#ie*IVfcB1>t z1--kyyTP$$d@cK0_Gyj+&wYh|NU!$=XloALw~!c;bO6dI;N&n7YxMSIk{uB zLq}@p##^zL1X0GH;LGLR5?s-2xHP^Vv}0yQygyXaCNpN<#j5y5*$;j0W8o}$_+B{S z&5F2diel8TgL*eOn=$ifVs+)sfEd@zGaG4M;9dOe;>O84uf5xny!Ex+51*Xu?HKN* z|MbmIFN>Ul;v;?AwD2|;2Jo40ZV=eP4tIgK%&uhr?9X!N`03vAKEj8eHyP}>`(FB7 zrHG!9VB7bUMETL+mzkmb@h221qp!IZZNdO>0PZD&4wz}0^32NF^{R+0t zaRR2KKE^P~aLCicO9k|8dk})R8OxukZGr2!Nyn>?kvjeaHngYuQHY=mM}7E_$mk{7 z7A@N~o*Elvv8hgC&ce^Va1q4VwVri*l}f^^1ApZbQ2q;8ilNNJ;Rs+QU*l>VXl%*f z52d8gCzn=*uIR!>h*Wa>SVbbbHT6j@K1o6t5Y6B`-&nB@xi9K7U+4%_j=6PQrLch)BR4P45p_%5>9($c3f(`2twF+V#2~bk zsscY{iUFf=8m{S;bkK^83~r}{GTGtbYbcjiDS>0^88$7sQ{EiZi1 zv`I<8N&Evl81q3t9y{UlMb@&^r!FCq&{O5wB2jZi^*F?n&za-QW|r65nshRpxxi5P zbAkL7DWQyWYCgZ}f)=P=P8YooEW<=wBa9XUQ%hhTIgvd}1&+Dv$IP}nnv6q{a8_lPk*_3PHNBfh*q8iM( zSXfgi=yPc5Lo?1uiubKD8oti$LSE%| zMiV<395a3C_H#Q|`bVswPNzoOMdn3jssR&Ml*p_iVeWM2ZLH;LAayKw&*qBh$}7}^ z7>z6Obj+I(ud$<9e{!&I3SRz{n$MuMpD~Lv>=mOvA5r&cmXhg(i2EKT1K?Y?me7gfngDn8~czxPNeLDVg3hJ=Dxy83>YTHaVIa$EPnc zOEX3J+M1V<(K9cnex0j^k(noUUfDc5NSMZ&*%p1SO-B!@$0)zq!-t>$d>em{rPLrn_+=dYT$>JeiEpgEw|+^W zSS^QhZQbOivGx7kIL7{m?zu45zW@H+TEA=eO|S0L3f;HgR)35irS^99__Z`b0(4it z^;7aMXy0=9JVVp`7{DjJJ}dH{TKv>cVOhC%Z{NLp%Y5bGCv}?PbYsd$1ZUvxi+g)F z9=-F9%FR9I9dSsYa!>CrzT-Q@*z@e}BEjxg-uk4urTL+o!e0z`??{5{*EQZxp6rge z`UcQVr7&t)d>3_rd$F^-%RJA+Yy7A@A$;-14Q6clY4d?WDraz+>&dr8$3Me^HYKH(Q4L&p@K{lufxLq$Ix6DVOB_1jaqFQI5X>`1M)1rWQvDDjUFKt&>$ zm^F^kW5ezN+7(ggTf+fj*Sd|^AUl?)#Iv-rO2)KLNEjvbouuK9WxmLekOo>;VC_Nn ziETrme5MTtE5DxefiKFkh~pZ9+!T{SZZ9UaXC!ComgacU%Fs{j72~33X==36A{A>m zQR31gH6;$A!)PAyd|Mr}5Nd3+R;D%%=9Ujr8%I;7CXSx5=@lgCGB(cUHmB?F42KTM zSD)^opATSVy?mBd%GAT)voT8E_Eo3jOhY1$fg%<8qJ)KIOg+D&?+)U(*_wXuVy4Vm~R z^vL%;sQ4CQGY}(Dem_J*043`A3n0sf+)6L{jZrbx%ync;lJ5Il+7;1uI7%ml1jzls z@5lIkbL^%jogf`wm^OW1Fgxk?&A8{h*KdL#TmvYt;>b$vgd`o4N>x=2=bo|Nl$i!q zzKO3QCGJhIRW(7gN7W#)NyS2CI_=XR>ATGI;UMWLj+7ROCOJv6S~{+^NeTLqWmf{A z0-0tB#lXKkGHG5Ub7!zb0EB^6qryph37XAJb`WQwV+fjAw_j(XC?R`A2LH=R`c zu%Rbkdu~GM^&Cxnj~dtKJ9omH)TWp!0_Os$htr72DnyH2rJWQHZRa#ogyJ8aotZ0*s18)k)X$A6H z)mf+ouuK#}Vu{>!Vk+w#E8l}*#Z(NG)7h*gg<=Epu#%k@4}#4~w=wrbawqsrGm6if zm2YlrgW#G7ax;tj*YjqFdrr(|#rs~MFmdO544*2_IiMddfoFuVT0GND=V9H^hrnR$ zy~cOHZ=Jq;J*@nJs?Ea~x(Bp$`g)p1D<@{}RC{)%QS(C|T<=)6#El(?cHTeg>=Lei zv--`j?pOz+C?pGKr+cS+*EM=QsVYL+8h&RrPjGrFKKeTN-kv1*x)?kxP|3;@|v0vz`gz+6eXb?u#$J zcz2g|*LU8zbqhgXpZ@jj>BH@_i^Z$etChyhyaoZ3^4)}l&2K*XBXa-7%{RhmE!@A( z`tO2K_KS?oUcCO|QiAm{^6lL_ckUp8BrXblDgAsMnEURdpW|zTjFCUem%}wy))&PR zbN|kB(dNtIjIG1U_+Np}*tip&FOD-Z7U?ytWhvrc3+R2Zl8SbHcB-hi__Z* zY74;p`+rZV{94>M-b%8Jd%AR8;`s8H`9i&D8VOhf-zoubVBplPL`t>4cr{9HYzS`+ z_QCJA?sMz~8#I7Nk4_g_gJ0ZUgbZ$_ZW}4jF@p}lTW=E;=%AL)U0~_i?r2(+Rsz%c z68rWDYE&Yq+314BQ#XhhRyICaZbLhu+8lMLuIl84S%bFeuxr@hnaOm)sUMIn&;}CZ z^w;rkp*{yyZw}2x$0tE$Jr2~5LEJX2Yf+YO#~OC!8LgaWT=f~u1&D6xu9MNbp(Z$x z8K!hz^V@8(N2Uyz3cs)_oJbMt4*fV@ZwFSt=A*IZKQ0p3uzcEK~2Ah>tNKtNw z=2T!P#`CExOt01G)BUr3DI%RI;f1TrsOrOkehC|y=u$O9og+rp7VBJqO$!}oi)w8Iuu;V- zD`BG!+VIA1IWVgTCYl>0>)vragfU-Zo{m#nE1#BG186cvt$Gawsu~uqDT1X&SENr}Pc`sV15Y*ZR0B^n@L#wF668xv-&@QHzmkbppTl4Z96c3L z1n>y~Ei_mk{Z;dO-G+u=<`A}wy$srPu)Bs7OXvB8t(Xs{Kf)B8u`QkY9ZkQ?+Ij4W zDbVrcEnSLd1+7o=&g=?*j!QBs4dq`QKukbbCbKsovmy-O+H?XfEMn)e9eQ|afs-cT zuuHcmvy8UnO{mlEBDQ#ig!jqWILaY0)}e#(OSgljxAZj;QVFI-C;5GYyv*8XGuxC- zaw+Ca>KA0gT4VUf9aJi|K#kB2dq%klw4q(eutm=}T$V+-zR8?3&MGdpxfuEcGhs>c zL6xbEy)EKN7*FV6Bs0{}V4KVbu98djLB$uR>n6sf-dO$&aUIWPP!0zySRJ{#U-ZMr z(^Jy16nJDF%)pNvANyIuuEN%eR}e3leb0IZ>GoI=vxG8B>60?45_zHjX?*EH(n(HJ zn@wyVk0Ia!aO4F7r!uG}m4-{EGE*|n5GE80k-c0)Rxoe_v6U$MXhCn1uQQ8_C?Nu) zRaSp`eEIXhHW3ItA3VA7q14uBP-7nDH;iM$mI%0MsY#GIo*105JnXc%2$v&qLKL5n z3l>RiBJS~hSHM>AQ&+O&nGRV<8IIWaR;5I@EKLqD7@~k{CkRj}4>%X5H@ zx!!x@hr>h7?auny{=}03gW{xX>i-bm$nd)52fkXeQTCN-bC~NKY{aut$jZI*86(wd z?)&b@&*2V4Q?5+tjk@p3)NlxE8K=a1t zz5U#nO3Sz&F#MkjV`mSo8TAj{VZkh&%Wdr)a|a|CRGt^9nRwhR&2DEh;biwf>w~@H z5khNqFSBZdbOZ_@{UPXcVU`@* z-Zd8gx-9Smp!t8Gd#$jc1SJElDMnZvjFj@6h4q>IQ%>X6oOFG(JYBeqfmX7mXVbAb zz4-yNh$}GFtL*4)pm?F~E$*6AHv2V|rVUxyi`Vad3}5zJ4<9}}#eoF1IXQjI+iVu6 zI_ntE8m>veF2P2Euv)iDcjaoXQX90oSiG`W=$7Y}0>K`(?oIm@puuTx?J3J}zz2XO z;(5v^f{to=RS1i;n<5efOvDE>2?X zzsx;JMiQ(Ra@V7`zWaBcuT@6(%@5Z{ym9xM!VIeIw@N8OfZu%Wwb!l#LG61ZEzvE$wD{7O+VJaq;5z+j zvr9ZUX!J4_!R}exMhlCocQ2CQ zE6a+ql3;iD>Jo&MZk~SeAMF}rNqRvEu%!0kR)XErUDn>N6G|oN0EOHv(XnRDE42F= z*6hN`+uOHUcjpM~;_l9}K6fH+cOrq*Y=Hy`fUwRi2g`MRvwK#8)p}qMB;3f=O0c(g zacMwBc7%Bmv}OjNpTft3f}pmAQVSb<0c0 zSq%#-x6aN5WWZVVOsui&zR3z~qdD>`0&x{pc@@lpiE&UzmvZm5ieyZKiP~WoD4R>1 z@EuS;H6@0IodMElO*%B2P9+@FX~VBEO#^nms&`?bY$~c&xS3J@^vfIL;#dNcAz&R( z({uF8pbH$RjCdpp9GeNQzNJYj`y(z#ap0YV;%zNWW%wbd^FYTgkcU|Gr-FDMi^n2h zcBo(EB$KGEEOKrG5`e*kAdLh2AqtG>{*nwKgd?EL2r6GGj>3n0<*JpiHw= zNmbdP)lJX_sFmoEbeqZk3`!}TuQw;6 zCmFCBi)GZ1TBf??p+d?juv8pCC2q2MG(I}E)sZ>Kv!U$~(<^StAD|S@gE?v@OciKS zPuQ6^DQ(twOdYUEtzXoJb9~8=B}PH&#zi(W&IOI+<}eY~q`{;t^#Ww-u9ta4N;p8{ zzDN}PYo<+|$tzQ{LpWu`Y%^yf+k(~3RI@|ep9~A7Nx2Ss3B9V)xk(1f$_TXv3ZL|7 zR~)T@Gb-AY0gRoctRg~`=I7Rf-h4Jp)7d^d?Pke# z8i(l|C8?+;3PQG25-KgDW+5Tz859YlrunF{rkdrVya+}|$J$tXtP!-%uX2iUZVuS` zcQn@y#G`S3%#0F4B5%Cmzn8nozT<{0Dp?sA_pvD$N^ehcHg)mN?A5tfd4TIQ= zQV(I?qzt-_jX?Qzr5?bm8H}mcQQ%^pg!Y?;%JNH6Q4wZU&AnEY$>!y;qFfB= zs5)TQ9DRB3OOKL|_N&7qf7m;m9}FwQv4XjFyBg!eKaHa&o0L}1TeZ)Fq2(gzF`H@` zM0-miIzPAL+EWRfuZVatl;fkRR^NSXEK+dEw6CyzbyU?g6B~0|jVET`f|__(jhJAN z0Qv_o+08vnJ%X~gTH9-LX6E!OckIT;eK=nRgT&4$HdDJ%Js3=rnnN1=z6|CEBR-aO zkn|_je8232&zKrP?@n4z0$W;iIhgL{1qCQ6bb`HaY9wJSn+=LUd7*L5u+wH5?t)Ug zGi9tS8wRv8A^tsi%{BeMaD8e_@ZY&+=AeLhdlZg1`u=uY_XxQj{V#~*V)*!sdwLg( zA7f9b?1%q+v3LgC)7|Dt4Z zE3f?i3;uEu7}otwQv6*~`^%C5`zNtKOXB|=_XD4pPks^!ZkX@-#hqVl#t30dvAasS zb$t?$8wt3%=i?v$edF7p|AOts;&*@rzl-+s|85xk&)<0F zw`xZ1dMRiF7{Cecn_k_QeLe2+HH`Cr&f-@nt~%cK~C4{u6)8tx@bO7_mb4>hCS%vf=q){Pr)zx^m& zQ>EH-75Lk4{p}^0n%GXNUO6-TB$^JhAVCvjB>}~F+Dg#xM+uf}FLlhINIiy+^Tv)g zI<&^in6>XKJZ>8lR=z;rxPtc*!#Clry+J;pdHmnz`^`qhCl`Zmm|{8QBz#$zO18)xf^RC20(6#`9?yYYx)ms1Dnm>ArK zTv!8+967Y$45S+E*C-E>CW@zZ^I5;vs}c%Jxb2XcIVnP92i7Zx+L2<-U|eQee&;=C zX_6*N2I51- z3FEyCcbo!N2N*!SUV_MAG9Tj7wfN-md{_=DuA z>=l;gu2vw>SkbdIXGrFl4`xtGy^D~PyydfWHmj1#WHVAs5(|UcAxiyXmf_# z;pa;AAceV$*$x2;6bvR7d3q_Yz(4{75yi0zHLN#rW3{!a4if@bDc}*Xd#$M4)MQza z**c(tkujMy;9N7hqZEWn!b1-(Ey_ZO1~iEmAXibSPE!bKs26_Z0?mg_k5bL`wHT9KAO&Kt9m;o_T>uj0w>k^0TnV zImIn-mq8oo&|5z_`PGvZNyzBRyT#>}IMyM5BzXQ1ZJY;t^zwl_8aLfq5vkXH>(;Gi zys(*SY!X(~TU_C-T1rr#FM&>>vbOv?i{2w+W8)OqExu-0$Nt%$z5Y(G$MM!x{W<;Z z-@b4GlvqD=cd`3=;PUd~mf3k`XXjnUS6ieEs78W^8V`0s5^!VGjqC5c^;Z9XSxK<7 zK!Q39&${FtcaQ*Xs=gZWur&J2+3gIg2>!nNZ~Zfq%G@{4)w+zbSW#PNfJxCMfGK$J>sbLfb!}G&TK*>lZ!%(Pkeey_%+o52mb`k7 zwWo*>*$Nm0cy%3G;1{5EZnfQ3Y(QE=PCUQBq?OnaA2c?s3=m}LhzAO_{!8#n7r#Au z$D^OoMsf?fqsEq~Y#r-%?Nv=IDoxDXB?m*FjOV!NQS=kSHo(~qg8A+)RAeQ9v|*$3 zil(TK`Tg|`J(;OOl}TX4xQ+cP~@>qhDWRm1#cOlW4y=y}<#UWBpEs4vZq0 zHT@A5z&7^EN+v|7uaIHGAecDR1@_qc3QW`~%<+ZJp%Maf82ntNVR|*O5+7s}6^W}% zGytJ^F9OM)Krgb)8aFbPsY?2Yp%ZFX`3Si!VCV>ge_AulbKaPm-ZPH`0#zmQA@13eG+^7P>6oWtw zVqK`54G(Y|;PDTcF&SieN&cqUpr(?mk+dNxi~{d$gsIEOCWhYpz>-YCmB*@RtZNTa z#@=Q^Z&&hF8MLx-&87ZOKgHCf!CW)KvZ-{Pc#@eACQOufpIYFo1DB=ax>qxrg>M7P ziIKy4ShFfPSBd}$YS7u1d$-wC@L5oPHSt*1)xcUrNy_jR3C1ffzN8zHRpBZ&{)4pCmlW+ z99)*Apys6R#-?Lv|B$adz0A9$!PJ#^vx@b!{tC71L=gcH4m? zA1u5du=l~$R`n@Vq9oOi^(-l7gT&^gt!tNZ?bWy{tE4jJ@v+FL;s{%hle~5;@)pS~ zM+EAUJ+4Q6N}qR=rDH_kL`E&nTY)>I{2{4j{#a>Jv&Jck4O;V=CL=sL$2;&9Zl*ff zERxnkCX|lyer^(9vu7jaCMx4hU!|T;%8G~;u@q5F2H=Ca#mN2GQ=M|8$e|kNlyN`2 z&5^juEEgu$ZsLzJ>z(TtvqP)4ux;&<$#lvMPu$Jz*r&p_p-HAjq38I$KM?C+SLX^~ z=#@C_XQ+pk-(*J(2r0{C_)O(;+^jyxk8)Sdl5#((#>Yijp_NF7o#)5Haao}J!};NU zKJC{P?_SN2+1AYM*kIRL0mt*;-nAHlJ(cTTV+4GOpZl7>{oYu1sS$C&V+5Ox9@BC4`jpdZUvv#BmPW;wdEZ@4Iqdz$Zz!1B9;m+ZmHN@kFW(Lwh|^Pz4`08* z?(KT<=%INSDE4lH9W25nWdU)CL8@WEDY9SXQ$jDkxGurNMJvJcsc5k8iyt3I4w*0Zfr#owen3LnA>~kaeQ%KHB&I zX}F75lb_}swW$PH!G!a%Eh^jK;gU~eGC zOuz}kj?LiOZtN>^txRgoy9+VR7S}Je`W`@23JtBpH7;a^w{qmdxF;U_WJTQ)&;$k7 z8DrAd(hhq>&A^60TlJ^bslfPCPLj;T5N%dvA0)aUdEH#veBTxfU_k{=qty6DL20a6 zAw1Nv;My>>Jn3WKh!Dn`$)qh;s-y*nZkmkaS%=xm>u^rsbSzXhIxmJoH-Y>ZOB=vm zMqQfD(E#s04siGWL1?h0{CbpjU9bw%({1wA-(dTTo@i- z$Lz^R%Bii)%yRAan024Nm{&X|&e8S?&dN_2;d6F=nDu5pL1`p8fuc8J54$KYQA$dl z3&-q)Hmo8522Wa(l&7>!0wPRWMdeH~ZjA*aWH6;2$3sORpy|eYJdXk5G01$3A?}jg z;uq5<9l01?%8~#M%kl)!?f|U=TS6=t>MWQ}1-hV(q{0`}c8LJ+{xUDX{k;Wqya`h1 zDqp_SR5%6_ag-M5I!2F3oUn+K2JyA)RL~CLc}^cB#|S_^Kq%wf=WNT|WZpP1p8UFr zi#azNKm|b~8Tkf$(8UJDg1@oLC2#&gWavsQmod0L0~61=aRembDA1;%F*-wc0fNCl zp=ew*j(Hnf6ec;AVnI>Md6J|rl1Q`8E9_a10de+NyJze=%|*VV8I79ZI1{cFHF=5pWX`=9SK0J+&xE`Ib!fAp2xFD}PX`o624HvD2ce)-mz z4=g@#(bDu9len&abD6>d#LIiD=-=}_H*e}$i*&Aso2f(C2Tne4F3n~@yTZ+$?;obR zSB!u^s`cp>kH1~N?$r=1K`Z33b+~Wh%6AM(i1~c8CcY$o636Sz091>rQe1oM0aZX8S}KVXs2Iao0mwFi@?;$=3Z)i$9t7L@7*t6@BH(dTur?+5t{s(_OJ=G;PePM|0|BOWp}Kh5#EJxQ9;6nKU3Oeyof!=l%k&iY>d+= zh9312s-^1+j?$P(9qF_yTqg;@gg>fLw3TZ!%-V^DCetyJObryu;nbw;A5~yB31Q23 z!v^n##w?Va%NRB`$%I*ww)|A8;Z2B4y0v4SEy*bjF!esQ%i9rt#+Z&JDK%Al0a%+vRX1AT} zisx~F6^&8Mg(aF?oWrtq!dTB~)z;hF zC${4cg`a_*&hqIfoXWrw?2`)9LdzyT6Vk& zA?hGK&Pr|ib?iNaD|}?02PFY|Dt{D7ES9gB`(Ul2H>p@?VMfAD5HEKo4K%zY-V`d2 zWX#<`juI#s)@c>r^e1SAA{^={m@PwuG!s)q4dxj`E8cD4T7)U0CIVgMZSb>0#QpqvAC~cUW<6y48D7_~Qf1R!uftmleVivn=unMG_U9GX*bjQ_LT6bus{@f((jqCwz|^{MY@n8u@9GjTuX(5V zcv?N59#v@t{%T+$Q~dpW|HvBNj&V=Y+u8;5=g>pA`h-V$O7A$&?W zW@W1W%$uX5;RGx##HG*+5mg&T~hX*E5tpxiOGy}RE|Dh z181F_c6^E?{(xoC1AaVd9QMZ(Z>I zU+Dt{Q(P#rX2vKh?Yt&+Lp@jU$YuE`VPEygrn7M^{plyASI#XLRn%_4ulKB;i}-5b zAxo+CZk7-IoK}r<+G9$nM-5XE2xeZDfQA4GtWmq2nttUe?wsQTUZ-k!Ux%JOGXAJS zkG75xiEtix+8h!v#zu;~cTAzzWT;Q8-0K~4F8jcjIlIug*%Pt}w+Yh~y~~A3tG(fE2n&-tfAH2H?6&)pTYT$p?G}H&y)MC5zxwR6e7<$JrRP5L zy?5XJRA(oB8zKpwee2oxK!TRz#rFBT&^JR`e`{aN_iX63#q*1w`?;T6+fATd#qHkk zvoX5bzV@&G?Z0jPt$jAe#h7c?o`0UZ>X#C52^ZJO-@biS37&nnO}Vu%PLJQ#_Ez+G zHoSuV2NkE!J?y-3+Sh)g*1mS_XJ;QfE9`rp>(drM!TIy>-DN`lRS1b|?|;v{ul_%1 zxbB;{^Br!F1Kd5YJJVKR7Ooq=-N(L$rS^Ds>SH=4uAB1?sX}z;+6!D9&rKu zM;0GBq!UVaBnoS28F6|f9A zJ$cCf8FtVt7H?8Nbi+Hz@h{MQ=f7;sF9#G~dLEBI_`w)*b@RmBy2UPb&QUD(PPy~_ zWbyFy(;W#GNKiKtuzM%mF~5?4`y>`mAc5T`pZaKJ6Oi!pwT-5WqtXKE!EOLm{ZfdL zH^^+qpKb5$L$adccO6gODpyLDE2=PzT|(42njv57Adx3Lb(#4i-#3*v9TpfeRX2FK)lenSff~a?9zp!mS>IKkudt`;!*7< zZh}4lmpaTVKz+WFVlFC9GqWqLI>U=vBRv7f- zIgu1D-SUnSstoWTz`Qr)ig)i#kq-_?eBud`5N9$M@Jpk_RU0Z0_WEyHTQtGmPxk8~ zmi01faPTe>+wtmW)W574jVO-&T8+A!s&Z!#xb-+|zM2aq)NrUKccJQfOie>O+uz?` zLpGk8G$gz(z9$Q5($Bdcj24!8q>53cNhTMxOsRB)62(KW!aA({-ka3-!*>MMNywe& zkC|N>35%=0pH4@^>oZ3VM;?0s2RQyU?0_l(*- z6{hC~ZaCm3$)0x}M1aE zaB{M`E1UbWD)!f&n9&s^2scVyPWOsFV#d+rrATKS-w(9NjBhsZ@vza){&c+gdS|cM zPTmr%*~^jE8g|q3%8ReOhy`X_Ur&=C31n@B@3{ZHw?Fq2=2w>c z)LW|dezld&5%n3a(&nm_bh5yUrUPQ6Dy>t8I)vHMG`G4}U%$!6C8UZe1{8(Y{F5bOB z2VeW>S3i7r@##-rqglvr7Pnf~Z@=B|x9)G${`JMLUm(Hf-}ir!_fLPCc6HX3;L#0k zKffZlE7DQduS|Ir!YibE{?Enb30y9$1ZaPR-?Q=xg5@1EIIm<(&rsE1&SKAyMMRsG zbAq>{HM|s@iOlaH9iA>!!4u6cKK_jASf747(-73|_|;x_#V7;z(#(kT0tj81PWYtb z=7X&GG}ve8=4yjHG3SuM(Q=b3}fS&&oCK5JQ4V2RBmdkZk;>A=?9cEYzf zH~ZLINVfK^mUP|1RdorP=pvVt(GfMpT2JuKFojXf1{_~?Gv$E3pELc@dInpXHG5mG zn^ao5SVNRp1PbmvfHbpGH$xS@#JH~joJ8Q0%KX_*P82WOmQ*$|b`5U>DMpCRX;hnK z+00s&gc&YD&E!rmwTP3ek_K``c?wT8@Kgg&HSkmePc`rtO#{;{ky`?QFsT-yoQb5}v z>SSBU5=U32U@#_Cwp_A9puPA%Tgjr>sg=9S}OsTU&52|inG_=?Tszm2h zq;2E@N9R%O)W>T<>ALW3NmbgqMW4$#RuO9|&!;FAB|^U&!8XWEEB2^sI*27R(=|O) z?Va6csNl?!Q;g&{ zjZ{b#?+uGIIBOAWqCQ^#e0K0K5kWu)7wqMkRBS8eldc(i`pN>tEa&hm1Ie=t6n_OC zxxKvAW7mSnq#*WDKe{o~1nD(g3;F|}#JVDaZL-)rJ;*#GgDe>)%8^z)<1JK7&Ntd) zZ=w|g6V2d^M$mx;&lrVQiK}$`xJ#E+Syfd1!(l}gMJrOWj=)-zc4Aw($v*;k$i!t0 zxH!us!c5lC=X;z~uPw#wQnnc{M3ZL{J-Q&)K{n`dVVj#D9VcnMR3;@zr20(6x@LVe ztFvW5&y5|E6xDv>Oh%HU+5D)^in>2%yYN9)X57l0r>+xY88te5UUD6-+25b<+bo4g z1Kt?!4)e|MyTy_jPlz$WkOSx3TVCcW_h9)O)VX$PmNU=BdGCEur6X@lCE9H;Wy|HT z?*}XkS-w5BzoJo=*d2NXd|^u8!H#@ts?m&OC+09a?DtF7!H30BYW5GtJ#TB1_lHNv z-6);dlp2jd$$rW~d7NZoPUZv-8g!u94^W=qt+kF6)Ly`QY}XBeP+t3SIIqW8XZYMp&%N~V#XWXl z{{6qdvv}^MZs^|L&$qk%mguv4brtk`omu~OPv)k*WU=9BC0K+tw{;1Y*ZFqhY?q+B z_Oh9B>+dl6hMbr0ylp8q?Q@g8!R_9s&po&JlfB*Np5tEM4!G229dB@+-6?zT=kMLS z@#s(fMgs^UfuKdEwndBN2a=Cy1TB}?B->?@tTRZQ(vq9h3TIs z!P|@7y~V4GS6_UQ)lt4L-O?@O=A*`~|9tz6H@@};p}W8LJ-_>xMD=_B;d{RO^kk2V zquDCDT%q(_V7aoA5JtPZxWS_8#r-y~vlOZ!h!A0_ToF5u5a9{hw7v7xBwt?5aI^{q-9+ zPCmW(bfEm8`5^YgQ||IQ8#7{lhMe!+*t@a2cL&#MuYPZ*hXmx~Qur+Ucm;tF30}@$ z&dsOq1_`17L4rTMl+gx(h7J0&&EG~a*z;gR-<#S$KjH>M&+laSZzK2uuTRzj*L_#- z_O3Dc&*bU;5^JfBKGHJfdg+R|Xh!5_9+#wN9Nk#mz`XHrY8jWFEl_X4bxAmD7QbPa^k8cK6823u>zNbMZ4l$oP-5+!bh|83xpx#&E zIn%ZGYP!#Y5{ysl5)JFFPPV`W^J<2=#J+hpVrUeTWgEx~z4X^E4Brx1wKyB{5Hu3D zThh%mw)tym&b2E>7y_+6Q>1=i5|8$Lz{a2uzSYo&Z3G=mqo!3tY)JpwwSPs6fER;)+9ed%~y?JzWC@Ic^ zGXmHkaY~%WtCE}JBgeqqdk(AitBMlpG!f^C(&BqsfVbZ11g3A1SFv;;(r5fX zW~*i1@9nQbuHXv8KL+kJbk1(j`wf5B>+t9a;KF1SdkWMZtfr!x&`YeK{yICNT`V?9 z&y-r1pj$~?kJ0>55(>v@Gy2t`PV77j?Y!pIt{js$alO=Ll>OKhjwU|ef1G;2`{=doJ$c3XnQ>FMrA ze&n|H@rI|xUuy5Z_SS2=Z++z}mlAFeoOZ@b3fkLyVhM`3iru&L#o})aZr|SBjr&}K z60R_E*_PfLZ@hlxy@{Lg7dxj$qo2ntf(Z%N-gs{}sq&KeN^%?Sic?XRxAp)|hAS-hK3l`DHWlglY=>wXgZ0mZPm zVQw(T^B6k#`A_^U*QSkzX`G@dZTMh4V?y4u?=bj+WdlQA9^A7Yn?yEZ0 zM{!prK0_CGB}cS96Z#bKgc_)OHCJJ^k;~R`p_wo>L ze~u#iKgYD2q?7UJ0wKbp^?&8Y1?stC(1_lTu1)=O1pPi2e~#&YOC)VWE~06c-I>}<28sVu1o@(H! z2A*o*sRsT6Ye1LBW*zxA{cX?+zjDQ}P5;>#7t^)$Pwl^m8mJyqXa~Kn5O@!Iam!`d z#}r{nUb6?W@ica3mw{o!U{>Sz9b9nQO+@gwZ_(uoFP-S&D%GHigNXG>CzM$#Kf&L! zUIzK-YNc=R4Yn)r21P@-vJ`H4T6-1JPJMJSm$~(6ttWvt&#m3^*z~vf_t;kEl~fh@ z@@1#sZd7+kMYSQ0cAM+3D{$A{5S?qWQ=aYa{U%A+lq=Hw5=MSSic3|ceKFQ+qB7DZ zuok89aCXD8*#R2mzo70_K_h1>-!iiJTjLtP<9ln)xOAE!yw$ee*^+X*!)`~P!TK|p zF5;ZfOSIPI(7J1KUIcatt|7WmnF3D6m4Mp{2F=ds(l#;Nm0~tT12d6YX&-gGF_z?n zQ$e6y^tYwkMA%~ac6WHAgAtpRSFpW8FDbZ+nsOT$cF2c?h{v)cPT_e0!$g$OTu6NUqA z3a8%bYG{ZI2Ogxdp7)WowKpSf`g7Ae8l<^mwTCF$8^@1f3&=Rjjn7w^Svnz(uI$M5 z5i2vurvuK#lMP7(*ce;Riy-MINm4THpbDP)j4o$0-A|B&2+95 zRWEc=%VnTWLcgzAFbr=%jN!33hagKe?F@|5;V|BuKT+`oXzj*( z)@mq8eHj@dqLFBW!E+YIemofY-h>bzLI)%|C&scmM|23g(8y}1@P>aYUqgj;>riH#G7GC4p3}%%*Q-K2UnsLpTZ!`CFVZax@O##7g zmj2Q-__aucgYTlsZ;vrw=pwJ=UfhnSbajumBE0!#^zS{t_dKVKPp`zp-1^E7ylGB% zxYn9;zo%yBzq>L1;9K>L-Sl@JJbNjJ-G+i9jIMA^kJ(e(~m~ zKk(X(pZPU*x$hWBaB6z>RV3J3#7h=-n&aMh1A?q9^MCinx8L5Dlb-=r__zCi_M^9V z7v`@|NB`(XPZ}^c`gh)`RutP^=Si^BoV7kRk8Zqijs%Of&E#+Q=gCJta`&zz*sUL; zfxX+;t7SH}Cok;%_dlm|3fLd5!+DGM$8wSXmxMR(tDlKK5h7oLzP=THIGS?`q70nw z6{7itW*zpQE+bszxEHFn;c(-dZ(c;#4da%4v-cwleLV}P-hPtv1u?Ene&G0jJPAJX zk+(Va@vzzBQ@w3CHUH#5jkk{*dvW^mC)4!Zcbj-G7Yo&>oyDuKJ}##xrQb2%e=B`A zZ6x@_C;s@4!`sBy#GaJnnRp(Ip38vtJVJCVy#YCE1F@^%-qyf(h`P=UkDkk5*@=wA zmqqd0_z5{W(l3zVZk$!lg;yqIUjo%CobQPmTjG~C^sLL2cu*}^QXAp1ybAlcdAq#` z@axymN@41`$-fB~DAUIt&p;{b@oRB8106vel$wNWyXftNh7WRyZe4s~I9RK(L=%-7f}96I_@Ym6*j_@H7w05+&okmJ6$;hmX#LQ=%*|xr zdXpsUZ52N9ZyDN{MVIm&p-Ex5Sj%wN6#ddlVo5Lu1`iN=<-yf8EFL}NrsPT})b0By zb_6HqKcJF7b*!14N)!v#RGbE=1|zol$iaLY>`ukPuxMMyPVmbUdZw>{ZNUB9P*zP)YUyw~zLGt{!6jYB zt*Qf`xZVU$2K86=87rqXQj&8?N<`^^57Z)N7y-$*9lX$)$3Wuv@E+hQ4XgoYF@|;f zE(o<0MUzgc5rH6&mLi8&izo>^6W-K|z$(y3Q!btmPqvi-hfP>wJZ0n+r+_3y<#W#Z zSGGSv`N)no!VzPQo45^KxHBNEa#Ry8N$aCDd^vA^#HZ>_>a0n*9C9$GNch&>z&U6J z4E7qB^Zla1a5S)ue>mfsxjr|q`+&goqLok2Ht-33K|=?z0LvYZ4gq`D>CO!jBCcKPZ!b()$1N z*}7|QvG{sN)6EQqvcm|TG4xs~EhP)1{APsBIQts4L3f)43~~4NKD7AIhd%z&?Y*CT z<%LhZ^aney>q}zYdmeCq{UIO;NMk8M`;F!>cfmJ{FP5N@rz1hYNP6=j^Pvyjdueyi zeF6!d-C@u8_)bg9qr$$+tGYuCk>O#?88Z^hoa0)02}oKlbYxw^E$B7en(Ozxu0uRjz^S*Q<(V(6;_3lb{dm>>$C( zqrmxe?_}?M30}POE5EXm;NKa;e@6f$d@>z;=i)mJBl%_hz1_RrySe!4S66w9uDk!w z-S2pnkNdUwC_(F!{lpS{=XZYRg7>TCb@%S=p83ibzu5X)TM|@3f(jIEX#gv86r5WP zyA|8*!&u{>(X$S-;H}ZJ#br$${O_HSud&*eh2a{-6+AZ3tTVnsv?a-8>AH$zo5)v* z_ny*NxW$s%&UUZ`9Y$5)Gahy6Wjf3Cr88bQnV_=tDQAMQH7<96%03 zx={voX!$-C*xdFz5yDl1R6KQoV{cwHP7zpbwNxN$dS#Dqd?}LVWCRm@ z=hp`HYk?*&S?S~}*Na1ZGRF0`Qjx8~7@klUwu#FcHnoCO*T-sCttUz%#EhL|e3yeY z`e1JWWQs6t6nmcPTDsP*TgO%G!DCThh2vA2ry6*wfu|aHs)45(_$Fzt3+fTP17!O5~b6}s@)s7mRO2Cq?u zpD$n)8DmA{FZ%)0uQXr)ibi6&uMFb3gfO}VGYfUR#G(}JGB`R=o)n1GwsB!y1sqWt zXj2tJyw(8>Lx{v=eUkSkq_dC*Jklz6%Zq~sCFJssvW1M3Z_{bgw&9r-U zkD7GX$FS>6g3n{>(Ul<6U`M}jo{TGHU1skmVF#xuI*^cmDzz#J^B|$FZ1vDbyjI_z?$%)I1SSEf*r0CeCC-R(3am;3JW+`PYuj+~_?}0~=r-t;@~0CpY1> zi&3-^DG)vpdl@9I?~1h`G#HaIhvmri9331ON(AtY{u^Zk+{H$Wp-He=L+FDo{D9@; z!6-Ktc7x6&hBi1`b{J3Hur~Xt&jOR&w222>Z1h|OylOhR_Q6uT=nB;^;8>AdP8EtWixCODqH2MRJ3ynJFKB+~8!eh4yVCVMd>CzM zL&-O*fr0R*xf!I}@TG5Oa6WJ1iNVb!TzTyt9{}9@a*NS|BAXw4aB?1&F}s0_xz>1i8~+>w8(7QSZKS_l=8gD}UpSn>TlNt4i@|^ZI7=$Ml~m z0^;AjdH1HiBXBM&PXnyyi6JqT3arX}9@u4owuy`yUq;K5dEz-D$Fmqqy2o<#EE%x; zT?Jf+$kYGzneAX;v>n_wbh<<;b=&ypVn)}NewDL{x^e2J{{QT~U#u+2ecxBz(|tL! zJmi@%mLVS$sRr1Gg=In4u|!k!;oj<{8PGw5Y+xKH5X9WOL3{xkhRHm{Fkr8??wmfs z979m%0D+J-Y_LxN0mK!NRS3P9QIhAj0zcwh;18;oiGi%4Q9dMO%CMZ`%?If(~45osmyx|VL9 zr6@D$S?Xg%n4UC@zUgCi zO|k1AQL!mp!K#0Lt&95AkW51C`j3g>K9P4|dt$OfZyZt~^0o+-qA$3}I#S;;2%#?9 zutxO3i9-6(nPY)Dn%{eghqP|FY-d0lE*b_i6FU#!F336ym)6*C3B z{9N>%B+U7A!*?Vqqt&_!QSr;IH56Sic2^Z%4p`9)an(9Kj6jIVEqFH#(L2h}XVa_` zgE52N?pw;5fU1FY&}~EIg`(>fCRwbhZsM4t9`3sU%&ODxHp zSB?);%RM}6W(X+8R1K*mDd5HsHC`I|P1$-*L$o0bTM5oOZH7&#hLo0%Zp@PC2~{(! z{5VW#6BA!8CoQqdSi~qgGvv4_L4mt`Uv+rUAgLY=FV1lw<;L=YQ`!Z?W~>l@t(9M zx2L&eThH7FKL1msb8Xcl7V`f6WcPmSDc^Ts6PR|%Zd8=Z9;GVt8_x}07M+j(utGj& ziqq$KB?nuHN}pVb15)_&Tcf+hkU0-nrF;?hH2>K1W_Klj?mt`tONElDl+qPV8fa2h z5ANhNJ-Pn((RV)gg)e;J|JdK(if4<3H) zvZni9nfc0B#DQ~fzkP79x3|r~9pJ0qSC0E1j_&Buhd=z&KmCy9u|Im)e|`V;+Xr`8 z3;v70*slOrF00kv-v6pGi`7>@@$4IJ#Yoko-~7#Yw;uS(T<@3rWzRuBcA^-c+1uOu z{{OvNg@5kc9^aTh+&|=j@T2CS-es=4KX#GZzINO5?%X>`@QUo73QDZ&96dSRW*wZK z$$ssBHx3?62BEWM^|&q66P$XX|Jg#jmHcKfWrM6X&YQTjqq2v@{5FP=t{PIWL*w=Q zF34n)LZ8xmveEqlUsz6Qc&soFE|^yt(}!M^ZZsQ>+3i^iBfgelY#}fFl1m3#@ViBEwyz0|0C7EHR0jA{NmQnZ zw@Y~F)kQ3^DRHc1*O>;lImczh+1OgoBE6- z3O8-W4d2YG8Dy`gEIDJyi`Y6dM=KFK=mpdJud>4hr0Td*3D+>{c`l-hMVvYxt# zAczsOYUl}8&K$Ni$~scPflIwEAvaKr`*hWnjlD=Ua-M#LCBtbvvc0vSD)Yf;m!xCG z9BHj-?T-5vR(MGH#jZ^#?F5D2W23&0{!3C}S1}x_C5aJ3HNT`m6n{QqMzXDLuuAeKquSGuOpC?eBi( z7@$(+WT}QHd9sZ%j3-kosz$sArYI1a(J(v{E~ZSFImKj+oyWSErJ<4$u|$a!XlSX* z<)3C}en3NXeoi>)dMI?aH3Zg+8dHvfx&t^MBZHO@fH`6-iGb7o|74#OJeG!Ly4 z$UQT$oJ693pK zWEQ7ZD=~-g2vX*fAe_gN8N8u8ja~`GG1J`Gma6O-oJLJe)x%R0gTmI()k#6^)EsN% z2^b?b9eGA|v{Xtvt~ghQQRysXVcE=)ew__x)K^2K8K=%Hsi=1De7l&>+nEbleN-2o zf(3AjS#t)e=jL4GC$y-G(CTg`Z^Bu9Iv+OkpmUCM4&;G_(QrI&X%|qO_(q2_QTgmn z<${F@{+V7pYyE6GIQ70AR?RSDB)%Lknd)P*3-=r$FUAd0i7Z^N^vaarHxO}J%}2Qs zj3W{xhNcx2aRR#HW?n2Bp2Z{lfm$8;`FL0$b2Xl-;@w2ukli>|4H3ugIJTiBDv6ck zuJkak0Rjz34~Q}dDy-kvmY?xS~8A|fWhUZYxLA zBpova-DKUy^}CGSZ^!Q41rt%4^Emv?yNAMQjGwuWm75*Bal5(my5-OlU$k%U-?8v# zSI?fazEHv8hi;X-AFen>6Te)-C}fud4oU)-1zmYOUw!=Jhrii86y3u&UjJ&_66etY zjIloP@Q!h&u#2GZ!$VFf+JC(F#v9Kvd!iC(9_@ha%tu0f$yK(CDHP)@{B_!g!X6!b z7AeZbYGjt;t`1l3-~HUbC@#m^r1HqUxhG-2H9%+&6QiqFqd549)vLPsR_;~I1SEW? zcVkCgL(hZK{q;GA;=H;KD8`Ca(&FGy3Ep#mwZ&S`gvl*Pr`gJNTL%8W+NPPZJ74?2 zxE;JaFq>zFxn69c_$U--?&W7b`gV4OdTMS?*a2&8nc#o5O^RcG4&vL%A1`u1 z_B{tX93QOSc!OHHd*e)Z2v@6TKO{N+)KC4CwNqNYYnpA2m{+8PFtwBGb|T{7)mQJn zYYsm2A>ENM&~f14;2^DlwrtiMytoXN1pTgcW&&qXZ-LPD-RyT+9 zMcuT++iSd@_?iwy-r@W@PLF6(Ynl+UBj^obuwjU1Qh<^qV7YO}O*4jzMk~`QH_9h| z5{9rL{aGwjPUqu@xTJF=+u(A^`jE0slIZAl)dq;pP{tfNam zA%F{6_r6esMh&s>(v_zc z$B!%eZ8PVG)5$<5;IhkXF;R~>K}yfu#KIoUqdW0hXft)oVuVVrgkZCw5m6#CWJoD6 zbLR89jxH8)!BIs@C$H+kA{3)}xrjwLE9)V(?@r5_rpX}4fO6LJnO@w8$YUB5E~0eg z$Ep6cw@*jND7{fC0@%g?@%2J}S=TwNmBeVorMR6&FhZjL> zjTYwR$#kI}8Q}3J)SdSzCf+9`J>Jk9H4`PKcF)iz9KRu$g_$qP2>1{YX;876*C z^rlcr1mji;-*|k|O8XATDnaZW*h+6QY*_T@Mgui$LyBIV__IQ~Ji^$@sN4@s`INFzLA{j+Ei6hKNn;A}|1ISDW>GnbvFI2Y#{uGDb0>}#Q#UJ*iIH0?(fo3epM`AUNoJ1BY5Q$(dCRax zJ8R~x^lnx|pax^3^)A?VT25NayC#m>LEVT2yRzZc6^2?(N@y@6`TFvKwm zA1Cs9U(y;7SByL!I*8>cjOx6=tG%V1lWdl2G%&&-Vw{%FJKbtkq&i}gvWQs6NYtD1 z%?jli=_Vk3egM46H5^Vf;5P7%)EpVfg+kh3(-7N9cGP0ufIA78hls;1{|PFFdDZ-ogWhp*x=lF z*|>32FoZGlGag7SRlW#w(p&Jb_ex7zH;)i47?&9pE zy()bX=D{8W`k?Rsv$t-qw${FCHKrvrSpCU!&wYe_WR!Rf&vWYu z{@zFL-};At$GLxak2Jk=uigfU+W^}*pxGouZ(6$UH8jQ5!J{7O{wx31?eqKR_tyyb zxBeEC9N+#YaPZu7YYs9fGS{&n4i5Ix+R=aJo%`G-`k$k#U!VGskNo6MUd6_G#p~ey z9k-vx4~*{4>dtq*GyG19v$y)Yt6$&$bN*8>|LATzU>zduSZM*ZB6UciidlwFs%M z8UL8skQ2V*pJKep1t+&ZicQPvSIBmDr=^!KKl8EQLjnBI%YX4>zgM|0x?X!HlEKR^ zZ%b;}5n?M~iSg0j`={L6^8bf4Flp}};BM=*cWlnV{R^#~dOPIw+u7iSukHQwRs>hU zcfY%Gw-4T0-MO=J{_{Wk>O~rz)5_?MX~NF^56{Mn1Y7=xZA&@j*OA_o4~0jq7P ztVeI&zI`L$J9TD5l^ zLu)EZ2X|55-T50o?ESLepb;pi&6;8Pdw4PjD!bcnUe_M*t2p?BKlspxo^M|HZl9X= zv!cD9KkU90Wcc{2FUo#*9Bfj4nyb=#TMvA_(wyfd;rUHHh#tJXV0iarE<^%>ns-ma z%GU#Zsdo;{phkXzI81JO_^!zel?>d4xqQ<(M9r1DM&r@~rOZvtr58QZ+8uU|O^?#D zks_0PDsm4k`b01f-J+CJAGBj9nhk2>uMMeu(@*(jMS)p!dCVC-*6#0qylo|Wz z;!(`-7VemysXAGjO%$(rcVPjFBWw??9#*Iu?s!1LCDc-g=-&>itf+>L^#HBU+GV*! z9c}4{Hb{x97ahzbIMHVc0%PF5ZY>J1797*hpv>GH90=CLIXRBb!;LvglG^pksE$9BvU}{V$H?RKnW!9x!JmV zVpdC&@Hm;#6m6o9AOzwP@DU?jG8>bMBvTE`h@eaM-Q~!CbQX@>8B#BzpHEt157B{` z=4-j@6c3@;Pj`I>ULmbKnmDbFa5QmPh2wHOsw%b`@(qloBF+X)a)=i2TD%2>wwv~aoG$5eC5T_#7)P#(~2Vxn~;sCO58i|xzaYsnRAgEFI?3`SJF9; zGjdQRWvGwbB_a|UPNt*TydE5#o|btN zPTZLKp5@#eQY~izBFGuYiAPpg2R}dzr;`($!y`1?3JSlRj*lkHaa(9KOU?~M!0B@R zdj%)-$5nOajs|CCb$k|=WMXs_#B9-MA{s(*;g(#gcRhO;2OQ1EqgWPvm{T7yq5Mk` z5}eE=Vj%<(y+3lumE7`0M4*e)lzq`w4dY;gMO@Aap)ExbO((ixJY0E_*II$asireC zO(<)ODm!g?AT?Q@pPH(x=3gsYg;R*`k{g&K@0tM-Z*{;+Et}1GBKdq)oED*+v8OgW zYs!W@fYz|c6(=1oKrZt0=t8gK#f`c&W_<#~1SGn|-_(ojNGfqQoG$}8^Gy@U%2^_E z7zE0@Sj<{SdM?XIX~7sx)(p$6nHSAjbA}YB3S|L73 z5N{YwSTVpWK2}GQWjvwiRFgs?5M*<0;25VuAVY7TR8U8%AAnfqrqT8Ps7PnZFxCrPN}r8X-3YdB^D7Zn<7vH>g6<9t?HP#EO>@= z@?5(@E<@{@6Y5w2$Qd((ml=aK6*45Xm&wOd-&3$I=4?l$RUVidX?s^VTFN_0y z)JESfarfMvF4d389>45|E$;-Jf7$$ce+Kj4mY)xpehk4hawqtZd*-%LXl9!m%5-RE zzK>u2Pd-|Hz^`>__p*DT)!cc2ZV$Vg`Q|XKeN}xAcULd1n)BCJ&pd-t`e-(jJ)1q+ zspX#bvi<1Wd{?!DhyUi`!|$_T;15@SNR!aKZx7_Q$&l6}4mNO?`=@cQ@9%Q334e;~ z;f9054;>zUfAv3oMw;i|<1c+_6LXgX;rGd}4}WF#3hnjw9r@dqb}qdaS@hvIx8Hc< z?lYPDU(R3k+Ee_sukFO#l0m|6IH*=UG4^lYQHx9*K=H0Pc=lOwu)qJRU#))m{A>T@ zdJeL65ZyD}{Y9f2BZqb9u0sPjbSM+j7mk;+Aboz*oYxucr8M(3sdpZ?t}AXju-i~W z6ZzSlm0@So?cn5YHvJ~uMNfpjgSAc6Mak0K@}(peee%I4w$&|S&TTu1wYx~KF7t1* zvhAJ|iqyH%w3h7Di`U$A`X%AWtp_jfbbg#W6&c+(H-6sobXY7Dhoz>Jx*tzx<$;P| zW-?e!iGU{AARD?V>6E;twN|qlq1R?8f{^jOlZFyDq(19Esu_B*<`J!tx?4;*jWVhr zXdp;MgZVZo?;4KpB)(Dt8Z(p8%Bq=vB+TdDx?d<(b6RY(DXw%8M>c3xvqAAk7 zqcI5Q0>6f?q~x@K*Lq|r73H9@nBd5TUndDengR2TZjaJM%veVfcFiQ|sPt#CDD9EL z8BT86PDy20*UnF!A21d|opvy2d)LM$&o<@M@?~rMwG@ zmdUsP8{B-3$|v2Kgo-0LJw-!4mAu$1a3R)J-uje2J6d&x)-d&o&m!d39kr9`*&w7C zK3O}a3RW0qj`~x^7#Y7gK@mSi>1mspJN1MHqj<70nJ;G3aa=a&&wPG1Ry;W8lfX&} zKdqXWYUZl926{iO27;(n>ddN+;u$LODW8Wu8sx_(N90SWU0Bz{u%47h^L8-w<7qx= z86iD&$0zDR5h9uu~VblO=gU@Ra;wR1uKqoKIh5nVV;Mh>S(bfs`Ff`@#`QE z5ZZb9jS6^bH(l^JnO6<_!50$1*vDg?4KN=2EP!2J(oaq7+@ zj^*U!_#~d7u%9(~44Uhoir`uZDSYWmROiv49iJ9OJ}J13PS};hW()5#l_0w|g%p5R z-G*U9CALFW6p*Z6peQe%7H^&M1Jih4yp`-vy9cIASDlS&opkFSmhtMrJL2GzW6#|_`R{uBJuv^HikjlIoPV+=pYZ%~@g z?eBlCC|1Sl`^;+JTCM&!;5CQB{cb@V-1;6X{cp;_&%%LKzUrSf@c)-_@Yx&Z&v#t2 z_SBWV?Ot}j(CSX=Y;^HET7`ZwLGXVy;iKlne^D`R-?9he%eOg_kBOygV)iNZ;{c3M z9Jpt`yZXV9nbF(lZ+*8sJYlX?SGJo=G9mC@9sbI z_s{?S`AyPEk}AGW0>J0L8OH~1S56mq(DaDXo2w|tber>Xicc5%AkaEG zl5P{<3wZ&e{9eX2K>ssb4AKreUa`ulW1m8#U+qS`{hgK0g0tufbL<#}kr(8wK=l+Y z%Fwe>m@$k@#Av*{0zIM9SJT{7=o1csXgO5<3m+$G3Q~%pjHpkB0N**1*US;Pg1)|# z3SP0k@KQC$QTjM~e2_VVsWM2T7FHcIshR0Fh}dz7kTpgiU9W~BV9wC^P^MTj4i+kn z3T)@El;$D@2yMn84@EmHm(<&Fu$rR_G<2bH&9u##6=4cFo03kd+$m)qTQwIXOWuqn z=8IW2%|c<_%5gC(#8<;?A9LL-nL|6A`XsHCenF|=pq!T{quF52H#`@EjIPl<4{PmO z{0VAphjYQ3r#FHv)*GBz!ALnUmEv7~8Y$bT#?&ZFCJV^&qQGrEJG@FS4OpnN4SMb( z0s%CYlrJ4qJgyje5K|(vsA5ER`n3epA+F>mQ|i7^Ei#{(A@J&q7(rJau}e`Pw84;2 z-+G2ReAM)|io*o|*{otdRw)Pav4RlSI~M~PS1xl(58wsvi>%5Rvd!?yWM3`54$|?| zO!bqhg{$);eVd}WI@0ZD-g6eqnDO&nBrR8f+GmBvX{c>SDfkUC=6I|5bk>Tn@ZycH zClN9|VSof_*e6jnF+@St0$f8NQdQnLOS3_fZlvIar%FhdX6?1`oP1C?X#wcd&SPgp z;W<&$7)({kvq=bT;qitrpxGG-CfsU%uyE1kgs!1UePpldtG!kfb2?bJ|2y!AKs6pP z7)1h9NwnX^F`Si$Fp_w+=oR z8&RyG5Xi@*twf!5Av1@tD!2r^5lF1)t8N~%iV$vFajjsAfdsN9z@X>>&lUNhBFig3 z%__**=b*^6kirx;Ay1ao{A5l9dDIp+#95Zr^0#op+}A|n zE{dA9Jvm*TowC)IW#fx@I6-wn6CTaHX9gTRRQ4N9a@MY&&S{BBr0}&#+!4PX7xPJzkucdPUKpNa zR7BSZobTe%3>Xwv<_Q8buR}j#i^8lLoh)01bh&#@m#trTmrqF{2};jU<;a3`eiG{G zrV;S$i4HUmGYzhZ%K5O2L&et(rI)GVAyPI$_`zvOmqgVtP?b#Mj49>vY`NfI0!?wB zxMSwfX$Rw+1sQ>icy#G8Xe(n#0~l89+GbJrI9l@qqY-l=1KiQn3hD4_hDHa8>n0~h zt20LPLV?WM7^vM4Xfigje#Y!O8^gzB#~O~otk zxv%FI#}XZ6KC88^Jk3WZ`$Y?~dFpQd8XX*6yqXg5_4mh_Y=eZC^IoEm^XvFHySba; zHoN)@yZ(E($aE>UL zC3hb6l;+9MrBF7v-NWI>;PVoQwM|Za@am^l&%S#1m9+|d`!+kS`w@bkXvgOBN>;wZ zgS-3x?!$lb?CMW`>e-+C$^HFrGyVPW;a48+AKX1$ed1r}_F2Qv|GdPyT?gwRnoQzec}_JIDh-`55NBPuYaDKdksJM_n#TA4pzU@#u)j2mAm_y{SSQcx4!sWiQwVG zyD#0jliZ1e;qU`b<-o%MPr$ghSQDBZ(@H$D$+hdh3~x91L;-w{sj@1_4jw?tRx zx1ag=-Is3PPW1XRnL>W$SN{F;|NfPP+nD>^cntgWo%X78I&s*9%0=@mf44h{;D@X} z>GzI5fA|w0{POv2lh`w_H!tlUyv}jxoNFv#Wy&|6Wi<-7oAMS1`cPPZ!h+Fs#x@7e z>+yMsgK|~&yUcaB?7vF01DLtu?Nr9`i(Kr<9<8pAZXA?jcqv_bVj@4()Vo6x88CPpA zg<8M8v(x(VoG?!hKpmYa_MEqKhEK7jHQF1TROt&T10dNF*o=#V>-F+%CZ2cdT=k~# zX^nwPrPS!Y^klH4n$okwXDk=cGqU0QS_;dO-kJ_U?xWSmu+70vQ-&5_9U-+f>Evoa z2^td3t)vZH*CeD~mE3d|U`ByyO!HNs~W>LX%)0gTYI^0?Bdy>7d zBwG?}jjV5sCI>H6ae>E1$TcwTU?pmhf?7`x)&(x+y%#&VO?^k6(3*rzn?*}+^Qz3{!5I`vQzI>@eI;Zvg~@R;y+chl9`|HC5s#{u zh6~8+Nox-7fRLUvi=Z-!chTt*c(z&BR6&lkI4+4+8c1f0l+$P7{3k#riL3Re0}D*dLpJ))M${|G|@T_?Q3llR|$qVfX*#y1D=5Z+1!U z^+~t?;C}DC&gLamKk}^$3QIK6&CJADX2CjNr=u&dBh#ujFb{4#kPJWc}cR;3u8EX~w(RN}U5ayTzt z?v-k)(FWnpVlzK7S_bNqy=5lpNNhf4TX>w{=qS^ChF-GLK^ta z)(v27%7w&ahj)2oUZ$99*jR5^m8)Q~WRt?wqFLi5tdjvu^$Bd*B3j=FTlh=P85r?t z5ObeerD4H>XnhpUI_GQ-lb15WJcj zxlF-M8};L*Um8!t21Pd)IhYRDm&dTlAju+2^Nm|rmAqlZo))&LS6aeZ*A`(edeKMX zcMx2#du2HEF8VXDdI}0Oe}3dAUcDVjA*}#FhtFtim3KZ1(=+-X9WWhMkd~f36A#W; zTnZ~>5T7M;xbvCrxGAh~0JTq{%!HdPShL(wD@I}Ewi@Eb6h9R7W5y+Bjf>2qfkN+3Kiu-Kp(u5Hbs=m72?vX_!g-!s)49XG z1dtj;z$wnS$jM?H+p(UJfg^#m`}l-)p`4NwXNb6nLlMT-4X~7bpNO0U1~(0sDV27r zNy5l^MZ7b49Mq5~MruJgl&5|Gns98`&KPL~Zm4IA(#Ie2NgSbCSH+C4u<9s$md-Dz zf^s}>19XCQZKNsxEK3!Uk(SS<3nblG6`UFMj=}t+Q)5C=&+27O9YgJO_SihD3+{0I zs)-Rx_Uq-c4h1*Rg25gpm%_MhRh;5N^^$@mf|<20J2^c?Jq5E=&e$4My9{m9@Yc#} zGPl57-#I@kP72~2gQ*)AmaGr9z&LS@y(?ohKXP9K?Wbh!nCFu2m?AQV*dDX0c*vrE ze&QfPyuLZRh=pNkH!xU?@)74R7o6M`s0nr=l(a~SIvdU>v#4}QBI*?GBc-(qTKvPW zujffTqcONREu!I*batc27r7>}mNklMF+8a9NWa3x$b!sM2L0k_c6thqp5ERLGq+l`ptqCn zy_yvXOuIzlPGUBse<3ry={FEIPFFNro-JqO#T2*v*E{C~Nf(z*XI78$h{LBmlbM6i zn^NK1oCxEBnU@St`FxM1JesMrBzoMYmk1_(2D74axT!K%Bt1Tn_Bl@J(RLWP>ll*i zDVX*m}T*-&M)4|bV!GuzY2V6=1mANpG$+{z4cp8^F zo|hn8!U%CS_5!I&-`9QHh4r?1{Z^4;HbrOXN>Fbk*TuVRHPEQvs^5^)ZiW;_C2hQD z&82o>E@1#Ns8I6f*)i8i7AY@_4_#Fc7emj1VkXUzt)vXxLsN?s%)G*=H#pT}#pK^w zo+j@pxx>jc)w1zaEbtT_C@>4O_)+a$cYkPvYRO@6#>OV`jjP%?&80^MB2-zk6tpGK zgy`_e)0?}q3nWgeN*td);*knGGx}6d&JXh#vb?;aFv?iRrI|`yah(P5n|_- zMMF$p!}ZO#^E}l`Q6pA#M^{m6m_T)t>}WSmxPlH)U$2rxKzO@)VV-`P~q3%jbkP{NZ$92&I> zRt}L?yPIA=p1+GtK1F4GW+zDXa4j$(YufwT-qOu&4KIGQ{N4EXZtePaeD}~#313%3 z^^CixyYQuc)PINivS*`XQE_qX-Rj$`Vu<~oplQC;+%$o04lE8jLY9Yk)A&Dnx-YyF zEq9%L(`=NZ!!hc{I^^b#)#|Oc-hTTb`o>jy_UB)^{j{Vu1hmS}q$k}b4!9or6|}vL zru)7f&G^5;&l=t>kXF6Z>DdaCQ)_cS&^=v~U*B;O{(bF};)(XgF#G4bryAchV*Bvv zdgBe#Y7ZXYkaCCYN65iWy8mDE1YQuKW4gD^OY3aceVk*T-Dp|d)&%Dw@M6KvA3MDbNBXgD-5UcKUSZgk@vjsa5AgcRIO3gi5@>RKtG>J zOi87>_+U%qPcSdOl~V%DR+C~L`-s_YlM|7yipz6tL)i8#@JOF9xN=dTN_?8a&7|X* zq<}B-18iIA92Ri3U@USQc&a8`6xn3p26OA*s8dE{6FO<~w|E1vw-GpMtQw zBUi7dHHj4HN9=o?Psbp8XrZ{SdoV9Ms&sUmrk8gicHV%kFbj{Fqn1l?3zq|CK&`ps zO$1>aNH_3wpx(WrY~2B=jA1Rzg<=)8S7jtgBxx|+)yY)^yD;`!RDe6 zbp!zu5@>QIhfK@dyy}G~=+nXHg^@quHqTf99$5tGUT#d?IwGM>_?t|;(?)hB2N(#Ra2#9oXVbY|@y z4uMZT^?XZ{p3jF`o<*Z_5Ik#}md&16W?l%FIZ8EAgqdoK|`I#Taorub85^0vvVO|G* z!Zc@O5B(NF=-hq_x8;oH)*Z;j67J}VsXuW?emOZ)rV0nwT?EHA3fF`09aq^1yO%`IaAe0p!hHrVm3K5M82-BKyidK58+j^zspUGjRe zjbH;m+1CT&-ay8UAQ-g`2X?o(bZvlPtYWNBYTX28a0mB))!je%)$8K1s)6e3LsyT^ zTe-ityq<^ttSHl^)6QDkH;ezTwLA&fiTklKuoJgGb873G$X!0&oOtJxR{kG#`u@j0 zC?qj{zt`4fKLs??t$nlF?|ieF?WZL8j)OJRt$njP75rv1+wVAwr$k^z`%wF4SD#pw zH=EhMm>^&CzKD89e$8}i->h)}3-2hh5x*M_^y(0#mF3>t$w+SRdOKmeS4Z;j-l%v1 zi(=ldv+)BqS>3vzvxu^bk5nZ_68HTnCxk%D1uZu}GuQYfT|@38D8J z@;bvS!23=dE{{dcF9^HN!sFJ9UN#*Wder7nzXHH0A~qnuE`OYC-twFlSL-sj5lY)(v4D)Ll|w)> zS3~YaCz)aM6&QeJ=~R%noM2DDB7MLN>`^w#W}HQJoSkJQfpf0ZryHUfX+Mw753;=^ z*)SlbmWe-A8DCwR+PDPCE*qu970f&v=YuFVXo((dti|-y4`=duD*$<^9k^1TZ1M&L z`gzs(91<=;Fmq%0&N*1443iN)}~pJ#PedqmZ=`$Qb=K1=Yk~GR?1xK%+b`T@zb0o9c^9(C<5U;4LJb6kpl`n5^OZ*i0Wabv|5h* z&=4jD)JQV^BMiP-gxZhZF5O$zeqvIY@;nlu7-XG}-3yCGOt4p>L0>0J?NCr0aCy*l zy&KOwtpm_wI5-|zoQWL*tL3qCTulwu*_Jb2q=Ww5W;pch$fv_Cb>((0=BC|AOtJT;@WiqQH3atK zdgRBOH1pLAyPM&jK1gRtSNBXyf5|=QXTTpMUHm1q_FKvg{$*Dk-szO8dpnp1qIgkA z!zcTtwVy!!&48|w^C~!4{qY}v{No(y0~}VR%I?1LKAC87<-q)RKbidcOzx*}#?_;0 zknsuR)%)J}KBjr^a6&PsB=h6+nRC(72PgEAJ7?zh_FHf6o$p`xQcRzq>__6jR#dyy zqkY}?aFBRd9X>M7?|!o9;mtQc^{Iys<7#!dU+%FA{2Sl+#)gC22e*3;l!|+_IymRN z+NW`F_oc^=@7{fE8&<#l?W8xnO$n65+c>suO5ZkC#yD#qL9{Rb>h_!Nl~{+`-KlCUD?*7i?UU_!Twq{Zkhey8^;@4Np6F5=7(>* zz4LWmg=>}g!?!o{+lO}#?>_v}!!K>eO>Txg)~ln1`R-wPNb?h%uMo}U7`qth%zQHV z(mb}EMRmTJ-#&cl@TIFcICx=q-G(@@Wz%VYz*84L!0Fysx>e%ays>)x;9=JSh37zM zj(AUZ>lPhfxLU1#c4sfb>hZwNkhk3;k5`wWj#p00))OrL%<6XO^3}EMA=PqFK(B*+0 zT!ZZm@4-6gchi=Q!KDXjna4hK*Jl2kClJu|`AoM6^k$IuFr}kG-$9+`;EjGhL_ssk zWk;|0#`V1FFkQ|?bdtAUV>`#Rv#U(~7}vtH>Bg2wUDnJ!HR=mY8evAm5mKTX;RalQ zpYlU-AC{UyhO=QVc2V&bHVuFeWJZOnyNih%P+>*4Bb1o%7D(7dXtogJSQkD;&lgkX z^nBw{4WZC7=9;3CGHP2!f2)w*#g5=65`H2bI&>+C9Rp(nS8p4S3Q>v`mqfP(ty5{T zXr<|Ty6Rdbeb5?9?V`o@*l|uuM+0dxPM2b6u%iQ7PBU!FfVZ{1-iy@vBGX!jVH?y? z84Uf>NA7i6Lg`^33Y$dh%CT$Y1!^y|aE+%>6YpkzVcAP-u0=(78j*l3;{p}?)`wSx zp!99e%9N$r+zGW#r;5cf-*QrU>#ST9UgVL!BnPF>iL{vFr{1M4(!n2QXBIx9;aVeH z;uWY>)N%~#1x#`eIA1ZfR8e1*@R&M#UGsH`o2m2Gp|>!vYVq~-$cq|Y2X(Zi2l&d8 zFWJO8&Pt7w%-9U7_A>KL4BSSwL(WG3!3^y?y_^iQ1SyF$4&?YM}7sA11YA>d6;4ch2g<}F3 zY$1lmhio9gGx$U0>IA!m7{Q)tG=g@;2b|p5_$XVTs`2&mRJ)cGMmd^HE0Qh($F)}u zxlRH!K7~hB!X+5z(WcagC?OUYQ{keMo&IKvL)T1VtkAfQecqsV>KJH9HlQQQ24Onz zF1XT7?YweuspxCc6h%=zSHq`>dH6){mKG2}bGiP4#N1}1!;K>YOh>`_28kF^3U&!p z3Tl9n9LKL^1Gphl;ac3Dt3_~0fmO}$%n7uzS>s@YkRcVp7mUH6!D}JQ%*{Lhd@+Z1 zmMs?Lkp|ZIqF15h3Z>kEG9>SGC7hQ>`XaLHe$s^5!Ho&Kx&op@^CdzEocfOoOwpd^ zY9pa-p@ozAJfF+IT;xsQQP{d{8Vc-OF+0dd(_$2uT@F*nd)aYFd#OR6C>a9D+;UZ8 zKc3{v`CuFy1yU0ca7!Lk@wlm$#1X#Y(qm*9+|dF`@R$>}`zLw}#>j&POD4r==$#m- zjy2T{N8Ni8l2jqmQ~@7-uHzBsLqA&bHXyqRABZ9(+No6LZ}7SZvDv4Kro`b-`3!aR zqKA_W&;pW&TTqZx0CO|+Whk9cNdQl)1(x`zsn2GkMR)GOYmdx+ z|NH+a&7^}92Y-d%%{f@Pcg{i2$)BISTBS0tR^R)cxajAx_feHzTfOG?Kl>Z!V9#GW z|J#52AOB;l*M9n^zx-vL?)=NZXZJsQ{?=QA!5@9(k3J$lUmiOD`d`0;b!dhT2D(+>9U z-zR56cQ|<2^?SOLO~Y#wdr3?0wRN)z=ymXy2d0bbCE01?FArYW`@lvkAG|!c^ELT9 z%C&9u&!jvsUwwtoVLg81hp)bJ_fT5<3ugw!ZodIy08OY3vvS+ojt0^aUx6haDXJ{LiD5UrV=%p|aEp2AMxg_gG<`G?cMGfu=r`aDQM2VQ%thCXlzik#Z#cdAmYtgh&(Gs) zU9?}YkgJTiMyBqvU;E9G$s7b{shN(-iwv)Uae^_$XZi3p(`!!P`NhtbyMsQs_wU-V z^ZH%%mhVDHt{|}?JT20)#o1+8$TrS~QCM}r(shxK-tXxw1ZXm@1d6c@3h8iOvDCK` zF5}Bb^6MQhoK#@>lF-caL_;5n09vH0TGkb&_`0>I3L6gRD9VH|ugUVo#6>-iij}~$ zH7cYFOWbLCMl84L=dYP3A3@0#Qc6KjK9tCYZU-L#hVf=9iUkIA>;`X={z_x-p(ehc zss=12HfHvc1e54EmdsTfd6G`bE+s*y8OIpr6j4*q3B)S1MaD9vf)T}9Gm2Y+MNju? z01X2u4gMK%S~s-2qFKi$zA*`fP&)lw zt>Y()vtykZKm%+=wbf3*U4)y6Jf!lgyh)grq@*SZ)Mny{fx7T6!&ww=VnYRaf6E63 zs%T%_1q@MH^X#P#`8B{ZF5if~=QQ2YeUzOW+m{QfVD6a>jIiM$3dxe)fyTma1e){? z&L{bGYKuJ)y4Y*MPBT4xXd?|FGwJERSS;p~!U$0Sr2(S32lGox74#Gh@Md0ZOrq1T zZzNX}8J0uPrZC!Z6rD%4sPY0iO3yAiDrv2vh>C0hV&wh6W(?9WxDI<(ROD z?Mcm~L#&%a613B^!eWk@+tX-+Pd>bp?y!o@wvA0WRR(gD!m1(O*jG zdPG0t%?C@bA^PMeKj}CE>V1^v9Wd2V3z zGv05$`Q|m$ckkX!9H6C&gZ>mM&5UnzpqX*OX71d)R~oC;ze??k(91L4m!f>rF-txe zNb7jK_jvD(H<&*KzW;rcA+)wTchdK#-g+zP#1NZ|E~T@D*yaFoqwno4vd;Ocpq_(1zdi-yfSuP)^VHYF$GV`4y7a{unj23kr5KwT?-zdm1J?L=QzE>Z zJHMFHkI1jNap}0%CHK|rt9(JNm&$ci?**^9@uzX%T>q7*_mWc|clCo8b~I_V0lu2P zgX?K!zxk%tqHJwY*$#YH?u#7UxpSLOv|X>?GuZJX=HOkiO(fs_`uNgK0=OQer_Xy2 zwl}xT6La0vXn8B>ez1FDClYTg`}N3(L)VkQTcFol!g@H#ALM%iC?CDn`UhNZBZILn zi`pYCX)tt>4c`|%d3xM7HWH*PnvQ*sUmcQQw!@|~&7wb-B?LKnb7he!7#G#GP^Z0D zVG<|urLMe|4JO}q*PgZT>KYq(O!a@H#tOQOqIq1$mb%JChv{)XA*P1jq+YGbu5rxB zV#XLvmr+lZ`e40JorNh=n)YT_9~$bg4t#>6+zQ*c#gs0sWS64jajX86iSPy)v++zo zOyv#vw#}@~kx~T}nG){qneEV0Fxhg-UJ>Mg(?{+@SDA?G0l_ zg9%$xX4fZ7z^0Kba4q?gdLBG3m;xe|YYusKV5)B=lgg99L*kja(}EX4YhTu_Gq34( z^Cxblq=|wjNbAwn+KtGwu+AnZ3MDk~!)es_A3C5(A>+%y!edwUbMFK*WK@mDONkl? zG61c-ND!UI0fsU94&f#hQuY)>8TXZd6FMQxlN>E1+TiqY4VJXHz5Fo3 zs67&2;IHTH`lX(sUsK&xx-%hN1M|SU?I2qRZ96nvpauCVpDLRs&*Ba3dRllf;8`8h zV0xM$ZtY`WQTH(IEKx$4MU_inZ6MvIempKqzitQQaaA)Q4w)aBY@$*CCc{B_GFdvS z4#^{Ob*;;M(M7)$(jX7zfGY8wcgx}{G)VOr;dHs|!97SO@h zdq-#AyXe1l0U__bjqXh_|7Un`-Mgjs$%$+hqnmqIp!tc!to>%9(fpT_X2x*uGWKfB zdQP6L^Y7Pza!h25G#f|@>2{fSv-Px?FRaf0&fh^pda9=SPB`f2}?3O8Q zW*hJ!Cr!#2$fE1k$g@ibLRu$k>r8%+4Ru}iBcwy~GplMQN%a;y0*M4&JGIFqo)ZYm7& zGcBw3#G?kLEx4Ao&mKF;DAhnR4e1JtiQ6->6K65W2K}DZ7_G^5TUIH~wn>C7IYd|D z8%(1KUtxlIh-U8?%)zs+!f$Y_boFpvfT^u7Z}OTpx)FLNZ8LorWoq`6dh#x)NWl<+ z4y~`bCdg?)m?xbfhqx(JWZR{;tQ;h}FbyOYxC?GC%;*|Sjzjk5!C-cr z?by^?W|(Shw;!v^OFfj&@-stoQ(lC{B(GSHVCa-I&N5Nq$3=WjYfl*bdg7ChwE(pU z?Z%eos0?%bB3BJkq6PPVo@J+Qs9OP>e6prhQM}h^u4q%%c&+h*Fc70k-MP@ENF?*m zESHNiRL~?;($+^&18)u&2AsxGbu?|f8++`tcG)KA0yH5Zs0lRx zAq{cHist4t_b}j=?I6z@s$wRMyvf@>wuv_B=$YY?iPIK3s6I&%*w}@tv8xjc+8Cy; zyTC?=!1wc5Y2BQTPidNqfkHgr@WxuGDKE}iT81E_*gD_4m9q!64}5wQ){G9MVFbPN za#9y7b0;<~LKyN%UG-I;1yYg{BEJ`%5Q*1L95YKO{5BP}x`w2SsgkGwSq-I$LW0}%fj zQWV+*NeWW$L%am@Uo`G@&o+0BDd8S*QLJm$3MQ$eEN2OpWWvJbe=2W(V()BwaspH z5o&J!I(W?CTyLKE_2^KvSHNojuoQZ2vm0H0&COp0rYm7= zrBBuG??3yjbP!z!2d}*H=HAMru}Oi=%Ue3o2G8o?er~1jdvMGmU!&Ap@*ZE{kajc?o4hg`JVN0;^|OT*RklrM|jzU6)qH#&65$!#CMzH6Uz>eR3I?$ZxTL*BZ#_K&sJ zUVH7e_c>?pvws}do}XUN;&0BP^1x%;`!Rc?}28a(@K(%{Y=Bh(u3O|w1L|Lzot&3Kd6w}MwDckk*P zh=;ddeDUGK=WP`M%l6F%E3PJ_7Y|MNNqxHor$ieXuwsOBARgX+=9$~K8x8j5bMegf zx8|;|$pY5YLsA+q$IZ-DA9Edo*R6FwNY-u$h7NYq%`9v^t?qrE>u(zxO|~gv8`WYw zp|Qo9USdnKKx%jPkjUysM*UpNyfFkGGnIwf0ZY=_a9wB7YB&Nd!SZWh0?2V>RMo_{ z{J4gZs71lLS~K#XHqOkRcubKct>H=41)G7d{nP>Ns);6=Uy50tjM34oNi!t1$<>*% z4ZY2%#>j}^swNS9P3ASKj-EFhQrVsWAiVR_K)eI$rLALD#6VE{UnkVygs>`R%r7O_ z$k(Wi+G1L%9u(D8rDe1Hd4gT&Id4(*eiI-&*n-J}UL@&Ai8ZU4)_$LHbf=0X{Z zUrKf$64qM=gQ91u*fkKqOk7CWCX30I<8FV7ai$VX`M9o?#i!+?>VB10`xR7Rvl66) zdQV32>4=Fi@XPql=u9E#}d`h1ua~V6$&aQtB&J>9HxmWz{6<7haQtLy;6K!4~q%t zkRS6VC$iIG3{HQlfOUw_C6}$$WZ+lCOu<@l1qXc`aibid(oOgSRDf(0vV2zfP7%{P z)m}Acv{aspu?xo*sp@8|%otZ`xlaoRmGf>ILW>|b>CiymLto52afSSxEx55Eny=P7 zbki7Ph?r#q{8~H^n~aXf9A}s=EaGecj>50t02?QjrHO_afnNi9k8##JZ=x;|(l7L4 zFP0n1flmYlX_@lS4ZKhWytIf#w4fYsp^!#W6NCp>#5Ag^DV(=vGualUj_gvS z+Gp8fQq2>#>y|{Sgvjv*ne}he)i1QR_z%u21r0J<3IY8p{bc%Z?v>WsL8bZ8b~Ybw z{snA*Ve7iDEYfu<$8f&6+tke`%j;BPEc}eUN3*I)B$?cP@HCW0*{YBMa z(VX1r(}lx(<{0Bi#Di}WVnS%l9kKtg@7a$&NOvkoQD`b6agoi0d^lr5hL6@<;8_3a zS>j!_Icrm~k8bg#5wr3+s-TY4uWSd4KCizHLc=pf(rS7sSFVVJ^f)T; zvktaWm4$LK6JZOgx*3>dNyvS1J#jS+p;{$_4rmI+*tqA724zBu!1*!vG;jUDxqFHW zZX^%<4wy9b2lcx22R4SDby+u4TAVM8uuYb>|_I(akTQ2{goAUnsZ~R0zuJDIG^v>e&P&<^jp?B+1BbU#9 zR)hV{Xm~>aUyD9m{=vEi8!Z2pqwfRm>YaDG*}k!SWBKZC!-``&g zH*bFKb9Q?BYR3Cxl_u1PS0C^i#eYy^aUQt0++VjYLo2%uG^6+WBz8`nODDD0WXAiq zY`kB`q3cCT*_=*C4mwlLu1v~uWo*wM9o6g|Z+)h;L)Zv5`_?zmYteV#z5Q?vQAZjL z0{afu=w7kwYS8RkUz4yAdH(rr4Y(%XYuQkx4Yz?^Gw&_26Hu$rLG2yXR*<>rE&93! zyY3&?*1*om-_D_{#=dsxy>nHUaYuM;4~?2&kBRQHVq}E66A^bL2W5`~Jr;dw4Auk* znVgJScW>y{32i6^a+2NiPM}e^9R*ChxLDRoA420A8@j%wxhef%;zp z;?gvAf(*0ih|||UJj+p1gDZ)e1oImwN}B9a!>KzVmxT+3k|w(-P(S$Xk%v!KcoHWk>gN?$Ne{fg6VPB0?JiQR84)gK;-jDdOcU2=KfM) zoh!q%8U%fSh!IMxFy4Gsj!v>{ETeX{o2{sXO2s%`lw=!80-P$S=tJXm? z9`(~cZ!3yRI1`1;s7HtDvJqoX8^_@W#oa z!X!j;y`p|57w8o-mUr{U1bJ$63>DH}W^CRhD!X0aF+An4F@Ae#B{`CkF7{PIVVvYv zbI&PboU6@Cf^v~p!Z$TVh-Jo%&IV;(O-onuT4@v7%cEq3m4ymf2^hVHdUS4xd8Hzb z=4Wh!Wto3{|3&3ky5s0vdE)q#KwOl@4Z>h3TC{OiLM1m5H(c32bm#}9>~-R2#9?u4 z9s)GZOI9ID5SNi-oWv+L{WTE#aU5x-{Po?*3g6H>K1)cV#5VWCf(q&0rCl65GKf+F zCt}a&i8xPPR6LXh*)LsK}hvQCa0C@iflQu)pK4a&i?`1B?7{ ziJd6OkMLd)Db{ECi76WQS)5o{7(+<}B)gM=@-g~&Oh6~O0SbuEc65(K3omg%Wpk= z`0QJ6zkPLh!4c2($VNv^r->slU!iQ4HP1)9c;6 ztBGZsM!a>je7V)&&Rx+3<+pC%e)g?9chJD`kE;9NS@QojDrmX1c%FF%4fxnp!+&+f z#QEVf@7`JdCTCS8Ep27(Eq7E^9JNWseEG|X`@&zuCf&Ebb@$E}zxc|tIz#M>{f9fp zT#1)YI`#EyQhx1gnyFrm!VX_NJiOam+UaB(;fasA_!n7YoHQW*-qei$i z-tr~)arXghp?7G`8Ed-rCc11!z}!23mb(?#*Ir0t;g(#dbV#~mlAOO&OVIA~%*N2a z>dH334>j;VTzs`{FF!m*4msughnKr@{^4qndFfzJYUk^ayfg^O+7Qo?n(jreL65r9 z!lH7QC#=q$s`O5oNB+8yI&{KFXjW8wb*YfmCdO5m+bg~K+>YdD7D+~=q6K4qL#R>(U|ywP zL`>3js!a|XPMZ$NxwslADSgS!(9M12PbQ$_ij>vC?xA|)K#Cbj-LTwHe6gDTk49O5_mi=8fqYAHkRB} zT2PS)khzl-z-90dh1rBg;xzh1I><1T{sg>DsAeuOx8{o(g)Ika_)J9WO~e3wr!DJ9 z#}Ok9`}Qe|L$v}4b$JKMXKX)@s~R8{iU4Oot*l@2IL}>`PbbHfDT);|QbC>?tmlUU z=6M2&P!ke+Y~^JIxlkR57M^6{+Y3;EoXVRc{UB0COx;&b6Mb*11+6l1i5ovhCVvIW z>uL|&2PPokmqvh8FUvsfFw!Ml11sw3j%y~rhF<1_565O3LtR$Emw^OzUZ)kqfv{Mp z9NLI3LZ}ljYF}P|!aa1V?4ubTROC-?`r+v=c1EmdF(BMzG90_}l?JEB=;LGP`JN}M z{%MTS@#E9&S}4!?hI%U@=-8DY?{(wEPw*NNV`%~05`ZjcKTmG`NTdPQ8*`xicpO87 zgP%JdSN<~eqmL|XrZ&j=Sdz|Y(wEefcqA1Vni~|PS_<4`Ib02#%GrXkjR-onJ^>@& zO5@Qcj`%qy$i`G?F{`p-Gz}A~?Q%r@(SngHI6;!{F-W?co1yJEHBXUBWsA=xPv(Uc zGAIQNi@GmIMWwsJsE_6eKjSlJ#X<``8epOOv7f5q(;aVmPOiP_rRtjpLZ)dP7K2_ubqa4DALpS zjz{MwWzpwz5w!2<5wSpn346Rx&n}%Vg5W34J8d^20U=-#g7;c@Uqj5J1nNag^3^^N z71;rr5EeI_L-Fw#X$z$|9rS!Zmc3Elr&Pg`gSPBE_a7xO5Gg?>k8dZ|jUXWxS*aU| zpm4KTZcfOCQ(G9=9A6EwX1 zT~H4{jikYD!?S#Od2jjh%P%+a*6%f;JFNC@!t#G_=^3EDeqZ6`;r%Q5*Ma-X`GEgN%LIEiU(@OS@i!HIFs{Up$wpWVzV-ulH`w{E`q zk&jrCTk9HR&w-80B^rG2OC1fizcl`uGzckM3*V!GbuJd|G;cJxe?N`pt19u^=$;ww zKlK5N5C2q#-|PWz+tc%@U4gIQYv-+#2)Qru`?EG0|I}}Pz{dM`s@GYCcJG8Z=5czPoU%EZyn_QOlnKU%TDw{l;%Nd%v_nx?XhD zWlI{gmxGaBzkhVJR|8)^EL$OZBzGW*@^+^cy6>GgvO0wZ#mIPqjcXP)GBlS+9k-r4 z;cAQ%^}s2ZY%|~*@F}$0g$^WljbotkNdv{m)4goto=jpxTl4KP>x0pwBT<4{nI^jB zM|5rrr9lG_%&nF1D4;*X8fEn$PJFnApDF4Uk;$TBGP(B3ZM7-z#*WXG9JSwNN|<35 zZUY6$Ng3Vg=%kq&w*}IvHmt;N%gxdpdH4pB6~oDp!LDF7$%&83rdRMiTC04OEocfE^tfd8VEc z7>zkK;z%kc9=?C9k6O<;aHmqA2$;(c{45U;Dqyp)5Vb+q85H_FZByKkn<8{682R{w zSKnEGK$Js^wk+@i<_MGGe8|XQGUUrMh3BOTcA5=GY+R(nn~a6_Q_?9e7`XExuWftY zVvQmxvgXz*c5uEEwqV39GEWJ7NyVJSIt_i_ZfaBC5||TRFuzRZ8C!(5V70IMO_=0* z;?i}3kH^TJP(>saPP>I|d>AQMx^z)93Osn2nRKoHt-K zGKfYAeY`mbm?y~O=}_lV0S#|*mw926>{Fpr(~b))?^8n-HoD=0vFyx^?TQdVZ=_T` z_LG)1MEs@z1_ z(U6}BJM0+j&EuSu`(TvMPu+~kIiPJVk;>VC(P%Or4Tk;dmZiuw{(RtMN+EmM0_`CzOJDy|YbP{78XI+-{Fk|zAp;JK{(4PGnq9Uu<>#K#> zHWZjli#~LxOSY0O+b~cw&rWjA!yAN3^f^C7=L!RA{=DFt4i?$$GCQk4E!;USkI5vM z@HQ;w=g3@)m=T|$!l{`{jbaPv1qMWgK^Wz;yb|52ZpEmK)LA^8RWn|p&AG@!H8#%E zjuM;NRJjku=(v8&rsBty)Hc)~^jM2N(ws3Z;S9@efqlyTU=mbQ^9gqVIH@vT$Mxqv zH3_9@Y_>{&5-S;4_W^;g?}8RtIfKPWn?uwY3dj~=rG!P(eUXNDHW+hmoGu9CJ1E5( zNKiwleVeu_S*DUkVpZZfg)y~gwIUY($2w3HC!C;l~# zM@H`4{o)rN_06J`Sl?IDV)Qp2DJv0|zrOqqefc}rU^SLIcgwOFckWN4uOjP|rr@4` zem_^D*l6X>vp@TtPyQb^YW(S+ULHLv&6_vB_BFn^wzdX*HLJXQt+d2T_HIx4koBV^ zHMCnF=H~SQck}=G4=$};{Pa)n9n4sgH*acGx0*?B~2)e)k2ianmX6a zcS*XBYc&2iSS~+&`|7>zFORRMySS*{J94*gcUMf?nRcb~>zu2YwS!f3Z|K9fKg^fM zFCMY-K}jD@udbv)*{Ol$@(=$Ik{5j!z-r$i*hTB&q-l4Q-=%GbIYgmt0~Z$@;P!}R zBNDqUVH<-2pL~e3P1%Kc@BQ~|6^v+)OQW)huaHx>7jCUB_&U`1imt-+%Z1niUt=Uy zVY}znxJ}Q~7}vm5S;IU*By@NuguC$$X(RY}u4Eb>b=%On%C?CuCe$I{Vs-_>F60KT zQKDN-U6w?j@zhnwVnx-|tr&IV*#avC9o=mhZ=hEF0jtk1%w&37_$(i~U`M@{ zMyu(@6x4+&-OM6ej=GUPVKwisW|iY7?hPG`h9gx_d4bo}z|sVe2~y&Ku(0a!NPYvm zjOH66{o8>|Th~dN@0xn=2TfkQtw_Nnk8&%iXC*3!L&H-){sVM=V0jarS#MJBOKWH!|5ZXP8p0!egheszP{j`Kw8Rs0 zx19hS25H5iOTpMB52!x*{W=I-Sk@NYld+UH$h2>Sxq_m9|?P!08QTmRMSj zHrfWfLX9YbW5t_Su_Pa<=8HU}-WOrIV5K|o6+wY=wlvDHhQ)#-MT(*r_Bdckwl5pX znxAoEwQby3!=^~6E6)>+>DP{GqV|T_%1Fd_s;6gz6qsoQN)@w62XBPC5AsZdvDh)w z8fR={F-Vl+_Of1w)VNp>FI@o=kR24%Cu{`@7aqs2>_RLNk~MkDUN|*hhwI65yyFH2Q4X&YWA@k zFB0_$ACnp!TBYUMeabOln15 z)(E~Hb`b`*0u3xAZ^kclTcNQ;xyG(gbQ5AoN+A3s&m#DB08@g=q>b z7>m>haR8A72%Kk;5*x+}PslSt?1hK6lp{PPYtx%7Zg)<*1Y=NDI z(}RH@MAR6bGtDya&P!>v%`qYsT(yG=+s$J^?gf1O$crBkEzudeMd7^5`LSl1O}SYR z-4$b*#ufYk?~i?)9&`U;B(pfks-mF96xyQ*PodF1#YWJ%#%*IIu_~k@ozG9aqHB;j zStF|!jIajzG_OkE8>MD`Lap_y0(?>DPD&dNl1O#HTom5v;&MKpPm78rc>VPCBBP6^ z1I$WOkg9{Jg9oD#hGzaavi?2BMLAutIbnE)_7&yV%3I;r69%His5j;WU_YFkvtO1o zKVqzC>~@7t*4Zt#Apd5b5JYTP4o-UUpvb3O_C=gTKF!-ZsD@)sK4MdQ;Ip`+cpj%x zp-s{w7_>o>J7GSi?&8?NFpQz_ld7mCx9oMCLSj*?PBTQ+;2{)Qrk(hs4D(a<3)ef- zR2uf>NymBkzBsO^UezNNEYSRF#RXnc5iAF0xoEK%oss$R@nus10X`*ZK?L|KA%dJ1 zvE&XvNy= zg`4$4IX~lK@{#q~HD_2ifKs1891eB0Nr@H)2M26|ptMD-$jen{tZ&hp2P6UqF^^}U z)6+m0-CAYc$Z>UnH73%%kW#br^V6|AU43SNJMQ#u=F6fGHV2~9_78Q0`PC1hLtmyZP{!0ET)TC*B*6KdfL|FcE?}l^Z zNt&~pe%e`_Pn)@W;z@W~z=LL`?SsVmXQs(_)R-i=dlUK7ahfl5<5ddDfo}X01n&mD zdl%f%V!B)D1y0&W`t2o6?-B66rXv8X=3$GEV0Fv4AMTyr(q35Yo4sQKY7L(K_P3vX z_F2se`2BL(Xv^_a@1+@uNAO9)^6mZG8ehBn;;q;2zH<0HUu}72RY{gHt%85eVeFp8 zIO^LIs zs#43>Bz~L~wPh1+{N)SFo8M;JavSH&p~Hu1_Ne6iqXxh2E9J$*)x6-oI@(UTj*(^S z)@Fncf0!M{7gu(^a#?=qOY7X-nAOSQm922svL!TltxMk-j@#HJW&YBaR%KO51mf)C zccgr6`O3RoiKVM5QB%6zZM9AP#`e{!GM8o9T6_80@~-46O&8zFXamc;ODxdLK%>EH z%hz;kXc=||S`B);zjk0YvKa=6<^z+%vf$8TjPsj*?lhNC?ZobF175 zQY5kOBnKWHv7zWO;sdcsgQ@+P{8~=LPq)$g zV^Aff3ChHsae(;^i(S5a1m;Ow+a?!WuW!WU0=+BfoloAB)>i}x-IO{A~%CM zYiNTzn-cK^I^DQn^s%|-pvJiL3RZj=W6__n+IN;H!rCbt_<;}ux56kkHxw7g7Qq@S zLjphX+WHz$QD5Zo=vsqV_+?_}SK>%HPj~WQP{QZyMh3b+&EZpfY(T3(bBgTgt2v!2 zDsht;j#twu!8$uZTM4H6!Uug?&z99mDNGA9S1AD{_lSGsX z78*0Eo-hiJyWRx%c;*QU)dhXoPEaB=L#0^l+ne0YB((+Rf0+aOlabKv}P7pOh(s7ywhl; zhyx~a!@(kk!7({EpxccWD8^&Me;+L|5^6LspqAogMvV~v(|nsyLsTyzB~8J6)& zbQ5OOD2ogjvX2ier8h}cL&HDOEc2N=#d=Cl0>;+3aT;i4{qsS=D%76wuR_s`xOrjqbQH7OLu-8GVVE?GX?jVo8eacZF zmwG)*n>$b9EoGjGq#DrMhE)oM3bU5-)_qR$V8X2;5gM?zC!|;S_`Fb<=e!w=bJ&8| z>eL*7riiUdKI=z?-6(lRSsaWxA1)TL40WS}p#zaHzf`{phgvY5uzW1J2!j* zr&xoO<(2`7+e8oLP}AfjHr%oEMU{ow*qfyc-#A>Nu2AW-gy90~5AvcRcl}W|<*0S> z^-03D=^1%XNtu#zr!wGyb{_bapZ2|KeY-NqQgt;eWsUFC&fQbs)6b<5u&ez~+lcs{ zYv{&o&a~k$|DFowZitfNe}>RK;?F#o$Y($71B*|nGvVDk@4R>O>Z;xYs&q9pJ@igo z9p84{TZ3Qst%t4+syjfbm;dGR{$}s_#*O#3zB#1io4FBxho6l{c8IT0mdpM3_Gz&E zXa9^-n6&fuM+#njwRhJoA72Zf`qZbEhp!&Kx?6eeex>|1yis+z?rY3)bxYrtUb1!C z8uKl8*Ipdt`B%R3+;hFVw{Eckasys{b-liB+_=G(Vg7c*;r&-%BY*vXt1i<|+$AOr zzKI4kG#WTYcwDpVCpg#pSsAU{O4|PTnNP5)SSxEanopzn->%L)UcV%T7obxc}UIN#ZPNaqhB!N!aWB=5PLHTN>wHd-0W{@=N8HHrZv2 zgu^KOZPNPyH-++h*Wm80L$^}{My{4?T;BS7uWW1Z$xk*K93DREYryX2?wzNd5;D5h ztz!AY3omrb$;X~oYs_zLYw+^RP3wEC23@gjSdA`4mM<=E*&DnK4LUX? z2qL&JGkm~hypaaU>dvbXygRc;u+vfln78t?35Rm!J?0FXz7{Sdz?-)#FC0` z78hkJEY*WaU{&VGt_%&Ay|sx}aso+zEU!uCRFJW+a@d ztc?KGYBJ;m`zp;EgeK;(6CAEz;vmE0R|@TfN?2MoRv@E_@@ob+<#~c6qlm|qG|5W~ z%^4TYq6ODqne|A+7%I(! z7_gu%E}XY87*&W>O1el5?LvKq^OSUYH-O;1&=)0@StIDtkeX#an50979Ufv`99q6& z1}Gs#eC|{HQ7&ePS$q_S(MFT`Vm4*4r*+VC=TE_^oQv|<=Q*uHyV2_=@MvL4U*BOm zx0&(|VrMWv8^_aG%z1r*%G4Z&Js~(Rd%bxTRc4MYkM?C`!Zp;!Z=$9l##@KUVBAZe z`d-H?8yDpm9OMW6Q87!&h4!E)c=3F~$dN0f0Kwf!?b0Aj4iO>QXv&nRy*Z;I_^%V3G2hJea}#fT zZSc_1RSjn`x@7ErOc}NTt`&?pFL+_ukeY&yibd7SF7v>vi&4)TBW*ETX~4BxuA;Rh z$c#Gk;L6pNLH}ZlFR{nuCHL|yp3R2MLjYXl&XiZN*IV@bSuiHfSv&9+!m%ckW9Btb z!xuu+(gNsQiU<9zN=W36dvI`u&5I)1eFayQjyH)q+h9O#Q}4R$gGd5D%(==#FU2}; z(>xo0s*g1CUFU7^t5&7AEaSPPQv;)VS87l9gtPo?Iy{@m_ElQ}Cd*!|ZA%qK*YpZ5 zGdn9{g{VVFN3BVNZ%6T9q%K#*J^6gkiG?n+8_qp&?w*F~4b-FaCMr?Zt{;q3%EV7P z8^y2EwFx!@oKO70TOYjj)sNn9zr}QQ`1pOX$_`Ja!6x_5ZMRorVGNwc zTf~wE`Z~|y;nzR%k&lSs6%OQnXZS}qk{v9`wVklW`E$LUI^0>iq1I#Ql5ZJ<*9lLW z`OfhFDi=Y9z?ar9hh`?c&1y9oZ@z(?#>`0g?Y=v2`St`fAQW`TiogE)(#?PTAN(#> z;&i5~<@4=c<>e=reSKT1n_^e^*3qpaoj<;d*2QULytU8u>f&9UzxCtu-~9(@@ciBFek<5HQv0WS#rDh6xM*;#6&l?{8lW?0JS-c{R*%4Q|F9_ zWvVW1N7x%Kxm_}+kTN3`=`PO^IV_mxy z6;$534D}ju*RO4zc(IQ)jyksKWgxPGrjYX3B=3y#dCo;dYP3227a(h0zJ1^0+4L@;Zl}_LKy6-VL~ZVJe)u(r0v1)4PH?z3+%7|3)EENo|&t zuVTwL+(@lrMr=2CXLS_>`3ptnZ?_8EjJ)3 zTb?GNv&X)im~EvK!s=g6hL9ELYB*T=9D%1J)ByX~h?bFih zkb_ktx6lEv(qdHZx;NH$PD0WxL1SKGJ?Gs)e?@9o%om@AzLPawxFQ@QmB!I?JS^O2dDv_dh4~Ibn~n@Tg+w(dR-LzAuC1OmasoXqM$N& z8A?EtQ=@HwJPn`5(9gN_Wn%bhyxW=!e_&(jjU~>AtMSyNyFBg=wwtUT)v?_Aw?FW>vVX|S4pUC-u6CU*bt(&9JZ=I0pGfBx=kLLXgRtc{R1 zSicX^?U{JzZhr3O=iL1?_kMA7^w`nfccF&H*m~?t++V4(^z1e%!r$bfzWRdkU7h31 znB7i%hNqpuu5(>cYD9}~M(sD^Enlt^>ljV+t=n(mu2Y-Y*>&u`*db>{eK&30S@g0mCsvm~a&v>0YJBe+Ts6B$cBt_<4eBHX z_s$y1Cg8F<1g-NZOvq`=rGV$RenAT}=XY(e%r+m8+Yj8ywFN$oaa~{I-pH;g|6WOP zFXdW1v-3g7RD#R0+c}v>ZFk|QW>jh6Wra#b`x{o|nAstk8LfV3#XcJ-$ zz6tE~!wxJ`fn@zaNE43}q0W>czxpo_@%+>~JFy0M0H=XgF()xZqoI(1YLA5yjxyyP z=}wVBXa%K44%*e$of1sl&T+ws&9ad`3A`KV+L)x6{FKK!YZ9wVX~1C8^G6c?Hh4jU z?SN7+A0o^ep7{F6BlqH=Zp>Hs@t_5iOj#M@*v;&a@RSNURhWiA_M^zM--+j3_X^H3 z22Z!T3~DJgROVNk3aavUKx#gn;bX0BHqz@>xe&ea6;Wxuxdf;JrQQwu zDck&L-z`9WiD&h7Nw0jaG-Yp5p3nPv;O9Auk6l&;f8x%=@H7wO%P=`l zw~!K!O(5a5L6sl)F%w!=_y%e~!9o>FI%tlBr@B*n4AILgcI#-l{isw6m}oR_P|ti< zb<|}__h=ADojhXnn2cfPTE4>NKnY?o$1x_0vC&i4*_^El< zo7e)ydUS8BzRg$qMA@|5W-~Lg@W7sb`mRAy4tVpy2ePI`apo?of}-yPOSzM>j%@g* z_Cj(xsW0kg^gelzM)C97Z}1Nq{6usT3l?&o=PrE%{{bgGoB4!%6g;Tcw=eH7Tevww z&>Sm?>2Wu{TYlj)AN}Y@zxvr<`IXPQ`^(qA@P(s`4}IhtHVgcyY#vX0-Za>rcWiQQ z{H6QM7f|4%zw+77{)&5jd0!fQOcvO$PifpxR7RYvA~<5GyX0 zzx+GD^UD@`-I4bfKBM{Nl;r!RL017LlAmeg*x--exiMr1h^;$GGv3?=K7a4mTAuHF zKx60@_}bb#e>A+oj()Rxn(=n--mfh_-}3wb1CP4R@1}LJeurkeaO-R#tjE7!vAh=a z0}}saYVsI*B4{4v+li`e)DHyHYT&=e0s4k^VI!V;hK6m2rZe`$dG1_%!DeXHNSFod zqOWyMDiA*yupaEpWLMvN4r3G1oRnil=ys9!XCNC0nE9u}m>2ahrRf#3V$%MS4HN5i z-L`%oo#2{mj~23znuKdLxB!>)ie~n_5{B`zO3pxbW_{uLn4VdGuJ5u6Y~YW-w(vVi zYCGb__y}jK7Sc^a^=0#}3;B$%7fsVNdSm`a2wn|Eo7>PXX3B5Vd(f`YvovdI+C4CE zSrg|OTIlaufJF-!^?M3WE%4L=Pc87&0#7aQ)B;Z}@YDi7!WPggYyItpY!khse@Acj zv17Sr#IY&PMMvJz{fV|q_)H_ndi|`RbW1im-0Dn z?7EDCldx>wDWNCY{7;U?p+N9UHXruPj%UUt6e| z?Wu|K;XE<7Nf4Vr*I!>c-B2ndbD|T)@(rraiK(2nWkl>TX>HP0Y?~rC6M2SkHA*aP z>V{3W6k#p>lp^WiGsepi8s*GEP^-ZO`3A#S2k_F?1hsvd53dxc(Wy5G z24mG8AT>_Jll#d;2}XW0@Y#uIz&LsP+%j$0M{`@XuX4?+*?8o8%Mb+ByBGCor{#4kbH+J z*8#e5V8S>f%ag71{K(B{_Bq#4^TX z9z-Kni>u-AZYr!8QYgx9lNfb2;FQ~!ix$UcKYjGOHAqS2PF6uJwdfy*grMrrqD~Lc zI*4X%Oi*5~XsRi3(p&>vx)LkA5C`OHaM}0lykVMtAIMe-Lhbw7mv>N|`H?&2DU_92 zNcS9XUe@6v$OT*uxS3NIdjH9Xj0d_eLAi8AkyW(EeXt>kV;tbr&mfy?oqBhwm4iu^$r0PB^;w!WX{!(JMQ1>FDB+EuDv)0j~M#L*~R%XlFu0uC3j@zdZcs z|6HfyCwPO;@JfSs-f@Sc(TCIycY-wd=vR{l7e|_pmIh+gBz+3)OlZjaKucv3ud6|e z|Dgr=8ub6ZT>jD8?%ey!ZBxAat#7^inJ;|dGe7>jKmS{{ZvD{Rx^ct4w#;z476 zWmztVpC7I@+oZwr#%pV?2wRP*JL7f>IJTlu3QM;GdHR!$7 zyZhA-e5GP8{bP1XX-=I)~=|^IPXpO!8*YIy!`Qfp>ll&0}H(YwE1f zDHJymJL9>qekV!Dz;9Cp;Ts49d=tTsb$Ct98Yh~531Sm4zc5OJi!EPtDx>wj@mJS$ z8Yn4gqBl=vR&5FiXLDZ@J%MNqT_s!7v7bR*;ewT1azc}w@SF~UaOz1$?iGbsZd$xNP9^lVh~PG_s5pjplAat`0w3`VsFX~n#{FUPZdS{8AZT3&IkI=3{Y zM(V(VDoJ5gF(waRV*UnX@^wflZG7s2bFrlsB|YO|PdcPxYT5A^c3u=!Wa|spKO+O6 zZ*Hi4vq6xNC?jfk=VwtISw1@`dLBzK{mplcu!7K}E_JRPtkb}G^Lgc5(4dG>E}HYU zKv(qG3QG2c(%_?O6H`Qx<;ky`XB`oDmXaxLYHT_8Lzj{FrwRT=10BoFdS zqOriyYl!;K$-1DF4G{UAM}Y4P@Vza*q*fFYCmBWLvXC~O&BZJRAM{4Y=Nd;SA>U}D zJq1Sb3+PoE+<@2ph!;+@s8KO2&sdWpqvwIMvr9(x{8_fT)|=X|=6qmoR#b~#!05As zNs;->dA=CsS)MPBF*ZqZHoCi<)4D5;amzEG>7#oM1UFwyQ=2iAHoA-!6&0_?NT&Z% zC$wekWw82F=F+QyCR9zz!8DA@B4YyyX{<%5YzuTa<6G%x2OK7TcI?h3K4)atq<0Z} zIot+_>(6^D?!;*q4hQJe5&i)pjNXp>8;Wt^)DoW~Xyg18pVWBvud4;r z@YY*zEN`Eeoc#LVS;d-ASt|4p z_{vw_|H`3D8r-^b>rTV*1|`08=kMQ1qX$+=A2DnMmbtB)cQJ{nj zDAKUWF%9l&sOVgoP`Dw5ZvBrJYJnRXFszdXH($9qd4<0t7F~+{aDoN`jfwvwPS$zp zgFjRK_ZI(4jBo|Ak$CTrflg?v&n6E)OADgA`OIJWZBwFI=?>@5@&jt){%!XY@dFuS zINK=BI8i602{^_XHi zU7aU>wfv|5l+pv6EI)JSqBH#FV`<;oh-uOFn0>1(EyGse4bGOQ5rRKF+;uIH_iHl$H@~^7{cVZE0w{X*BxCkk1Cs65 zpvj|Z0^g-SyG}awwfFK(_ELU;gzW*=4=}Se(bp@kp62tlbej=z=(i7)T3staaxJ*+ zq``&Z#~aj+{7$e_m$UX{wvCiKqe-QfG~m=`iOmldEv^Yv-TV?#qbY7|JXsIiSfWx) zfi6@{q+7*aJ!(N|RxJsc1fi}0sWZ@J)FYq)Q%aGt!91xSl%N)~LoXwXWglGYBDBOd zj^oKv(Vv>`6Zd__*QS4@)Y7PxY+&`=ysR*^o!F#M-Hv_bON^~O3~378^=ZU)<5k0H z?6GM}xP~*nQC#+O&VQSEhOIy)=SiW-qT7(H8P@}rTHe00PiB+OFgO=CA3(#^Pq3bF zJ(f6DyiI(Mzgf>!;!~sc_S~*TbK@lw`u%+R}e|1-zIE}^P4Q) z_$b-nQ5Ds&Z(31hRe!FAtUr!s&X~Eu*p;*idW0S-D(TeB1iUvTE+{ido+(Knr7qLuwTZUdf9Jyy|c_}Jj^73;=3q$Y?(#b;3A>V29w@2`8xXnAR!P zae2NIj=Z)8<`X|bnGPykT%7uV9l6LFE(q6C5Bbg_O9$3P2oR4is1@ zlA!QY(Z^FJtSV5I5i7?`NsqV6Gb-h*X5H}8(cfC*x-xlFvW!4h$_~s6G8YIG5yus! z-q|&5xloa~NzfF6>a2!{ADE!TD{9nEFB)~y(vGc~JuPXpns2tbau!K41n@m*))IYG z0LIuT)MOQe1~Sikb*zwPg{}3Dh3`VP(5<0LVsk`;yb zE*gdjfkd%tKfsKR41C`*sVSRYk$F%n*)2UAF)AvsV6;D%aU2zjrhmACTg)h-t7aTz zivS1)ITgpRI$(lpsPmLg)10BzSIx8LWlU~QI#=_A38zYxs(Va6A1F?UV)Jz!)<|-; zw6-357ekU0HSdCw6W0qIQq(AXvIys~j0-n89r|8p{FhR7+=Iz*d^}jSA6Dd^GPziY zCD0HRFPNK}#Zxz%oTup_)@laMBMN6@GTZ`Pcd?4c2W53~sgFV(98By|-QqOFBo2H1 zvq9$}bUNk>L$;H9R77}A$0J_gOa_53zh9ncVQOL59HhQJ9H5D_8IcY!L&_(P`9Sx8 zX;uuZIoJIVAYW1yHRu*X)w!80Kc{`nc$s18O^iZ?E_bg`=F=Pc`KTmjV8%lR3Lh`d zvA?S|*sBBUv@?!L?$QVOfY1F&ho0UaECxl+6@@KX^MORZLLAA=^J`~)sg}AT2>{WZ zFwJ~C?jMVvdE^O-Y(j1K{)E-E12|T2>Q1SB)i7&oV?hJp{TZ2sUi8PkVo+(~Fh=Og zR&B2XJPJWXo}OpbWt@j$ z7KSOGHti2sdntZSi65i;R9)!$0G5Y+*J?Mtt#U^n|LuR;74F`-|IYo-FYn>s z;0w!F?!CkJ4i)c$Y4GN54Z8C(Z!-_kO}8ifw?DT=xIxa127Kk$-8{Ux(jaGFRx$rrGO;Ed;!EzZ(n9xpu3rhU4b8b(+^woE^(8JQU2RN2SDIRF z;)lble=!v+4QSKmVZm+p}o^ps`*@DO>t2JJoVE;gV!m0b-G zbmd+8lT^Vxd0-Q4(pUJJp-$i68|=#8lrZO!*i0X&VaEDzmEs2xid~_;Xk)8Ti~3Os z%pRji^UN2YwTh;D*QRSYT0|WP_#=Kh=+ua}K09PLR@fk#yTK2y%y)_BjlOck*>c0T z8zPfD8x$>*bSIrJxipD=UAmG4{8E8=jENpx85=&L+W_Bg(121<$!QfPyxHXl^_8)X z4{&;Z*8%C^`Ln@conTt~xr$`J8c}tN2!kt8MPODQb?`a;i#0 z8#A-|IzbvPraW+qi1`__;ChWIYVb`U`Hi|`q8ZtDsZIF{-*AZvOUX|#OHIt3*D4Lh z!SFSEq*bt^kKLZ0>~kaGm?|5lsNAfSG*v+Z8o^aWO7hf!#rosAU|caQ#zj8KApnRA zZtM$-FoeL@Z!B~{H?{>j;yi8D_lDL1aT_GHtJS-(hG0^VGQkH0Kd@*a; zJAv2`*?mJVaW;XMQHAW+z=ru7G}dJJUY3h;g-M}N zwV-aMeqIx_#5F2h#&hi2#~d~;V2C70t_2%ss02Ik4ZZRGgm0Xg2oIOW z?h)U`W3k~Aqdh9P0gPtY4mHU|sQETHR#E7ZSIK(M;# zvO4=BY?)=Mxtec{-i^$6av*jQI@M@*Laj$|TovYXo#BH3DR%`kf&)g1MW**Y*8si9 zfph(ydY)sIa;jmd-V9Y%_j5nh&Fv)8Dg^i`V6oPTB#F8T$7g%nU(2k(kh7?3`>$HV z#HxS{QdPw0)Ah_ix}S4-$9nZ3=}8+UCqY4-043YaOBcHuSfh<1Zo=w{=~52thR|ZF zOhL?!Pd(IjUyxG?V@HJXNv-nWIK4%iB_Sd6)OUw7q2<mXkMdu7@uD;HuP~#r-Of^rPi-a+PDQKw!>C3F4qX8Jg&`Pm!A60bl0s1+wu4A zbz?2%nmk58H`C(M4YZ*8M8}wWC)a@qL@G9)6XP$@Xf;XSkxe4Wyg9!Yd74 zc%jL)>+{L$?@@!zHrxAGy-$7h1OH7W|EBqoeXrAsfuvU6mYv*nBDBWNpQ=*ByF*l{ zeemzOPtce(8n0LRCPr5IDrJI@JlUiuQuuN1a(Q%g<-Yyw@JH z)8OiHY&Ct?ir9Tg8M~?(TnpY@`s?srTV4{jT>jy;Q(RMnbdg<0SL5+DXXDqm+#2g# zgWvR91~^u;c`NMG&=Xr={zNG@Z!O!2pG;mieXs1s{=|m2O_YX7dkVDk0l$a$G3f1_ zACurmnf!05FqTc(7-C!pYQJjY4gHCIZfxC7tSF zQwuz`z*7r6wZKygJhi}63p};J6Inp-4gUWQPh_y2%p>~2&0^}E3_o~eP8Pfa{*w>? z3d<>ocMS;4|x`DHg^Vff(M#v3ucuGoBOu_a?Cf&-EIQz*P>;AD_8O&pBe zq}<89hHNsdYqA`*(+)MJ=2k3WnrVq~p?Iq3Hc>kvyI9NRx)Co%bC})u69O`P0(;NH zx`-58;T!s+@y&(1&b=?DEhr64Tz@(luo1kpGow*sT)%RncI%MUUD>2q`KeA<#1;V)fGA~x{G@;FMOKi)@4acZwwB@h<7D=Ia}! zazz8MT|9T^op{9Odnu34J8`hGrL9L{xC||RUHtwO*VVxIKKt~1S^0Pozs z6f2p3QbM(Yl$J!hYL3gI$sl&f;*il1t4FG>Id~0A>gO|zj;b$@d2YL z?e(qru`$(f6rMj}WhbQx_!9331zaqFEB(YfHeb3V9xt3ncl|_b`uR$b5((QvN$4C+ z1@;vud}OXTO$bP@fg1e^f-54C1QR?J7!U_ax=cTpvlf&!>sk>y<#R_0O}Pf9?wEza z!&nhwyDAu^_PA=##c{zd*~L`yAs^p!X9KXm zeCsTA^ea6ACzxb3Wk1L`oD?%{k7tSg)SYwDkPrPm*QcV!1v34Z_nXo*$q7|Sm<094%$rRVn@~dz>`}wW+yWc=-yHJMd_x4d9NH+ zV|PBaZ|h9+!I%$pv%$Bt#wWwgXbM<;R1)3;IxSa_tvqCCBpXa#ZV#+(5dC@vRbF~+2-4)o%$H`O(wTSa}_Ta2^3 z%%_7Xw4fSIVA^Rjgq_y!`=i-}Ln7EP%}(w~&SCO|sl?JbZ>&(~w3fU_`8m_u%~bnk zK6d@=EDtQ&#xP!@2cGseE#{P^^zQ5|nMxGPdla&gGYGsPWK7O5c7rp|k>z$hF5G#U zd-gl0#|k$nTfaV+e>^VOYk4`RaKXE9d>&}fyF-}}m)Ij!#+zl4;CvMyiZg3vYtBOy z6~Z|#pECBxi+JASSn9JAJ|zZr%pDOU!8ypqbu4{Xa1b~epc=Dt?*PWiYjOL6Q|O(G zgD5twxhLBmrs~B(pIq!T?fGDIe3lOi7Oq!Weu+`wWdZwezUY^;-l(buJtP)j5sM38 zbH&x%I>6Be#h^Up?U-)r*q_XgIhUNR5$sp+;v8cXf>}Qc$e=Os$1A+-pg$k<@`D35 zrF-EGGQGX+<8lx}I3O=>94^nW0_}k0+?ht~4oD5xQiKEUETJ5`a6Uit+#E1TsoNkh zFpeB|%8z#i8TGcP24R|2(HFE_cR2~+K`xsG1Ymi-Y0I3e#G^0`wjL`Q?ba+An~ z1IF`Zu}c%p7vCWFA)i%jKL>- zY8hj3GQfCDotA@x#pxi#ULXhktc{SA95*0>8^Pd*R67QmEco=o*m<6<5#5d3%N zaw-Ez+xbxSW`h#kaEt&h9Sm$R%5py}%WQh?dyA=7`|GOCWdmbb&@3=>&5frzJRRho zducYp$k}L=T@FXyc^5oq%!@@~msL5w&U??Z0_+3l{)NQcGyl2T2XVu>KP|#oKKL8% zUl5-@c+PxLNH@c~*GNblI$a=fK9D~leGNCXvNuKlpLyKJkgG<==Y$s9n?i?k7I+2{!8f!-gL}x_@+Zl-6BeEZ==sXD=UK z9A2jo{{4&Jm%se-^2_Fbk?qoabL<~qTwP@#^y_Ny?6YsZ^-(b*Cu!hrbu~bN z9UA-%_AM*X#q!}pJN@~Jz3uhN>5HGb_$kq>y~r>5E!WqTch`;Iy?f`*fAV7Q)**kt zwfqNNl2W@re(!&)EYNYXj8gf8c~ z;G8tjnd8!6DHU2u3M>!b*udQ4%x5$x4c-6!-+y>Gb<3j*m$sPv{Cuwl|F`>7l^$R( zxqdZ#M)#?-=l`V-e#-rMq`maPkGT(+f48!@eaEY`6U4dWib4v7Ub=I)`T(|l$@Tc6 zm(CXdq~TSOs^*tI`0-lOUrzi;{#C5`hu{3Yn>VZK&mDekxh&ZoqI;ie{sm4-SibXX zfBc8r|>&xZWmv^r$OBimw{Qmpzx5?_j7yDoAKl|3( z);fiH|2toPt*UO`{B!PWZ!F8(w}n&7d*OwfH{ba+&fWgKFTci_6+*W#eOSGx$kFoe zZ)ou9a{215yQ$C|qkk)euLN;+;o(Eh*MG~}si7y>{mZX?^Y`AkxVl*W;;mn7c(tG9 z!ACG&$Yb^U>kaX5{a-I{l`N%`?#maK?>+y@yRQgyb!BHOG(ydP?JxfQzrYnx>uyhq z>HFXL<3F@j5Z}D@&4zhRgKAxa@Rk3}uIz?)A{@Hh*H7r;Bikd?I)ldCB zf5@2&4W7GWoI4*CuXtkn!qXJyL)^ifXRPxD7%t+#lMID@)EL^Ic+p%TBXL6xMTixA zC&h&O5>BRUtl_R6{>#Hc<`B36BxD}q`dnWjOBtX&=R9{8{4S-i5^87N;^wW?o^&I03 zLLPb)Vg_X}82Xu;M@FjEAm%(Mhw~vXE{0H{lWpkB!1D2(#G@4Z{5W%2T>^b4sK@A|2VsYn!#)2ro7-SG<`G% zO(U+19#>~YZ3qWn#(-WSKRBj$?n2g6B1A~52Bc>>O730Xjh%|722?HAC!7N1Je?Jn992#sa21FDUwhe(BB!ql0rgw|qC4g768t@rWL> z4j)+b&xa$%{>O{slR=!2*(A^g&$+Cr1WL&ZkSX-pcs4kpkh5MkrCm&gyByC8GUYmu z*vp(M=Cr~^JRQ)SBDWV=`2SfPx8{F zqPKk2D+eHKtTeJYiKS54*?-1XjD)fi21=~it?k=a>IeU!`vk);78JzOgHB{b3O;D$)Q^nE zVQBx@gA#QE4QdtUcmk=@)5&i{s&U`edt8dSTtb2UluDBg1?=x7W;t^Ex=T*TX8nGe zxU{ZvJ{AuS6$iwP?FqzT6lvDiY3W)w>3h0+YnQD}rnJM}BH=DTzRV3fSEH9{3=cWiE%jyi9^F&Gp0k))uaaEiDT zW63Q7IWtMuc16#8?vUz}bM3=GVmEUWKMM{FjELQaX3VY_<9AY&?Oek~pZ*Gt34p#; zlB_lR!A$aQoYPo^DJ#z)r+BgFK#0E(0&KY13&z2`cTHy*;`^FNTc)*T7-jq1)&{oI z+Dx)(aGr^Bl7+0uirm;`zn6M%@>#FhAO|^{bPU!iNobbwyc2OaZ@*Z2)7JfDk)@sS zX*#7PsCRD~(|7Pd%x--x=5?0#edVgmn`x5uHH4qKqG+p>*4^_C64oZE$)71I-4Q_lu9uK;mEl9(Jpc2MV1uAa`O={Y;^-uCrMH&MpN~9 z3)kkl-gvV|xl3%tB6HeIVB;uZmynh!o6U_?38SWH>zX~|tSuMQX6WCJURLHK_5&i3 zscA-urr)C(Y}?S?2LCirern*GRpG$KK^5i{%~TZ3KGmMg+EBUa+);XjD)2GE3Pw4S zmCdVc8M>~k=C)qI(~hm)cV1B^ZAoJ@v#i~;vJZ3|Hj4Uu&*sJlMbl8ww3UK|=R4hb z;PV*Ge4fvji*(v&!DnUOv9fY$W$Ha|vZ^bcujtRyJY(2r>9S|Pt18+)W22%g_yU)u zP+pIfp%3hfbZOz3K_&%TnscIN-i>P-v7S2-Oc+#p%R=nEw6}F{%SpPQPR5IRyh!(% z!3w%f%ACf=X1|{l<8t103|PktgRPr$k<+avgZYGcE^@r&`h+;!Cs;5KJoFG=n-6co z2mgnd69-;d5#g2FQ;-|8`TjP>Jh#cQ=BtI`sjIbVo;wxY6m=55e&gLYc2+wqonLCl5uiyAO-_kz1OYZAmzp;IBb@BE^?&0IR*bjgeY`4C^^YS;}_uj9qzQ(t-ci~en zUQ`bHO808@g)iJI`PaAK&6Qp|2gW$o)GPDu8}B}W!MoqR!QdfbklZVeji1i-U%dF$ zul%t4+RoSTlzV4KImlEAidhvH?Ihip^1BzjUicf&>0Y^?^c(IA$gsz+?nRE zL@dWK_$(=p#a%rF2EyVi2N!59!86a?8-w7J)I9QuN8DH5`%Pi+g=c^3nbS{Pe`0(4 z2!rnFbGdl!Xnukj`RTW=xpa7Kcxyzs@%vwdKRoc{Z+-krKd907)@_EWK-@bYRNKVB z%=qS*a(X`|T>F}fAKZ%nc>fNid+P^!`q8CJ*H3ru;1_pSXJ6oBa);a;-=8{I?VkTZ z6|n*Bxae!+XI9$5ISB)mCOK7DI z82rmWcxNH|`PF#?pWlD$w;!{g16D5@C;w~aZErJhv}fMWU4hpaZUVly<=*?%msjWS zee*<&!{as^6=$A&^7?9ZEAAg`{7;(dZZEN;asB!WXMg=Szxh`Si!X`if%x z$>q!3I>?^bfAp=$|DQW|>(w_Q8QLIK6ZEK^R;= z{leKTFgT4_eDG)f%P;@I4;1dPPe%O#5V*W^e&@V>>wo_l<-B!i>r%sepwZ%Y%vTx5 z2pu$C8&{-pt>#$H5uPg+c9!yyK{PJMHg;k`StKzp=Y*!tG^Cbk#$qWgcTEz5w^oc7 z8=>LZkd8Q{RC9^R+uYPPyqRN=3C8mqQkocFUBW34kbNDNXesBkc8Vc-_!w^}rCR%L zaypE3+@kCz{5Fx|;uzi|buJQQh&%L2yNN^vN>ZtSBh}pFNDirvo|G_WZNi)UPM|1W z#|4CruQ?h-?mAfhaxSvd2KL%7+Vt{nOz$l5VxN~gQjcX1qDiu$2?WC;y;jY1Pc8<{ z?PJjTLE{S?86)&cQ!VsFP*_d&3{n=ZNV*_+MO~>~Gp>CsGZV|{91FQPB{|mb_*WKT zsjV8(0S>;M6s`e77H&vD#V?A^J%IxFC=ic4vdNK{mBg$@;<*t*cd)Z*)q%qZJ{%TJ zoVS@hi_TZpRPl&I+^m5% zj(F6x>_k-IC?rh_k2N6+)zx^%96%k&ke^`0SWvP7G#tfgDy;C-G+MLCDIu}0lhJFHjOv;)LmC|#lAFEA;(N|RPq{$jj z-N$v=v28{7%Zj4NX*loc2kn3w&xW7qz>obCwSz$_Pgx@nh%k`Au$AIG9$sCpVyA=V zB0D;k5ClebX;Ss?bvhao8}eBLHR(6R=mW}>QM zABp1UNP#C+x-8+|TWGn!KMXDPy~cyh5;cKOC^o5xSlkaumFdlrkVmR*oaQN_2m1?5sx+V>o^`xB@vI8@m(TP~N3ExG9x zA!OLT*Wi0^npjSq6nu!m=YGj{k+^aOBYP-+L2#rc-h97!>Ld4G5CZ=nFXrR_@IeIl zS8-MUpcrpH?CC$w{E$ofqhDEPcY5Q~|D9i1dwq`$Ui*3x@*2}Cvu^Xk=WW)Hm%N8- zhkww*iK&XE4Z1!#%Ph|uws45MjBzZfd^8JkaYdtuF+?jkEh!Xd#IKe$zIC*=!h;eA zivoPiMH3XOw?uDcy^aCwikT?{(0i*(lrHeYWUUZYad-yB1zZzTVUqxDfIgNrl!%XF zu4D7E#~}>MQIb3CL#`xcM(kNQSZA_?TuZ`0yJ9JT4e5zBK^9W07>h0z>iT@MF*v>S3r-N;7O9q95S%d_UsSJr{Q5dxPN3pL@ z2d>1}sg@7}P07X*Yfi?lq;MLC76h4@2?byGXq_!)L=y6KKt5&Cal@094k=5x%gm-}nEH9_a4%VPAIXRKBxT}zmu_{DIGoKedGOF6S1-*lHn6ZO^&{&(Q851oTZ4+#s z2J~E6YI+Klyryqva2pVQLIY+kYv8`9`9@Q>@I^oqszbgI#;jCK-Pd#Q8Z^*qFs6B` z8o?bI1-0C$8s%d#)O(lHxVmvLoLP68MR;{hq12 zfH|^Gz8W_MYsZjqX^Gpb+)%?CMw_Kb^;BfMti5OG_SzP@z=^QSPEbzG*r_h5us4$~ zb#vzzaAfKoEn#-j_?8U^lg+CsJJ3m)dRLAXV^-b^ny@h)oaB7gfqS~hYhnlSd{DTs zWn0t}cm&2BJ1z*(k{<)pZM>*6o;|ZF&MQ@vYDhj}P^8|W0ADC;D;pp0gOz2o_u9NMk zW!I!M5>2&gef(T(J9@S8evX$li5iNYFc*o94G|-3Yg0Qhqasq$IMU~$sBCuwDSG5- z16Ez2&*!xr!xE4MT`ZA~?bsntbQJ4dOAbywq^l=h^TDL{^j2jkSHk1?q;1h~mgU4PqP`+l=hI8yRmbgIr=Cmx{5X55@0y z<&dyl6bRcukl3j6G09jD+XJQW#G_5pd7F2I-D4YzIRcu|DkxMo6?+}&qRr~Pjvl;k zNwUvmQCEC^C}HHXe^Z+MGGiQ2K*y+sOY*hzopphkBLsX?i>hmgr5W74F^Fx9V0hL| zm7^5zmwYi0{R_u3vWX$nQI@4uD5lGHoC~LF-sDKVdy|_cgy8Jd&i3g;RlUfWZ%rOb zW)B9-h^%84E_AF+L+Tc2#X=PI1KKeJ%@-hG$%cVRQ|`CpE@UN20Q)xZ+HCSgYy;$m zBhRTiNtaSj$}9_An4>FDBOGPwUye%V z@C2&UbD?f8St?y1Z&2c#Aji$RDOX|lh`sX;0g*Ec{tP%f)0vrLud%BpiR(*0b=e}N zx)VF*eU0?5n(vv^rO9ZXBwmwiQX^hZx_ZZYOuC6@_lzpmg!{~w<;XRZmuLG+ zc3viR;fkPYJ3g31M;|u`5|e{{?esOm$qNJ@scE7tLv+N^{zATGOOFS z&Gr@&pe=-xNbv}gboMB{O0!RSI>jN zOD`Rm0RyGnda3Uz?}eevLo+(v$KA)f?&eKI5eL8fV_RFN0c0FcApnWt4>1$`1~#F6 z{`{FUl2E=4kQDS?t9MGqvKu2FJ|tICyi3)k>gv^}PCfP1sZ+bVH}mfUlsY5l<2RUp z^rL(l>Cy9#s>IuGM-LQ`e&q%OvqnJ}s8r=4{oI>34-S6dMnSC)G4RF>s8dUkvJX1>va76yEeX{aFaKl)Lq^{9CincIi*cQB_t{nj7vC+ea|_X_&e zAI;I(Jw?nY?aMde;c4>|z2?lPxASNYHqAp%qs7a6hnSBKF``zl!q;E?+J*~?@v?RJ zhs;}W1D6?l(B$A#pZ~vJ9enP7-n@DH^hbXAU%VDSS@qcigrF~fW{7|3=B0yAz54lA z&z?VPUU}t})fJ$-+1(uSko4-&M}O&;bcg)8bLum@*)CJ#06UBW*)Ah&`{+l{nDCF6 zf4C01%gFQFr~Tjk;-BzYFQ%D;uv-0lc8I@qbL$}d;XnRE=~ru1TzNEq^z7N;R{FE% zDxdNa1~eKv*yjA@)eA2S8o{xMZ(NHQ$bS3wQ|C_KzH;TRqSqb@zkU1EEySJl3H5%J zCkZK||n?GQH2FgvxYlruzkqIj^>Bf$Z=vY#>U5^$R5`)~yoiZ7xym7Ge z*SM4vwnYx%T!nOlg_YA>yJrk`!@LeBipi`S-53HiMRB@l-#j9NO%3o4Y1sH;vUcx@ zuh$a4%4z@@tsVriFI9=x60uS#O!JB5{V>?0N3_fB2dAD%{ONfF&ah+|62b{pq9=8+ za8ioHxQq}b7{=B%+4SRy__P6%fJsqv;>XNMt&~pw%Ax1Si;zBO2hr@GGCcyhp)N35U z%7FzVW$g2wiOq!MW}qeLhA7(-X3h`P92t1GvQeE>ouHHI-brTjNsKgf{*B- z3%oLz^;(eSbJPpH$33(*JTlpn7qXEBX71`pAHB%o1`?LY#a^SD)m5FR9B=C~hYB0( zv(h0h>?wm6FYJn+$O@m7RnkJH{9@9{^vL}rCmc^pl?M0LdRP}>Kv8AFIYS3SM2t!; zVFLU{3~SQLaO#It`iov_K{;Sm)PZ4BDbjjYA&6b_c{we$cuX5qGD|)`3gMka{%QJv zXd9T9G+0@sN$OMdtKjO=umgdz-ej@Q(uU@z68vG?hqArtu>pui z(FOyJxib^m(bcY~I$DS&8eCwJ^_I11(2}k3o$-94mh`A=aWGYqT8#zm8W?Z)Mhm)k zW}Jb(;564qu}wog3ep1;Ydn#`CAG$-mZDQFc6jD#NoVs3bxCK6g1(qORx_dJLEePk zO_OHm2y%9{_C%P0iSZSYmgH0SFlyeiq)r!2Go1#KmEO>XC7d zOj*oM_Jk;7zj5kA9wNJP3_2WKXVYt;v1Ix@rTJ*giQTKw%q-Jl$rcB)@zi?LMQPs>3fW66h#)aopCf;BooXC7F9^J!>Vdj9ciH+ND;TA zh>mbaC#OvZ@g+jbfFCL9ie|;XCoX!obG9j)1=_$HNZ_xMjf0|=OEwbvQQr5ptEZ0b|Ax~FY7qW{`-Hmb(Ud73y2W@tA%&cXKSUgLVd zA56#tC80mir>eB3Fu;Y9Or5NrU)4<~o05K?HfoT2u!AB@S{CNB~}2T{i?L^ zxWQ*>Gq*W=NX@JYplWzImh^#+ZWnrnRGt>DYl!J8Mr0OT>dbydJZ8pr-k5H`v%O>T zbM#477n5BMcx5&E+%PYzG3h9n`Nqv?G5MmOFhf8}wjGlNJ9}lP5lZw$(oC#vm)#T& zxlQI>pLL`C1YKGbaOVkc`mXGmRu;>>yesV#B~q|L?R?qgrk(qgBd5$beba!&1|)_Q zr2G;NiX?kUHeK31N}bq#HZyy@>y`>40wkmI3DiVKg1wh)(bt~Ij$MlpeSw1*ecg`j zBCUEFna!Nku@jiH_t?`CA%rqaT{+pKuaFy{$;?BGD$W=T6JA6vD@xzhb~>?(a$&Wh zj%8w+pGm{34DpWO_Qx$szhUpm;^yewK z=a&)#uWF_P|6YA0x)*j~Y4@U;OlGB9EP@MkM9O5}nl#4P%5?d{*t{=CdsW_hJ8F8) zOIM2q3g<=7&O$Y9Qv2nJJn1g7_-H#A`qXEbV-F6&Wd z$IWutG^289n|@YwN^KIqoF}{!l6@w}yldEcTY{(EYZ-+3d@otD=`dYR?a~$v2LSfl z<*4>W%TAV??X&-BknTB({cz-Pxho3uVcdgxVX|>wz#k3Vx{fBVrh%8nsi@+W+sHE; zY%!*3)+b}v?uV*%%KF54Sa|1aw@;rrbMZjy-HuN3Mj^{y`PR%zM)tZp0ezV`>_kQjK(-013V%=;(4Gsecf zF*hj>-K$^t1^E@ctPEeV--N%Ox%${MAN!}D&`!s|brW9<-OjZ`>hA77@ysVypEz`H za(oE5xO()_jr+`*0fUfu>fLo4|JO4wg2CJ0@yxR)G59H9lk&`&C!Tr7v+p3rNe~9+ z6Gs^QcTfMVkG&I}T)1_3>r-Z1nzwH;$@`x^m3=8UU38xYH|g}E%Zr%|rr$+(S8#MD zS6aS{P0TRp=q4LC=K2IK-2FS&IZ3DY82s`jQT1-n?giSUH&>V8KQFDMb{pfZuHIh9 z7t46+)C*@hKf798`_{MK_uiMkxw>?9=j_$h>XApTUd>+GeQDT-fzy3u^_X&C$7R)B z8BXYK%KYV>*RF2tVq-QS3{IcEefzw*`8n;w_&@nb)N{Rj_4Y7^m=8`x4B*dKI|dBg z%U{_A&fQ}B0L9$wFYo?kPNc^SSHH8em*Kv_0B-l(Q=3vyr{3MzWnTHr8SN{r>uAh3 zsN9QRe(}rfM4a1Vk4$ks|LW0MV)o2{KhbX68sz@;!KdZA{o3j_=g^-zb@}oqKPmt1 zE4x?t@ZH&A$3Z^ET>rJ7KHTAv`!kw^Jyuo(-yC;w6|p9ng9&C-HvUhvpPNB^E$Bf243z)j4^}6z_~L-Q2$i`-1}ifvCoGQ3 zDyi@T*&ao{5i1Q`Rfmk1=w%0|#wj)D-CT7?Jk(}ADhZ92ab8rdrCdn^G%^pHVbqay z4G^*Cjuu?}+7i(%NRbR=N7n0h6zsjvWmfF@EN!cl6dx^f+f{G`WAAtLl=G8oUc5Voxb==5tj0$NuwT+Bn&&H1(_efVfqql`+>}XwjCZvLj zwB4v`J+(z;g9vOqz>zRU+nn$b3#!QfqxL6o-lm{TB8@VjbTCJBgn|OZIEd{P#OR&& zNAOGXc%;-Ia+W6Ql*U@JJQTg?3Dgiu5G|UGsB#B%a#JQyDfS6yil!8sl7gKuHlxU` z)A;JwGj=HUbZl2Wh7;9oi4d>^$(h5hqUN*^^{n98;o6(Y9BB|c9tiN+W>WphpT)U) zONKTq_j}Fh-%@BLUDs6Z$NyZSUCX@V)kG zIG5f?o`{b}nO;K|!qY9S?HmQrU@ZJO1l>oZsKuDFC&Y&m_z4rVv{7nMXJMQnMuVxR zWdU;PNtR4;CE!Clzz#uzCD$eqUMoDQ6;3>F>SYX{;s0h~EVXn&6)d{O)5>XU1KFxs zmTA?7rDHT269}*PpH{_Qi7QlubG36&+&2kfN~;?iD8QssDz{+94vBVt3 za1dB77a$s{umlOKe(0jW>KIc^vZ)dVOg1;!3axC&h*5zq5ZvD1i-z7MCviiDdF;;CEta9K;GHDl+6M| zZO)V8meW9|Mt^#d2Dba8OD!nD0M`&M+cNH<#IQH=BzJ zSu(+J-;|%Y@pPG#ruRveB-{e+xRAjlZyp15a|KU42Nw?y|3n?#aPfDWF}z*-Z_GE3 z!T)P=*nS;MUN8K0lE0B)|3)HxkK$M+0fA?VW6%fI6==#ji-u?63_spDEH>Z`Y{4Ai z)cG7Lv^nvVE_Oz?b<$9FOdu>}@Cm$_1JPJAVXjM1?0Z2t>FF5g`||48!ZbEu<5$IJ zg3ei<0PUd-w64}vN2E0XQ=*VCa+n3C5{LMtEOO( zBVZRMuqo7t`I5JH%Bn>(bUSfQ0x4)|Z)96s(e%tWa$=iBp_>oKu40qpzyBwxj953m?CSFRPBwfFo}Y z(rWo5r_4%BwRF>Oe-pz}7VClsYa=)}WrIj&` zgB}PM%B2@gbVuVTW#Q7NTw7RrimE##UpcRiVl?k5c;ZHx*7KH&1A0=lp>|wc=&*HE zb`;DwktiweS21C$(qIxQ9_Dnr7NcD#Eb$Txt=aQ|eNKW>vUT~!xtnowY8>OhR(gho!G$Ds*w(P>J8;4SKG z?sF$ju66j65xG}LH65%|W<%ew((m$+`Zg-~@m^!a40)06wPxh>BDE!*gj+38(KI%t zA?P_Nl^l=+>j>&UA}?~SBJG72Nxhhts_}IRRU7zKI7(-WN;8iQHOVEI1Y-Y`#m7=1p`dXc9&?9_ z)o&XJa}6?p(QGk^cd&vDVfgbD#Y7tk3@e9KfM6k~-A8k^s6uUIGO#9&hhX4pGWvi} zr?==))(%T4&Y&hcZhV1Q08z@)iTzR>Mou!Rxl7oAo?p_m8CszwwJ~;Dk6RmcBPvJJ zq3g*OLp|mkLemFdh-Vy8J*p0S@6-ly08^^aVDv@+cjaa@FB{L#A>L6hcBbZHYh3VBYsJlwo}*Ad+0E(E}7s-r3{mp>-y2lbmA5d zfw{vC6PhiO1d5GFQNydw6PGk5NxJ>INXxEcSP|mqSwqQ9&lMjG-~@p=qWqdI7pQKn z2RHsYlXg_i_IA-*E=Z}RpUc3hH~sRkcv(c8U{MR-Hx}(iKZ_a|=yH#88+=}(jP2VB zTNP-?eM5;Mm{7F2O#_2&FDC{e&IJ5Dgszoih>tK1U9bd1x4aAhiL)G`-t zmQ5+3QVB@^*g?-1l*POj3{5*hI!Zobo_n=XW59rbEa}i^<=UtPvqR#|GFc=Up?%Vs z1z}Uu(MCYq=gG253;03QZOL~@C{0J2jtD)QZws?WO%h~m))pOJEggzR(S+Ob=Tk3B zgd)0dCqApljY1kS1I4sV*w~wB_5weK&EA|$OzxSuCmar~$v6i)FyMY9e9eAGU#EIV z_eN@QTE6AYIbSx&eEXi7`nD&xU_u>aL~yb|TtxhNIT@!uo7gf1;dGu$23xRb&J<@F z7I7$594rswqq&WSOT*|ho*8JO?MNmlUPHVXP)i+e3J6We1AWD)$Y2efNOnQ32VOY= zrVLfiJj=O((lZ&Pb?{`~SPl{U!27UAUDu(eO9~}3VVuwFzMyC1Wm;9}<}TT38>cp} zx~ZR+V1U$`$RL!l!GKlt~Vy%_!kz%-^_|*_mWa%h!pqDXLGrMaNAF zg~+8xA%q@6GrM`$b}c(3b)WX$)GV<&GSdm?6y9U6uMPbKt#|8c_DSIM=vc5E(oP}F zJTz#=Zp`P_`mPt&ch6p^2L0D&knWl1o*Uf54LwhQ!MP|egwu#A>xTyO++oh=VhUo$ zlFn5ZHuvJc-ZMmvndSjT>9U z8+7!{Djfw^-@bbL@=Di?e1na6#DC}>N($Ir>A1hvtX^B);_o|gxBkYB=bzVR{UwxC z!GPOwkM76azOl8%PW?(d_^9q3Vz9m4U3u$U&z#xb+J5OHA9?My?d`3XDDS|SzBjAH z@+Ro7_Gmipp#J-f128b?9^OL2A=!v>Tv57ys?+xN^Usfd{!_2&#tvz}UO#>PN%^1i zTp^3RMsTE0iU#HR%Rhq_YV_Yo)(!;^^j7rAA-a5o(I(|4=6N9Ic>c`l=U@HX|K`Pa zfylu}Kl@bXt){wB?`%Y=%S zD#9-FKmRYAg3g>r`PfKv_5L6XcGPuHJUtsR*xK6N%`){fE_K;bpN<-{hgrDC%-O&9 zlkZ6nE|U-p-sj%;UQpc4b88=c^fK|a|fBw(^{@=g0lHM!1e0oU6jnca-bh|HILcWKX3+!VF2M6DxZyMu1 z^`1|iEZ{Fad(wTk>m@LF_St8D`j1vOSKJ5m)bG7znVh|P?%a+M24?5dR<0IYV{q!! z_O2wFTU%goX|;OgUvDrNW(+raj}|P)!2MSnN5fRic62(pZR&WH^z%lm;W_(jDNEM9 zHZ>_7CqDHWaqKUqE>}X{on#P()QZ4*F|}(q+?WC!KVHPL-VdsyfCQ^D()x>2A-v?} zVs)k`f2nbunP3Yn0qDnx$-Hm?ydJ#NLz%BjTN_LH^7jB3Rt7!nnkQlzqmu+AbP7k? zm(h+twWm{&Sw*IuaWT`tSk0n+UJr;Ya7_vGrst>CwlN|89OG{T>vmBU$<9D9-txh? z)_JEVYfm?FV}8aZZsyR-b0&!2btI*+h!!~rd`gx#33*ifX8as3hZz?dU?J1<)Gvuo z>VbX00bU^yN5krqnq(fc=D-t}!!I>CAy`~Vo%Tp$a0eqUT7TZkhc!aPspNGn5FYxG z(%5!pa)&!*kHc^^2`#5E!P3KRQ<@oGo{^AWiYuGb9Vfvl^4EOuLK} zJZf`z19dg&2g6##1u=zoFlVeNvc9AUZpne_0c3hI)w)B~9;!~xYp6X%vX9Nts!6KF zFDP)kX3lM-#uGc`Dd;2n?#PQKz<26h3_-KdcNE-tg9(2WR8DW|!1j}6F)tVj9W0_A zbOS&bK=YiZ=hySY?nfD`LDJY%wCGGR;0_a7T8=Ub8`543TPXshYXaYMa3%(39GoiBy`wEFQrDfH; zuG4x~$Sy&FB{6(6cqX|iK$|NXbz3HMso0sJE-5BkVd4~r{sIjmX}Zdns%x)Yk#4c( zHP**x%7z}4h(EM_M`@B$DHy>-%lv{XnBqoSv+odP!|UbBe!;$ini6p*&DbF-fX|kKgr_Y#!ec{NXQ>iP_tt~-*s&%ZkwFbx zI4Y?_I(G}2pvVZeek2hnLeadVJxtbn3V>sP9M0$$8W2`W&XoS)eMquV0J|=ZY zP?e67UD~J9a*?vRVSCf~mQm6}w_XtsLDIuiE)fAp27_lq#X8KIQ67QvVzUpfJDy29 zcxWO73Z_Il$kOl4Mh#Rbtj0|bZ4qu$6gF$1TGsb1!_sqq=|UpCY&qx9XX?{&l9#r# zwy!(F8|C>R$hrFn$#x2_n)R>^h>(jJhp?+zkv02kibq* zC_IPWD^5I9CTGf1moDfRgc<$r0{I1FA(A+dG-$|7QCjU0-_OTO2)8KEK6O(!F|*k? zaD;ef7me9p6f@Xbf%vjOE|#BhG;3>S^Ez~+-0V#pbNnQD+$@qfQ!@~khK*3Jm~DF0S2-x% zk4APhPRdd5lDb?rzUKvp2CdFIye=f`ew4&spzc(WW(*HL>#Kg0_pTAr>`M0gG{z7M zp5FFU!uQK2^~GM>k2vz0F81?2G%L{$ z(&^AEOg4cXfWns5%Q4w3{m}jui}93Y_Z>yc`%_1#5xIu zafFbTUKUKpmXgf^`^4M`kp?$Txd}WAMY^;T`qX$-<(+qCzgYIpF3=7KgEjXZywQ#} zC}z21zUrE;vDLU*_|$Y}F$ru9gSE=K8$8K01U-8YKANn*639$VwI1n{p8Jor>ph)% zPgFEjd^^qioJL{>W4^ZPxkWme+uAQ|&P3Y#36~Mf-Lg+Q%RnU)+Kbm ztUmD!XET52w;#3_&5<@JAG6sOo<}7miBAKK`2YwmjiC=PtHQAcV0Y-gaA%CybKf_k z*9)KpVid8$181~hx7R7Z^3AWld=U-I!;D(<*XU;t9lF0;7BKi@fb76PXE!$)yZ8R^ z`z~^y>&6`sKs{ySzSGMC z_}4tAi=Xwy4;IDwhtJ#Y)7^l9dC#dK<>zSHJ0WVsZkg@_4?kvgCKm*xW_w=@TwopL zqX2IYd+WwLL%QdBm_d&O8&bFMjHb4{lewNg_)d&f8*-MNMJ%4s7)#5wBVeU+t6PI zf;$R=9pEbcJYZT&_K7EjWr-ZbS#0XzA~c;m8X`0!6EHTgnf3Le6cCGd>kz6}X~U}v z?wn_fr&B;;Y;L`5>~N2o#@f&zfUymUc`yS*4~1&wfG71uRfkI1m`6{L;|G_(Q>NbU zDv6Z*(M+y!K8EDfM0Z}nSMU^>gj&H)unBu>Dre-gAfQASYu3|1Ed2Q-fh6GYrF4k7 z)w+c84ZOWdlN@f2PlUQ!o24p0Vx=III#vxBfXG4;_7Jd@lqEc1tUJ?a*C2+o+;#D+ zo(WEA#>UYBNl&d%T4c$}7ZUi42PkknFcvtXM@0S+p1-GPfXrCbDv`vp8Fzt>#huw0 zsK=)B9eT);c7wBarn8(Q(;{@_L}KT`8=PxuBi?Qv>ld4tBt2*iS&P*q9w#B`#F!8V zN_T3Fh!_pivY}8?@8dAT;Tw<*rD}u-nT}EUNI;rn+?mc3LG5aFHxNZsDhdd(9P~jp zbsDxm2&r0y!@UlMVhc5)-bA}xTKwzJ!J1AfhdO3OVy1j%Fc~fMp_@G63loV5o~G=( zpg*f@tmx6DvWr4=A~G;)(TIl%6V!t-JR>6N#?JIEwm@oJk8ql$r5kE9M5OOWr?oOm zxoV5NfZYK0Z)lB_ez9;Z`x;#QLYjp%Yje~VMtkt=)G2f%;J# z*_yUX36Pbx-5gY~lb3a|>F|OXIs~muUM0?wy`DMG_9l?hDBf z;+(SB-zNpxp=&AsY~Jx~`RSN4Z6PYpN5}~8mFc)$NTgq$2-maIX%IS61M2n1K7*) zo{lzvdID=scxt$A@!r*;TbRnVJ%>-y*RkpuspF`xV!T5s1^ zS@m9B-Tq7O`*`G1zVg*?zI-V8z8?~6f3AVzZv&mV8QoD927A$&|N6f*r`lgZ2Kk>G z^KZWgjro7y96W!~n1A!h?d^a1f0~0||4)TMe*gKK=Qr}~ zlM>JSl`el|`^avY-`8;DmV|n>`p?d6nUDN!PEgYB??yU%0q#V1M#=pj{;WA|3>R$y zPkr=noqPZJ{Lh5}d2DUU7e*WRAS3_DdiufB?$^KglV6?w_&+N#H|~x3Rr4X33V3Dn zI*NNy?&kBl&)N=Wd8nrd9lO9eAo7oN6y{4di8~~ySqE9%OZif1{}p0 zY&ZJ9LXU|gx-*D&JOG1V&7XgM`^F1rb)(nm?ouT){js|u-{ojd`Tvn4}9!ypF4Nz*6GvNj4&{FQQsJMB7LLPG}2Cd zM{cY)NOUXCAc@Qy*`ga8vutA!>O_xz9pa+v{*RvNxnpV1>YAZk@e-zv1$v&WFl@_;y$NIh zv?5gy29Dn#ldkZuU9XUn5KcNb+O%b_qLiNXAvXAxma~`4MJ0RzBIs`5k?@aaypC5s zCu{?;)*Z+KWnC7oGo!N6cQQ+}tOp6-Xv8-HjfJc*FbP#ldy&B;ypI#;SM#?(z&PZo zteBsn(m;W6Jj&R_z&<{QM{}&M>ssqrq*mt}KnC7n?+uIyQMmp{42%|C@efQ0Q4Jgs zJSILJ28hN15v|&T08-+}9Qj@bnYKLDfW-n!B|kNq4@Q50kP;7$8I~p4@I#DG-Hs8n zAre27i)+^6>KXKL$j=4_zMe+};TyYHkCChkiy?t$NTW4AGWdVvjl+ zdS*j-O7X1spo9xGdGYa8Hs{iHhR{$tr)^p(&r~E6VQF2Qd>nPa9}YI+l2Zg0Ws4EL zu-*v>o57Hi7qKG=U3Ozy#R`^c%j|e4mt){9GHh0?UD?7Kwo|wWn7(+pdZ?s|11bJR z-gV)w3FqMzL6rg za;!ma2>Adg7<$fiqjcHPS}3ze80aHwvaV3I9CNF8lT|&Z7Ypash=#plA3b7D6xz%| zDg;yNHG+tzahM^x@Au%`p9_6x^JOSgHyj-$ZcL;+G-b;qYSgbF}T%Buheuzaguj$j2ZYvrqPrnzQZM&G>$(IDrA>p;#LuTKsfp)Ev$< zkk+!BiXnhSMU}vLW4!a0Poh9X4UVN5W~`ph>-hDG9H9s2<8FH5+*$60n%mJHdKEon zw`$YauCWJrT(mFRN4DYqbEr&P^(nprvB&$g{K&v7E1uGTIM0nsG`R;T#sK?#pm;p> z)+X&fC%)x5R9!EuO|*m?*iDHhJ?E#{PSIA~0D+NTR669GE!-rh8^p?w*n6cz=;kDS z_DFNgov_Ios^_zIfrubbgb88jKbz>)+TzTzrHOPQRWR`{tV5VOiej%AXB;f&U{y+} zIC%woeu1Z7yKzPkeZyhppwRwg9QL>%U=QV(+7Y-ZHb!p@-(z6wqe<a&BA&){n5t`UV%+GbN4@rs9-JPi%7)9cyqomQ0Q7#PIfUNW zM9YpPC8GS*<8HZNyWK>nHWF<(+lTSIur{$qx8mVHELG(I6z>>R;)$QDE|hED7z*f% zunjp7t8QZnPtcSK|L{Z44Q3Reii?#%`?c48|M!pO_-C{xZ~4=xpNc;3#nrWc@DB!M z*g;$LL0Jt9K*YfO*pH;IexNm4ExEiY)mwhLGI#3F5NowBhUQEGq0Ea{^8&L_V50#>bKUEJB>rYQ8f-yjCHa{VG)`M{kYlXySeGnyn3 zXWT}~xH-nH-=j&N-hM16M)9xFKBCLD>lUEek}+!?j5mSnYG z{QZCZnsl*Gow^mJxj*+CpSY;tZF3{Wdi&44`#09n?_vC+J7+%o+0U+wyGI~iY{LA! zLU#Y%_irCuJ-B*jEXiuqxBl3Nq>IgU#JdXrxu5&QTD#<0jJ|dGEW0fC17X0_eqRho zrx|a+;OyNPJo3ou@{Rh&)hAa^q8&fzGa$(yioRc=C;YEpfAML!K?NDduX zjum(kA0J~K#m#yXj>T_r$*tcpIEsImv*HbLiD&smXBr$<2B>Wutg$nmQ^hlutbtW1 z*Vz_1!Rk>>G&jcJxZ`ia~5I%%L1eAiXOX_M^P1(Up7Hl@K?fD zwI*|@z!9Fa=#kV`<_ONZHE67oOeF|dQSs`(AB?&H#)vXIrQT3ccGX1aBwE@>6;#zW zqXd>Xc!7HuQQ9G>;y{$v3|2jTB#2Kc>}1d9de&i0*~7hk!`ATMh;~M zoIF#-vrTlEybqMqj1Wvf4@470n!qh6tmAt$APk>MnMzM%g5r1y%0!L?j~TRbtwCh>{0&GC zo_#cW-MWQ{Iyx!}j+qQGVqWWp#fP)ZMO}0`=Rlo~5-`sYo7H?EaGyV8WVfN0fPD~OgVrSZ`snmqK6u+<7pKja;*WWG+PUqUzps_QP4(hwG%#V!bnIQ zvBYUaI$%Er&7nQT2Fo+bW>OL6JF%9qijx+D){4f!kX{Fs*HEqziB9XlD76F*ct%gS z8%&o($53Cav0n0$3Vk$XcRV!XIww5>d4sk3f^1EsPG@Yc&ZbOFD#2+o&n7O(oon$+ z($sl{rm1HF83>BTz)V#`7@BETIs!zm!X#1Wqv}Z0jt^n{7Wm+Q2lUJ;30L2;k2A{E zN2+P+rLhx0I0PAd=T;ByWXOf5q=0PguZ%Ic@Xu=bTjBzII3pTeDS-%k-gA;5>zdHir zi-toTi6?K6r)>Va*v5*F>jcP4|AY4?bm;o>%wtf0A`KgPjQCzC#E~D^vxv8Z1;gK- zPHzPT<$MEcuNrxm=6R+&y0jC`rRpa#qy3n{X`h@FoGIaAaos^c2KaG%K;^~zM>o-q zAZV`9m57uyTK27jF1$9vULXY8?pN~%=OId_H}$A8hVmGI*9{X$#7Wqj(VqIj z_^>BdbH^qAX|{=fWX5u!XM$IfWMghVu%dcKbwDE{?=?iO56*3DgSmS)<6B02mj>XLiu}y!Y^|VvGTwt-JE$fB8)Jne<>Te&VxWh(X0|qqt=43#OGGLJJ z=3qdt1Ow@kE9iecfx&y;vs(QI;`!tGe$rey>_MkaUE12(x<3YIuAe!5&fMb5V^5vq zO!={V1`KpZfNn|PLg@1cmj}7EPPZQ~PxiBCxzIS)^T3=lyC~dmV%$Fc)Kd?VcyBP! z*N?zplMHjfKz9V_>tkF?APi!7Jl%dQ<~DXP;0s>zJYZsa?B;O{&Yior#QRtlYin;D zT`$#B5Veb$X7AuohCrUhp)Fec+Q|}w1-K`9V(xk+$22UohE;d&)@gWO@jt*i+riy$ ziV*|3fofwJQXQr=#>Z^*daC*99m6~ADE6W4Sdv4(!BXGhKIU|T{a*RS5HWs#UQnh& z%0_hkI-7eJ)$rD|j<`&VdZ`Pf;x_yZ1cSh9knPB|iLceNma=m9>zL3gI>^?^tLjW$ zIV_DqaI)ccakDZw%7;lQ%E0A+40^>PY-qIvJFml1b<`RLDJt5+Q`QdTT`cJ-9gKqS zD*bB0N6zzvXLTEXU#KYr;M{k|Lc~Xh0m=27HpgS#OXlk^;Ykte8V#QPV2F6qAO={G zIyo!sad{ToVp!RzRk`X}Pdy@V%N$qj4(^O*aBKgy(H<5j5x&lA3Sb3zLapc;9!GsT zjf=Fw*F^&#SgfIq9FplF?C41>8~=^!4eAstKn-@V#3yw;bOjR*?O5g{AAA~+vZ15a zME3X|%c7KXL)K^?9vjp>XnG!?QrkI}Ms`ti16s=ttuG(6b3w6kSoPz&sZyGl*!0Vi z;KiL;+Fd6edWqU0n&jZU{#-`~Ql*ByD^&ZDlg@`lJ~3laqKDM=MF%$hRLzka7QhOL zaWEpH8bf{{BcB9!sKVP#%@kFUAnmdG-$`CYS}Um^^_ru~gSq1uk~kiiT@}PinkUk_ zb)%*pXQF$L*>SPlZ%(j_xy9y4VVWHtYoKFztWM1jsFt!>>!>Zr*sR9@ss>$oN0_5Z zhk}|A!ww%EHY!OLb(;IS!W!Ze%bSEHmD2LKM_js`^jhF|eH~XmQR6v=*F!kh*Cx3A z61Po~inwncswHyKWe-19RePwOk5sV`Xb#60b0E;M@M%prJUFVLRx)Vtc=J%1G~RO50n`OOF z$Piw|aC;cuCMd)Ri#H3Ng6IW;W!-a0G^Uq}p_e4FGarT96X8RwH~kE>^nd|(V4Ep) zl_@Cq^NKEUJe=F>j>0E=Q~bLCOKw~alvwE-$;)g>=^?s3eUWK?*&K!E3a^In33@+a z{rY)xX!zbGwI>fW&eJ8Q115d%MFU#BW@sJ{-&1&g6z4`1n73Q2KxMnb!+{Q0g}pcJUESy%B!&D{BiZ?*RQTU3|T8 zMJ(6R^%4cvD>EOLK&Z$ao3&h=U-W{jQJ0I5wTyUvfRE43V-Ox_AvJ_<{Pm9I4H}Nz z>vA_9>x`pQMQ!p;hX_S7n2fanAV9Sa!^5wAA82UVQ1}x|lTEdm%jX)#rrZR}Bmu?Q zn5hzLnIM19PY~jBND)rfEr#6j7*JnVphJ)ziCd8prr}aLRHQkt3JZo_Dp@@}wye722 zCwp;~k+bY5ZlRB4csfrY2HAI_Nr*_KEt0Y}7ND@>K(45N8k!F#!6NA8#Nyn}HIywY zaEsX+>rhUcBu<^ty4WDHa~7Qlxw7+WCSfZWj=inN0`cL(Ah#FLy>3KZg^B|hV5m>W(!rd=Jq!O3Ik<=DVcb{ike zF6Pt8Cqs51$xJE78fcfuu?)nGg>PyL_Cq9S6=|_aBid2#nZg-GYwsqSdJcVI?H#M4 zIKyP+Y|j-j+M&tV(}&q{X6}fCmnRZGXBHZ4%A6D6*oFZ(=bNST+8aM^W||wK(A~yZ zbuz?uq!0`k!SiD&8`p;DJ4sY;IG@BrwvtbI`q4hzjWb@F+4L2xfXB-_n|dRulC`mo zhb%`)RRuN{h~FyeD5aGx7jaw1XPoE*PQC-EM{IykJVgpRd2lNpL`P|Q6Do6{d2-wf zyEpPlL>0if*__*?x%xTE@Sv4e%mv)aQQIEU_yXB1*bBZzVemJ}mRM(r{ z7NcI`NaR>T$(fOh7Zw{X#x{}C{$z3u5C|xFYnX##j;62A950YHVmXR4G%%X4ZWt~n z)303t6Ly6X$R@;yOay?F7tNrjKtZ0u^zsR~%IM30lbh?sCCKBDOHsNY3_yRJ2WN=| z{=~T-3>_Y2Vrmi5I2Li}#3{`@fEHE>R&@?ReDy?k5gS^nakA zCcEc@yBtsyn$3rthbU1O_qnxnuR&(_@H(l?b8Gj7wK;^VS1R5U#CUE9krwY^O6+$* zML3?dOgNfT6*oOIuK#@S2F?`z`7(aPslNLd1b4hu=uK<)8#V7v@qo%4>FC}g(!tvg z?%{jFYc*fZPk4NH9UQ}by$n~ycN+tAaUWhw3IA%(K;Pz8a>*GnBPblVbahin2e&K# zux_V{{&6Z?+oDg*X`S*eW396rZ?pPu^!eOVANbN~MmlhKc3HCuI<Y;NIRtrhus;= z#|!bA4X>L)^97IKM-B#prK_0*WA>Cx>-;Lm62YxajAPa#&)2a9zljh`VEKa*woGvv z!pD?C@8>&j+A1IIvxVT{)`Zk(ipc%6xJ4*4X-+H?0`btb%5u>pRh#X0w168 zp`yi^ZNT9=j*bO6w0Sncu-{srIPy^C4*mTQA@}%9oCyt)=fSWl&=$XzIlrSP=4;#75{%??9M!TH6@2h=+kmL*Xit`Quh6S>g*bSCxK zxK9@WO&<`I2?DL}HoZ*LF|MPAR+9@Latj<@4tu9-f2ja7j#v$_$`U-4jjo$$F}OA< zcAd@>QU0Ol!K|>yTVZDMeg+j#s&t{0f8{HaEzs7+&Rtf5MEM3%$PsCT8EB-4jCO~5 zJYb0&MnVkqC6qi(bypV%P_XT>7{h0g(h*Y9Xt$o7OfdyD%w~_Gg~dDWVO3ozwah^c zx05fo31J2a)4dUqr6N!x5nTiwD(?d9s+~lMrh@#4XowEL@P&G)`-42RoM(w55KC0>fychlGbAoGwWn&tl18VkQ<6BV zbb~H~kmWZ?yEK_MExL#Mv}WPR36;$xbzREWh11SaH4bUUbm+Hx+EdijOQQsJ7>T4h zp`gHbY1t!lZIX0giWVxbV2ZFWn+%11i|y(aIzv0`=3t z0heGy5&D2Ah+EU^o&&%Tq68h2mQLd`Lg1;Npa`Drnu^xf1gkUi(XHGBA>>Y5Y9<~S z<>>u1go0FgN_+Xb?~V9qhyy5%B;!fPh|4_pR9AfhaiMEc&eLkX&&A8ebcXFfqBhvn zWoRNtoCVqP?k2kD&m-26w} zQBAQlFq!{p^~@|Oo%{!1RmP7O zFCt#Nh|G)^zY<%JyQ-=(9A~2R{FYf@g>iNU*CGg9#f*pFaSp52!Qe^Dii5?HQlF7! z86z~2WX-}QAF*5<4-O_d;{}WHXn9;Lr}MqNcs#2V9Ig5iDI%qcpewEHlLH1e^6`*U zsSuYzjFEC3M^r77|=8D*6-zZse?4UfIZY3n*dPp>nv>+%o-6?|H-cjTZfm(#&A4yeDH zSrYZr^z`MnGBa@ZR^z>sg7w=cdB!s@3~Bx@JC(qZ`iSI6 zjCR3>9IFJ;ptZA&poH*9UUts}jsT<&_PU)ub-TQsbZZNg@w(~Q&fRtSn=)w!wuU$1 z@lTpltSEfvG72KgCOpN!X2-38g_kL%D=zKro&MhMy<=VdYwp=Zg?avn-*2rR@Y?Qh za(rlie}6iCXyu}<3L1-*e97OKT574Dcz?wuOApVr*0Lq!py<{4qxZh6v!AZt8Q*C+ zV43Q^I1t@iZ~X^XZ}j#d!hp8rnf}sc-6ptUd+&E};NYODIzm=eii6i)`=wv{`Jex% z|8|R?PIGgz1#nP4q<&FNR&M>JD6Pm8C+8kkUVJeXeay;GO56j}dBo~k1AO%8o#6wZ zrCNRHLmygw{No@0xt|NcIQUPT?ZE7FkR4@5PHma>k8Qjky-LO(dhtbZ@Z$c9Nv3eX zg7Y3cfB$)WJ!KEywQKJTuLCXF3ipat!PUKR6J2=Z?j1HOX%AEFwr5P;J9M?yO0t$0 zqhbZ_J}Yp~q6;4dmsxt|>aFJ0&RCs7wKojz^Wd4j;MQ_}-~Ajn&b_OD<#&dzBJNLj zDOfU_#!bHTBg|^`#pv9F2mAZ_@=)~-YkK#ef9vhE@pU80>dp%<=<7u-Qm2yNeh+Q0 z17yPgwHI&hpTGC$yEkvXGkov8=X>EDp*eaSP*OENeR)V6oV(}WIz&+0aG!5M>FY%e zJ`>Nk-+Nxw(Lmzh6@8!S{*4ERhwlt;-+ulT?zqict|f2m7hhcM>s+mO-nn_G65jt& zJ7?>kY?0x8aQ(#>+mpD&;EONbJmiq;o*urk`(t(U=FJCuca85{-O&o^vg9fD7~p*O zea8!YI1~rBZyN`1KitW|)vN7ET+h4b-R;{q8JO6?0lD5s`{vFj-*m4O>NPw5c1?Kx z`RF^Y7z^haJa=GpU&I;isVfv7xElUF&c}gQ;}k@TncR)3kQkhDFbFbpTJLUX6W~4N zvXHn+yzHj$uvKNwB4SO18-V!gU2}z1UXNCfu*_aqTqMwqM8w*RnpLzdSB{ z^HNJCr{kae>L<+NDq6nql2<>4H9)GuWxWzq=_$S&1vl^z1ASE$esH=Adg%dkt-dYHLl#!RZ)plVqpu| zoGSTs;Afq)m*=|hBF>iC8JVF5O(cn$#|-Ew`ZAI?pT#a*2n&=O=BCk&2^pY0mQW3b zI>iZT%u?-=0>BPd%=FxeLuoAuqFIE^k_)e9yOFE+ws3e4epPZx!7_;8_~Z@-W$-nX zFxKkpz;8 zm=4Yv2ppUiMXD}H$I<8+7!_}na(Zxp;=zMU{9y1``zQ$Iiilwnhj=c)L8Si^#r`F5 zP^xhZ;*w*L_`tEK#0D?kQ9O=O{t!3%c#J&bUIdij8DP?UlNr6b-fI$saXFf07!H^*~-Gj=K*=k9j z%1$ic&d!9Nf{~tW%wf+UKx$y6Pvc3@x$02o^W$aR2CVI-k%1V}jK(#mSS{y+j1-k7 zfV^n~BV55nH(m_Fgb&SYbeF*u5g@FH0jO&D`GYjlAXLWzabv7zQOMoEINac%jJaPN z4;kt(A`;@;<-{$b`N{vxHefS|1H(Xr7k5KEk+Gp-7}jRQ3@~W-_735~MBvnus8MkbJd`X_-MtQ3t zbR5)KKBxk*(%sPEjS@r8HXS>0G^_@7p=OYvM8kci^+V zaKtma2`2;{`3k-_I}8p=fd6%~^)2Hv&9P5VzWXOV4upW;h`dhmVZ2jAI8~(l7<5ugH%wKwGJ?hYnK7e1XKJmoV|L)&? z?#nN~{3EYEiE#hro3CA2ePS)#jswNKj049p&rgCYSDyRw-rkcr;3TnEuUz>=m*Am0 z)J%7F_v^Ic5B$1wUw6;cA6U3UY=AW%c@Ckbw}SyPTF3B>a5G= zkvjqw7wF7zbkPlcvc~~BWnE9*gK3JhlY>`QpLY4K@dKMPzrgW2cU)cz9GJk~-_&T) zWbZe0d`k(}+xx?PlVUq+)lX%$uW}4_=Cq#4=KJDb=*NKY4Khi`K5mV4{% zuYIixy^xbQ$XEGyJBps8&q03-E|Ku%ICHRRcVA;6>xg4Hd35sDE!=E2<|W{cqx{Y- zXHA=mc!Kws9q8@4Zg6ydEyUjC9Ziev5YFQa=2e(CKmDGfLAHS|PegQBQyzu<>gDyG zT%ZE?%K8-p$u>ka^-Cb~l51hWf!i3+u)l}f}#n{#D^Np62mNs zfFL@vT!kMZp^GwrzQ7lJjS*VU ztaUVYQxJSb#IRz@ZW&Xt8<@iIm+X|T9vw>M$Z*m`M*= zdQgVy8;RAm3{sf)9i>GrBw$F#*}|8QOt?-wJ^G3YJ%gWxFiUZWRk$TxVs%?ZQ*j++d&eYt!ah9B7#1v8 zIEux@<>k-`vy?CwA}QBG6K0+7f65aKto}L zPZSYGxm1@LNb%0sKKJ$M#4*~(e&VTTKTS@r`d~2dg{G|Xf^NNHbmd0*PJUNo!@^SO zG^lM0yn-?=8af_$4B}nddyOb77?JB>c$Cd*G^Vo!gbMXs0cFc)@L&~SgL;+Sq)==D z?Za=OI6oz$BPOp0VLX}~OF)FG*7ssW)9(~1u*Zf^@(@J} zRdlXwJsY-^E}*2T*r*{hG}^ zv>uJK%Db$d64hIyWAF@`0zXy*H8$%ReB-s&F>5>~CKG-e8i<``OmEi+A~lAZ;^Y|# zF6InA&!$YxvIdCsh9}jWHw^_Q{aX-Gc+e|1 zAckWX`KfLLn|UZ$j8ivp69zmO&FkX$#znhC|1WJ}OkBJy9;)KpBUnmNWIhh9=ElMOnJH zE`pwd0Jj&f+2AyordL#&MbUFchvnt$Ls+2NQakAE4D?kwtQUTPY>9_EI0CX(_9@NQ zq_ySwd~q?bHVR@TNPU{jrSB}=a(7L~zAfz=ox1fqeGL~sc+T| zf%WBwh3>$|zzxnA`i(FA#)aPcWl{LltL6M9_a)OBu08umJ@J*aeQ|Jj__6mA2X?P= zU;JrvplNJzxBB8MFUV##nlTI@ErmtT`1r^9bnrDMDX$U+x4-y{7JjKAweFt*mlA9R zymIFi^guYcZ8?l?GD?Z3f*4IC(_n^jG87fh`u;Edt%g@8*DhoAVJYr~3} zZ**ooW)FYd9xsg_yv}9T%=pdWr`+dRFK#Jm2Al>_;c3>Lw=>-M_noDbX1tADl+vm7 z(75Lc%jw?LzhE=q(j%K4j8TJ zO6J^8{v=B?9!($Un5oB|jHVnl-tcre_o<%0|IWGms}HTNf9Xq->NW@ajxmIW1C3T( z4&9pn%SpCE{_%Tnp7%MZs#LGu=sc~&2k$)3?6X3s-0+S;W?GJqzUDRSR zicI2i&A{s=szlYZNQql?rX`bYB#lw=_Lgqd0+MPVRfM__22p0_&^IFKasj-iS?7v_ z7rqx_HW>NRp}v7}*({5GZt?LcT<`6MBT@Gu_C1%oHMN$CXf$iS8}Vunmfd-8S}6GgtLT{RPRJwIkQa&5H5sbXkMqm7w6 z;@KtI9#lQ|E~k~h>EW)!RbnkZuiU~@SQZ0-c-~rYSeXjrW4ZS-^c9DYrvIT^_%#`5H}MmKg@^O%c? zPHX{D28rxZ{!>nf(;i-M%BI9l;n+MHmXl2ph?1DmLLX<$kLK~z9r-d$w01p_M#T$G z7P!U0>^)v$(yh<1BTa`>sB6fg{SIPq2M2xtqJ<((*i_N_F11-U%{{g}L9~>t^og*p zD_1dJS%a&G2TOVgMO|n>W5InoJE}*=to)rGFM|evpa~2=NQ|n71{PTk|8`?cK@}~2 z+vaP}C`wCIY(*xGBn6UGlTZ^2{5d8BAgw^6BkE=@0&3q@@ zqP=OJzJbo1pjjo}59_&e>CBV6jSj7u)ik@B^v5;f!&>fl-+lKdWdApS?91V$mtKAK zJK;Owa*7nf`p}pAG;0nL{||3HY=Y}rj&FS9x#whW9h@uF7QFP*ty@pS0jlx_2OG&m zZj=|uQi39)PAN#R};1Ww5IH&IoKOW#JLE=kq#L<9Jv;EL)uBpQo#7(}r5?)7ToR z{|gJ1pjuYGSEd_}YG&Nw(cq|azC(^*P8dY=46 zF-u} z{@YlHc9=T3TQh4N$!-%_W1qmqn$Wq}_n15Gz-<%tX_5e13f_mxeTxI#nc8UrV2WX_ zze}JF)66gdIY>E@&Zk$oprw*K#gj`Fp%6(C-ODgCYzdt2yq7wng>WjHAGp$&@>LV& zz|rL@$FM_DpnChcQK=gzXcQ#08}bz^b@Z4#a+JTM(DFLUgzo$*9Ay;LX3Btn5@IGi zl1r@Uj46eR3(b9!x#P$@0*c-xFwUYviiok9Ih_s|@g|hgLe~bp-`M&z>ofIgddyTt z6{;rtivE-!m4E_8E1BUs!^&BA-sDKtwS}Y;7W$|u3W~}}O_5IxfBH;{F!am-NonRy zavn?2^wyFGQ=C2pj8QpfqDwNc1(9uy1P$c`@|r|~?Z92W)-+mL;$lh_15}ooF=lm( zD>1J?0c8eJ=v+sv%-h16jY?L)23W&!$IeB5u0mV4;JvvYb5-7a6PN74BxSCy`bAJp zF%p-xWptUx-NCGJaW|F9CFP7tT3MsgNB5-=V~`(a1%9nGGvD(t6j!S z`FYA-5Gl=Tkx&ns%Av`6>aHM5UjBfzeFIIlHTRFwXn~Ioc^7Q7Y?4OrR6;fmklEZ7 zo;hkR`{l$#QOU%$k{UK?*fwI~#K!NSXe!&bB3lj>PE*feJ=!}eP z5rGwA;#e*L+blZa*{ayR`siXLNA%X9sXib-Q&o3R#07$k_z?;au_fBF`2c_+JA;u@ z&Uogi!@L|bkWngTwEQ~~9HH;&xg#$e?bw?R6ibj#mT?y4)`c0GyDKOW%6bvlbR#5T z6qxo|nm9$LYC@LNPRVo@{qTjz*jBmnQ#K;pd-2O9)$qm~0(Z0PQwv8J%Zr%*HfBPT1v|`$V*?GTB*7zIEc4z2& z?_GajyO{ZW(N2O(kcoqT@!t2(!R^}}2bbjac=Tu7iyeV>M0cJIhjwdkAhtYjyzxqT zzWUh5w$M-Iz3u*^j&5U|r^6=4<2kr??UmB)e{URYW%RGe>uI|7yjOl9y+m1`$P2&` z;s?I)3cz1AFR6e%kJ%J#BrLNOJT72vW{&C2WodNogcZGh30k}HHt!Zcbi)Q?FClK$ z!u+AZ23G{$=TZKF;j$*gtl0Nla7UMZ7Xtc-ap#E|D9;KaC1~s>!&KxpOcWCtK>PZR zxI=+#xde1Cd_V$RKlg_7t1b)#C)hO-Vbr_;*3)-RVr=F~}Tp_Q3RRBUD`QZQsP zgmqYwGH8w$B!?6U9$s)xXmtxPjYA(PtD;Qni&9R0deys#$ zDHRFRK-fG^u@uY9urQZW>WbU2<1K`Bie{G@k7yZf6A(#CN;G0tpqGHbnTsi0G-}Sw z*2CSn;jnTQ;RzBO8j5MbqpxX;Q8z8NiaS)Hv=F538i?jg_;OkPf zESu-eMnpv+QWYpRQ;gIS?O}0NvQePkvoK>>V>%1_KsP*-n085LRc#G(MArP;N`k*y zaS+1X5eAUzXvCNXJ)Y+5u&I}_uI4sZhE2VwXblvVi>=%2B(xri^!>!oN>Fi)?j_+e zg&8#191r?F;`Wp;1qE5Ps>NnX^@I_bfp#k;$1JOGWXWfyqSx$*X6Hd#!p!&{n!l$& zXzl0=a|q@xfFaGjx**G%fiVe^3ODRJCyEf2Y}hD_gG?0y6l@_07A_yaEG1@KMmEhx z@}frg#i%fa%HPQhcd2k=$_Qk{z$Hh5h-KFvq$Gr!NNSwv*;yeb4#5Bv*8YwotGi}N zpNIz=bM9rFz#mB@z;QqM?<1WOCn{VO<16v(%Q*gC{; z%e3 z8u{4@y4;&MaK?dygO{%Cz5F9TBKnsAcjMZ%Ter43Xbu#AxW$3m=z8v5r>3Vl_U3eS z{MN5H6d8d3nVJVzbM2!r6YZg@tKV=@z8}&lUaiClgG{q<)768{77Rwvti^)#ucTspo(Lm)-#nH6Kd@Hjk( zq&b#}UvLHyNpMNUI!<-T!eYE<{Gz$ys42sY5we`wc~KkCNa32v@>EJ>Hqnh|;ODI8 zX8q_|R;jM!hY_E+NP2b=6{YrY&6N)eM8Lnun3N|`)FmmT24>O3ni>^uIw3Bt1BlL5 zLcSwnZ6;R#7DUI8SmMEMlm z%)Bz}2)OxF^&nyZNl#=0Xd-D|JY>zSJ#|tG5GZ=M;YI3rKUDb-e>xX+bB1siqpT4UVZjyCa@hG5zX4@TyIJVbf__hNNJqE0BN1*&3P@8B z+MqNuMhV@Yg7Mp@nL`;51G2H_S z(V2bVJWDF{-Gdgaso{|WH+PPcxqDN z-J=hXhb}_EUGc+GTg}=44Sh{TSA!Yld!c5WctmqZHJ=?<3L19wP_01^aY6f%Fb^#t z=U5A!1zBdnP|0{*8_j>#y*_n!rF*|_r=j0!2<|$9TP+=X-SBuJN*AB>QrdP}$_d%d zy|?xis!$^Xt=3Oz>W%2<-x@vph5uB0zgbm}7RdVEN1Vr|6Q)|&iqWFib}i>`{Kju| zQOi*~3 ztbXz*_uU6Sm>62sUFEp{$~0eE*u;Izbm4pMr|Qo&vB=q>@z$TDRo_D+4(2!A+TDBh zFW2j*!TP3$1hDfNZXe3o?nWO^{8gv@>paVZ2NYh(u?^?v>r?J6tU1&EUH4NR>GRKX zdi=LmqYm-Zj=_p4{)elsc6LRCfp<3W4F{u(9DHko?x{SSwMJ#`pKGZ<^0{-+Pqe zuWqo@;c4NH)Nnv;7-z?UclxEKkvku~B`DKJz0W*N#qUk<&!LjPh=ubWM1ss?^OB+f z>3y7|56y~M_xKEi%R@c~KH1Eu;t&jz)ta%Z1q%oXuUA!SaD)r=%+JgvgL|%hID0wO zL)LFAZ42rQXdVwOhfp=7aUDSnbl@9T%VK+fsyos`08Lo=%^luwc@m$Ll2wOgUYMRCNQChV#eVXH)+}JC%iWo|B&&yOFIW4ZV2~kQP zBKkUO^C$fhKq;a6#x|=lOkjIKH(yFF;j0sworr=Xae5_@= zOxH1Na5@R7;)oS~f*64<#RaIK+MKocGcf-seR807y1xZ8xDL@rH?=9*LE2Z_R7!+E zm(Svatf??!DaD*eQQ`439GI)JW1BHVLo$b}fC&~ZyepMqv_DIAEod_X`7!A74@ z04u_j!zh%>+)paaf)5eCFErFKK`2@Y?z9vIP!&vy=%{@IjXX6{z%O0^NufwAnIFR< zx8an4I1%(H_d}fwKy#a6`h>-$oOE11*myu2qfv(u!3{)8*{n-Y${8il1D{l>6~TP& zYlcG@i^#K3P;`m_uS{Fo0nDYc9vptIA(>9j5q<1~jQ zRp1wU8C2xu^T0uP`mmBx)RQSX0VaPSxEO~~x{gzY17@`R@g=ssn#1Jy&Xz-5e> zB;?`8$G{iy&OEncPH?Gf>85Vr^_-3J2PPniK^!2N%?ziIhL|V_wK#~C2IMe&=}smG z!z!L~g5T(D0-itLj7|=yDA(DnZ@c6dO7{ zPc#l?ehjUn87=DEJI5c7=nRfrc2qAkbDRw);B97`ed;MPqfU!FbCacF7_go{4`afa zs}^FB&$F{^amG!9KQqP(%S53xqD)zZZ0!BQ6~kJ%(9l(h?u5acB8c8ux#%i&g1uc#a=yEBXAYbC~TG6Hy?uKZ*nAh0~(@0gO92bYAoF> zc^j&B4hNjiaO{RLpH$6i4^dNbL+m)-t54@=a3CNl$53J{qj`xQD_rB)+GVtKXD2F;8HuoyE! zr5j&x##w~JJR}eP+Eq0-Yk|{nr;F+!!^b7R;aUy$84v(Ev_U&h-D$XM{7eLdn++S9 z*?#?X9q)F_Iaat|v8JmP@3ei>vez@;5b;mY=G=HUtUtMO^XB?qiK>mgZCiJ_LB4wHRoGs`qes^))jzp%c*tqg>ww0!`s(V6 zzO%$TGP}sZ%P*w`zJL5bUe1B_)mLA+n6z?;KEIIV6A@3E*?v;^=T;y5U_X# z{|hg?#IkMe;!dL&I8*V~I|`of%Tja+ZhY>>=RW({)6>@9+W*?e|Kr!>=8JHvI;>v1 zv1$bN(k2J)h0iq{xX9_GJ^!)#XLuB~Gv4=HqDwR3ZN3}!H@{gw+CcO?D*eNwa3s`bEsRD< zmw)i!i^Fezb4Md?Qn?B|un`@&yab-afeVR)n<&BSBw7xxUl#|>pvyYAb6vgqtJ2b4 z);+Vjpr>-Mx5c$u{5fAATu0(DV4&UPZ@>NgTWttuuR9O4{_oco2d$RB$w8Mg92_35 zIk@I7a_~_O%oF{u{K}0RdR#l_)AN=0XY$uzgyk1;*n3rWf*Dkvj^KnRNQ?8(B;N`4 zv2y`GP#adMdbwvj!gqowE`e6KJA&bFbBP=GkaBVbA@*8 z9fXj3x#=bi=WrNCyipsnd6J`t!xku8Vy`qz>d9J(Es;bPh%ZrdWl~cGO%fWjsLEg{ z8A`0mL3kXbHe;paJkm$xTegcqAh;rR_)cIdOILo0E~RWjhFi!tJ2-R6VsMX~X`f0(FssvQ|O3k9GsRS+(TrfIO4<#3y zcn$&VOc}V^V;}=EHOP;XU72Vag__ zC>w0so!G!Z)Kg(}1XDU`5ADvoy{fWi#Nx?Rm!Yi=(X#l-zmktxK6t!wD!L6Yr(H8`bF(>_(|TvukT)>wdc9I`VGE1N35m$?h# z4y15#9Uv!SUn2-!Ls&bZvFZVn%3HfZK-&)5qu!H%Vt5%q+?8%rBTE6A5szqMB*}FV zR8eVMA}VkZ&xQxpeAzS19A=Z+A1g)BXf0&$qP;rtm~@vcQ$Wj z-3f&Yp!BSzZDc;n$PmEf1%1Yu>?bNyU}oR&oe@pW$?TA8M=u~oq1hI&282R}rS3;P ze+7yVz4LBh-&fO!GD8B$u%K~B)z4q|AWzC+=NjR$+KTw|N5?}8c%v)}?E-=NGc zv&_a?E4aLQ*UW^tg_q$U~~T56!kl4q1vl3W9-54M6x{N;#M40Wa=%_UE>2;PF) zWNj&A3OWtd-tnx?7-qm{4@PQXnp%nodeG|9t=$VUXNzxawD;TFB+0+6_sg#yy5V`; z-@TIj?KpwOYPa?l&lb=2lfA(SWb*ItqwX>H{qW(&SBHu|ZE`TmRbL|FOiu&6~7$K-y)|<3P<54)U9WvRub9m+8j1T6-gy*O}(5 zN8L&8_q;S?YwZnTv)=rN?;Y+B`4)MXlJKIFEl#964=HA&r8lwvlq@E-k?$rPx{tbR zf9YCk15CEwxN-dx*MIoapBB~gZ>8@JY{vM0e7iXCjCIhCjRU@NFAi?B-+h1nt-Ahx z@_YYrt(9s~*(!aN-Fx4^v)+G#PaR{LUKZ&->2cuPnuD-TjTf742lQi1R)_KnxBwoj z=|-6)znR|XJZ_%V4b0Wj8t^>PPg_rGdoNe_#@`&!7}5x= zE7y@xrtn~kCZFb$si#B3c`gOP4QeP#Lee*C@=8Qv!pmUFiG`Mwz`H@^sw0(M3AeQ_V!&jOoP9I)Jdxz; zQAKyzur>Y)cNUF%2rJ7qNYE^7KDG*W1q)}Pn}&+tG@KD8nRzVnoXq4hZ!+5MQjO## zK6-eVQlT}w>aFzYwhhcZdsER=G8q&`O5OeRQ5|#fLy?L z31n;h1o?}xk}}&eb=(@$%d?3a)Ec1yoSu2_VPriF01i#^VbV>*aami z>Y8trjnzcwZLDlDJ)*LYsO$iadxjsjUPJD*hK@ktJLsZz7<2R}l=s~EaejbAv%z#a zsup=&pSr#Bc(i2rC@)5J-9;y+JhTMZI5sv|F~gH!8cvS$+La}dsEiGesQQ4PKRK&0 zOSvzdL|IU6ddT1$$D`3`!Iw;yGx=Gb-NrA8w!{+$V?ShAP56k!G2`(ubew$7E&x(| zJS^!9obYAQGHUFlaBLWxTZ}A9VV}j~tTxS6v~dgpDnVxrqOaB$r|2VJ87(hH~v;5Hox#mhrVqy+p`}k&Az1y*GxE$ z4(?vDgcPH6ue)w;UHC~y^#liBUEZKzj(Z&Y^ixKA`0!T0rXLpz`AeJr zFTeF!+YNB*R@xi>(o6gMZ@lr1Z}g-7XWFg()Nt$8{{Bw$B6vr-GA<`KBJfOJ$Lo1mLhZO#AD+25d#rl>>^y^c*uwQG(vRFNXEHs zV5eyO1+*u5E;e@yedU!GUU&;weS7tm8&DsAjh{hE0e$;ED*~ve$HU_}P+a36UYzlM z{`nVPV3POw)q9M)@clveYg(*gF;W>g^1mAwNdY@eEuQC0y6b~M`&^(jjSTkpo6($N zSJXGIt!^AL6aLz3`VL(&UhOw)Dd1W0vOgNU{p;hWxpmeYzzlis;9wU6?u}~?Igt_$ zUb#svj{KSf?XYl3mr=h#{L{s@*G=J@v|79I(#kpvZc5Yym=$TFp%ewpHcug`SKBnq zjJ|ai2^Z+)6x58(hq{yODp`#$c{a?3pobfDZdK;lMS?EecENC6`Sb*u3z?>O4&Fkjs0k}Gjp%m2o z_{tWpnak^$g;qR$vjTI%Pf&6#DRrT8^JAg_mdctod+JzZ38->xtvxow2u0#GlJ}73 zG0BR74wN8s{-Hyp$_Y^cC?d_hFr~d$Xv_s#hI2t({vm0VA)kmTwcs83Y5k6vgq$GHLxdemy0&xItfg5a6k7z8Mj7E)ROXYz z%VoYSN^G1&j*YM+UqUPoty%}doE?R35cm8d%1;AHROXJTDtrJ6Fk-cH)kq&ios)7# zMa?aMF|}A7B)VxH+^ljwV@$2`L&DkZ>@^<=h*!Xi{V8Ki_B;SVrC23QYIaSELNF?$ z%0HXP?OY{X0+FYQcPrSs(ky*@CczxM*U}8dZJrN|v{zDb@4e{8C1oy86+_)~i)tQ* z?o;VWw@#S8>HD4w?u(%@CDx;yj$@XJFahhuD0Ws*BeNu|sZpnmq_u}V@9JD+73N7e zr9maRLpRP8nIeIa6EmAn`%%iKL}9+IKHLmY)ipXf;=WPRt}!Y%UB}tdVt>5 zhAGX`$b8BkV#27pgW;*@HXyOBmOyH8FSyebcY~<&jABKJ+QQm5vLpA2zGa~l9_4kq z`N@S!n3Me^C5@6N7CiIYIjw}+BM^c%eX^-s`T(}^jNq&V~4F zbvMmWJZJMo9nFt1rH%*Owv39gONdGmVDgtCYdJV=9&4qcnlV*yv7~^LgUQ|`5R{-H zZN0K16%}KLk?SOu2NN`}HeE+Zai|@Ah_^_O74?e|Liz{Q3EMmGQ`ZhyL*IpSusc8@~E_#Vpw~O|m`f zkUK(iszSZ}_Vr%KMQ7!2kAH9Vd%yQ<4gLA*KFiWsQ`>`04rt#E2Ni%vh)q}>lDG6f zq2GS{5~Zn$!@B39Ue)!q<-oCB_Mvg0=Oks>1kFjJ5x6_Q><({y#@%j@UEieI_O0Hz z$me%H{zpG9y2C@h5Ptva)rW6~ zO7l&prr&cvDV^FdH*56j>Yh7v*Sw)*3_DkQ-ui9Lh>JsARl6>IO@?dbY>eSYcg*US zx60BQToa*ff61#I(R^oZjI^Gp18?Lg&$zdkq#4$MfeY&j%JTIM4t{52UpU{}76+=Y z_s_uvmUbf^J-Yd5c>DT}N9}I<_leE=^4%Smc5~zQ@Zam*@+?c)fQR|R!F3zk*g!vx zN9}@U<2PtGeH%gMQTU>rbh>aai$oM#pyB%teCOKb*qP)m!l%P6o{p@e>fJ^iuHPeR z*(-aY-;a|wPxnMr+S&l8pMat8=4W1v_UYMj(c(Al%}~|y25c44!o`L%PvGg=d$syR zYUYBjW&a@~N^lz>RdzG;esD^?Hq-`{B||2KsU>7$%B8T?g)HbHXoSj4o zW)`q%T;Sa*siENrSt zkc1iYnkn@*LMoOT?>H!=U(5@9AfW{`0E&on$QCqI+h^u3%jzvm$0^WB~Gs@q3W&6Iz3gXO+;5WOaHMF(M{FHL45^6Wa&7o_U@QdjQX+^HMmHCBBp!j{z zM$@o?^9}?Ul|M5#elRU~90dUrI`1VE3#lR9nSLLGEa3+DBrYTWo8L%&wDu~XD^;YeaAvSn=H=GQF4NMg>wc#nWEzD$; z-5w}YC15p$S*S&bLkUx+k1nsUFM@|oKWMm^=HN81%oh^9UB3>{#Sor1&D7u#BD%mRtMN@kFcoP6zpsJ9hKM z;=nJ4Sy^(rGfO^^o3i(TselDXzx6ly!TdqKTjS($5E{F(|G{A<7laluKZEHz+5gBU`7Qurl+t(_8`b zV8LBf;D~maIlyH4aUljg)0sgrSqID^S#jt*kIAL>A~4e{nsq^n@1CYHHn6Wh$aa#HLao&gfS>j1FL;~XuP{D3o8+#7Wo6I z2{&vl2A--OW%`A~4n{Cl0 z?^JVdWwX-ut{&=X4Q^|1%>JcZnz_0+yFA-@z!tF%Ntl#kJFf_?o$ZtT$}6u-AAV%D z|JL*W`aiw%^XI=?zVZ+M*25d;e4O|1{qEu6;TvtDx7~ls@vZ;h!puh-{=M5}Sw499 z5qG}9!Nc=&ad7kI&3c`(=DRM=y{yB(SJyv$@VBOKz4Zrw@R1wmtG7A6>F+=KpX&4d z8xMba_`koytcFc}$h>jmGps62XK1zOG{3(*|M0*5>dz>~YrpbKzbO0Bi;r&076;d_ z|G^*ZyWf5IHYYoYgZ=%74F{s&N|I*x2K$5kZ?DEpBH!V|!k_ufg9n$N71a=8>08$99euJ`(thQ?w~o1X zX?9N>tOw;+96tP+&rGLJ$AP=S>fNW~U`PHd&Q8K8*cF*;%EjZ3Khl5y~f8{zit+c>ShSG4~7_Z90&Aj))>`r|1tTm z7v5!h_UZ@JGJuLJaOoZ1STQ-~ygE+!Wy#Cy$+xb?PJZYNvXpS+ljW1UvGgWFV@SUg zvfDIet_k8L!LNM@cC74<=y#+0FO+y0Nr!(tg};;q-=!c&-0&sO%(@`b{B)nsItp7t zWWL-?Pk7ygO1Qz?GWD)3bGeklHuPCb3(mGFCN07zM~O9JIqH~|oh56cSot`hf}Dbf ziKH_pBKDmZ$2JdClftpq;6drvfR;d&lzmVwIn$CMgz4V2B%zWcl;~Y%XDp_p4{19z zw;4#M8A%|Q!Cof8ms~y2E(HA=K-0|wt$uN!ijZDhBh-q}8h7G7RnW-MxvvSJubLpN zlW|!Tu9~C}nHd_2F}_BXL2e3cpl+fv$hj)6S?m`keLfZ532r1C@j1(3t@n5$CMdj; zUiS_qdG*Oa_o1K1agxN8Jf_aYf-*~AR>_gWQ8ubbaylACLc3B!04ii_&9h;`31&I6xK z&@NqJ3CyCbT=_@jy=GGAO^Aeg=r}ty_?d+z)0~udFHC~V4{AQcR7|HOoFn%@xo}B7 zKvNMqg{B~6>4j|SM9S;|Wo*GWj?CIPc-XgJOOR}MC*81)7oGE{RbKcuX>%G2i4Tg* z&4~;OYcrOH(I9}H2b8Lbk$_pjFHu}(dJ8Db94?Lua5p8&bs0!Os?uYBgPlsZxrA(E9K@dTrirojqrFZiwHuU%Ln;bt zz+-ETD|TdE;xeAWN&hw=JV9t|$z(!KW#ezH&^SoUoy4O>zISvix8O9g0`jyT;6I8( z!@&%JojIc>REEGN`p4GWg_K%crzOe{RfOareBC<8ZJZZS8EwCJ;@eB9&8i5~!s zSg4&Rtuu#3-hOm?L_??;X4NMLhNK)7D{A?1jL}7~A)V2rOjNHBpH8d^`SV< z*RD9Krc}OScs_HDwFUMd2G<1Dt|IALLL)LA1M8|3YzB z!Eed{8jbkw6}eIqO97oJimPkNHb$pAx8W9n^Pl$5KXU$&F1B-v)tB9^*8lFi*PiY7 z-M{?h|MxB{JZ*(4Iv~(eUS_i-^WkM-!fRg?qs%TrPV&IH$xJ|Mckx~ z>SE{GaAYm7JuEN&OCb150-lI2jUt)b#82JoyNI!KHICe;Ux!z>OnqMcq#CZ3gI0hF zNnm@DJ@@n$O!4dDu_1%GqBW$rZCc=CMwh1fM2wLIB6IPeaL%u@mloS5loBWW5~zpv zp(g4h6UDk-ECI!?g?|i8QrIl48L(ue*$ZU0m7+wYQVIy4C0A?u){*S2jb+}pKs_2g z2NA4^bq+qYT;G+wA=M5@TbBT}q#Rv@8Z$(dnLZTqG67--s|b%@6lThu%h(MAU({lQ zN?_xo#1%zY$Vi~h+#1*_nA(N4oF19QQ!!(6Ir}Eic5w(SoO+HastT_1@mHUJX5O_y zfkVFz6BF2I6dqq~5=EpC?iM4-*?jmsjK!2wsK@E8biH*o#}g(?BQQ=v=j ziDTWvRy$Ayk$^9eFTnClAh=gM%oI4Slt;stoAw`>06_>!>wRXNi@p_}J zD;%BthX|Ct32!#uHUxVn?R^ujNPEAviThXTldh@j&kz3mIgm;wE%?t*28n~mx*}glg?ac3~=4M`t0wZLk}m+cjND+)M(W<6E4cIH6z}Ta%R*ax9R75F*lt1`!Bxe zZe0Du2D0^Z<3^N2TU%RAw+%P@=FNA8_s{P$8GdK~z4xv^*a&eTaToYcrp?liDQv31DqX#2=Z-1Wx2vzsXHMuUy^yEND+MD;k(U2f-3K87gGfKMBW zSxf2Feb+B_*LMpNBC7F8(353Hs#%zcbvejEnE(^6vr73TDXV2lRiNjw4K2IiV{>hj zJk~G4usqRdMpI?nfpRmB)4EuW=Gn!+DK?4+t6ovna65kxE5z2`r4(=gFV7G@|wX( zLf=&{7GZ5P&z4qQwtyNlDS%8YS>5N1f^a@_RVsaz6%r4X!N_Apy@saHFuD@OWW}`u z)JjRkE(;E3wuU6S331cgG`xwh*+!5r);M!os90$rHCbES3zrFmlhoD_bw8$GgADXs zr-Oh@m~c~llX;O6@QGyJq-QOnn0h3#$G3|K^r-q)2qm+)I zQrHHvdU!DN)3X$Vf=n54m{N#Sl;d<iKpAe>6T@x|qi%AgKI!e91-y9goyPj4{-B_(m6Ocod__QbKzg@B`0X8W*GyFVN>E zn6vICM(dqa^D~6tmCd>WRG%!j2yPf%F{!5{brjDwIZ*PtHbF5{i#fGf+a&IeX1O@Z zG~*py5|7q|Gpa&ajilqueA1aUiR`j^kb5`FhZDoM2Es{As73T`Qa<&^dF9A&19W2Y zS+S(Xij=p2vyIF4;G+|# zda77_fI!MoHMDOUH4zBe<9KHu7~=4QSi0j_9XI<(L}IsHL%V533nj)$94?ldVPuyN zIlOV0clbQ&kvpD5wF4y50B1+jTBGw(2Mi9V&;|8CZDlRR6KyTq;I|Dmy9JihTInQV zm3*=|kT6#t>e7R%5P!5{T}g}M~J7Y@Gr9cCy0#-&LkDtnSY(be$Ct}+WSX- zXXq-z|4MC=YYEWW4?$F|zq1>yUOBjH+`Y}*dKZpk*?4px81N0F-<}bq_A;(!a`A)c^B8My2M5YE z#(r5-0$R0R{)gp1Stqw|5`q4DUBlWy0=XD(P2alo&Fj{^%Vs3x0tf!^{)+r)lJ3{n zand>uabUs`2hARjHO(&9lQ=kWGa3hdg2?%RxLv`_cQGlv2if!)lx~obMtg_6n5?lT zRBHx%MWmNYi|gCI@9DXP*X0WP+nXk4Gwa+6?Pcr$cufE|Es(^Y1{AEyS32u%Z%-2H zcl-5oD7G19$>Cc+oJUvSm0si}mkY9q9c>DdIX6<4D*7~`n4+b^CbJk6er8dp7Qbkf z#3Bh$jNlZ+!evnsO}%@=Z5R#R1}=EziC%_<6Nvbel;N<6A}cmxVIv$qZ`~u&H&C0s zRqD_LCaWZk7E)6)0UitwLq+^_Mv4)wco56S>K4uMfqPPUo|Si~zJxsgX=|4&bq15l)h0> z`I?kdT7d8V=Q7yF9$X|()3 z%o+sh9L1=xKBv{ppdeB~edp3>EvrN-a|u&e^W!6K)-sRAodQ}I?2sF>$P~`ET86He zBl&0&OmU(M6Ync6jc1cY#R8J_b8S0;SIW<&DjPeGkkmZ2GXbFF243c7RT~~-PI_{D$v2~o+2T%HRsJ}+6jXe=5PY2{5C#hPp7;8Q}! znf7{Ad^2a@ro#81ftJLEk+F0hs_fJ==)+RkK@t~b?V!Ku2-(!tIICENOL*YoV=)za zLltraIp#Y~!+dh&3CQzFjj07Ex)rxU4{6Cc9}Na`VjYy6I9!S}Hl*vv_`3KCiV$!Q zMNi5&QQao^0G3J6HHoT9nySyiM0YmG#}ktFb|(;|C@n-e^6A9+(pSfPUCJlAHBp2~ zqY@<6(H~~&50*pW7djr%AT6QE~P9R9`SUY{eSGef2=IYb>COr)3ZDC z__%k5SVc5oi`5Q|YzPZ@{vlBmuK21~MnD^}^_=K||1j9MvGEKdMr8kCKtQzI+PQu0 zxk8-G6=KF4L{Q%(28gxcB@7`*1ZmmB2mh5nxQHF>9|9s86imSjgf}9WvX)Pre7>i; z=hvP4-Y&&89eB@7*N;=DPMtbcU0uJrnvV-7<|4{6BBovJ8S?17ZjcJ;wOPmm%w@1R zPhb|<;GBohfMN8F7`U{XNMUB+NO#9*9!BltycM2V*{t>Xg}&!RkDMaUm#zv7h6BT4 zE>9Ql!Fc#2^(8KQnE@HCMqVC||TI1^%1#E+o$c0}aAj12ul41<7k z#2X2xV9(uYzSPr(px{qNLn>BY<$j7tYsJDOa7h#|t13N5%@3-)yr5FsEYDDQfK3-> zcm8MsOO6;MM8)hWr!(s07f?t!PD-^nE>j8(X=It_@z*5mj%m6@#ifdj?_HfVIbR4WkH+sKwL!V{ri}x$eA_-+RKn^ekR#8Gak@%Vm`CNih=so_h(7eWPkr7&DGO?%j{G z>0+}Fy{D)5ekJCEF0_64=9_76^#Pu?=Xx7|mCttQj$0C}#|xkP%|kKM3VZwTnP)C9 zci$Et-xx3gq?o?@iBIfsb?%Gu>%Fpf2mc3fU5wT75C8CL_25AtzIuTlZ!=5ge*pCO z&YsBr*vA+fJ`TP>{d1MtPT-S3a$Pka@YUq){RX7}8>`ie|Ly8U7fpia9b;$W^<&}Z z-N#$4Yu7$gfFAF`xP3~T~md3f?-F+7$QNHA2KZB8VagQ!9SF0OT z2s?m$^>lwX@~-*pTJ|iux4+{a+&w;8Mc`Vxg8|?DZW>2FWHzNI*p{HbyV~|q65RP` zNZ^ow@_+Enp3gh84@r<>db2RCZYSS%U#Z6!*}lPZXdm@%{r;K=9X_Xme19PS{;+wE zxm=Z!c84d8%3esz?Gjp3^jXUkk27{~J@vS|UX6L(_ zh3(LFlt(+NM+ZMDw3GW$BwJyk9=foFd4yA1{ocT@quCu#|1_*IyBc1j*ABmS9dHE0 zb+rsj?32E`uZzrGy&KK<-rD&vGf!YVJGXKZBad{d9W_5qgeairA>2M}W0aS)uX~?L z{=J;`>MVBfJ$8%1Hb+S#*nCzv`DG?PYX(UVD&I$goe7>uIz^`8R~KuIvzB18&1;u4 zm3A@QP;V2y?|xqc?`z`_cido2Hw}e`x^K`YalZ6vA(Tmqm{->UJ|Ky7Z^V2 z1EAZGdYxYVYt{&vDZLiF7bg$bgRs?ORO)^0B@!lNqC$=+O^B@#Mn+{ zmXI1{=8m1ECH}q~G@4CEP1i;3T|OylJE=$Cn(^yjO4WCK*2(S&(*>?A78`?=deoh=Em6bXHB{RY)eTOMR#Hkxg1IoU3AbS zJCaret$^iLzO^T#sBTW$!3p0ySqIzn%dvB8s6AiRNb@JNV9r%wq?IPqFT;mKaqA<8(m zfn))bII0wD^REqXf-GW43re6RsmRZDCb6<8iVRc-v3IQPNT@A%Qof$I9a&@=vg9JY zv+(6*Cpi+vrUndW#*E>$FFmSO22Pr+oYkxa&svx|!kSdgFxfqj$_6w*L)Am(d_?-_ zg(zffL)`WpcGpgcV^SL^gK5a~IH>H-vIqo9>9Ef1-oZVzfMn3txVesPu%jC|z}wJT z357*VrOIcE77i0Mv=1$9fvej^2IsB}^@g|hxGx)haFugu3tGmyi|ZwVz^vp>b%Wxg z%qP=;YFZ=lCP@VJYE88F4x)u5BUPkxf>0*kI__I6KZ@*Lp!DW(F0sWSL~%$kb)^;} ztprl>b|F}#w3sYQHyMOQ>4q_%3|OItJ!c1Wzm6DW2jI0}9(zBmqo!v{1U!S|Nvtr( z;Y<{Xsv>cTUvYIH?%qS-W7OsYG^*9!234JD8e6T87KLlqb_(lbs-n&~lw1c>gcfWd zPLX+dkc`T#kI@E5a&H7l_pY@}1s~bGYXNYC{}S40@*U!hBA_ zM3hrVZ)ZqwvW_IB45#`uF~1YNNj(^_3Qk7lCXBqrQ{w8EsBp<|miXY@gE*h_ZR7D| z>ZXH=SGf7;G>hM^G%{;)_afkp)SZr()h%)uM}y&XG(J7kQ7ofDJc})|Yr+*`qKwPb zKImh}TM*sEKDDg(;HAmTW2NFa>7Ec*pL9@AU2^ZGSI=dzpa4pr@q}hm3QxI~EDf~N z0AiJ*m5@+a0Y{g6~ zs+X6xi}VsCzV)eNJ9<~F4GbQ9^TFVnuMykFFCZNskl>+v=ukDVUaqru$oC}pkM7^! zq;=RO3HtTMP+P}&` zfc^5z$?5(tE?XRGJ^P4+o3%X)5^3MJKXUT~c)mOJ~E4iz{c8yK7-3kV&vOKaCPz#0hWLP}o}eCZua z;Nju<)s_IQt$eXGpA2CRbR`X&0u+;H;<_W4V!-Aw#OngK$hCET%Zrm>Vh}cez}nt~fT-SAwn;O{z4AsdqD{B}l)t_87Wgp2IqB z#`-@R*CTP0$(nZ$_(Lo@^fZMyVrxNkp zwI9;SS7aSoZ^@q;DjY*$I{^|G`J|8T(XVjj)3(#&)I>s@jl8yphFX&fCYWNhE~{MB z2l9YQA9qsEgrCO`thMCvM6NzzIp;ER877^{m83H=YxyLnx{8JEav##^sK5j+_BoqM zW{kmvX%gBS0YoD>ce#W*!9r;S=ea_MN*1&SKsjl8d^Gvx?KElkLYIc`s4{F_kA_Z1 z9Z@Ib?2&P5JFZ+?_ep)&o@-i35ZnyeTZ*r&8vMey*o7mPf=y2eB`OYAM94f-opPgg z+7S|DK(^}6+1trm@UriPJ3W!Frlc*j3MYY$8TGhvO~aK)WkwPiv~sPBp-J@wjAzv0 zd@V5z$iseFSvAvmP!P1j9sa2S4z>Ss6^({05J%|%$8PcC};brA8zf}uh&XT-3^uN`silB0+&Vr?L}lE%OX z(vOBs@83sSim)zZ1;mr(fGvBGQfrfpTd8kK7_cTM`LQJRd&C(8|^Yh29UhQ<7Rz6oZh_QpdZC}F`_1y1j1+m}PUhd|NPfhzF7F zw?pPVXo;ZAT?dqOmhPsW0`JHLu%X9rYf@^h_q06KE^#8U*G$@lZ}NOX^gZCFd14QR z&dVNk&)znFNlCok;ppSr4tAZ`-l4i?5P$7na;cdh#ythUWb*=Q*4N|zE>^O>dHLom zI|w}OgMG}t|4ZLEJSO35XSeogd;hK5ufF=)Yt37|zqb!c(DtF%+28%nRS7D`J*xAf zu7O*(o95}KuS&2_zVhDhPuMrVU0$-F2m~KjvP(z%=yVrQ1~D zcspF9X@v}jYsz8sJQY+F&%3-O++xTsd0QNN^1Q#@hMgOmz{i8e$UW8NO6|gnFTM!w zbH6F<_Gnvl{%>4g4@Cd=+Z-wOE`h{eTt`_wgY&uPo+JGv!DspESs(h`uiRPu4gdX@ zK>6&`*w~+AIz&F-e6{JZ&;8M(o5-uxR68Nuv zwGX{9I=ZR7`j3`?J8i(wi)$D3z0eMLi;mU|=m%*Xe#@#m_w^K8#Cy(lI(zsl-hC0b z31-RjD3WdR1MYSA=|I@yQ0o#Ep?@6pb>Y1d6|R9<>cAvlDge2ANUDBk84i7<19p1= z*P|rEqw8~= z=@pbll{ze)bKU$^*0t)f$xiWlTyDMp-nVaWt00AKH?5&3-(}fjH#UoumMvi(e?x?I zg=?VS)SF$MdBP3>-~9otE5UqwDBC07y}gyiEv0BK;ZbmS!iE^_<6>zRqJws8NGr9N3FgjIi)PzePj}32 ziFMQ)Yq)W4xl$gaxs;34bTL>DUSjaBZJ$d;D!er$Xh*BACK{d5ty&`@1M%^0>fL-Q zKJUZ(8hBp=?`z`f9o{hCDG9Hyz)^_!O#~zi{`}4%;9jc?J3UXi`_4U=wa9Q zqJsy|-q6ou0P<58954tp1HPO)Kh`I&d{hj!bfG{eq$j zqM2so8@JzJuXEKz)h|ITEaN-G9$&G8NuIce+ojnJ^+v1iN9ux@x9hW&Xi~q_!`Upu zin6v#iqy3W%+4CB`x}%UUra?WQuZnGu6ot@~RU==twb3^J%4{>^Kda zQ0*YB*VZr--9g%osl4rimp88Ej%!_wntlbai;$KW4rSkkXCws7|0deNCKl$`aM zb3SsMHwDS~me~)H&>>DWVn{qGGIfPR7aa=gy%Vqn6ZGnx_bJ9!fXh1787|7S8e?7= zN}+KVTqTrLAo$~v_r#bqP=vL1R?oRTby&~ zt#_Gn&WXG!UQuF4SPl^*5JL=A25Kh_X32sl%VyEGw22O#rhqzGhs-Pq6baMi_4Cmf zGe-Bc-z{iC;pcwNvUJ91ME+~<2`Z}O8hUoSPm5H~y@uoa609dP0gMF8KK z<*b>`{MjIelNh6meJZl!(zoY)B3VxP1%ZoImOvXOXJRX6MxyPQ3B)QI7PdIfr%Rnm z7ZriYJa%vfYKot5I961(pX3(tj7$bljpwZ3U9d5v zXp7e5NdfbgJ0)+3$%b%46&>3?Do9l+60gVE1scv~;v0>)GjuaeImhe#+=EduNb7Qw zr$7+9ftO={GCrraNA20&f@*xm>Em8iD01ay>z3>)0e+`Vvn&?Ilj(A}fW0q}N1QMV zbGhoQJRe4T3&L(Ge^Hfe(HmF=fvrFF=Tt-q(8O~^B<4gM{Q24W$+;S7!wMe=m0igi zP=`1VOI#cIoeR*KplNYWWsUN(Gd5)h(>V&me<4Ltq%9kzxeNJEGcRIhqldLf(7MuE zE-8dTLo8)$3lv?jc@kURv?|%zCPxT)G1bW z_OzW+^=#rr-VBKOY^CjoTJ=jDSlzQdxz9=w3sF_(@9k$TqI)J;y~$F+m6Z?n0RH|u ze6@PRJ>i}h9gUvoaoQL9^554k-4yxID#V7C1FT3vG->T&PXHx`1VY?FZ&s^!a0>?&n`-AMWZqkCNr(mw)p&e~u-&d;B(_d})gxVY=V&SXlXh;F;-)6>d2R_}_FsU4;UsB* z-FoAb;tYpRw&Oy3e1Gbn+HPO5*uW=_Swh~@z-BKgG}7>cYVZdyzV+NDM(>j5c30K< zkYr!3es|^W@)KMh^U2^V%dHmliQ|G|FsB)OmMY~CU$8Q+2H*YC+plgYdKa?(`cLhw z>-8bYzGP4FmISX!0;yylzQaePS9f>6Y3zNX`t+wiy`o{MUU*|$#x;kPd-0V6=XdeZ zE83`c4#s=ytIB*b>)8L6>bG;B{`BA<4jv5LYi}Nu0E#ahmf$1n^q+RSXPlXS`y*&_ z=Ut!M^uX{IF_~)~=4gxl@k2L@X!hG7@#{9f-NEZ2lEClbJ8yOveFbYfNerv!PWoLT zdRK_}uBhJ&;&pxhzA7|ZTY=3ctRv9?@xoe21{u@Dbsb+ciTi}tjXbgy4XBzc+7Cy9 z-2zcRI>oauCXs|e%30isQ3P>H_=N73X?ls+0IpT#3wG5OEwuJ^<))4H8RL=O?sSo#t7X!A zrh2vP+m0I=bvDom*fN%@F@5~zGGPm?+?!37;n_W!K*=fr# zeuhxhWLfY@?~8eJaXuflQdvfG0nbb&au9^(GW9xtHktT?WC>Y0?|Ao;+~bd~na=a! z2_;Tu4dKq~wwRtlbuzd(Kjn6Xdg)z093WeF02`ERkb0&N5 z|Ck_P?6)YE?j&Cf%SbWCv$8mE3MQO6lYVicM8|9+pGFC;Z|@!kADoL7>F2tKma2-b zcIj1#?HJw_gv}VHr?+qCyvoWax)_da1qY35W;Mx zmuuayEsBe#a3>d~Z%0k0DIkMZl|czS3M@G7uzjf;#qW`We!WL^-$YLIAQ_`q7N$|V z9N6~ipd==uB)?ZGU>oL!rxw1nrr!>Jq+5IcZXJK|HH}RY;s1mEW!_emPyPQ*$RinT z2X>scQP;aYd;e}7PoCDEzw|ETpX-Rfz|P2@H3>H7QHomrCuv53^qwn)ckEJC67sRIT0QqG-*`3cEa&56WW0~8 zTG%x6Ml7IO{lOos{@}9Xx4(P*;Y01w?Z)Lu(0wVnqkf!qXYrL+AJ~rEPJ*9y?k8Zj zTD^hiqkbHmVrJ(2j=#9}XKh?yi0a`8t1EzQ{{PDpI&puX zS+VBgF?!R7I}U>yAwh2^UuRy+day$#&o*fv+ATX8tv7SuP%Z029;ZZ7fTUWp=;H~o zo%))f>!xh{y{qXRe@Hw2A>+Sy(S65yv6Do*<9m0WeGS{mL1J-Dv0V_<)r0sNd zRnWLco4mfmDrVlf-wR7>KCEMfyn9fu(ni#KQLn>8Hk%RWP-+=B=i!h&<~v@`ZLe9a zeYg%^v5*}5reY@&>)Dh}zy#=rzhj7^yedH|>O*+dgZ_+1#qGgIxx#goM1hB4CiDTF zUWX6Y(7VicAr@2a_u(CCfN#g8*~&focZ;V1=s4x#bf8rC64>%Sorh<(yldyX#XGl& zahT(p^`0YMBk{Y%+da_dy|?$$I_Q0dL65F|c(?e(rRUV!`&|E>^2c|c+dm2E@XubQ zf46w&`WU(y4spDiMu*wnq_|D=Zt-;Ay8hWHeT;RR*#Wmk`2ViM0kynK6#KNaSL+7} zs&PNq$6$d3y8g|=f4-O8h55g*hkcZH-Ffm(KWAogwM_TVJFHj)8AcGejt*4j4ZrUjgl$grNWJ{}3M_}^ zM!CnajQuDy7XnDfV<-0^b9M!}g$Y*zL3AJg+6q$dICTF0-IcB29cM4!8aZFP9_dgT zkA}XCZS>L4COtaJ_ZB+qU&kmn1BNCp8@&lJa?2Uxm^h>0bru{@f{gq$S^ydu2cS8C z6Y4s=kvE7S(0-B;@2S|W$I4+wn!6AVwn1H4_jl}W6nss>D11Ui8>-Ijb2bv?9AL|X zdDn&xZqi9;tzj%MO>pc^bL`cM#X5fPND`*EQ}P~p$bQ#j&s{+du{?xp47qtv8(*R_n7whux=+Z z%_ISRNPA6;HlzUPtm>@kJABo}+qXOP+OqJ9d#58Dg;7j_eaz0gAES!Pj;<@rj#I+- zx5~bqqJ%XwbFdYQS8HO!6M;=#9D1jDq&{XM?S)A<8#oF+A^}?pohst`1)ep2H;Kpi zGAVpfNRv2E^8@7I_ki2bY65NSx<-hk!@u-WqTjcL?N2|GdtS**u#IQ4MaMy5e_RKr zcd(1+%?yK2J$o46$NE9pPk-URvz6?9dtaVDd>_xeO~+wB zSD=r%AMj4`{(q?^quHM*_U(Oslls4oSyrxFf=zfIbAw5)cZ=VTpZwX^ufhMz!+0wA zyNr>2eGpzpy==h@cihvR{X^QGxd+h2LwH(xVondnxMQ667>PbA#Vxq`$Nslp{$KGw z^~?YJZ*1FJBp>75bgC)Scfy1slI-;Pr5mh$?^|< zbQpyz=E^5783Iqp1|t4im~7A}rkJY+)n;#uI?nOTqC-jQN4uiI7BpO2Uk5?o*2|8N zRGGwxITu7}q|I`ZPQMlZnfh{7aO1$2S0G=wSr7`L3Du3MPPS^Np4}DpHk1~kmyAmj zNSnx&1C6KQW;&8q3aUZC8$25`y}qfU@wmPorh$xhvXL}DeY>X=FR05=a^VrEejNsu z)l4)-vADXdi0`*@1oX*V2Xpo&U4+4Hht%sgbW?hWRd`JOAkLH+-V3Rd5xlLsn%*?D&k@P8$ z!XTy08dWIvhTG6RpE2s4#1aY*_Eg1*D7y8s(nDJyz2yy(Y$zh-HFMlgq&SJ~l)_6l zse-nEItQiRtB?jWYN7n|!F)8&aM*kAliW?`vSmidX)4_?`wZlS$xhcf63 zXTi<J7=3$bzvOquOVq<(QW%76>B|Xv`@c$?Z*yfv<92fFZd>J$HEs zWU#sJz;y|w)A4s8I@AXy+OOnr+G^V1XX1GoIp2def!i>ZnJ7Kko*;o3Ek@^VP|d69 zKu2$n;)L3JM#;M2QXIA+qTtvgaHQmV#FkBsA{dC%NhFQaxHvl-$E_F9m4O(&)gz^o zNA6ibqwmkSCKxbnJlpW05_+^f}FJA0ga|2J0O zU{Ch1{_5&geGBQ8WBK2F^VT;Ni4EF-Y<5q-lGaae-Qv?cUwY=vZyH626TjlCU||xy zTfOqi+Yo7IwN7WgA_5KSE+oHqo|hzk^;Z>q>0UXO|Cwhx3E=mY-|8gzpLz+XCh?g! z)86VVFGkv#pB4G&^78oj23yN{L0c01XS=C6_m!_~z7q9|zc?E8{(kTEbI;wlK|!z| zTzS!n(r+sFz?*Mkzs(Q0aYNsW8Vvqisu8Zp*e8GY_%1caAF;)Mb@`dk@U(elG`f1y zcb}ppW6#xEqW*0MNkB>4yASC^YsvN0zpFa%~C4u~s;K(6CbWD%F@Ip_s zv7bU)!1}F&-v89c-7hNu|3cwU{kXI5k^L7l_i=ZVTkLB$c&C5zgnRzK|1T#WoMAup zd`<>&ZVH9~sGN8D{Y zFuvD&pHSJoD=2P0hP+MTZ*zA^g5zT<{3GG844ydjS1|34$4;(v8_6sTBP`{}PeA9! zeuU@vKvqt@=(%eNEih?&dGyFkC;J4WZ;2@!ZM*%{MKBm8E161h8)D~?9r+1lcW$0g zK6BQNH5R7O1jUw3=hX0Jhg0`=ETM^>`!p9IH**nQm55ex^ww5Ys#M(wliriW#NkmsDb#+-!v1N7+9^NRFi6W)H&eEzjfU|g zR?txIiG3qHEPU9!&Jy+mb zLo6cVk(t694z2=DbBS1S;AU-dp(H5B?GSX!g;?Xh^=T-w4(Km4jB5(S=Z$Q=w(jQR ztO^8cW&=DhaXw%z+-W5*?rJnF1``dw85PVzFuE|P1MAQ8Huoyq79ATsM=wzTnnP>d z1PsHwA~;_a)r|Vp!jB$x@Dh=PTAmig)R%ZPHXCCLGOoF0L&IyqJWjy2=588UFyMQ- zbhC071MZ^9nJvev3nr51Q~s_D!QOLW5p1PEUgu3ix8PM`$_?a6Fv zo8!g|_3b8f=a7y<70BNC=wdV)H>V;Mwl5mz~%Q|p` zo~YY$!fu`U`5A8CRLTrRtkMi-=Lq9n)4KC{!N98=Rg-p}&qnPcr#3eca-vX9394j8 zyPZdIJdO-6UF6@j64lU;hkokY7z?B2^fZF0|N5S>G$fBMObfA4q4a)#StN+C`7?B` z9dMfPU~uqbcMG@0vZb^4rjA$$t3Zp@Uy@ORSiMI>Slu+3j{+^yq1oP3EJT1 z^~uSyRoG-XzQ`x@NnNy#;*6A6SIRxP)2V!_?ih^vI3M5R;)ye*eVTGJOitZ+IGG~B z;xyLoq--@=izcJ7h}b;9@T?_>bXa0uY67Kk<0&mVp^UoYz+RtMRFX3=HYI25*%%fw zgM2<6hXJEr#N`2kIVu7nS*)Uus!~j>_CQ@CYvwQEoX~c@A5LNlf!UHPjF5Y;Ziyb6 zDy@Qik6ODGozPH-bUt8GVwug_BCB1|w$3|lM%v3Z^DcN!PecQr__9YC`V0A@4PwEA z6dt&UBHxI+(vlDPvhhSOB@H%5l$1MXAqUN_Qp$u2+DkA!FGJny)L}dN{BXbq`_t;6)#cxIZsXd7z7toaC&GN1|0uvrg3imM4D|N5 z%np9S{X`lI;lJiwfS%O3Tes5pohb<`N1eb&Xy9|j%DMZZ{~~wv$Uj(p<^EIO{p5H1 zn3CY==>GldBuFzIP`_gd`ghnn3HV}ilE4E>^@01!{rq?T^zX_o;D$VM|FIi!-ri^! zIC4#+bHVfOxEpK#xchtZKlNud20zt#d~_U}=kGk({(V{(hwBN(^+PEBblZ>bjRT|g zOpZ0jCmb=NPVS>cFb;}`s`}FtMZJbEZjOIz12Z=|snCYU`VMruCm1buHF^fDV{SQ) zk5m48)D4$vH0K2TT93O{g4Z?@h_;i!q7^h+I+0>q0vehFurI-yWJiKD@?D3m9ousY z{V5AKG)8aka`J*|8|NrouE|~Xfak^@K(=mO_JX#&hb8#Srt6MueMG}ZCq6XJBv`HX zBsf?O8rMG_P%4m2ZXff>Xt@HH=Mn@nYeWD-i=m3<`D+5X<>xI<$14Ov=Ndmw0wEgP z;|6M|dA9H@J>}Ymu!q?A)AM^BBNL&gD=NG1?8_~vWI(~4W^@{>ZSrXG@Y6hq;woqb zw+G{oXS3R^kb-^!pIILA>j^tMmpwBNQ_U>&8G)@fZ&S#v4@4Eiu|~s+Wie5WTH&}XOgO1g}07LdH@q=O)pHG~NY6DQa6gC!brL5ef-S(iku=A+Sxbk~qhJ5*jK zN_s7*n`W%)3Bxkjr1hMgCAZN)HT8w#Gsud!56ZJai`f$dQ$-q;3fH<&p*B|7@x)bAMz$su#4T7j7aO!xpmM{A zj6N;HNcGpqEvq|rFZ30j8r+?ECAF{sGS1>UzeLyqtrpEK*W{MFS@+z6*$YVgs3d9T zK7vFf2l#ZyFk7P$Y=b;bA)+-3NK{?GzyS+_A)`Au`9R+2e}ill4c{CzOvKd9R4EIh zLtzZaIg>L3U(}u+|DZmhZrciMD@_tllAcuvwOz%QO1&?!*Zr+lq_hftcCTYm2$HRH*kO=Ol)S97>C1WliD~~xOhAQswLZC zmb0p2m-k{eh*d-GxC5A?sZOIgcPZvoqZ}s+EtKzYI2p{VQ_XC`4w4JP9|Iy|R0{nJ z=cJl1^SL`c19bsDE=gdUVmYevWtqFv8F-_xgf<+;3TO6qz7Btk01DQ;rHUZaQHvav zH(&_PZRi<9Gl<=#IL(IDl94DBK@{VWDSOSKqUbFAB!!pIJPrcoe45CXv;0JN>at3a zmdQFm(Sj2gMcCtzZ*fSBjrLk2QjTeQv09!lXi8mm(pUpgE|Ug!D9()Hytb-PE_Ji) zgwIY8tAdZ9T(0*Ang5`%jFCY;rCr48bU9cqt9Y)gsdyRsjvt=00+PVChZcgS<=&o%j21b#$7Neusgpaaw3lB@b!(pA+ zBOIGO-}Q$yCg1q`NE^&yipPcbeBQSYY2a`DSBJ1Y?*IPB&OMIeap66$>+I+Msk1+KA=VP1t}}C)o1h&-gBM*iiQp^_xYavTCh4&PB-<&O+^iute5g>Y3tF=Y zMCC%)FsyMDu;_F+$m7t>YOS2{r4z6$DzU@^(U38VXNoyGdMMzb7)8ElqE?pR#7Pne z22kk1;~P(^K*MsZH%ZZka#pgtZk~y(@}u^2W=duOLZhO_4Sn6RN=wvgl-}^cIb(&A znE8kiiUd=ZiqQ#Fv%wNZZOTJ3Ary?WlBcRMXFWFIq>pM|vPR@WF^TlCqLkSVX3$ee zts9rr&9a(Y5J6)lSt^uq)p3v@2bycGx~}W$-_yL-~zdL1d^gJ;9p3nnJdW4vY7E}UGTGM;khFS zrEEL|7Z(9jkqv^G9u{XhYP+k;Q@erUjDF})1XAvBR(a=6nhHPpuQ8Eo)CT7>Y_5xQ z*no?;i>%a+);gY!7LgZuxs}~{si>YA?ZUgfRlbL#QBzeT&oj2>LC#LxFbi~Z>ECKr zdR8}#LTh3Ll3>4Ixfo@ha4;atym<8yfOtRz2925PG1yRD z6X&M`<<5W)m1#-3Kw#DJx&o`!a!DWnkEBZ3JMYgBOB4e-yF|bRb*oCl-q74@#H#@s zMelOYBvpn#%dF|j23nv(E5o^Ctx8&Bnt%vd-PVg@Ib-z7e%7{73E3{>QAX5Obb#nl zt*35|Lhbx;-jIi=AhQB)?_!iMAT^HY@gRAejLH(v^emd^!vT+#Y<0gbN{A?b`0xEUm z)3Z5y>cgyT+YyU|tTM41mzHaAQnMQijx2tX0L1VQ99KSUE?nIPS5!3{Ig$u8c5S%zGi0DPyKD=KWRYaiH;!+VM@kDF$W_%917HiStmhmtq*|1yS&OznEat*Xgrofjz)oqaH z)wn|0zCf=AZRVMzq0F*{J=)mXg3we1j^kFJBWoxJ{uhqtArm2-|4t>)gEug{S2JA@ z&W6L9rnw2t*(`6UAo+wF)VY#)#D>)KbItgSHC#3_&+Eyl=RO0TbT3UQTUHI-v(gB* zyO(y<_N#-=CI5%q?mDC>6XpqcFqWCQd#odZ*4lhpZ%pfoB{37{jsq(*3a>;fxN+n7 zg$F0Lj&R(z;a|P_>P=gpBIxo?xbq7}gt6kAJleBsdv{;a`oi!1URp!=%TNF1yARz@ ziqOkgal*6geR#0?o!|NL15OM7pI3v`(a-!$A8rzGZuuo!X}2X{GwhB8?ipgRXV(;J z-(&JV@u1YIn@NI%pe`rJo_X9!@XghKx;pxX?UXbMRzn`}5i=J3sDwXue0O!%(DMIG z^)qW-tvHby(ihQ0w{~&&?(y-bpZvW~|Kf|k_-Xg5`w>NZ^;JG^#MapFu69p9hyM|a zpl)@1boY&a{_x=o)z&%`Yz4P(9Un`ABYxr~KYM5PC3tSL%eRx@$BJYSx7 zw^`-G?>-43{%()%^Q__hy+p~LT(xY^KlNk85ap9CEZsd;`%+A9!H$FZpZY{gYaX9> zKV{*@*1cqw2_WllPonsBYeT=7ncoNd7zjCl5&aR-T9fR6`S$bJga{ zcxcRdcu%Z8dyCRIwFFwZk zHUAc2LS;~>Borjm{57~%xGnHqdf1XwvI?>?bHicbl|e&T7Bcmm3!Y2j>I=`*g3qF6 zDKa`98FHRr#`$>a*eTSe9BE8g^P9~kJY(nPlZ>ohc(t+PaSg8Ur-oCA<&G`0H3N`w zJuV81ud%aUTdUoa#S9e$Z-CW2((@uBWIl6z6_-bJ#&)h89_mdbDDf*j^*ZR#+Lrq^ z1Pi3MnK6=L-A-FhOT!V8sZT9gtT*b4Q6$Tr0zTon+z_JbP++T?vhFb=!)U=16Dh%> z5CO$0hqf{r;BO{PB*%jQt{60+N50lmL%lEtY)xrV8N<#jiVX5bUwlaqjOtg`xwh99 zY?RI5=gJGkpHOG%i%g0ppH=O!g-JnR?3ff99fK*TA2BD**29A;*+L{}UCG$7#Z}~< zm>z zMKMe6A^xI*J(Caw&$tAUpT{Pk5rdrE(V?UeTpb#>hzki9C04=i+y)YA$HYw=>W5Z3 z%P^T}O1EqzwXrAXsoGFDhs0dT1NAXA&O0qccq;1deTkgn2MI zTNs)`StqOuNf-hQ-Ox|Uz`%~m=ADbmF_duxgEsKZBTwF8opLaf}h!OcsoG09bN`xd9 zUCOy36k{FBidg6nlwM8bnko-3{%x*1Ma{nytV*3yU*bM1E;MpV2~lZ4r8IJvWm9#< zp~^@{|HU#}FlTb%TjmvL^RyS2%;!0466kXtmeqm@m|51+jbAKgzRl0`*_@99S7A9u z;V~61JZS-F!Im_$Lu8)Jcrh|H7m$byxg$Ha$K_ZP1bRhol2=S;E~`^=l7~1H5u~S4 z&@761aL&j12@^-I39*bdp+43Z4LdBR@nSM%@g*vAc_iUt8ipDPvmumG#W?yp&qpUw zEV*3ZVi3n&HJvi#6wmhd47WK&zI$l&|S(gg0l*mC~N`l2* zSD{k*DIMJp0tpv(m)LD_U0{;T+<+gp?o&mF4OnD zCSiczoj3DEds?(pPida;!Ssf*zrdapgY(k{|6tT|!%b^f#5UG^O>J^|?oO8%gOU-d zlBUvAd}j`s-FS)ZQHXCxO{W5;?iHfs(Gc?|48_q~#U@@R9f!;5>Db<~p1L4HM&mw4 zS1itJeIA2y7p~|s<=IzuRssTA6JP~v|8l=4ooo6|p zQ3Oko%rgp3bqQq^!L`}Qrm)(jKhLQnd|53ENZRreNr2aK=-aGBlF~MwkM6j^>+X-c zmtbru-EsNrN~E_5c>PHsx}CW9x-s?4M#>7P(%9JDGy9HE+hb-TCO;vDPqvL9@IR}) zcI&TQt3NA0f3wAGgGoNaxNo@aJ-sR9=#tUk-5xLzOS&wLK3W;Bsg-P|NQ0UgJXM1vuE)Gv(paUw7*sE`{+e>+v@w} z+CeLfKm#M$Xz#(zhwB**Fb`HQzkH0I2em9>>S`Up`v>nLqQtNzx0<>C`U#oTuM#p^t*Mhmw7!nu%6K`HH@UJ^rVa`-0QxT`hq|^M6dD zJoTqqi6h``s>z2w^?d!*m;b|L=ezd!%cbFa`-5NpQS*P`%YS0>q0f9~b+kHu1zqd{ zZ+08*uF!)^dvkl6;_mU$>YLwu=1q-KkB$a_d-Gf0l3!44?OfD2yLAtbi|-cSy?gg> z{>|Hrxi2rDd6QY1+gz*9d`1OvRRWcX;5lB;W_bKS?i*XsxQW zIWS5!Wd@U@j~v~-d-sy}#0$V_XZJ0h`hX<3^Y&eP`>-du?*ER1?pNG)X6$v8Ugi?vA5p1 zG|*UHFlUj)x$U?{U3dlJEF7+pcSY5@I!;{{%PsOCs_zAO_SmyQ&xZ~W%#!Z?y&ZZ7 zb~TzcoR8xqltJGI>Ac@}%bw`!RW7zzckVO}kSV#-6Icz8J#q88Q?ME%jcMpz;HtZ( zNmCK&nMx>FQ8%KL3!SKNSgSA^Q6}2Wf(y8=AWe<%PgJ5r2A=eo^orKt-D0>{`$W@U zGb@7D{A-HA2!69fA7sg0la|k2Sz7-x(|U*=)H5VkV3HuE=`|LmDG>-?S1EVKL_@kY zn6}uRNz!_~fDn=~VMf7fhw>?x7}J|#ow!`s+k}fNU$8AxM9h5{`z?$TSWp~k7KdC2 zDhZ6vWOR_S;!4JFhACuv1RW9?t%a#iVg*)U0%5RPmkPPI!LZ_NLC$iHdHojB?RZ-B z)(QnLM&=|$-%tVqfk#L{<0I+I^v*hosYaoyKc+h5Gl7Im(B-A~9@3_%ydA|H28UkVBc!OJ2gv*GHADYu`6 z`DxhCJOS?ksA2*$gk${4e7#1c)JH}Pj(KD7?={4#Cg04=X}52z2G#habkW5nW0tB~ z#`ijX@n0^v8Cf%DOk16Bx-WA-6$)6!3ch!quLQ1PKN;qCeGUJJqe%slW-^Du3gp~{BLn`UhO=G5n+4NTL#*Xgrl{voBo z$04nSR}rTGwG5w}}F7Wr`DN1WT_WkVnGw3=9OvD)4NN%;>u!NOnnW zkVPzY{shUyF;fe&2PB~rU=Bf-Izf>i`99FoYc>(tPm|+IyheGzJshOY0?svv2C0!) zBLyD*4RKfxVI2bgsU&7l)LgdHD73gd9f46^v+oa22U-E_JJ}qwlDDk7EFB63(%KVm zX%!>pUPUEs>SyjbtGb?*;j-}L${=`IDgB|jRi0_rA^|Nv9s|yo>bz%pMrU8%3vm>b z6n)Hm$jeRzy`<53h4;|(4(B4%*v&ps+i4#0$Lf$LjsT0i-a@q^Uzpu6g4hr7KCBxDm3?2Mm`w5qhZ*$yA=Y-ZKXyLA1+bCMdCp zjX1>wYkkLht$u+hbKmWhhNMNrHfVKM*(g2L!aA)(FAZopRUstXP|1{EDx0aIaJ4$y zWi#)@AY>HfrsvCI8^G>Rtw$8Y))(T3Bs0`cHOVPNXc)9iS~@4Eqoz~@J*l_I^aOwi7S&@>XDZ| zMB%XucI4ueUsb%7TR?1)WHGS6mbe|vbr%=PWhS+W?#%9j!7O*hqM8+<0-N(jBAZX! zp{rOl;Ppc|Fpvsr0LfvED+z!Qnb;VRCL60e4+%&JCFa1HkbRKChBVkDBoYh5p%#>O zF9^lGKoQL~0`a&y$j&9TZdriEgqA>79{ONx3z@eIcFY!w<YH$ zpc+7r7qLZMxk9C}1OY3u7m^*ad9=vUooeaL#mJf!B|WXD;bIa_T+=M$#qdP&=4hSw zh~pq4#z|DJrx8^}t)JuA1Bpc!&)BOm2!vaaqxw`MBNWuO6gL(*RpESkf!viVrWdXy zMb@xL3jIt+^DrHp<>y|7L)zIg-C7HDS!DHjYk7q88njoECmjTavOJ{n=}FWAG_E}x z*~X3qXf}*yV-{B%x1g~fjkI-S$nFmhld~mNbTVyVmr-+6f)h|VYrwTfIfJ(6D*{Ng zDVd$X!v%c_?iq!LHA#_NWR*KoH-kOH0*j`T)0`9D=o5oNz)3l${87PhelbUnxt~ri z*aFH~J18nHP-ln~95LoHI*`ObRDhlVWel9LB$TR=AaZ$!0)ne4b9m?%=PVm~YR#bF zgrNl}A15q4J4h}l5mpe{U9c>w#ej7$R**}A6faNr5x!k0ti_~Vo%*mF(s`>;f{YvlHP-C4n0rI*8SG}ZGRgMv zoj21Ku5?RkY)0DTr8c{AGs?0emuzr%o@(KiHMkEsJ4eUF>DTHy&dGYo z_O;F`XLjxGv3A#mbgp}8=c`V+)sEO{?|&(+4?gj!(pLL!@RpyYI5Yojww=rf@m8-~ za`wvQE8F~V)#WQpryqiTC5owcTQ7KuAWT(B&@I9p8^RTbt2YHteSTg`=Zahbv@RO;*{fe`odJM}FkBr$s+} z<&8I=2L2+j9e=fw1jFGA&kTp#c)6vN+qZB3)Mq}^E&uEjB>#^kYxT-=H{4IVpmST& zD(=etD0^h_A>v)``!^m)0`2@|r|g*I8uvVB({ifKZe014R_!=%cXjlS{t=@6p+Wn- zAAHkY{wMCY*4%U|%^NIf`QP)u_u|JsovWr^-gN8Q@sP8cIqw$;kKeYBSghOjU+=!ja@IaP`~&u< zzwqLV|Ek-&efh61|6dCJ?wkMYl{;FyjjSXOhmwHvm+X|NeGXbv+l5A5xi>#%23dLl3u8zMOjZ2WP{iykKb=NVDcEu?Gb1aD=Ybipn+Thy{IQG`Vq%sVrzU`G|GptqRO4OA5L(!ECWpq=qD=mNYX@sI-r7-19PJ8 zZ4S<7cr%|7Xyr%YSGdMroW@qx!%5*Q`dHeHCYsV{nS3xi`GpgtQWBM}=td1z4|!R* z%-L)vtE_-ZERto`q(m;5mqX>kxhA?P{aCi_$gfSsK%Y!k)a-l}b_*H=HRvhi0ax?7 z46&CkqQbQP#_6ZpJxo4zmgT@*aH0yYTv_Z+VdInhG>(E$BTHYSI|D18`^0hzdISsII(4Mh|oL4%d+Hr<7s zgmy9bS{SXdLkk`_?_m&}pzUf=YdLQXXDaiE=gAqJCuwQWN+}R6rj5ER!Acmx6eu^Ks^L)RzDT zrCcpSX)N2|&eN`8!{gltsDP4DTR~o=`_g&p#?W(^tm;VaRg}~Mf_T$Vo>0z8h7@HT z!SRkPyOGgYrMyC>h1ZEfua~64K z_iC+|6rd%A4stOo#DlT_JRxlw3a6DD5lb#HlE36KYHVSAFbBqvnl&h*y!9XpvVlZf zx;XPRK7)x@KCC@qtlZE?Z?cx0F02k%j4V?rcEz}{E$pyCN8iI!waB8KwTl_KFN%WX z=M-HBArBMgPSB$_OcN>pB03*k^hhs|VkXse=y^GqQj#}tJqBPIA<<;X{6T0NGp=CbPgC_=62j& z&~4)mrY_>O1W{^T(@n&VUxT#`g^LqU70b`oSkH|C5yU=H{($_kn(-|sUQzY=&{I_e z!OVSQErBZ-lq)^asdi1fK|b?95fos1Z6{%Q;oX_O<%XF2I3`${qdaH_@H-1S|IofdhBj-rw6hXVXTX_xShNCZ!{G65so|bRO*=E;Y7H9=-mp*T2@(eQ&n${HXhAANxLo z^?Ub_V0H5=AO0}&b-;N1;g@sw$<@t=8wt4m?NnQxF{`s_Z!s2kjJ-Fb`Wv4dGS$wP7CMcB^>+M(_C3X#Rud|3u3@d~40(@Ts;BA3n#6n6JI|>fNgo zJ?p67ljDsazI*p;-CNp;!C?t*-joDSKRvul&cCnl&!4_~*Z1A2YGW zi`VaP^uCU|=io*12ivIIs~1+2ANsW~ZE%Mr&@SX-XA^51((lQ&F99?8@Y$W^qc(QD zJ*~9o8R2QJh2w#tIcBTJYQP0&gm_g|ur)}{TDw73@QerT3dN?r6&kT|L{J<=abz|< zx)@>}O6McX-!YG8^E~9i?LxNku7iBS#bhbHZ5Raed7d+&i(LtmN$}{JP$vSHhY(zd zgPi^dkLD0V9%31Zv&YfZ-WjN8z70KH=C`qZFWi$~<;$qlqXHD)8dsk6N~iyUR>B4Z z*kBf|@wfzJc7ahvpoj`%2{qQ{a)o6P{6=^l?GXfv<5D;GsY|Y5(F&yGDY+1VfbQUkc2IvUPyRm z>Gr`nPsL$qs$LYYJT^h}0y@Qh?iGInc{yxbl+2>D{Y)^g1j$u{%DiP_6Jd^@O9ev^ zpz6{KV+hN!iJs%H3oopk2C4r?l-XcWwv1j?flCF&MoRks*n9t2OSbFIYge5*?Q_~~ z-#X!01jX3<3@?+GN$}0Y_SoUty{iM_X^@#lN-INYQ_b z^bgzdf2?zA|5$tNwb%Ytwb$OecE$0bJI$Qh%r;UvCLDn%lS#YDCGVj%y;863B}~yED*Df{0F??+$r;v{{X+z=-?^+?=Iwm%GS% zyGT5~s=-o!pk#0;(I8X}Ch!k5UW3ZJ%=wXz-tJGn z@M=7+NTJ=twN8KaM#aEMeBKfZF9KxfM0Zcu%ykGK`WpQ zeM8-=D0Ln%zpmuW8gzXQfQ~5!a}X}}?x9cvLAnw|H_&cu3kbd{C^inXj+!2VOtEHg z<7-fO4I!i;Mj`B%T$l*2Ai!D)_KBnBkTYq`Dv^kv*u6c_8dz@Wp#u<`8GB|hLN41i8Igb zjV~$1jxov5n&c{C6tx);!&A;89%0Gm7NCwu0Z~jjHK!+#DqqExXLKzqz5)!hgkXc2 zs^JFQ0Lvv+;3|Eark;Dthy`_7+lE3CIS8t@P z&+7;7Ha0J@$$wk6o$PeUwp3)?G0H}ozv=sT`jzm6hk$c%y}Ngr)3wC;ODwX9&v4Sy zAN^7CYu#4(!G8F6(wk>*ym4`q%PQj|QSRS*{P^|P?`+<_(;E82gR^zpo~8D9j+i5T2-#IY@B* z`puhL2{hD40_xz5;nzp6?YsZ*C3oP_gZw)6ZbN{x*AQ><@>F&hwr&uvK3}<-+hRf z^eJ>@zAb~UY-{4CJHO&4|N8&w{_XOIKa>AdefZHE{xe^^Xb)^;>j17@|BJal>K?mC zU;hSY7g2f_;;S{j7?(tKcJ={lb>B%G-pL%V$81j^=4A;?@%+x%eRtFaU7FmlIj!ny z21C2PI`q!~`_kECzCQImN#MEXT3fR5QjOb3dv1=MJtIB$;r?04ZM*D+_OelTdhpJ3 z)Iu~VUY5W(9nEb*$3-nAhV0_*G#_$lIXTUZz7ZrmM zGE(D0ECW5E1|ps)zDHYlz+=&{GakY!C1sr9X#$BJ{oqSD7zfzr&KE*>83`hTGw?%l z(L@+A$sd(kBO=CLn889K`m+vvK2`u=?4XNHT#$scYq94Sy}|*DXD*gJ;Kc|`$_$`K ztOinmm%%+HfhSQ$p0t2VU~7Z$3|xtR6N@s`eu+qKlxo04{o{$t^Om19hxF)IX!;Rk zcr*bM16k+7u^wmf&22`DsY_B@W%-p_-zi_Y)zC3r5Y@s!pkI zK!aazc@|k`B3ixSYWdad^AOY)M)6t>G$W)=fhTVZSq5`Tuvln9L`S2t3B$0#xXgToFL2Em>=zUW7tu2h)0G9t ze-WlE|9RL|_7;Fb6-}&kgiwOH#AhMb+IzZ3f`}2H&{T0)k9YYnhqU3L&5AwToDEA&GyU|l#7o29G-IBKn^X?A({%PGj4f?A1#XF zbVMXR84JOjmY-bgJB6Hw5J{LLMv`3 zYpu?zyX7;lt~}BjdY;vJM=W&$VsMFb0^t|%?m8-yZrl;j_F;Cfpvp^6Vv~RflZJ?z z0iiwyB988@gYdL~Q%#{}sTLiwmCJmQcigR(<%!;_R8&D~a7kDiy0T-fLSX~vF;3N` zAoAA&@i%)A929fxg6 zh*nTUuo5nkn+h`q*2U3W7g0%tND$#im}cF|+Uc0*Xy%LJ zBrj&=aih>&QJvb*h$EA+C$pRzkwLIR$cRZN1?Z%**=v(`&WmI508RHD?w+r$2{Si-X{Fq3i*b?Qyn`T z&(S;$e~#$+p5YAUM0w-Mr$0UY^soQ=-uktJYkzXpTDs{~6#lU0oV4`kr}K1*y_fgC zunoQO`^`3AEDPp{4IL9cH#xzS&KV4zBg;tWQBJ;@1?u{d%h# z%MXYH-DJ?-(b_-ZUU8ER=RSpdU!kW>KjR#B_pYVe?``9;V{rbrJ=%9r=bTJ%yk7{F zAg%H1DKNb-G0eYc(&}oZ{p(+!JY@!Eo5(tQt^_v6@_v)x>}yYxV9RmW^(+bYZ@tQ` zBEiAI+iyRvj5yRMEJ=v9BydAuAK6l>o;5do2A-vO0 zQ8AnwF@=!MbM3~?_w0N-UQ*jdOpVj%EE^)$H*%;?9#a{^S~3^G?SO6o#gL}xNl}`T zoP{^S+h#M4JnMj@H}GU08OA9uT_Ux*R$S5O$H>>}IO^-|A zsSIaTbIP>D1o8I=#{<5DSYu{iCWencBe3{cuz=oT4fWT zQCVg#rJFWPSkwy! zQ-}_3uF)>2PDd`s$YU->6}rT#`D%|&Zq_^4cE=Y3V#uwx@~JiFnf;=rG7(!5sOJe^ zY|HszE@zrnDx#=jb~1>00TKpN?YyL4j1VazM-idqTJY_qm zjwZW){CNM?@Ao5bTM6fl&x_IYRV3jyE9L7yy+9*fY|zKH>-?B1C1~A^75vzcO~HM)(i6Wv9Mm^^=tWF zdPx#=o%YGT{Ss@`eGILHv-bM>noYXvb^4-^=y^f?E!kR^miyug__~$8khD3`Jrf=& z^wm30c-i>FALjct?(LVZbAE5qr8U3626XqvEhPBVRss&;A1j_DRT)cy_fFn>LpXs_ z-$)RJc^x0!Z) zTYPfueQEE8zUOrje*@raS@bgL&_n;eNOhH8@26{@`8MqN611%(=y)*bF<}pqZFKIx z&5rM)vp5&ZfU74kyZ89=Vl3ypp@NyoZO$tU8w6Z|zl740=t}SxvGqj+nFmH?Q8P>_ z%P?um#;Yj${4D7ILEc@sB3BtX)`J|#^I{Tq$zR0XWpT#nE{hpc_N<*eGmh@Wmmp+} zHe3{b8jqsgw>CuQD=P=T<)F&9H}PZ%V5An2KEFBhqeYSDdWxb`N!YCze#MP&cbDjV}pJF~#(EL2T= zAW+HfVoF7eqf0B#j)9Kyd=<6knJ0Er! z__0dfja!84oPtx`cb>7fIE+C8J5drs)#!>mWvc~ewRS{)Cf=Pg`d>gWZ;Wh+G29=_ zKQGPd$hET6;iK65fpiw(a0+T}M9E0~Ux|DmWrqg2_ ze?B&eiDn_eDg~6!4L>QI=XV|?a`!33zI;+g760L>{h;aS@3M$6SawxX$kc2K-zv0GuEf6@OC4 z9qJ1Au74-!lGBfCFAn}_H>id|+(lgN*6iVOI;)N+baB3^zM)#ZKx89kTB;gNrxhX` zx_~O&1G^yx=QHhP$hE=lB&gNYn;W5AvL@p~+b@0G)^{vV@|M96>qyOZU6 z=aBVfU@Kd=1D9~>n1P?VM8KEt+%a26-}U@73N@$+-*%o9q<~`!P49lDJ8lOiQPvhV z+=)FtugA6BhUANO$&_2abKsrb#|H_{h3!x)A3!iuMnj*s@o{>>E4P}Q=F{tmq%2~4 zJ~1}f_@#@K3P)@vBFxf;NE>f*WQYUZ269}wJb6x%FlT3>*kcLejx>vV=nAbgCCE7L zG7Qf*8>a;qj!+9lp*4=s8R2e1Lex3wq@RVKGa^1+%6hVoY*hsW&y*G`h;@RP>PX|% zj8mBxI+b=jt1UdwioOs6V)0%1ltau4`J&1a)eAm)Wy$iS1BdkNPrQeDZf*D*d22tO zRhY^`Jq^z5!AcU8lPy(}48kFbEDUICz9j3i7oSW{8aL@}QKXW4aZa z)+*5cpt>)9`MrjRQ7%Lg-@H^?@O(0)En0Tvc4%k?!wqpeLjHE#&QG0kb!aVhGOw*F z*to_dCcqaxtC{D6k_b5Cz!#T|I|?EwMAgm?)>_}a)&5@1P6t@2Tuu(zsp|?F*&;7n zlgWl@lM(Ogjh4)SvvEpWJALC3J0eX^R+Ok`0z1iXErn1|LamvE$}Su+`e~{RagNgl z^I3pU7sJAN;hW0&tVmLV^oYm94f&u6%V{W^c@vh*|8|EyP>lUbeG5UygY?u<>z-O` zS|qE3iyph;zhKLu+wkWymmW$HZ3iEsoU!=G1l_>(EdM8I`-VJHsMx zW<&yXgme+r)Ot`u;g<*eTEbQFx)6Dr&bPU!(m*34j)00Wd&g)uZnOcGa62oSoC9V% z;w7xZAg?~C@8jcGn= zdV$~Eg+}A@xo352$x@S;8NlfeV-{Nf2eyGv~7GDD}{F!e=rN)LLp+ zm2HVelV#4cwv%}1+2P3% zlJcfup!n4Ls*%qFb`N@yAj(~>d@jA;yHPieF^<9d=vaW8oh-{k1gr3$ETQ)--LUj? zIId1DE@4EgV#vEAwZSU#-YzfnmkphK(b8|rqKl$(TzY9@S*}*{$)6ySG;NU8!G^{& z4IL9gG$2YRr+d;?W?{m&hS~S8XBNqj_t2Husx4egJ$1AT81R8@O4ZB7wgr6>!A+(U zrk563-D!zR9Q7{iBwN{nyxw+Xd5gM%L!Px%&MLGWtDahL^v-}1Urag9iu)C}?2aC+ z?-+L{V|Tbrdvvozh?=TSWHmgUWZ0Q?!frw0?=3qm@ysnW-g| zc}!eAf`o}bnw^a4A5kmM)M>fkpaC6{eN-Jor#-?HQeGY%g_evncsV*Oi)lIX?a6q& zY>CB}W09kzj4I|TC*zX!!vQ>nFqs8E;}pAbQN=OK+>yXQp#h~o2_>(UF9|;kv}8|; zlrx<-kE9G8FS9y6Ul8YWg=P@~%6rm=Lkf&9+p-T9BE9KSK^d!Q8Mr^IuI84KcmUN& z#fRR

vINlr#x(p*ck@Z$o~(S+IS!f{4^uAKm7mq} z^wq%PtqLmEk-_Bq)P*&mc(C44+Kc{*tSgsubQq@^C#%4&Le@A&&hu5JkqE`oH7d#B0gf?AN)oIw z=sWm1mt*oDJ>Wxo!WE69v`t&92YWeXZ2ll=tlGy_=P)bXSk)YK&`OgX{=kC`|I|1b zE3WkKp;-jFM9)Tng$vCNm5kM|9n02U?R#}dS@NkdwY?9_^HbVoY zCgUhKyD3_L&^lTYoo)x)_;;Wy^c#ar1i)(Dac4J+@N&ozC4gHWtu6@!VSc5Bpi*iT zd9`R+pwU?1z%cZOb)d0G+Q#KQP^9Q&Bsq588rgU!nIH$_G%8fFi?+LEAx*(qpg?HG z5P@nKsS&ihN(O@vixBaU)It*-SY-mN?HpNy!a@WYf3iQJ&C>|&MV3Z4XGU}u$$EN* zgLW`-9YvG?-Jkuq zgPv`+7a?BM3BNk9j1G@o_JX>!4C_6#p}C(ISP{O1j+|B&*c558$AGGbBoI$8g#(=@ zgqo^29glWYcNFFkD)KILkWLeW&L{#PJM2M=?m9WLS{_P9N(HV3Z%eF?v@@hlo4*la4_4S*nx^?&^=0bFo;uwbhQf6OXm(~%1&^U8h7Y7@xJYwD!l1va;g2w^gPW+)FjpEJ(RTfSGbm9#9 zTS&>n2o5MJA1U;mkvI4Qe7+FCjse)*qSKwly>Kr`%zi$Z#95{AJviyNNt*S!Y=eYL+V&akI>v$Vc+bko*w0T zCubyhN+9qQl>qgLKqRUd7VO9FUIQ+L;zDkc98fyUtOXCrR*s z04PBisw+2c3_k@auK6~5{E@lR8tdY>%Js30@5TKXU%Pzc(J!UIm@7 zbLoQmt)la1oew_S75f9v24cVe**_-VtIj_$KU4e!ZU(p0A2Gin`w|3sxgUYO zW zgYwLDHH?O8i#MIbg6~T2;oc4F;n7E5emPNB%_7I}Cx<_Ibo7ny)e^k_{r}k~{`SYU z(vaYP#3^<;Qu!|SWth)-co_=0z`FrI6kAJz^Y2+cE<9)?M+vUtS$Z-c0lu_Vgx?~t zVjDqfJby$=@j-!NdtbH3$N*u6J=Xy2+;tv<{XT? zgSKgUmfRI<7X@8LSIf_D7w`>)ivwdZM}E;zg*i@(0e;OGGxY4WARtPav5@{;kZq%S zqbgx(6P?eLNN<k3SyS^(i-pcoqq-A;KU}SATJC98{j;hcfL*r;}IX`0R!SAx+&KiRUNs~hbi`rrv z4ZB57iz&$j#HsfAIi(G@@>04C$$AiJ8-Br;{*2N&4H(C-omHD67rbC?z_JP&L^y3= z+X&>47%K@kXc|ZlTII-|_FmW#3#M*50y7dSdocBQgP_`M1$tVoG6N4c|3{P}I&$SR z<_j0)El6G8eqc{=wE%5-rwrZVb376^n*Tzwr~?CK8ATpzd`dTPB~cU=WP@~(h3z3z zU3L!uU4*fyLEy$Xq=Q;m(SQtPK#*}st}RPvK*M_ZG=1U^Yz~{_tHPlY8wsf@TpL@~ zc1mZ&E{&|}MyOaf2@NeeG>43}iY$ErCqqV>PO7Y{Bb`RLSa_N#`&^~Mft+hP@E{Z_ zoOy&o5hMu?0$3yWA%=)_v6vNeuB_HDUH0gAU{NhCozws#>Mq@Ij&H4r((jGKyiK=l zFMLUY;qcKY$-4Py(22*W2M4GPp_$AgOwgRpFzU$3xD-U>=Q!Ukeq)G?xd%CWSz~;H z&%x3Lj_AapDy^R7CTm9hH#3}CCrt@hz)47Y|nHuJHTj;(+KY~ zz8ffxbr1Lr0w0f4oLv(6Y-h^+iBdaK00U@3L8B_IsRHA&{8vbRT1R7~53=-iRYd zh|2JV)XTBTEK`jeOYqO-)NpeGA;;#p*I%p%VF zLLqbF0PuTuwu5QYot=1$Qs^Q+OeA13*exC=f;rehy(~Jp_qOfSdcSkz-do!^@{dAf zMW?`&!Yo0ZV;Wg6O1d_VqY*z$bm}`ABb3%fTMllj$WE;lp?s{?I~MF&L+*-%)8Vi> zAXc+(7jxyuRIdZvk-<2?RUrsxX4DADM~mUjS4@(kss{KX zqi5Qc9l|vLdgW+fIlg#q;?DI_Pl-KJPst42aq974#hV`?K&ar_xylKLqnDU*lLEeG zFh?pzs8b)oS9{q1-GBMpzt#+ZkNGb&{mzZqj2Ka=!Oc0mU(^`qNK((aT3i_wRe;M`eV@ z`)d31{m;*DA~p<^hwr0`uB!iBsD1VJ&nks~4bK5E&RLGDDi${2z3+YR6Z1LcsR7D+ z;nCL~eeI90x;&6F+}hrr-->Fc()IbH&qEFOL;|HC!%?hDQuNtY`q=dv(3HUOi3B&x zFs1c>-TF`VlFvQ*no@s!)l)E2a-{?qqkD{Fa_agcmkY7ghpe+dVBbN!3M_5_*#D8` z)EGC~M-Ghf2)J=T=XPdEC@oJ}=JOP>kG|dC{^Zl2yisWrlTlF(V7@(i1RI_cZY|_g zkYeCCsj9qd?AcsM?EU}d=^Ia1q5eaW0ClXd{-BcJ>UKqMIUvA8O91KRJ%-Gx&p&(k z?E9sjyXeoq3@I@BH&M?v(z~#5Hrr zlO<^1>&t=%xaY`I^MzKLuD0gYcs8@iR735if^|tSrQNn_-ZtL*VmSOIxQ;O)X4_$u z=LATFjtAZw2iM+N7mp>O)=+~@C=LI^I!zgnim0Jh9bIGbjw`a`CDL7J(O0z7IGp+_ zPAp!k+-Sa_&0k(V9TdsJOV>yMXNnU>7vYSA^_WGQ1?CEkc}Y}(%Ec-$)PlIS8N&|1ETT;p%op8{O2uEE5??4fra2OqGAN9X%V6H+ zI4BsZ1)<7|uoty(t2|>tj#?yNq$0+2f6$xk?K5FBMLl4HA%#qs-i$!7Aqxkgi&hly zV8ukFCUxI=k-pCFGG9|W;dBQFY)uuEZE-;Y_M#I=z^XWtso9QF2NIVRbKhCwUS}+^ zXmTAk1Ix)Nv)G`FYe%| zwp{B-yQg03>tPXSWs0Pf2SG}}tvD&d!h4UkWhQNd}+ueq7hcMb{S;CVs8}%zc##Ie%T@2&4WZ?4Ew@Km7yaScmhY8+1K+rC#sm zD787A{^1`|izmT}65yG6^gUch|L7mhs|9*Pq9&&C5sJ| zot}Hx@P3Z-y6~U+)NuGu0gvGKz3+YZyN>V1ynpO$NOFDg-Cuk+W?g=JUCcH95q8+t z0ws8a014vwZEtgoH`Hk)cy|v1$TyI+(XSx*nYFg0b+4cAbpv_6`-c@*)cy-RmpE6q zuEw5P$I0IMyKC>my8hk2`@iSmg7Jm1GZjt{-d5@0DU(|`mg z)`vGL%ZIk_-=F{G7r*#M<9Yb-Lmaq`MY!^GdiYq@htw);5p~N)&oaidpur}gS96Rc zk`5%5|EW*y<*2fVxUX*Qn$wZEn}imEZAn@SC!3^69a9ti@a{^mhmT@?$gs#nbEaS$ z=8Xn68rW!Hqk+Ft8qiC*Wosc2EKIAVxn|%BEShe(@G+4lF9F>!;aqHhS&|tOZTTQ2 zujz?qI;+6~jkg#;yY>lO7H>7fCW{NkS991%%fQcT6)+Uj6x(IZ62%Oi3=CDyx7> z3x};uT{?XN8Ur|pI>pjSjIL{I3YL7%NU-BWDF=Nl{RMDyfZg1;0<5frNS@!H!z_48jd>Pw25Bf-erYD7Bh@j#A9r8q`N3X zc279})#aR{*AARr#jb%hD07-SYHZ6n*6<@nF`4|RyojkG!??8gG|a*>PHcq3+JAuS zVIWc+?WgZ%%F093mJ!KawGb(`e!;ZoWQvut*hzFUndDQA3OM2>z|ez|XG7|;BKdKd zT8O3T%|jDmKhaShC0b2JVNf8NLQPDbs!>QiEC4hHjGe(wa1U@l9TWsiZaoI*?O;P2 z?7;#d$apdo7J(HEm}EV+r?cho96ROI$0Pu)D61CuW(RyUcD2&5-EFoUcpZkhiGFpd!tP;cd5Za38WUtSa>RME*iVl1aqBRM<=w9`n-~;Rln-kM6WJm*-hH94P>xwpvF3V7!BYuI7)#TOquxODIK?Y{brFC7Y3 z-0%1A4Bbe=iePI{N27~F&Za%W#J&r+hqsUB+*t@?e&W$UBhKAGz9#TSd> z(!JrZuO9zz>v4EjNY}*XmpTMa5c7OZ)E9YNnQ%>W% z3|QooZKW5Hm48`+i=Vhl35FLQyjc=F7(S?S8I>usYVhhrfzo((vZDpH1Th8f|g=W-wP4pAm9|(Z znGSQPx(oc3*W!`1JGR9rz{a6vNnuCGP@`1SM#&-1lPb`$4*9$TUZ##@3o^?hSUQ$K zN*--;O-8+-4;cdutl`(stmgm zhwQc!G!0{oGOF9`s_@lyT4;9l`BX(nYn6tzd|P7AU_6yk`K1a)NW#s)D&XSNya@{$ zY?2n;qjuE`QG7V>1mz-Cy51F#YryTcztf=Vg9s3{!tQ0R1Qr{}Yf{2rExx6rA)1Yvz=oR`KedQMU8>fB@w}N45?zM9*3{Q~p zka@=no1GDKO+GWP+Sv04ughr~Wd8p5zxuv!ee3?#ihv8Ke*fsG+4q}2r*4pCesp>3 z#$22WA-vahhyLhjhPU^1Z>_#x~YkdxQt zs;}*K2|jyN{iFWTjT>L6=Z~Un8OuxRrTNd@THo`0>m=yEtuOOyKd3$k39dZp^VBUJ zm>JuHJAa3If4}=n>Y3a#TyNiefV z@=;C1C!~L~{p<6?8gmvGiqci=Mj$K$UHJ{^P*DQ*%G(kk=sg^W^N)pTAVtzxOe!so*ua68{2IC~4)e_7P`ycXrZ`_u} z=}XZ>6^1W?#pZyZ5|~Eext^lJO8vEHs}WJcxU{vP(C*hD8F|-{f^~v)Go=7c=E4wJ zgRPuSmfBwtm;i!Wyji6A+@U-G;8uerC9o@qW(DpoMA^3_Znl#g@cbKstKG!&6A1nO zXG)jqjeDbB+#74hKc!WJsM12ku6^R~mM~{0$MxC~YlJgOYTydcNQLaTxaSVLNc5%P zNf8P=L8*PTJ6LHlTx=ubz&pk2BNYjD1)466pZfss@GN;Ri$rKyk1CAKDYC8nE>O!v zj0jPJV+@%N!D~_Rt3?fT^Xx-b`@BLs<~pe|goV261i)QIDk0f8@2U_&@>i$AAhnN5 zcLnK^BUK|n300zWR9J8b7+~tCa)+!1aof5sFTRaBbO2W>ho_QU;3K=~m8dLmY0^H0wkY0(i6_oN#$v+CFMU9zsr| zDt(iBJkf2Sjv64s8}t}O;+5;=UFs<~q`E@NR3dP>YsBTNW8g->UHx~w4X#SG)M)`H zwJ5~xwM4zG0lNmjjMmGj2IhEbrBj61qhu!nM4n(}Wkd3V0s$vn7QPz7Lg9l2_6u5s z_U#Ai&LYP#ek(Nh0Ge4fV3%$!SY_p2Tx7_k2UhjxaE_`Pce7?hO?N9aqI7jIBFi41 zeq&4LN^h%3h*4Q73{xDy~n~S67lN;G=8GlOvfV*(b@^OcKnTSOX@BL(Iw& zx{ukj?pHSoFg)?8i^Fi?w7AGidnH&~qU8p)%G$lLN0pS?vH(1(q4PHM@G{$t2Q}Iy zoq@}goVC81K|`CCqPdEQk#UR8>3YCN1|L(4EyGq?_4t&2k;3VKKP#!K^$nU7eiIN`g?X4|H zpiU=2=XdJwL_YkY{l)iw@x9MqymyKCZJaZTFA@Ep{aN;N7CF6tdmBBzenVtWSYda5 z?{pII91y~`q6A0kcnPrP5#_eJf7B9!=d;9YI=b^(yxrn~$NKlG8wp2C(~praa(aJq z9b@Q^;}d-uv&VN=^qp}gFm|#!JK)>K>6F}x`knK4w#L>;JUTjR)bSSkv7R?1!9&Qt zEKGZpewn@+a~t*OE3dV`D;&9p-0A?wU>{Bb)J{mSD%vrTsFQ>-o^|4H^lmh0W7I&l z_B2c_CjAaL`aMa=R1&LCo%47hRPj#Nvk{!#~6V)H(&*r6}aP3YYXnH(FQJe(=(Y_g8=XyULBp_wHYPXS5+o)S<^E4Q#W ze4eMWo3T~M1xJ&|xto{9(ZEIn8x3qUu+hLq0~-x&G_cXYMgtoSY&5XZz(xZb4Qw>9(ZEIn z8x3qUu+hLq0~-x&G_cXYMgtoSY&5XZz(xZb4Qw>9(ZEIn8x3qUu+hK|MFYzV+ssNi zxM0lzSJ%?*E}C>3U^UD_OaqtuaiayMffc#O?^+=7y!nR4vQBQ1qag(){3`)|UF%Tf9= zx7PSAO?VUeMgtoSY&5XZz(xZb4Qw><57t(1EeT{6O8cugiiAQKSxTR*b1+^!v5W@>g793KGTG-FS{Vv#bh(}2rorPt+bHzEO-t0Nw_P;-f9OjF*> z&6ox)pAWrYJIxS@@Q6p_s9s!W27BfZ#{|%nxr*oYkgno+YjO51jDE(W zf!2cI4K$g?gJLobrW0SuTbskK4csD3k|Y_$lcI$b&rUp&XZeh7+ScI z_yL7D6SQNUNL_B%JjKG&cuIcvTv zj`ocumNkfllBjlmUcOs7Kfq@f$X`@6q;D&_{$ zlkdWL!$(J7#u-aT*RH)03FJJM=bn465&4gR^Tub|`|Pd~Y5x4(=Rfz8m$%;Yo*VPe zeCA!%*+#2)kH?(@{Pu6($N4)o-1y9m&(yv(u8X6KP6G9XUhj=a@JE02N9XPX8tJ%N zb^ZL?yRPxqAl1$sFC_Z>&e3r4#1ovFd=enm_N~A@rukf40e9|Pc(4Nf7NBx{ex(F? z{)3X>_N8SB`g3edzUK6()k=7|d)AY`>v*=dme1_&<52ChO0XPDoyPI5t*v^G@M(j! zP+fWQ^R-o!KMk7@!PeD}IPas2eur_LLXB4qTjdAnS#Wz}`nhj4f5$_1-vGNBhyT2yoKOY zMUlK%OpMqN>Tgt7kEXp6vI@(hDk1ldW9?Ptg#bLoswvg_IHHU7#S` z1ZE@ZI(Se!k9X;k9Kz+rxew;Lp6P)rl)qFGU7VG z@vZ_TluRX8$1~Q{h00PB9?oSEjMu4w2alZ^PQhvw4)3+gj1IGmXX#Jfg9NT);e`6< z!^SEMLU%rsM~TgYqb8`DYv5E>!K?|~MoW#3UF~jD*7%%Ir??SLTe#LGR9b6NZKEl} zTIG;t$=_Ldasnv}V0q5L>biw0vcT-T0gC6CQi$=1hgIOTP^f7f^E37`_~+9i<-|U9 z*?afB{l)Mr{654A(wR|P_j%6gSs=f2`7-*+Z_3#-O!r|VK${$gD4j*>A>b_h$MZh^ zIF656b2^e86^E-r%eRG9gonaC{`etIsa>st{Pxi@;W|1^$J_}JqP$bxIZh`Fh54{2 z-QO!Bc{594Mf9gY{jep-0jCi2EoAxONHD;Y)^(+{*lgjmzd1opdC}#3>}}RRQaJaT zb}IOZGy!9AyN(*UC$UCTNuzmdz`F`^yd>ObCUzw*_sFW9lC0 zqTk8lD8zXP#NkYjtLzn{eiiMk4!bUZ7Q)JR*t#I?4qAh2Wh`Dr5#HA2Go=wkoXEeW z1K&bML4=P{~9pm=DkPmgCnrOE(Xg7&?5k7(GACW+}J z;9QFksI25@iVYr@xX@Ul%ym>=6v1)3!*|OFO3PLqe|OAoo2sk^LQ8HK=SpohgFqC9 z5K|HjQG*kcAJ>%^{>nfMEzlNTE3rCPNgb8;3X6Oy7ZVP#12|3tV~AT8*AxX6DVEl> z8>%rUxkTD%9FmI974F9v!LkfhauzglP#12{G=pmawD`56o#TrOt_m#6C#Ohe5{7Uy zKUMUet%o5pl{9b8s-z5fD*n3G@OW-kPeRX#g_te-&b(-rP{!05JN7yXuPE>}kv^Q) z46~1m_f1;SRys7Wyb4?sTplbPuDoGpE;o{7=JB4jZ97ipidX4tv$TESas#_O{JP3i z5Xg5341?x8**3r-sl6;&F&^2Dj6U4A6QnyRFc3Q_su-6UYs@M!ds#NX3CJCdacng1 zV{Mag*V<_vYOM=neqqu}aCopypoL}~Sr=xNm@_qqyDIDO5IH<4rUq}bi8^qv%XJY6 zUXH>;NSi{0jxBk;U3!}qllN7#fya5RYgE%+=WxbLuUsjo&-vzgLT|w^Wb=bc#6STvuZ|zXY{uAj+U(lgI*T* zGOg0AJ4h0X(+0D6lC_vtdr%EfqsfV42<>X7CYCJAwIv%QI5N1CWs^9;k?hGqymN4% z#?|Ad8AIEhX(o?CtH-UG#Clj&4Z$7ku{2Q(GqKgdq?^Vo4`W}9+Rv7l1W7!Z2zA0T zT??+%R*}(X9-jlj_nl$82v;$;aNj6>3^`(X9M@L{+4Hv|LDv%fr@Ego$LX%~!};L? z(=p!pQ6FJ$+&G5i6r@^$;qb}`KLZL z9CB8HQcu21ow+oYBv6z)4Pum$_ImQhxXDo$iY-4(B|v8fSLTA3S)ud;k8Wd#$uqdhT9) z;)ySP>5W&xix(+@I^>Dfl;FaJm%CeAr<36P`7eD*o#DP+nGqZn-rv4_IlnVk+uL9M z@@;!fkK{Doa9UhU zdrYGR8ZRJCE&*?sUbLbagZv_;OoeW?NL?7Afu{*>9=t_s*H$Ss>E3PO;8ntk){ZC9 z)=iId1Eq;ZMo(;3yAW@N$w5yKXhq16e!9*M<5L9Tb7WK&B4^;y&2Tg$_<5nk#IB-q z^?+?P^cE}(*Nm~{Q;9x2qgY4WtnLB`UJ7$HQRKe6%%>RB_a-?25e=TIO^QO!*)`vV zJJRqnUM(m_r{YW%8iE)QsJ#p>x&)qaa5JzNuI_tj1(n)upcjp;f+<92QBXU}9aMO(>K>t^+%cVxu9*PN+jY(vYx+ zv?6&=3S*8?s0S?R3KC4P2`9bj03+Kyl;4^n99MX)Ms~#DSrrGxA!spSNcoPHq|9j- z%fLGz6HU0%O@+moHTQy_nMQ1^-ArDq{YnSQ$>dDABcvYey0`*ZB6CCa?OIi*d92GS zi)xOa3mrg)3Z~M=M>iO$@qZxqQ3TE)DFjur277G7gXN6?VnEjKPIsq^I5EYya2p9Yf(g{ve(vca6 z5CpE?Re>zhriOs%B=A_P1{QKbT}f7P;p3xD`x#aM^!AcECTr{j zi6Z|p6+FTG^qetf1ty2vq$;g!gbz)M&z&;^2T=goAdT^IMnz0}_;gyuTm;FCpU%pc z6~D${z#5Oq0|ZLYr7wXsqVjm;nQXlE5CPJ0b)Xp;d+Q@a*66)oVchUvOB;!Nqv1ZI z6TSMshFhtTLLI?JKiZ$)zKxzj3FlwAe0g{@zs=rUjrG(w!2t~vj=Xul&^idmvy zzp<(Fc^^fC1q(j@?f!6%A^Wp@-tj?8d)^;zZ{5Fl@ABp6FRtpHFG`>w!R_1i3<^k~ zZXccL1Ji;8N+h^+sndD>;;P;`XG`Sy)@2{;^48_8A!R7xiSsvaD%`i;e|Eb+><=&9 zeEDT`mFK??Sd3wn1ZrNF!V~8&U22xfV)&E&PJ%p#1ZtH8C&%!Xa#&?t{CImRv?*yC zrP48*cZw~{2I$hQ@r?KG26?R$BNsl#<l zi73^kK-)vPoTsqat1$?GM<85WqP+tbzXNbQp4g-+!?9HsT_h`!&B76%pCLGQMbaeF z)*!CT);hrA$Wj9ki$CQr79B@#y?(|Zc`)9Ac>NcS3gsQrR;EWoS&)$tNeL2HgG&}I zyJgT3aJI&eN+%+#!Is^y3R5-LREQ)woe)EB<2W#(22YqJn3)a8A-SkeMUXJk)Jcx3 znNOC3yI=uwF)_|=#ZemCd!*D6S*m7G^Az?$#8oVeUm1guc$5_;=1?p(3v$$~4k}P* z!j20k+$fFi)pTdt2{c;!v!Gj+tShe+>EM1vd>&W>NQ)&Sq&tX4QJCXV5u!2}@QU?4D8cg=jA!idCqfQs_H!Ft!N9dC0pp5 z=?EP^>gfp)v=ZNso4*7CxYu zwECWWLZy0exN>r(maP(r`2!hT1#(fl?a!@7~TmY5pSQM87B^dY`vx;$n&^9zdG&MDUsfG;{wdvd8;>=9b zK6QNwOoX%Xu7)*{TcXZxy(`NSV;Y7on3Aifg`14WU0{y_$UV%;x;#M$Pkup}>7qCC zCti7Eb9qM{?P2Hl^6QZu$Z*THTy2@*in!nip>U?4JrHr28dlbBa*DsUQ|XOxq-cFV zpP92cirS2JAXEg^my_QcKSaUQ{paD~p^CSIYFO?yc$|I;$MaIp%NGC6fk zk=o3TlZFR^2302JtSQW_KbYZB#=2Z9dm3*6=j5C=s%M3cS1d0&#G!viB<}s`oZ3E1 zPgYdvlyRJ**T5(~2z9sjliJzjz_3^*i?Q`cannZPL;;nMzy@<=Ovr$S zZY-=AwsmKSF+G{D!h>V#i+-;-DHzzD(h!HksqYUbr;{_(Y){kdc!!&a5?``)a|*ZiJlp(YRzV!V7c_rw5H9Df)XSj@8Cs;1*@-_ZA=+$K zYFMg!k|pK>mmpGfbg@8UNDPhnEftH@Cp?DznrmZATC%(F`^@Zp-`c-iLh4^X2>BuauX|XP5VZ z|KioBm%sX}ANauC0PNJiyOt~L+z+fFyW&`SkL$pFKSM%-tuRQ0fnt;E5;RnFPPSzkK@cT_m{s>UTN`x?SXX z!uWwPpEq~RM;dzD^&R`(=D#p_aV}Ocimcvv<3n$3Qz#uY<9l&@U=PCm{a0T3GX}Z7zY?ToFC=_^ejwIcaMar# zAIO(q`3i$th)mgRQSa}652Fan7hX`Z%U50xWwI&45zDf+Mku%|KK$b0i+@=XY>pV| zy|fLsdu?RGyY@|kM#IcjAB^P}!L97pMf0>YPnq$b)Oc{>k3ffH}cf=jveoI;M&J+xdjfN-@qu@P4F9@P;M)~C}XH? zanaXdTjV1x(;DaFQOS`wi=nI0JRneXYhB8=$IWIT&b(azNe>NgI~C22~7(ItQ* z^%r_IQ?xHSgMD?6g_gjB5fhuv*s5=xAEznW88f>llCrXjJ&yJ48=oO+FD#l0Y@JC{cOOSXoA7vF-ju7(l>wNU8QWzj+ zo-006aS@=7s}fSE`t6~s7>eE&XOvwA##`ah0IGPGdFaF|Kx|aL_1XR)9U~ME{0aO_ zmim;O@PD2g}LhcK3d z(hxB%lb#*b$ZZ{_A*~h$S!V4hbtHafPU#gA$<8Nzk5Hq2HK+bfO`eA?YtU*O%QQ$f zo0FBy(tJWn)~0^0f&yA0eDE-dm-Oe98Y)FbR&#U$m_gGuW;M77X=)7`nFjruq(_NIWFmgJcSyVFyfni>#dDv@ZO>x@`Ol`7mdr@Wus6(47rm1c+O+fLEH&Oork(bt+tYH% zrprClXlO$sbH5n95UNf@dOjflFM%0{kDbu(ECbW+jrq{)oL+0>_S~QYbX=_w; z1uVC=X`$s7WJvKR$#^kNPNvzSU(6Y6Atua|W?{zZY`Cbix}MZ?3uT+Ap<-!3fD#Ce zi8jRngFMUnGv%ub5v2*WCAtnMbc!nT`G6e^Iqg2}mF{dvRZhnzw(#1d1ruhk%hTFU z7K5=0RvC)WyQ~;z*YkPi(aIV3SVJ+RIV&uju4xb?*|FywA1zJ#nJHrMPu1QkG#H zU~FhpiunXdVLvxgT*1N78*hB^i>Pz-%e!89`%{GMGrn`-pr22wZY6v zFImnixO(odMD2O~_;r+y^FZAiNBhl||KRA_HRk>Tu9M)rK7alB{P`TQLQ0b0{QTa% zxHfoy|BoL_f?L0^TwcFKg8luv-js;R+~auSm2}*YTjsv+o99oKrhM(zEooX&qdGo* z>ZzlHUYIq%abuImw)^1vK|n|k+|mkKvZMX`TM?MdMFO38wU%H(yM6w}<+6P34Ya!` zf%x6JB?b1!ZkM!u+ z>Hr93?Z#WGqiG+x;eN5*D}V=l2OG`~6_U63EXfLj**(YurZar!P=k$PcbFa9b^gdQ zWU52GGn=TM-_<}z2k|3iF4ClXfyxtQkgISq1)Jd!^H4L@OaF=|P>49eR4#3hBRF`? zb^X!!_7=^=V{CKN)LROv2G%VP8cm$_2KEG9ub$S_b4a^Vys#bBpwuKGm*mU}ZO{>r zGJ-TRbxxH-G;OX?e`HE4jVet_uorTpG(7=69cH#hgeq$);~mO+p6B%H7@K!YrHr6~ zwd5IFIhE%Gb3%g%4Vpx2_@9DHnVKx{nUO@pUSRcHsEh$+vjr>ZTdad&mpP$O6gzr3 zyb?=%5W2&!Y}>iC7Qn209d>}gm(;#*!@D5)l|bvCnsh9Rv}Kt23Xd~NViW4%38dt? z@pQgC$MBXcekfxYqGv2C3DC&2T%poDE7d1x#kp}5{|q^iRHn^FY9c2($(kjTqp3pJ zluMz+VzDrA#tRLE5(2~1L*iz7njoj6A2{tt(X5hGueXEBlZ?v*X=WQ+n{3!m$7oK4 zO|3aw)b+53?$7l1$k~FOccWi}NDnqI(IZ1}-EJ~DctcC6k zCKrW>5W*-N{y613?Ik06>^++zsYZs(l)Q|QF~qQ0FDrvfenrq|Q3qCj#2Td~Eol@& zn9A*f(OD;XNqDT_P>wzZf|N@a$xUmxLo_yZAWev*Uq$gIK2Sk;0dlPzA*RHFs`3br z0zPg+N{Gb-o3SWhl|4E-HV1YghJOPur9{S2pqR?81mT(oGoUy z9#7YiTh4t1c7Ez5J-Ui1sv*y%*eL(_LZT)CCJ<7g>-cPtZ!!Eutj1!2l^B4gcG7X!tE{Mek6~l{6FQZVk04Hke zEV04wFtgyo=$neo&$MAlK#VCq8vd#-5~$hKJniT^yO>r*iU?{24ak{Aja%q38ifzy zw_Kbe#pWUtK%gyX{b+$?d6l+Wr(wHFGM&!ahPe@|rsNpEpccpsjn@tagP}Du4cDG# z%tOb>!Sbq4jT`3{{=2Dn?SQ*@=6je$-eWeKn4SxbwJB!f`B@Yv@C$~gVi~#fL?{Og z-8gS)fjmb>!y!7dV{F)GUZc1gI|ck%u(kew7%{@O&;*-<^|6ayZ%Dq@P)@TR4Rf~; z*snnD)50<`sn-zoM=LmUlcZ*FrD5bSDKxCID$#~V6c53+7S{ZOYXApBWu74~kT9MV z@WG%Rz-7f~6%aMs@(B<@C&ndvY=Xv%X58Xo9l|?2AuYw4RorzR@x<#mVh5UC`c=fM z9ca&61j{8UJQ6fndl}KQ(`Tob;jhA7kl?egUdnr$$L-tO{?0djdir$d?(DzWcihvX z|K?%-4*&1QmcF!^5(i%gJ!`rA+&|yMKis`sKA{$6zCCSq#Qx`2yT||Y&;87$+WaQB zJz&%SY%9U%KKJ+%{C<$&?)2&H<^$WifAzn8=`!7wneU^9mGj2&JmOZt|B>eB!hH52 zJ=L11`^_E?7FN_t_hx1N`{OOuY2}^F>|6f;&mYZzzrWEV^$sD@(z}`PJGVX%{9S|{ z`>Chi+(Ts!l570sPt2d-mKRNVCqH(3U}%Svyl=mqXm*mF)7>hL_#|Lu-9zElEhOlY zVhrj}fQL!IsM16Ev;k=2`}wxAo@k`(3JFjS@_Wm3hA5V$xGcZEG@tnSzv(nyAl-Ts zmN%Avw&}meH7>z!3qPyf3;B znBCvIFowZGhU@0Fi_`%Vp5yB=m^U=~9^P2&JA1fu1Y8CWCZyeB3|5Y>kk(?=Vs95G$e>^uDSwbn&)WcF4}| z@)!JmgLx!a2m6?RD0Zp*h3s|AAItW7|8`(0n6*zXN)JHmA^qqUjor$47ZONAoq0O< zrp*oVYT69|o8YK$j=4~x^ImY(t6F4&g}XjLh?0y*RG3jj_Vw2BxYJCvR=Thzn^}~e zb?U$Zm6e&LXcsPYERnUy)L)*mXpf1OkV8#A8$0%k%KW7!LuUEa+Fpkm+Ha}ChS0;jT)~UjA34xx+aI7zI-cqlxtnG#`BAi ziA32t4gSHf#;($dD z!Z-D#eFlamyw(2%LOzNt^Mv$SbW(#BA&MK(<4NomGO(z3S=E2GDCO))U-Wni|39H)v^ znG80T+Y@d)DqdNhFf2iZGPUWw@g-wE13&XVli#xrzR#3t z#!6+q<5>7iB>pX|T~xfWghboa9=3Kd69EGY1Oyir|I&+(rq)l;as8-wHmio^aGqWO#LUbIi<>=P(!&`YGFE^HY^WH znpw&x>*`vyG;zb^v@9x(dZ?}QNKNX=`ha!U=Q673FwugrmSU62h&A0oD+!` z7TW>NhRtj^JA;GP&+%l+^K$MgJ+d@E9^%l%h`9}Ff`WEjZkT2a?C^YAgO_uZ4Hvq> zr{%;eVrtg ziabCX%rP0DB*7xrA{p^qmDokiM+hsvc*sLl8K@Gzric6;P>{_$9wrykpg!gaH)qq# zd8JpYbjwz^zFkJ`oHP5-(r3xrp!d{@X*d z*4m+u;UQEp9|E6Pequ*QiG=D!-+zr+@6Zd^tTc+|^7=9GEBu&azHg2@C1H#P$V4rA z*9Pn#!Q&z4k8h9}^J4i>3C8!3Ak^W9Km6gtC;>A3%B@fT?e8GLvX$V_ywLBHS|?A- ze*fs+YfOW#+rU<`(Ua##X8F9an?Zkc{}yxM!2RPeAKz*e;iFO{f|B!Fz}|9CJ9C#G z_`nDL-&O42UB5F9g#3Ux?4`ZfzE(tQhsqTR_K_e!(?s=5_%I=VKhF<;^zf6Ef=Bz2 z1k2@zHzauK{{4IG**{$CGc>0)NN3`X-%Wp|*_xv!Xu7xlMx(kDevc*|zbuB|9yW~Q z)xT{1M)PChideDDNxit+x22M9CX!C$Xu#y^yYRpmA_zsX&C$7NUP(8fG< zoHqAEp?8WbHTU{cpL%NV&1J7suSw_`Ab*X;bAki&2ePg$|8UiURB}u6qR;_oBO&bk z*7>atce#E1DJpzh0%Kl3{?zeaT~qeYF5A|P0B=42+Vh=-VB9bqc*LGV&8;f-aL4u1XwzZ|QDZ#UnAg;An^sn4Uqz!lxpCnkm(#6H!R_r$A zMkJcohA)=y24c&pxr2)ki(w-~P4uC#b*Hpc*3=KB-wx<)dyR3sh~W2^V&4(6Ncpun z>0aWOGk9ptUQYhlSf(TSJq~%YLlhUyMY*ar?2<}3GnSt~Z~v|mT&RpLT02=3s7$PB zxuvnXU}ho6VwS-bjG!(wSwX*HWQ^satHO<1Gm(HR#8IKrAK_<6ETlI9B_y!XoSKMo zU0nxK(FCAhhuuWa+VsZLYw-y}k#ir|jp`L$vZf5lsmnby`3AZpfXzDxyNVKBCoBKB zb*V@?@SOwOHW(dI#Jgy{Gt;lgan<2IQ47#XexXE6f3npgCMtGoO;QowwRh^w;cbgI zIBAlbqK8loQNT2#KPZr=3@(g1rW#w0^p*fwYIOFHk3L6_m*naG4~#ciX|0_QGGWCi zR@`D8C=;0(^W#ClCg6hMq-7evm^Z& zXv;xaSbV_~4SN+A1Hb5IIs$02d|#Zi6PCX?PxGRwP=3EB#5j zPh5@DR;LYg>$vDoYfp5EfyO!3x9ujy0uiELeXt8a+P%U`xsRZQ6DV<+$q4~GO~DU? zG9C#onp03?EuJtvwX1DF-yTd@l(3DJPfy(p-KAiiD3IIMm9v=frb$hr!h%E70hVF+9tZIjIC@)vTXoyS$fAAa!uaK8zID8 z`&XF@MOJrID|_mV>KGI78`Yq}9lgpjMWhkT^!y0-B&KY~74lRX=LcxtE#zUTgASxy zK`ECXc;{EBwSz=CP%eaIYb>sqC(pyEw&mhvSjX|6oV6!Xmq%Jl<3fzxhL^SYHM_v8 zF*eC;y~eh#hMLYMsTxR3v!jr&CbPxD*~Fdl(CNStrBU!|o_)OY49VMC?P73B`c1jf zY%d2i0s#u&ppuk`1_=H4fq+PHq@ zWvHZpk-3Iy)p=jxD9TWBwlX%6kg&ZJvhGHjgyt1#qImG|Nss)gC1@tx!bP2UR?UVy zN1jqAE_s$v2dYn%3!)JBF96n9yA@IV9vk$Tt}z!ozNd!S+Q*2PB4`-Ph#BIO^J?U6 zL!UkdgKZKf2KzJk4F;ZK_AQ@nnr&aN9;uAOIbxO|^gB;Wruj+?kNM6(duS`=-}n*N zV{lLd*mdY##pPM%N!kT#HMT7W9JR4vwh)DHt`R4TgEPetR9-~4YH7j($)bA+n~nnw^3WZK5PBi-^FH* znP(-2?#ipkLtL-)S6>J4rDE{cZd5@#oWP2S$ZBiZL!j6;xC26EnhCQf6NTCC&e|sI ze3PO^Od^GPr{?*39iz1hZZVOvNkV&-XA3XHCRCmF{>0VaJll*D-K6hhRZN^pl!zZr+N z{PM~B_x_{*G}I>iuWG$(T{(V(?2c>gsAYGn$ZO3?1D#*)%RnW6e*Ud<;=X}hzp{Kr?@}^r% zcKlsIDF_&GiE)>8`Q#6{Eg?jCIdpA1inngmms4MgEmg!pG`4kqFVM7+TYD?>W5TL7 ze8Y;ZzzP$cU6|@db$tmuLddJjU`r^NJ7Xz+JE&L^UORoc46uBrAfzu(l-in_&i`iY zppAy&*Z4l~K7ie^vMvWG#NCF_Xa?tw5u4c#GI+1xy&}Il_bW0Skz2h+4MR?qysq+b zQtKi&I24;tTMft9+jR-zU3j+y-YtQ5OW@rSc((-py($53Jo4&_5dG>mTzpTvNK&+< z(Q1)fxJ^$SMY3_H9c(XvdMHKPYfxb9RbL=mA-Z>O>{+hRS2;X^x*i)cC__)fZbVsk z7&;L)0#{DHAn_1L9)iCVy(0FP25%s%4fhzgw$5oCX8dgg(!YzowaOv-08$e&W4$I- zT*i)K^-jH0mRU3zI*oDFZzS)un$Cyq7E2lehdQogTo6_Uigi&2uZ(zx zOkiV;cKB&tC&Lt|J}w2ffek%W7ffMe%#CpJ|4=?si-54HF{DNrRp}~nr$yN|hpT{< zBeX`&D=pX_l-F=#Y(1pbcw-t9piR9KtZDIWXdtn{#K=NH1)+jGnsAA$sp2eAq*G1D z`=zZ(n+-DY(B=6BP`WDdx-FC4ZEBIKok5_kGcrzoGHX4xpW2#nM4PdcdTIk{SX7Pc zLM=K4v$4)NHzJA(yfj%&`b{>bYONU;V0HKr!ZcihCYUl-1)j9+DQT>; zdCJk$4`=2}!bZe{*t77L`MT+#mPEa&?bmR46u@BApIK@o)2YNGLxw^lR|dGWD3mGS zhh~ReiKv|ob2L{3T>3q*H{-VE0=ZJ63Vx|vJGyAs#ytQ_k#tuQ4qvI=TVUBKmvka$Hjc+pl&)Qv9 z=sp_S*}b?!*8C9J`Kls47i@M0mk(bBEV?E8GGBY`;DOxQb{1CpVLL*yx3|~y1)2-S zK3`}3M_>Nqx0ZZS=8ZSjUxd9t_)x_8`HdT$1f*%cyYz1<|Px&=+Le9@U_9TNP?@!vW=e&1tCAc>X2-ZOiDAqk9D$m)!!JH4Zq-cvLTDIdMG zhb@18vk~vBfm5SC#Cw!4|G5u0S~~+ z`CxDT{PtO2;;XMJKmYurza+Jm;hjowJ-?nGm;*kIEeW>I`U+f;pswGE1hlL64v4FO z*Royix=+pVZSn|*>wT{s_50q((Nlaqz4JfXUQ3|2`=dd-Si98%zXR;Xc;EZ>CE=A4 zgsvs80^)i{kBeUin{V|fknMsl*nT6P0==mw+G+zdGOmE2mRVyVDR>hEfg<_p_DWs* za4(Y>!b@)#I!&v?>k!ItW?6^0Xm`w3AtW!bu^2dQ#oyu(vo;;pRysGwXJeag+z? zT7JFk6!$or6CS0A+FqoTxdSsLJjz|}(7?=MOmGHb9^{rU@s9M95G|MvQB)+66{0{@ zDsOE|@^oB{^-c{2!K)B+#|Wb3O4Iy*l0GvL`+1NDO zESqK)gsu+yfkWqOZIywV8!MzeEOKko1&N`B7AJfO%bix$jIVC=8qxP_6oMqhHHoYd z67|qgRUoK>qL<~UZYq(C$E1S#;c1{{&CV$11@JY^j9bpyDjSMTmRqDEC6l?tp4oiB zno*L7bxnB$HWo_2x0k9guk*?U%t)1!khV-UlL(=VTK9$(MHGVMOE#osRhh6#aFL<; z_@o-lQlFS+kiZsRKG7MjI!*i(Q`>Q+t>MDv+s`JR$(kd=3Ac3(UR-qstrp#hhbXto z!Utra5T}^IzO^>7^tA18ocT00d96gqk;Wld$%-si2<}Z|YIf3L4YR>?NV2F76l<2* zq(qX~z&lg^%Ya>~45l>=#|t~|-YjXdw9i>>Nt2e--13Fz33nlFa*;VcvNO#Zxb!tJ zLhEbzoX%uAn)Xn*$Ndphqilf)h)ZS4HEj1m<9`^iu+$G|hwNv?Rrr3*9watUGlnqr z!+h$%DsHwY{6tSC`5@Kfg2Kne`ooduNz^L~MgctqrKSWd__Wp}`sIBX1P;~{%>KsG zduyou;jG7ZjcrjbVCC7Xk9dCE8wF zqaYpuRDZ=L(yB3Tz_*Qye(JcDnl$Y@nkC=XtLd^j|fSIiZu^; zJIe;?nZ8Cf?Jm6V-7KTdY)sIIZ{Aew zA2hdbek|zN<|eF>!*?7SBv7oNukM+{PcJ`>1h)^R_rCZuU$Pq< zk>JtLo$!36%|6#h?{|H4THim4n>TxOz4rF*FQ0t*$(P@MbaZ6yeva=W-@R*|-C1LL zUzFcp*xQSzNJ|29_~hP`dj}spI7t86|L`KZ-qJV*Us~APd-BQ7eU;7r)+1@}g|Qzn zjtAMD?1R6{$taUMw+_v3n7rHPS|_*oP=d)jI(U{YZ=$vyw0AHcY%sc$pP~F<14mHr z2-KdmZud7%KlSm~H&^2BGr%6l+*^Lj!;gRb;K2(o=xa%J&FIa(+2bAGbjkJm+~7P; zI{)L^%ePGO(}%xC%ifV7b~HBl-8Yh8JeHyzB%oqQaRq--2^cckzhl%O&wm#Cy7VhGg~LtApuz_+N;V_<6)`1XUNPgkU6BpK&oR zghn$scMaPj=pqJ}Mh|i>{Y)1~2*Nq6erBf@x7ohW71V((gR3SR>P-Gcp~&cPY)1|q z;SJQb3>yL8AE&28{5C5v0WQD8CmW&B9qHE8o$jp8Thue!DACeP%OuUXeVw0_Vv%H& zAT<#{1-nt8BO&2E#u7=B*Yd}2xysL_PsE%;)6j9MgTJl05Sa!73?m-X5r@i68+um* zTZAdLY;Ky-Ngzqt>s0fJOJl{n;`XnIQ}g*8x|R$rG;KcwEKt)(Le8_g5PcnT3^qV# zw_de1ewp_=IfHlG->IRz1SD8xAf}EEjVM26nt0$h}&LGz^?*uXG}Wg*tJ(lDhp4mD0GR$SI( z?C)eEmPCtGWW1X!ma0V%B4LLb3Yci9ryL8ofytPx_w|XGa$4I{n^)q|YkH?dq)SNb zglIvuVmhdLXO48H&CoE-SIvyA5rNGxnn;z)w_oMD`o@KC1Y{Q!`IL7spiu~mosLt} zmt!=v*7TARCyf})s2CLen#_Y4AW2>^wb}H`0Wnavm_$S0L23!Gn{!Y=EraM%yCXCM zr@Jn%S&2@Q;(Nj^coMC{JeHCZc$0IH(?rr(!ns1ARWKFMzw7$ z*Ca_!QOM-gLJXM}Q#Pzai!BD#C?O~ranxAKfyj-WaZq5 z32x^5vq^uP`A|kG2#e^lDR9@Tjf<@sKRMF#gHwh^A-n9&=kslIqt6bHdJFcuHr3qM zWY;%7_ns4=M>&-jN`;6I1qNp3#n5Te+0$-H()79*d>Q3g*{mX}ASGI#M%O@t_Fjxo zpR$7Un)~LY?}%IvM?LG+pa!Gdm#m3+_>4D15wyWpU$jNxt@I!b#lY!8HEbDDT9aie zeObH7PYC-_25{;nTmKcsDZ=SA%RJF&N?TD;r-ql z;R^2V$;P;Wk_YsXKAVhdW6ktzn%0daFZG<}K+QGt6H-4(+qfY@eBLF&y=nA|qU_Hc zT)paC+`V_kav1i)&Ke5ek{cU>DHa_uct&~4zo0@qu}U+?@|M~&XBNBkdNSi>{l`2H*}2Hvh;UIRiDF!b$x#%BDc&#bOGi!5Nf?{0-;Y*KIYygP}6W)AC8=gCy-@Fx<1R54R)Vj;eEUO0` z`^`7VdJJNXMHn9Qd>vWsl_4N7w%q0*svWo;b?s3Zb?_f*b?`PlBA{~F$ne%zcBD=0FTeJ+Kl&r{NppODUKFoffBEH?_w;+^mF3|P zH<4u6=CH}Fa|aCt&!z;zNrJ0mAEUnf=#MgLiv(Z)x-qX@-y`ko*I(Yde*M1bBzmkr@CR?a@p^uA^x(lazQLj2hkOh<&?r2>(tfRTcD71f^?v`CI!v^Y;Jt^3ABYmX zez^oujlK55wN?Von7;O*551o2t4!bc1_z%b!On-2E|GvUqxq_{+RQf4IKQ^Lr+S-0 zUxe0o%4OgAm4E#m&SpMnOcToTU;fKK|MS;hKRP%+ymv|IZS&zdW;9-~gT-sj+e_UK zJ77D%z6h=Fl*_j7{jL9fQ-bOApZzmVEtdox`Bk=8cYTWh9}i#*bzC$T8XxYN#~Z<` zA@T?>0!)=ME&{DPjxZCJY|ys3td~`-^_!h-wye3f5Mb8^kd1tIOHXIF-gVxGA zdJ3pB8xRv_MiOY~7L2iLgO_yhZ-T#E^ln0E)d^t|d8B=fe85yiT_TV!V@IZa9U(zD zcCQ@%ol4YqUzi>=5%hr2@t{}53ryN1(EsQ)!$&3~bO=hFC3D+}pgDd0Z z4&X#rIU78&45YFs+v1uShpDcwejQjGg^`&5&6rHN+`H)f<-v;g;uZ{R=8`9beQHxR?Syd79L3p-6q>coF>}kW-eH#ifv--7! z2#{&ccf_G3pt>ARjq`ON=`b}!YUmm12^uamN~?ser#tKGRA~W5WRlPnvRuAVYkG2}bJ zM6D51d38h%U>Bk=tvC_4VK!>>N?$b7REqZreSh&C2VAOl57aU!6Z}5>Tw?J@@K`mO zQkuF18B_r@XD&AZu8OE=GV^UgN!UT9FN-&0$HX>k*eUq7l7eVzPd<3}hnwMM#2{l_Y#wm^IK1bQ}e>hSGa)Jat;L;C00C#ff`&jPcY;VX02d z?*(8oedJlIK$#Sl+aJ|t>gTPUA(u^gLC>B?Vs9mi2H!LU``cnJo*Kjr?A?4xI0Kjte^}^2uo8 zYtmMFepL*Wp%N&XBt%FI<6pfYRU=ozupotNtZnRsg((xX+MF@7S&*PNZ4#a_b8BnF z>?etXM?yWuMqmR&8AXy2&>K!iobzlMH&v5sVuA!WG}-1fu7gPWOyjdJh8J%WN-0fh zNTSY*gElIE1O>TiZ#-`-cWFJh0S&EKSHaU6DAC{>T9BAc1HY93dPsZE2Z#J{I2dJq z;wG8RYd#R&n9Q7I3<>o6WlmcVc<*Tea%+62KREHHwW@_bS$9aCS?37$8!f`-X|LC# zX8T3o+M)Hs-XJeWP_^JlX<>ZowFTm2;Eka|z45caSdSa{=?8eV4=p#dth(d$?)lUs zUtv!yf#q3mNXOkFp}ZaVvdJCKEY9$rP=mE)Zu}&^09q22NlA|T{X}i7QMHZIv{^75 zmuh*CzZ;chQQ~YbrKm_J2Q5J z?q;0vj?wS{n(3Yd&9}T3{g`E#@s|Iq!UYJr^K+ff971ku&b*x)k3_fHnQ?qyeZqW9 z;kTdI5Fk?V4H)!A+^f6vOJBNqlMfU>`P~QKjVsEHPV3$5dIx2-MZDR(`fA7NVYXcb zY_VBkcO_VUX(r6f&|d7_y!3~E5W(+QPolZr~5z64EL-5A+VX!F@wwjfFMawzn)+tRMhclx0zEzD>m=xI+CP5f_!Z#=l0e!% z_phduziwW8;}`1?vVX{@gAaN?8$27vCqKDwkic*R)|ZZNU1z7nTW^IG(i=2#9`4;w zY#7)q64t?o(DU=>Nof}tpDD*AzDO{R3rk5>chPnpAtBeD)%|J71L${E@LsI;JN`@Z?Q-;xN z(+8JdNz!-D5rX!<*_b1DxJ<+Ao>+lRh^zOVAh3@qwfN2oyAOlMb|_vCoBWmA9@je8 zoBUUib{v}=x}Xi5?QoLNx1P?Hylb~AMCB1}tgB!n34aNlUx%-=1OM1TP=uPyPOvAA zuCPNRD)DS2o%lMd@+OSl)Zlx*38mX?RJ+#~(}EVBsvVTH6kRPO zr74TDn@pFyOvsmLMc`>=G*UBSa%TcffJ&L;Hid(=5v6(?MJMH~h2Ms{oinr8x0&0h zQetFfKFSt!&!wNG#@HdrOP_Nb{>*Aq7LwALFPtU+Nvsg4D5jQwwCdJZ@vMf5fgrlc zTuqB_4Czo};{hGAt#;-qHtHRoJ~p-qb|@-CtLdg3`GR zsKSLKM^geV_BeGJ8x~poKF(`bpe<2o!#Zz94TU!jEDDP?#qeW~3vkFY#&o!AERpvuTuX{KP8wZP^^3*lg4hW+(wm{Teow*fe(lpZyDs%L+i558*#ke?I*mQAb z%VwC2C;d7L>xLQ37%`K|=tf?NTZ%BVgeu&HtYHCf#vsHF^cs_;^GS%j8N?ms@zYF4 znXi0h@%8%p>Z_modRR^U*qm_G?pc2{9QJ4t!(x7B^^m3&u$tLBVsAmuTa$Z&cVKps zrygC!?%=&QnepCFXtAd$TgTNNDxTHTVl+HmWOKCnQ}!O0JS%5tkfiLm%D|==o;iG5 z;CbwozJ{-!C@mn+Z0t`*y=qL^_}->`1!`R8nuf=YBAl^!qCY*Qsgwg2xAe@Z=_RxN zqSpH>PpAi%CZ=vifpwD1jq6guZgkbE(rJ^e@C*}HRC2+tWW!afvAeNoBRJjp8plq~ z!b%3PX)&tZ%=G62HuXCcPS&e4v@z+$4?iVsOm=uDz2v0z8KISIbCS7nK3jD7&Tbej zcV;^8{43j2D%{$B=&HIISOze9X=TqSkaBKk8P&6>&$xxqkWVLkg{j9Ei*3j`wbeW2 zyVfm`GdOWgsqM+)f&}iQH%`?OE`SvFGqae?=bjznqn6lp)LD{;wK0)TFcUR~={U<&BJc{&t3(Hx zn})|{ZO4Q&Q=8R+Tt@rdrE6n!Yn{hjcDi=3Z;zrDf73iy#&B4P?i!?Fg}ZpP@LbgI zCqbLPC5jGiKOt8~Uv6vnZ=qoveh>|1uNSno>({ePZdgq#dlZFzh*5*H0{?byszxjXsi+{1kM%PLLeHl2y@t<`P{QmF% z{=Ie|>+x}r;A@dYy3h6c`Xv%vyCw}qU(9K_1?y`_Ajk6F^UrVM(Ib1BS$uPExqRm1 z&wQL)Rr|kzxBu=EZB4835BCp4`MmwxfA_Btz?xX)MPC-)QU{@8Ax1-+MG?{)-1W&(m68c_w-n z9J&E`__WdCgM%A4gr{6Sev}{O_Yh)_AK!P1NYlS~{RzjYjH|LDNnZ<-jiZSF6B ze3i#8+oRKiH7q8d6ZMHQ%1TXUtn~y&fb06BKC0 z*@1Jk>K5)x%>}-2;|pI{ywxHvv%L99twNE8ui*3Mz}$Fn;{jjte)I5WS@3au4=L~6 z`}O6ox3PEI`ejTvaWMZ<(|tL5GhoC0(o5&RsI?eE>At*iaN}SPkOa>j|D?J9^0hbK zv!qHRP*mJn7fQPe-nGxq8-M?I@Bi*De(krxsVC_bV6Ojo=;emk;@0UJ|Q}u)i#o6F$k6zgf}W`Y6upuEVG1Z zx?J<2(|~V{_^pLa%sX+f1XUzWrn(Tj4BCa6@OmGkJD|XqJhIu#OVZMoXgg6jsCh7N z!3fIH7N+w!O2buc<^r;3;J*!$C_b8E4_D7)HB}ePSVSbcCHOW6`8p04Lbi$=(cJ}# zu49c(n2oBTvlT>DI=0ii%W6#}bU=x0a&aBL365>md>yN!+Oge^e^t=gbQngs;#q0w zF4@Yj(z*aa#0%Ih#F}Fxd|IVU7%P{#8;;#{Fdd+TGHAiozBy`|A+tT}r${^tni|~! zwFRa^x9i9)rJyzKNowWYeo@uysqwA;6sj<+?79{v|39``d6-gUA zV4Gk*+-UpV>dS`x-*y^ktrDgSYs2hTj4sxJ+=GuOIefSzrVpYLx(@EhF4zf}?sB+; z+?>;-^(; z2270V1D567O!tB$f5DP7#0`$Mq1iAM8#AQiByf;liCm^Dn84gvvZq2%%)Bf~ooYy( zrh#Y1HpRkmB$t^o;~*9`B!DC4ITQN@SV7ImIUEO*Rz!u74MmG9O{GS&P!E5rYT$BQ zfV43tTH$0dBf4SnDs$N^rt+=U?k7sX5*~qF--)PMiaBoDFib`?0Nt0HfX;4NZ%gxQQkEE4lP!#~#x#qJg+J3#GwK(K<+wCE)-G37 zB$M#5V(@t;9LElOdn_ffb4tunH3>w3%eDz3R%S0yAmDU zOj0Kzsly;zL;4!)n8IFmfQC@6tl_q$8T1-kRa4tD3(L}7A~3mTnGBD_GFkk!_L!j- zuP|2BpDZeKHZ0PL&9fW;mrwdWW!(WAXM1c*A@e@OI>GdKOD7>RL&hf}blA#R><>*uq1c2*60`N9qt`M{q{2j#*M>P6vL98<6!+2r_` z(G;H%i=YR=OVQ0vyyw=QOf2JZ);D+&&G?$g{QWB1xVh`aA;QFrO--rw+=-4wYo_c_ zPIWR{>J~hh8g7uR9``LRFgZ;sqoK~JX+i}g85P6>W0)Ea`5L4JkGF=#X({GrkfmcP z3I@!4bKG0mrAS+6)q3O*aA(vD?Y$Tz<$@XRW;RYUL|`BPxQ9Qq;eMhQl{MpOZ5-PL zv~W97>;}u>$~f52SRP6=p=OeBh}m?(ge_vH9GyQdYTX~4!|cG(d;Lj9k;L?`9GXA^(dvvIaF~vwYCS@Xo7>9 z;xo|JbqRJhu~!ggyv=i(kG_4AmFoD*@yFuK_s!?RxofHd;m0gbi!V;F*iFuXF{1*nak_^yS8S?m}89Ldb0q_a5h>uN4-4!>}Q3A z?RlO5*pJ-h%&_I3Yws%axc8YaD}VaggT2dVhyynyxN5qrlYl8Vz1;$^2^fiXC72Fw ze_Il0X7T|-|2?3Spy|Ebi*X-rhV|-MdotUG{qPvyQ;4UzZNgvO^jzxxkHe)R6)-M^<$is8Fo``Sl8`nlzgJh}YG=D%CVxWuIv zeiam^mEhZfs@L0;;O^b0pZ@!Qe=?B-pZUyWf&|pj6Hg$)*Uru+ljr{L=Wbppz=&J* zH+ewZx%H8-Uj6p15Aww9Kl|*jJ$qiCzy8tiKIe(e zCN<{EnK56(Enw|q=3hw=HQ}zHA^(q(VDCM9`%Egw+k`Jai~e#6l4Eo1B|-U2$(?g< z?N8f-g9i^xD?*YwznNNeuO)cx2iv>qCw}55-bM_a{c!J|a7}agWv$jYTCMe9!Td&H ziW5ui!(Fz&eCjEc!86bB9C+X1`@Zz0Z++_*+FAUIsc&Om`@#0q$DjJCpHe}*{PH)K z|I59fMFLie)H2lwBGh&5J9o6xGz|_8Z{Q5OkAM8ejeGYF508((RDA2Z$H%{LyiI+% zZ}T=7L6UgdgH0?Zzr^!O05TZ?941{cz4!vua`Wt$xq4&;w|o;^6XP%&t~E4m8xh8? z(aN&PGLlQO1p)l5^@$>TLL&HJVP5)-i=lx8qw{rkhu2)(8tmHHx?+BL>6udny7tZq zWsyW0AFYcf$1nzH=?Z&#k!Ba;cM+}R8A)d_N&_=)Uu?QSkvd3oAtDG$EPyjs6>xSM zvm;!^B?05RrG3XKz{&uoGCWt$l{U8|=IqFpbz{nOTqa7h2qumeMxBG+8G8U3%b>eJ z3VAZ3nEPZQPv*S4S?Ss>KM(20czGAw^GFm7=wdU?OOboDm#Q`#H&f*m%e*JBO%u*3 zOH>|ZjM%;<+42E~q?lVr(BLX-a5WuusBI=fr#KIvbG6j?ELm{wm^Bq$FYD%6U89eU zEyp}%oJEihN^S0C{W?oYv1x=UEXQK&lgJ;m!_}OcQA1CT0^p=-5pdzpQrC)Z+Z(GP zpoN6SPw&_yqePpmO=7Gc8Rv1)5*+>+Rk5ICLIl~0&!3OagvO_6JZKu83Wj(bQ_ zE`Hqexl3{7hE|YjmkBGNL352WHlpHexDb`{YZMZJRk9|>IZjzsj=ZF0vWladN1PYz zjL!(o^OI@T42oPVMlexSdiikoasqR4tR1`1y`c&#Z{}=N&TEd51n4z~IC5JOP=Xyu z3!UI$i?yk<30dxy3|v(l7Kq|}7H-I~2AEQEH*lPP9i9(}G3J++j+nI>j~tkW3k8d^ zP`-;n&FTS0zpU;Av|1AiD#hM~W`#&70}?aFTbNQfd?pGn3t%1jR%K0M{s{`;qlGzZ zUA|nQR8~pnb8@VF9aeTR;A|~UW_H8*bTXsAKb=j73)3H3wxm|%rRgsi)PQn6G7J^K zMXHEQ6xjlGd`70#jw~&Lmh?p1s?Auun$Sc zfkfS%s-+GXMH`=0jTtkHRS%u!fuOMx`k$irGpvIdy7D zUMxyau`?dnAB}ViOtU#fGdS&!M`u%G^ZtB$fzlD!G+u1Wj-S?XNHuKQVY z)+E)Gbts&=ep;Eu=>)ZToXj+STqdkep$HdMD0{L&tDuyFDwm*S=QN`ejvAc0WPDcZ z9LdlSw5+*Kh=_*R>iwG%H2s8~`%OR3%)-rhQX!S!GVM;Tdgt)RaBm*%L8J zECmtk(y5l^CWD+7k5yPye;$J@bk|;(bjlr0nY*r`UeX%<8P8*CkBqs~#-9x)^D+I- zG3{u?ffx+s*t~Z-Ih|SKY6d$gagIb+U6Q!hO#@wi%HD9#!+_HcXTCqk@^sE{3U%br zxSiop(G0=>Y6ZpyXKM`oSgzT8c6Lf;PWx##OBu>ZYgX<%OvkJ!^u{$O7FC~H*LzR!@Wk}(2}2u{P>ny{?!gg_dTxuRsSrOavZ7|p zYf|$<f3to$b-n$bv;?o`&ib;wS;!PB{pQMq6ix&L#Gd(xxPux5yc#Jm_XJ}@i zAxelxIQBM#)#NvS=yq6bKK`L`@Q>RsjE&9hQYW<;Gj6r^anR9kf_ct_)vzF1pnTE$ zJD>1h4W3b4r+zz(2ZVUHJ0jUyY^v3~#6;QLlG& zc>nmA&Dj5@sp~t}j+z@q>hAa-X#P5# zHiPR4{~n$S-AQcHzJCm^cMiht@t|%0aPW7#%8wfD^}b_LwC`;oPs^tw>T63q=FIZn zez$pW$bb#g$^0wkzFJ10+9+2@&GO5Xyz{|^pFj~hap#Ixwl;G{R&)}$2cI|0hQi}x|(SLlPkiOqgKPE%^dK=(y zzcXPJ-2_B5Qu*^SIt%k-XhiJ(RUS%IR+?TNh#E|qUtmaJ+ay6+ zt~SfHNo^fDb83#$WKVd?bp*9YHvzR*d25?#W`o;t1ejEL0Hu-Lk0eIFugW$ORp1ub z;Op)Ww-KhC2$V^I3+AptIXA8tSny*@=Z~ZtHsnzS2$PaYYYR+-*?|V>8yicYOG+e7 zD7W>E8eN*EeuxC5vJDJc2#5KO;G&4D=nj{zH8eOKIh5oTVJ-!OmRRsvCO$@lF3gI9 zQ8lQ{R9i0%1GG@r)GHN>OHH@phn%6ph|PSD_)UcC(IP_WO!&l5Y&#HK1w;x(E}AT` zUr{T_it85GwJ%GrY9VX7%Uuk7qp>1wZL$Hq+mY7n8@8Jl)`t7QI>xjJYYSK>HLW3M zy}^)10*128Wp6?z58)9Pd@ASGAF=P6@0P&3CGc(uyjueAmcaktO2DVQjhUn|#$#zH zROb)*#LKmYWbO23!xPc@I&{@9j9mzIBeJXChN+UOm0T9sSak*rCqB0RfD9d`)>D8}4`F+{spkIHhsopiWfC1Sl)_C9o6w5(<+CxnnLb3*Y5UB>;hOaJ9ab zTmB6QQf~M!byi?6h$@jdi=>Ot>$7}HTE?lR7OC73k_}GDJA@f!-BBi?in5U++H7GG zTz0}2QgT=JHQ%RSm`<6p&Np39o~sp_+tPZmFgBPn{Apks<~!w|7;iG7FqVsJt$n!Q z69#+|)Q#FBr4PS=t}(0NYQM4?+!)LRbxu-ND^A#3BDoXI+w%%=`H zgVYypStcK-Hl?+nIyV3TECNywd*Bna}r=$ zWM2D}o@E;5vr}jpQfsapspDJP(rs$m>ZYjnJ^fR|uw6VUs9p-*=CG=2JYZU4xh2wE zg{Y-b6FSYddZe}+YE9}C_MM)VH_-x;w4?rTStseod5Nhu;!uL#`YK#47#3FC0AjhJ3i}XT)KI2TsomeoTAj>yl4g6Lr$)!Sjh5QByzZRmx7Bi+rMexIZr+=<$3Ayn|MV;xZI{^Z z4!!mcrDVC%3p4Dq_UqWkf>_v0efi9uI_KGz9oxRn&% z_x)BU&qE<9S)t#xlc-f9(USF>a5a|i4s~NKHAQy%)<;)0($q!JnuPq?Gi%LG?Hk@e1)gq^xoA2sYRY_-SJI=B+j#~XJb33UmSo?qg3+?P9H2`IaW}ifQ)K#vH z)zt(|7!TTQL18caK}TIw48iB150zq{*(Iz0$kyx!+sulpq{ zy`#oG9bLNm_e0m}w43W{u~ZEj4QqK`4%%`dXnvQvvbtr;x*p!$RUw7$h_>6zto&53 zcrvnk4R$)ZZJV=Ul5~nphq-Ti9R@yA57~IAnKspDzO9pi)?l#R9O`KWn>si*)y%jE zTB!cd-pptvsBu&(I>^R(lx=sCEXzB|P|xSquVL~+8%Vo{azuLVxKz*IR&=CZfKDf$ z^>(tQue&nE!yXcA*_rrtsK$%^K$~}GsO}p&+3B01!WOp@UJpHMzv6~r_|?H64An#s z+U8z&8|th3j2oO0T!yvu#x4%fmHy z{=CJfz3KFg^bg!Obo=1H*1wQ8{l9(dv-jNFYj1>vGWEvW`bPia#~-Nsp7;N~|MA6- zFWQ7ly`O$7G*`^BzWL^x-?Rs__7tC9+MA^w9IVZfs`iJn1~0y7$zm2j^bg#5#9T+Mgbu&*tuM&ZLIKR~)_7+{uL zKEA2jIsetP?3!yP=bkI?7i8m$MZBPm@WvZ!AL~ZX7uCMAG)1>!-e1H+3FpqeX?KC% z*jV(EVLu4Z|7z+1~G7zq&B6JogH@ z`x{L@r}N*-R^A=Ut3Tn|)z)3_J@vpHoO{+vD{J7K_46%jpcdv(1H0w=1+|RZowhU@ zYA|c;v%-!HR~0PNe@@%F7xS0Cq!oJ0*6XV7R`t>TC;PS!zVptf@7%s~^Y1KQKnlH& z|3oeQmSRCSR#OYL+RHB&MM${E+n)VRTMBB}wV0OQR=eY^TV)M&`);ejPd@pHJ`Sh` zfBMet+jej5BG-DmiMr!*2^q}G#u-;N0on1y@sh` z<(VR!?k(yLoD!B3(lEKaz^v(&h0 zSK0O*j&qwyuAZZPeMU&KaLZ*i)>sK?ve*XI6?wV*zDjGlrW?%YIxbi zYK?5%#kmT#E11F!8&O{9I%TVoB)Lm`voY|)_HcbumrPsQrn7y*SL>O(NCns@R~y_vtVN( z?Z5&<>gw*ADYIT)gOv~#q2!&!#(dMYpGr%hen>2q44Emo*=>tD)tn}x*Y%e}=4 zy)364r%5^1&DCRd>>^)PF?Ff8oQ36^1zjBFZm7R~QQGl&u05}lhuth{4AoO@+%1%G zpf!y&)LxM32aUtwAXm&n_1f;YlSp4d zrCNNZ^@=l>S--qYjp4Cv6zd=!XZp+9){2xf7Y+R#kE1z==Clc&q&v_c?KTwcYpk$q zc*|vtekx%WQ$h&V<2doEq`lH;ez@4WEeDH*moJC&XhEeFP@kpFcHxHVB)yX)ji|fb+|1M^Akj9~?}E4V6UYIsD%`n^})768eVTZ8uNNr$TSiwNdpTltw>kB zMHRPgY};RhZRO26OJ@_CqM+|iGF`LfySX7wHgi2FS3hdCqaxDpXFIK|*i~oS(RetF zn_EMvYKv^zCTZz=v{>RHWOmLfRF%YbJUK*hrYmymtE@2AR?zyX3q{n>v|6yus6}gY z+Q`J^(RQIPFOp`I?G~fPXyBS-^|*~vJ?y-%Uw#g3v5n0|DVl8-$}uZuWM#&qon)kw zys9;@-vEcDjPojYHPj8nyGfQzTB)8#-BMrMM!Kasx4im*@3fN4x7&@_7n6Rf^=LP9 z&A08g^bO8DsGDv$=4>tdGSH!G6tU#J*BMA}<-b%rXtNm3XPk}bhsl{bdp9OSdyZ2! z8g%q)W1nd;rp zA|zqQ)Q-FwMs}~_cMv8(*oExpWdX-mPb1+8LHp!xi5y_W>!kL|lNo2EnQ$}6wf9&uLpLoa^^yC}o@jaRYhG%u?0+~um{ z!Ep24oA1_B^?c{+|1kZfE4`l8;KBu~fwryt&fdT8{ajst_2?@-lgbRvp8e>PyVqc< zIpj6X=Y=0ygPZTxYLL$7v5|pZsg2l}x{4*WlWdrD&$s0RBT>%fxc*)qKipU5;}?61 za;_gy*Q2J}$EACRqoyA=cmJ>n4=KF}=dJSUyl}3o170QTGOn+2EnFYq^%3>B3$vZt z4k^1p{6RtBxdv1D}X2@w9|o6)h=0Lyc$tN0eaO zS6f)ySug#fFO2DmdDyl2%WZF|+K$>L!*aW3+k(s))R;$fB*srAbfPe?kh-Ft^vL6>mYC`v0RaRMKmY**5I_I{1Q0*~fu~ELIC6E;BXf`e zfhR#={Yj_XkoEkAn_D)Gqc5c$YCpZNNM)0KqPm`$pu z)Z_IWhfhy4ecWI7nX3g~ixa(zz{nkat#nk5drz-VZQi98Cy_)8{z<4lSecD5TYXP> zu(D3mT-{?ekOpvSSC*5g>{v6dOvavfIFdcN9hr#gDWxwWMg zP;_G{`jn0OyKl+1`_R|c^cSOgN-e`v7tPZ8DkV?EbzQwypR@R*-Uj~h`$qN89{yx1 zH6=_R|JJ4k2q1s}0tg_000IagfB*srAbsv%Kp(^bZc4Ue&3yUUwBx{KW*hy>tA^sHvG0Ly}sQjhlk}mZu#!>KVGR%S_^kCjE%Se8aooP}um6;~`}@}$yEA$ + + + +XPS Project Report + +XPS Project Report + + + + + diff --git a/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/SDK/SDK_Export/hw/system.xml b/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/SDK/SDK_Export/hw/system.xml new file mode 100644 index 000000000..e392ae202 --- /dev/null +++ b/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/SDK/SDK_Export/hw/system.xml @@ -0,0 +1,6926 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + AXI Interconnect + AXI4 Memory-Mapped Interconnect + + + + + + + Family + + + Base Family + + + Number of Slave Slots + + + Number of Master Slots + + + AXI ID Widgth + + + AXI Address Widgth + + + AXI Data Maximum Width + + + Slave AXI Data Width + + + Master AXI Data Width + + + Interconnect Crossbar Data Width + + + AXI Protocol + + + Master AXI Protocol + + + Master AXI Base Address + + + Master AXI High Address + + + Slave AXI Base ID + + + Slave AXI Thread ID Width + + + Slave AXI Is Interconnect + + + Slave AXI ACLK Ratio + + + Slvave AXI Is ACLK ASYNC + + + Master AXI ACLK Ratio + + + Master AXI Is ACLK ASYNC + + + Interconnect Crossbar ACLK Frequency Ratio + + + Slave AXI Supports Write + + + Slave AXI Supports Read + + + Master AXI Supports Write + + + Master AXI Supports Read + + + Propagate USER Signals + + + AWUSER Signal Width + + + ARUSER Signal Width + + + WUSER Signal Width + + + RUSER Signal Width + + + BUSER Signal Width + + + AXI Connectivity + + + Slave AXI Single Thread + + + Master AXI Supports Reordering + + + Master generates narrow bursts + + + Slave accepts narrow bursts + + + Slave AXI Write Acceptance + + + Slave AXI Read Acceptance + + + Master AXI Write Issuing + + + Master AXI Read Issuing + + + Slave AXI ARB Priority + + + Master AXI Secure + + + Master AXI Write FIFO Depth + + + Slave AXI Write FIFO Type + + + Slave AXI Write FIFO Delay + + + Slave AXI Read FIFO Depth + + + Slave AXI Read FIFO Type + + + Slave AXI Read FIFO Delay + + + Master AXI Write FIFO Depth + + + Master AXI Write FIFO Type + + + Master AXI Write FIFO Delay + + + Master AXI Read FIFO Depth + + + Master AXI Read FIFO Type + + + Master AXI Read FIFO Delay + + + Slave AXI AW Register + + + Slave AXI AR Register + + + Slave AXI W Register + + + Slave AXI R Register + + + Slave AXI B Register + + + Master AXI AW Register + + + Master AXI AR Register + + + Master AXI W Register + + + Master AXI R Register + + + Master AXI B Register + + + C_INTERCONNECT_R_REGISTER + + + Interconnect Architecture + + + Use Diagnostic Slave Port + + + Generate Interrupts + + + Check for transaction errors (DECERR) + + + Slave AXI CTRL Protocol + + + Slave AXI CTRL Address Width + + + Slave AXI CTRL Data Width + + + Diagnostic Slave Port Base Address + + + Diagnostic Slave Port High Address + + + Simulation debug + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + AXI Interconnect + AXI4 Memory-Mapped Interconnect + + + + + + + Family + + + Base Family + + + Number of Slave Slots + + + Number of Master Slots + + + AXI ID Widgth + + + AXI Address Widgth + + + AXI Data Maximum Width + + + Slave AXI Data Width + + + Master AXI Data Width + + + Interconnect Crossbar Data Width + + + AXI Protocol + + + Master AXI Protocol + + + Master AXI Base Address + + + Master AXI High Address + + + Slave AXI Base ID + + + Slave AXI Thread ID Width + + + Slave AXI Is Interconnect + + + Slave AXI ACLK Ratio + + + Slvave AXI Is ACLK ASYNC + + + Master AXI ACLK Ratio + + + Master AXI Is ACLK ASYNC + + + Interconnect Crossbar ACLK Frequency Ratio + + + Slave AXI Supports Write + + + Slave AXI Supports Read + + + Master AXI Supports Write + + + Master AXI Supports Read + + + Propagate USER Signals + + + AWUSER Signal Width + + + ARUSER Signal Width + + + WUSER Signal Width + + + RUSER Signal Width + + + BUSER Signal Width + + + AXI Connectivity + + + Slave AXI Single Thread + + + Master AXI Supports Reordering + + + Master generates narrow bursts + + + Slave accepts narrow bursts + + + Slave AXI Write Acceptance + + + Slave AXI Read Acceptance + + + Master AXI Write Issuing + + + Master AXI Read Issuing + + + Slave AXI ARB Priority + + + Master AXI Secure + + + Master AXI Write FIFO Depth + + + Slave AXI Write FIFO Type + + + Slave AXI Write FIFO Delay + + + Slave AXI Read FIFO Depth + + + Slave AXI Read FIFO Type + + + Slave AXI Read FIFO Delay + + + Master AXI Write FIFO Depth + + + Master AXI Write FIFO Type + + + Master AXI Write FIFO Delay + + + Master AXI Read FIFO Depth + + + Master AXI Read FIFO Type + + + Master AXI Read FIFO Delay + + + Slave AXI AW Register + + + Slave AXI AR Register + + + Slave AXI W Register + + + Slave AXI R Register + + + Slave AXI B Register + + + Master AXI AW Register + + + Master AXI AR Register + + + Master AXI W Register + + + Master AXI R Register + + + Master AXI B Register + + + C_INTERCONNECT_R_REGISTER + + + Interconnect Architecture + + + Use Diagnostic Slave Port + + + Generate Interrupts + + + Check for transaction errors (DECERR) + + + Slave AXI CTRL Protocol + + + Slave AXI CTRL Address Width + + + Slave AXI CTRL Data Width + + + Diagnostic Slave Port Base Address + + + Diagnostic Slave Port High Address + + + Simulation debug + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + MicroBlaze + The MicroBlaze 32 bit soft processor + + + + + + + + + + + + + Enable Fault Tolerance Support + + + + + Select implementation to optimize area (with lower instruction throughput) + + + + Select Bus Interfaces + + + Select Stream Interfaces + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Enable Additional Machine Status Register Instructions + + + Enable Pattern Comparator + + + Enable Barrel Shifter + + + Enable Integer Divider + + + Enable Integer Multiplier + + + Enable Floating Point Unit + + + Enable Unaligned Data Exception + + + Enable Illegal Instruction Exception + + + Enable Instruction-side AXI Exception + + + Enable Data-side AXI Exception + + + Enable Instruction-side PLB Exception + + + Enable Data-side PLB Exception + + + Enable Integer Divide Exception + + + Enable Floating Point Unit Exceptions + + + Enable Stream Exception + + + <qt>Enable stack protection</qt> + + + Specifies Processor Version Register + + + Specify USER1 Bits in Processor Version Register + + + Specify USER2 Bits in Processor Version Registers + + + Enable MicroBlaze Debug Module Interface + + + Number of PC Breakpoints + + + Number of Read Address Watchpoints + + + Number of Write Address Watchpoints + + + Sense Interrupt on Edge vs. Level + + + Sense Interrupt on Rising vs. Falling Edge + + + Specify Reset Value for Select MSR Bits + + + <qt>Generate Illegal Instruction Exception for NULL Instruction</qt> + + + Number of Stream Links + + + + Enable Additional Stream Instructions + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + I-Cache Base Address + + + I-Cache High Address + + + Enable Instruction Cache + + + Enable I-Cache Writes + + + + Size of the I-Cache in Bytes + + + + Instruction Cache Line Length + + + Use Cache Links for All I-Cache Memory Accesses + + + + Number of I-Cache Victims + + + Number of I-Cache Streams + + + Use Distributed RAM for I-Cache Tags + + + + + + + + + + + + + + + + + + + + D-Cache Base Address + + + D-Cache High Address + + + Enable Data Cache + + + Enable D-Cache Writes + + + + Size of D-Cache in Bytes + + + + Data Cache Line Length + + + Use Cache Links for All D-Cache Memory Accesses + + + + Enable Write-back Storage Policy + + + Number of D-Cache Victims + + + Use Distributed RAM for D-Cache Tags + + + + + + + + + + + + + + + + + + + + + + Memory Management + + + Data Shadow Translation Look-Aside Buffer Size + + + Instruction Shadow Translation Look-Aside Buffer Size + + + Enable Access to Memory Management Special Registers + + + Number of Memory Protection Zones + + + Privileged Instructions + + + + + + Enable Branch Target Cache + + + Branch Target Cache Size + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Local Memory Bus (LMB) 1.0 + 'The LMB is a fast, local bus for connecting MicroBlaze I and D ports to peripherals and BRAM' + + + + + + + Number of Bus Slaves + + + LMB Address Bus Width + + + LMB Data Bus Width + + + Active High External Reset + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Local Memory Bus (LMB) 1.0 + 'The LMB is a fast, local bus for connecting MicroBlaze I and D ports to peripherals and BRAM' + + + + + + + Number of Bus Slaves + + + LMB Address Bus Width + + + LMB Data Bus Width + + + Active High External Reset + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + LMB BRAM Controller + Local Memory Bus (LMB) Block RAM (BRAM) Interface Controller connects to an lmb bus + + + + + + + LMB BRAM Base Address + + + LMB BRAM High Address + + + + LMB Address Decode Mask + + + LMB Address Bus Width + + + LMB Data Bus Width + + + Error Correction Code + + + Select Interconnect + + + Fault Inject Registers + + + Correctable Error First Failing Register + + + Uncorrectable Error First Failing Register + + + ECC Status and Control Register + + + ECC On/Off Register + + + ECC On/Off Reset Value + + + Correctable Error Counter Register Width + + + Write Access setting + + + Base Address for PLB Interface + + + High Address for PLB Interface + + + PLB Address Bus Width + + + PLB Data Bus Width + + + PLB Slave Uses P2P Topology + + + Master ID Bus Width of PLB + + + Number of PLB Masters + + + PLB Slave is Capable of Bursts + + + Native Data Bus Width of PLB Slave + + + Frequency of PLB Slave + + + S_AXI_CTRL Clock Frequency + + + S_AXI_CTRL Base Address + + + S_AXI_CTRL High Address + + + S_AXI_CTRL Address Width + + + S_AXI_CTRL Data Width + + + S_AXI_CTRL Protocol + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + LMB BRAM Controller + Local Memory Bus (LMB) Block RAM (BRAM) Interface Controller connects to an lmb bus + + + + + + + LMB BRAM Base Address + + + LMB BRAM High Address + + + + LMB Address Decode Mask + + + LMB Address Bus Width + + + LMB Data Bus Width + + + Error Correction Code + + + Select Interconnect + + + Fault Inject Registers + + + Correctable Error First Failing Register + + + Uncorrectable Error First Failing Register + + + ECC Status and Control Register + + + ECC On/Off Register + + + ECC On/Off Reset Value + + + Correctable Error Counter Register Width + + + Write Access setting + + + Base Address for PLB Interface + + + High Address for PLB Interface + + + PLB Address Bus Width + + + PLB Data Bus Width + + + PLB Slave Uses P2P Topology + + + Master ID Bus Width of PLB + + + Number of PLB Masters + + + PLB Slave is Capable of Bursts + + + Native Data Bus Width of PLB Slave + + + Frequency of PLB Slave + + + S_AXI_CTRL Clock Frequency + + + S_AXI_CTRL Base Address + + + S_AXI_CTRL High Address + + + S_AXI_CTRL Address Width + + + S_AXI_CTRL Data Width + + + S_AXI_CTRL Protocol + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Block RAM (BRAM) Block + The BRAM Block is a configurable memory module that attaches to a variety of BRAM Interface Controllers. + + + + + + + Size of BRAM(s) in Bytes + + + Data Width of Port A and B + + + Address Width of Port A and B + + + Number of Byte Write Enables + + + Device Family + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Processor System Reset Module + Reset management module + + + + + + + Device Subfamily + + + Number of Clocks Before Input Change is Recognized On The External Reset Input + + + Number of Clocks Before Input Change is Recognized On The Auxiliary Reset Input + + + External Reset Active High + + + Auxiliary Reset Active High + + + Number of Bus Structure Reset Registered Outputs + + + Number of Peripheral Reset Registered Outputs + + + Number of Active Low Interconnect Reset Registered Outputs + + + Number of Active Low Peripheral Reset Registered Outputs + + + Device Family + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Clock Generator + Clock generator for processor system. + + + + + + + Family + + + Device + + + Package + + + Speed Grade + + + Input Clock Frequency (Hz) + + + Required Frequency (Hz) + + + Required Phase + + + Required Group + + + Buffered + + + Variable Phase + + + Required Frequency (Hz) + + + Required Phase + + + Required Group + + + Buffered + + + Variable Phase + + + Required Frequency (Hz) + + + Required Phase + + + Required Group + + + Buffered + + + Varaible Phase + + + Required Frequency (Hz) + + + Required Phase + + + Required Group + + + Buffered + + + Variable Phase + + + Required Frequency (Hz) + + + Required Phase + + + Required Group + + + Buffered + + + Variable Phase + + + Required Frequency (Hz) + + + Required Phase + + + Required Group + + + Buffered + + + Variable Phase + + + Required Frequency (Hz) + + + Required Phase + + + Required Group + + + Buffered + + + Variable Phase + + + Required Frequency (Hz) + + + Required Phase + + + Required Group + + + Buffered + + + Variable Phase + + + Required Frequency (Hz) + + + Required Phase + + + Required Group + + + Buffered + + + Variable Phase + + + Required Frequency (Hz) + + + Required Phase + + + Required Group + + + Buffered + + + Varaible Phase + + + Required Frequency (Hz) + + + Required Phase + + + Required Group + + + Buffered + + + Variable Phase + + + Required Frequency (Hz) + + + Required Phase + + + Required Group + + + Buffered + + + Variable Phase + + + Required Frequency (Hz) + + + Required Phase + + + Required Group + + + Buffered + + + Variable Phase + + + Required Frequency (Hz) + + + Required Phase + + + Required Group + + + Buffered + + + Variable Phase + + + Required Frequency (Hz) + + + Required Phase + + + Required Group + + + Buffered + + + Variable Phase + + + Required Frequency (Hz) + + + Required Phase + + + Required Group + + + Buffered + + + Variable Phase + + + Required Frequency (Hz) + + + Clock Deskew + + + Required Frequency (Hz) + + + Required Phase + + + Required Group + + + Buffered + + + Variable Phase Shift + + + + Clock Primitive Feedback Buffer + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + MicroBlaze Debug Module (MDM) + Debug module for MicroBlaze Soft Processor. + + + + + + + Device Family + + + Specifies the JTAG user-defined register used + + + Specifies the Bus Interface for the JTAG UART + + + Base Address + + + High Address + + + PLB Address Bus Width + + + PLB Data Bus Width + + + PLB Slave Uses P2P Topology + + + Master ID Bus Width of PLB + + + Number of PLB Masters + + + Native Data Bus Width of PLB Slave + + + PLB Slave is Capable of Bursts + + + Number of MicroBlaze debug ports + + + Enable JTAG UART + + + AXI Address Width + + + AXI Data Width + + + AXI4LITE protocal + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + AXI UART (Lite) + Generic UART (Universal Asynchronous Receiver/Transmitter) for AXI. + + + + + + + Device Family + + + AXI Clock Frequency + + + AXI Base Address + + + AXI High Address + + + AXI Address Width + + + AXI Data Width + + + UART Lite Baud Rate + Baud Rate + + + Number of Data Bits in a Serial Frame + Data Bits + + + Use Parity + + + Parity Type + + + AXI4LITE protocol + + + + + + + + + + Serial Data Out + + + Serial Data In + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + AXI General Purpose IO + General Purpose Input/Output (GPIO) core for the AXI bus. + + + + + + + Device Family + + + AXI Base Address + + + AXI High Address + + + AXI Address Width + + + AXI Data Width + + + GPIO Data Channel Width + GPIO Data Width + + + GPIO2 Data Channel Width + + + Channel 1 is Input Only + + + Channel 2 is Input Only + + + GPIO Supports Interrupts + + + Channel 1 Data Out Default Value + + + Channel 1 Tri-state Default Value + + + Enable Channel 2 + + + Channel 2 Data Out Default Value + + + Channel 2 Tri-state Default Value + + + AXI4LITE protocol + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + GPIO1 Data IO + + + GPIO2 Data IO + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + AXI General Purpose IO + General Purpose Input/Output (GPIO) core for the AXI bus. + + + + + + + Device Family + + + AXI Base Address + + + AXI High Address + + + AXI Address Width + + + AXI Data Width + + + GPIO Data Channel Width + GPIO Data Width + + + GPIO2 Data Channel Width + + + Channel 1 is Input Only + + + Channel 2 is Input Only + + + GPIO Supports Interrupts + + + Channel 1 Data Out Default Value + + + Channel 1 Tri-state Default Value + + + Enable Channel 2 + + + Channel 2 Data Out Default Value + + + Channel 2 Tri-state Default Value + + + AXI4LITE protocol + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + GPIO1 Data IO + + + GPIO2 Data IO + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + AXI S6 Memory Controller(DDR/DDR2/DDR3) + Spartan-6 memory controller + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + AXI Ethernet + AXI Ethernet MAC + + + + + + + AXI Protocol + + + AXI Stream Bus Width + + + AXI Stream Bus Width + + + AXI Stream Bus Width + + + AXI Stream Bus Width + + + AXI Stream Protocol + + + AXI Stream Protocol + + + AXI Stream Protocol + + + AXI Stream Protocol + + + AXI Stream Protocol + + + AXI Stream Protocol + + + Device Family + + + AXI Clock Freq in HZ + + + Base Address + + + High Address + + + AXI Address Width + + + AXI Data Width + + + AXI ID Width + + + Spartan 6 Transceiver Side + + + PHY Address + + + Include IO and BUFG as Needed for the PHY Interface Selected + + + Type of TEMAC + + + Physical Interface Type + + + Enable Half Duplex mode + + + TX Memory Depth + + + RX Memory Depth + + + Enable TX Checksum Offload + + + Enable RX Checksum Offload + + + Transmit VLAN translation + + + Receive VLAN translation + + + Transmit VLAN tagging + + + Receive VLAN tagging + + + Transmit VLAN stripping + + + Receive VLAN stripping + + + Receive Extended Multicast Address Filtering + + + Statistics Counters + + + Audio Video Bridging (AVB) - license required + + + Simulation Mode + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + AXI DMA Engine + AXI MemoryMap to/from AXI Stream Direct Memory Access Engine + + + + + + + AXI Lite Address Width + + + AXI Lite Data Width + + + Delay Timer Counter Resolution + + + Primary clock Is Asynchronous + + + Include Scatter Gather Descriptor Queuing + + + Include AXI Status and Control Streams + + + Use Status Stream App Length + + + Buffer Length Field Width + + + AXI SG Address Width + + + AXI SG Data Width + + + AXI Control Stream Width + + + AXI Status Stream Width + + + Include MM2S Channel + + + Include MM2S Data Realignment Engine + + + Maximum Memory Map Burst Size for MM2S + + + MM2S Address Width + + + MM2S Memory Map Data Width + + + MM2S Stream Data Width + + + Include S2MM Channel + + + Include S2MM Data Realignment Engine + + + Maximum Memory Map Burst Size for S2MM (data beats) + + + S2MM Address Width + + + S2MM Memory Map Data Width + + + S2MM Stream Data Width + + + Device Family + + + Base Address + + + High Address + + + AXI Lite Clock Frequency + + + AXI Scatter Gather Clock Frequency + + + AXI MM2S Clock Frequency + + + AXI S2MM Clock Frequency + + + AXI Lite Protocol + + + AXI Lite Supports Read Access + + + AXI Lite Supports Write Access + + + AXI SG Protocol + + + AXI SG Support Threads + + + Base Address + + + AXI SG Supports Narrow Bursts + + + AXI SG Generates Read Accesses + + + AXI SG Generates Write Accesses + + + AXI MM2S Protocol + + + AXI MM2S Support Threads + + + AXI MM2S Thread ID Width + + + AXI MM2S Supports Narrow Bursts + + + AXI MM2S Generates Read Accesses + + + AXI MM2S Generates Write Accesses + + + AXI MM2S Interface Read Issuing + + + AXI MM2S Interface Read FIFO Depth + + + AXI S2MM Protocol + + + AXI S2MM Support Threads + + + AXI S2MM Thread ID Width + + + AXI S2MM Supports Narrow Bursts + + + AXI S2MM Generates Write Accesses + + + AXI S2MM Generates Read Accesses + + + AXI S2MM Interface Write Issuing + + + AXI S2MM Interface Write FIFO Depth + + + AXI MM2S Stream Interface Protocol + + + AXI S2MM Stream Interface Protocol + + + AXI MM2S Control Stream Interface Protocol + + + AXI S2MM Status Stream Interface Protocol + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + AXI Interrupt Controller + intc core attached to the AXI + + + + + + + Device Family + + + AXI Base Address + + + AXI High Address + + + AXI Address Width + + + AXI Data Width + + + Number of Interrupt Inputs + + + Type of Interrupt for Each Input + + + Type of Each Edge Senstive Interrupt + + + Type of Each Level Sensitive Interrupt + + + Support IPR + + + Support SIE + + + Support CIE + + + Support IVR + + + IRQ Output Use Level + + + The Sense of IRQ Output + + + AXI4LITE protocol + + + + + + + + + + Interrupt Request Output + + + + + + + + + + + + Interrupt Inputs + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + AXI Timer/Counter + Timer counter with AXI interface + + + + + + + AXI4LITE protocol + + + Device Family + + + The Width of Counter in Timer + Count Width + + + Only One Timer is present + + + TRIG0 Active Level + + + TRIG1 Active Level + + + GEN0 Active Level + + + GEN1 Active Level + + + AXI Base Address + + + AXI High Address + + + AXI Address Width + + + AXI Data Width + + + + + + + Capture Trig 0 + + + Capture Trig 1 + + + Generate Out 0 + + + Generate Out 1 + + + Pulse Width Modulation 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + \ No newline at end of file diff --git a/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/SDK/SDK_Export/hw/system_bd.bmm b/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/SDK/SDK_Export/hw/system_bd.bmm new file mode 100644 index 000000000..7a8633606 --- /dev/null +++ b/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/SDK/SDK_Export/hw/system_bd.bmm @@ -0,0 +1,32 @@ +// BMM LOC annotation file. +// +// Release 13.1 - Data2MEM O.40d, build 1.9 Aug 19, 2010 +// Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. + + +/////////////////////////////////////////////////////////////////////////////// +// +// Processor 'microblaze_0', ID 100, memory map. +// +/////////////////////////////////////////////////////////////////////////////// + +ADDRESS_MAP microblaze_0 MICROBLAZE-LE 100 + + + /////////////////////////////////////////////////////////////////////////////// + // + // Processor 'microblaze_0' address space 'microblaze_0_bram_block_combined' 0x00000000:0x00001FFF (8 KBytes). + // + /////////////////////////////////////////////////////////////////////////////// + + ADDRESS_SPACE microblaze_0_bram_block_combined RAMB16 [0x00000000:0x00001FFF] + BUS_BLOCK + microblaze_0_bram_block/microblaze_0_bram_block/ramb16bwer_0 [31:24] INPUT = microblaze_0_bram_block_combined_0.mem PLACED = X0Y24; + microblaze_0_bram_block/microblaze_0_bram_block/ramb16bwer_1 [23:16] INPUT = microblaze_0_bram_block_combined_1.mem PLACED = X0Y26; + microblaze_0_bram_block/microblaze_0_bram_block/ramb16bwer_2 [15:8] INPUT = microblaze_0_bram_block_combined_2.mem PLACED = X0Y22; + microblaze_0_bram_block/microblaze_0_bram_block/ramb16bwer_3 [7:0] INPUT = microblaze_0_bram_block_combined_3.mem PLACED = X0Y28; + END_BUS_BLOCK; + END_ADDRESS_SPACE; + +END_ADDRESS_MAP; + diff --git a/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/SDK/SDK_Export/hw/system_main.html b/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/SDK/SDK_Export/hw/system_main.html new file mode 100644 index 000000000..fc27d4cf6 --- /dev/null +++ b/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/SDK/SDK_Export/hw/system_main.html @@ -0,0 +1,5984 @@ + + + + +XPS Project Report + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Printable Version
+
Overview
+ + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Resources Used
1  MicroBlaze
2  AXI Interconnect
2  Local Memory Bus (LMB) 1.0
1  Block RAM (BRAM) Block
2  LMB BRAM Controller
1  AXI S6 Memory Controller(DDR/DDR2/DDR3)
1  Processor System Reset Module
1  Clock Generator
1  MicroBlaze Debug Module (MDM)
1  AXI UART (Lite)
2  AXI General Purpose IO
1  AXI Ethernet
1  AXI DMA Engine
1  AXI Interrupt Controller
1  AXI Timer/Counter
+ + + + + + + + + + + + + + +
Specifics
GeneratedSat Aug 27 12:49:18 2011
EDK Version13.1
Device Familyspartan6
Devicexc6slx45tfgg484-3
+
+
+ + +
Block DiagramTOP
+
BlockDiagram +
+ + + +
External PortsTOP
+
+ + + + + + +
+ These are the external ports defined in the MHS file. +
+Attributes Key
The attributes are obtained from the SIGIS and IOB_STATE parameters set on the PORT in the MHS file
CLK  indicates Clock ports, (SIGIS = CLK) 
INTR  indicates Interrupt ports,(SIGIS = INTR) 
RESET  indicates Reset ports, (SIGIS = RST) 
BUF or REG  Indicates ports that instantiate or infer IOB primitives, (IOB_STATE = BUF or REG)  +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
#NAMEDIR[LSB:MSB]SIGATTRIBUTES
+SHARED +RESETI1RESET RESET 
+ETHERNET +ETHERNET_MII_TX_CLKI1ETHERNET_MII_TX_CLK
+ETHERNET +ETHERNET_RXDI0:7ETHERNET_RXD
+ETHERNET +ETHERNET_RX_CLKI1ETHERNET_RX_CLK
+ETHERNET +ETHERNET_RX_DVI1ETHERNET_RX_DV
+ETHERNET +ETHERNET_RX_ERI1ETHERNET_RX_ER
+ETHERNET +ETHERNET_MDIOIO1ETHERNET_MDIO
+ETHERNET +ETHERNET_MDCO1ETHERNET_MDC
+ETHERNET +ETHERNET_PHY_RST_NO1ETHERNET_PHY_RST_N
+ETHERNET +ETHERNET_TXDO0:7ETHERNET_TXD
+ETHERNET +ETHERNET_TX_CLKO1ETHERNET_TX_CLK
+ETHERNET +ETHERNET_TX_ENO1ETHERNET_TX_EN
+ETHERNET +ETHERNET_TX_ERO1ETHERNET_TX_ER
+LEDs_4Bits +LEDs_4Bits_TRI_OO0:3LEDs_4Bits_TRI_O
+MCB_DDR3 +mcbx_dram_dqIO0:15mcbx_dram_dq
+MCB_DDR3 +mcbx_dram_dqsIO1mcbx_dram_dqs
+MCB_DDR3 +mcbx_dram_dqs_nIO1mcbx_dram_dqs_n
+MCB_DDR3 +mcbx_dram_udqsIO1mcbx_dram_udqs
+MCB_DDR3 +mcbx_dram_udqs_nIO1mcbx_dram_udqs_n
+MCB_DDR3 +rzqIO1rzq
+MCB_DDR3 +zioIO1zio
+MCB_DDR3 +mcbx_dram_addrO0:12mcbx_dram_addr
+MCB_DDR3 +mcbx_dram_baO0:2mcbx_dram_ba
+MCB_DDR3 +mcbx_dram_cas_nO1mcbx_dram_cas_n
+MCB_DDR3 +mcbx_dram_ckeO1mcbx_dram_cke
+MCB_DDR3 +mcbx_dram_clkO1mcbx_dram_clk
+MCB_DDR3 +mcbx_dram_clk_nO1mcbx_dram_clk_n
+MCB_DDR3 +mcbx_dram_ddr3_rstO1mcbx_dram_ddr3_rst
+MCB_DDR3 +mcbx_dram_ldmO1mcbx_dram_ldm
+MCB_DDR3 +mcbx_dram_odtO1mcbx_dram_odt
+MCB_DDR3 +mcbx_dram_ras_nO1mcbx_dram_ras_n
+MCB_DDR3 +mcbx_dram_udmO1mcbx_dram_udm
+MCB_DDR3 +mcbx_dram_we_nO1mcbx_dram_we_n
+Push_Buttons_4Bits +Push_Buttons_4Bits_TRI_II0:3Push_Buttons_4Bits_TRI_I
+RS232_Uart_1 +RS232_Uart_1_sinI1RS232_Uart_1_sin
+RS232_Uart_1 +RS232_Uart_1_soutO1RS232_Uart_1_sout
+clock_generator_0 +CLK_NI1CLK CLK 
+clock_generator_0 +CLK_PI1CLK CLK 
+
+

+ + +
ProcessorsTOP
+
+ + +
+ + + + + + + + + +
+microblaze_0 +   MicroBlaze
The MicroBlaze 32 bit soft processor

+
+ + + + + + + + + + +
IP Specs
CoreVersionDocumentation
microblaze8.10.aIP
+

+
microblaze_0 IP Image + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
PORT LIST
These are the ports listed in the MHS file. + + Please refer to the IP documentation for complete information about module ports. +
#NAMEDIR[LSB:MSB]SIGNAL
0MB_RESETI1proc_sys_reset_0_MB_Reset
1CLKI1clk_100_0000MHzPLL0
2INTERRUPTI1microblaze_0_interrupt
Bus Interfaces
 NAME  TYPE BUSSTDBUSConnected To
M_AXI_DPMASTERAXIaxi4lite_08 Peripherals.
DLMBMASTERLMBmicroblaze_0_dlmbmicroblaze_0_d_bram_ctrl
ILMBMASTERLMBmicroblaze_0_ilmbmicroblaze_0_i_bram_ctrl
DEBUGTARGETXIL_MBDEBUG3microblaze_0_debugdebug_module
+

+
+ + + + + + + +
Parameters
+ + These are the current parameter settings for this module. +

+ Parameters marked with + yellow + indicate parameters set by the user. +
+ Parameters marked with + blue + indicate parameters set by the system. + +
+ + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_SCO0
C_FREQ0
C_DATA_SIZE32
C_DYNAMIC_BUS_SIZING1
C_FAMILYvirtex5
C_INSTANCEmicroblaze
C_FAULT_TOLERANT0
C_ECC_USE_CE_EXCEPTION0
C_ENDIANNESS0
C_AREA_OPTIMIZED0
C_OPTIMIZATION0
C_INTERCONNECT2
C_STREAM_INTERCONNECT0
C_DPLB_DWIDTH32
C_DPLB_NATIVE_DWIDTH32
C_DPLB_BURST_EN0
C_DPLB_P2P0
C_IPLB_DWIDTH32
C_IPLB_NATIVE_DWIDTH32
C_IPLB_BURST_EN0
C_IPLB_P2P0
C_M_AXI_DP_SUPPORTS_THREADS0
C_M_AXI_DP_THREAD_ID_WIDTH1
C_M_AXI_DP_SUPPORTS_READ1
C_M_AXI_DP_SUPPORTS_WRITE1
C_M_AXI_DP_SUPPORTS_NARROW_BURST0
C_M_AXI_DP_DATA_WIDTH32
C_M_AXI_DP_ADDR_WIDTH32
C_M_AXI_DP_PROTOCOLAXI4LITE
C_M_AXI_DP_EXCLUSIVE_ACCESS0
C_INTERCONNECT_M_AXI_DP_READ_ISSUING1
C_INTERCONNECT_M_AXI_DP_WRITE_ISSUING1
C_M_AXI_IP_SUPPORTS_THREADS0
C_M_AXI_IP_THREAD_ID_WIDTH1
C_M_AXI_IP_SUPPORTS_READ1
C_M_AXI_IP_SUPPORTS_WRITE0
C_M_AXI_IP_SUPPORTS_NARROW_BURST0
C_M_AXI_IP_DATA_WIDTH32
C_M_AXI_IP_ADDR_WIDTH32
C_M_AXI_IP_PROTOCOLAXI4LITE
C_INTERCONNECT_M_AXI_IP_READ_ISSUING1
C_D_AXI0
C_D_PLB0
C_D_LMB1
C_I_AXI0
C_I_PLB0
C_I_LMB1
C_USE_MSR_INSTR1
C_USE_PCMP_INSTR1
C_USE_BARREL1
C_USE_DIV0
C_USE_HW_MUL1
C_USE_FPU0
C_UNALIGNED_EXCEPTIONS0
C_ILL_OPCODE_EXCEPTION0
C_M_AXI_I_BUS_EXCEPTION0
C_M_AXI_D_BUS_EXCEPTION0
C_IPLB_BUS_EXCEPTION0
C_DPLB_BUS_EXCEPTION0
C_DIV_ZERO_EXCEPTION0
C_FPU_EXCEPTION0
C_FSL_EXCEPTION0
C_USE_STACK_PROTECTION0
C_PVR0
C_PVR_USER10x00
C_PVR_USER20x00000000
C_DEBUG_ENABLED1
C_NUMBER_OF_PC_BRK7
C_NUMBER_OF_RD_ADDR_BRK2
C_NUMBER_OF_WR_ADDR_BRK2
C_INTERRUPT_IS_EDGE0
C_EDGE_IS_POSITIVE1
C_RESET_MSR0x00000000
C_OPCODE_0x0_ILLEGAL0
C_FSL_LINKS0
C_FSL_DATA_SIZE32
C_USE_EXTENDED_FSL_INSTR0
C_M0_AXIS_PROTOCOLGENERIC
C_S0_AXIS_PROTOCOLGENERIC
C_M1_AXIS_PROTOCOLGENERIC
C_S1_AXIS_PROTOCOLGENERIC
C_M2_AXIS_PROTOCOLGENERIC
C_S2_AXIS_PROTOCOLGENERIC
C_M3_AXIS_PROTOCOLGENERIC
C_S3_AXIS_PROTOCOLGENERIC
C_M4_AXIS_PROTOCOLGENERIC
C_S4_AXIS_PROTOCOLGENERIC
C_M5_AXIS_PROTOCOLGENERIC
C_S5_AXIS_PROTOCOLGENERIC
C_M6_AXIS_PROTOCOLGENERIC
C_S6_AXIS_PROTOCOLGENERIC
C_M7_AXIS_PROTOCOLGENERIC
C_S7_AXIS_PROTOCOLGENERIC
C_M8_AXIS_PROTOCOLGENERIC
C_S8_AXIS_PROTOCOLGENERIC
C_M9_AXIS_PROTOCOLGENERIC
C_S9_AXIS_PROTOCOLGENERIC
C_M10_AXIS_PROTOCOLGENERIC
C_S10_AXIS_PROTOCOLGENERIC
C_M11_AXIS_PROTOCOLGENERIC
C_S11_AXIS_PROTOCOLGENERIC
C_M12_AXIS_PROTOCOLGENERIC
C_S12_AXIS_PROTOCOLGENERIC
C_M13_AXIS_PROTOCOLGENERIC
C_S13_AXIS_PROTOCOLGENERIC
C_M14_AXIS_PROTOCOLGENERIC
C_S14_AXIS_PROTOCOLGENERIC
C_M15_AXIS_PROTOCOLGENERIC
C_S15_AXIS_PROTOCOLGENERIC
C_M0_AXIS_DATA_WIDTH32
C_S0_AXIS_DATA_WIDTH32
C_M1_AXIS_DATA_WIDTH32
C_S1_AXIS_DATA_WIDTH32
C_M2_AXIS_DATA_WIDTH32
C_S2_AXIS_DATA_WIDTH32
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_M3_AXIS_DATA_WIDTH32
C_S3_AXIS_DATA_WIDTH32
C_M4_AXIS_DATA_WIDTH32
C_S4_AXIS_DATA_WIDTH32
C_M5_AXIS_DATA_WIDTH32
C_S5_AXIS_DATA_WIDTH32
C_M6_AXIS_DATA_WIDTH32
C_S6_AXIS_DATA_WIDTH32
C_M7_AXIS_DATA_WIDTH32
C_S7_AXIS_DATA_WIDTH32
C_M8_AXIS_DATA_WIDTH32
C_S8_AXIS_DATA_WIDTH32
C_M9_AXIS_DATA_WIDTH32
C_S9_AXIS_DATA_WIDTH32
C_M10_AXIS_DATA_WIDTH32
C_S10_AXIS_DATA_WIDTH32
C_M11_AXIS_DATA_WIDTH32
C_S11_AXIS_DATA_WIDTH32
C_M12_AXIS_DATA_WIDTH32
C_S12_AXIS_DATA_WIDTH32
C_M13_AXIS_DATA_WIDTH32
C_S13_AXIS_DATA_WIDTH32
C_M14_AXIS_DATA_WIDTH32
C_S14_AXIS_DATA_WIDTH32
C_M15_AXIS_DATA_WIDTH32
C_S15_AXIS_DATA_WIDTH32
C_ICACHE_BASEADDR0xC0000000
C_ICACHE_HIGHADDR0xC7FFFFFF
C_USE_ICACHE0
C_ALLOW_ICACHE_WR1
C_ADDR_TAG_BITS17
C_CACHE_BYTE_SIZE8192
C_ICACHE_USE_FSL1
C_ICACHE_LINE_LEN4
C_ICACHE_ALWAYS_USED1
C_ICACHE_INTERFACE0
C_ICACHE_VICTIMS0
C_ICACHE_STREAMS0
C_ICACHE_FORCE_TAG_LUTRAM0
C_ICACHE_DATA_WIDTH0
C_M_AXI_IC_SUPPORTS_THREADS0
C_M_AXI_IC_THREAD_ID_WIDTH1
C_M_AXI_IC_SUPPORTS_READ1
C_M_AXI_IC_SUPPORTS_WRITE0
C_M_AXI_IC_SUPPORTS_NARROW_BURST0
C_M_AXI_IC_DATA_WIDTH32
C_M_AXI_IC_ADDR_WIDTH32
C_M_AXI_IC_PROTOCOLAXI4
C_M_AXI_IC_USER_VALUE0b11111
C_M_AXI_IC_SUPPORTS_USER_SIGNALS1
C_M_AXI_IC_AWUSER_WIDTH5
C_M_AXI_IC_ARUSER_WIDTH5
C_M_AXI_IC_WUSER_WIDTH1
C_M_AXI_IC_RUSER_WIDTH1
C_M_AXI_IC_BUSER_WIDTH1
C_INTERCONNECT_M_AXI_IC_READ_ISSUING2
C_DCACHE_BASEADDR0xC0000000
C_DCACHE_HIGHADDR0xC7FFFFFF
C_USE_DCACHE0
C_ALLOW_DCACHE_WR1
C_DCACHE_ADDR_TAG17
C_DCACHE_BYTE_SIZE8192
C_DCACHE_USE_FSL1
C_DCACHE_LINE_LEN4
C_DCACHE_ALWAYS_USED1
C_DCACHE_INTERFACE0
C_DCACHE_USE_WRITEBACK0
C_DCACHE_VICTIMS0
C_DCACHE_FORCE_TAG_LUTRAM0
C_DCACHE_DATA_WIDTH0
C_M_AXI_DC_SUPPORTS_THREADS0
C_M_AXI_DC_THREAD_ID_WIDTH1
C_M_AXI_DC_SUPPORTS_READ1
C_M_AXI_DC_SUPPORTS_WRITE1
C_M_AXI_DC_SUPPORTS_NARROW_BURST0
C_M_AXI_DC_DATA_WIDTH32
C_M_AXI_DC_ADDR_WIDTH32
C_M_AXI_DC_PROTOCOLAXI4
C_M_AXI_DC_EXCLUSIVE_ACCESS0
C_M_AXI_DC_USER_VALUE0b11111
C_M_AXI_DC_SUPPORTS_USER_SIGNALS1
C_M_AXI_DC_AWUSER_WIDTH5
C_M_AXI_DC_ARUSER_WIDTH5
C_M_AXI_DC_WUSER_WIDTH1
C_M_AXI_DC_RUSER_WIDTH1
C_M_AXI_DC_BUSER_WIDTH1
C_INTERCONNECT_M_AXI_DC_READ_ISSUING2
C_INTERCONNECT_M_AXI_DC_WRITE_ISSUING32
C_USE_MMU0
C_MMU_DTLB_SIZE4
C_MMU_ITLB_SIZE2
C_MMU_TLB_ACCESS3
C_MMU_ZONES16
C_MMU_PRIVILEGED_INSTR0
C_USE_INTERRUPT0
C_USE_EXT_BRK0
C_USE_EXT_NM_BRK0
C_USE_BRANCH_TARGET_CACHE0
C_BRANCH_TARGET_CACHE_SIZE0
C_INTERCONNECT_M_AXI_DC_AW_REGISTER1
C_INTERCONNECT_M_AXI_DC_W_REGISTER1
C_INTERCONNECT_M_AXI_DP_AW_REGISTER1
C_INTERCONNECT_M_AXI_DP_AR_REGISTER1
C_INTERCONNECT_M_AXI_DP_W_REGISTER1
C_INTERCONNECT_M_AXI_DP_R_REGISTER1
C_INTERCONNECT_M_AXI_DP_B_REGISTER1
C_INTERCONNECT_M_AXI_DC_AR_REGISTER1
C_INTERCONNECT_M_AXI_DC_R_REGISTER1
C_INTERCONNECT_M_AXI_DC_B_REGISTER1
C_INTERCONNECT_M_AXI_IC_AW_REGISTER1
C_INTERCONNECT_M_AXI_IC_AR_REGISTER1
C_INTERCONNECT_M_AXI_IC_W_REGISTER1
C_INTERCONNECT_M_AXI_IC_R_REGISTER1
C_INTERCONNECT_M_AXI_IC_B_REGISTER1
 
+ + + + +
Post Synthesis Device Utilization
+ Device utilization information is not available for this IP. Run platgen to generate synthesis information. +
+
+

+
+
+

+ + +
DebuggersTOP
+
+ + +
+ + + + + + + + + +
+debug_module +   MicroBlaze Debug Module (MDM)
Debug module for MicroBlaze Soft Processor.

+
+ + + + + + + + + + +
IP Specs
CoreVersionDocumentation
mdm2.00.bIP
+

+
debug_module IP Image + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
PORT LIST
These are the ports listed in the MHS file. + + Please refer to the IP documentation for complete information about module ports. +
#NAMEDIR[LSB:MSB]SIGNAL
0S_AXI_ACLKI1clk_50_0000MHzPLL0
1Debug_SYS_RstO1proc_sys_reset_0_MB_Debug_Sys_Rst
Bus Interfaces
 NAME  TYPE BUSSTDBUSConnected To
MBDEBUG_0INITIATORXIL_MBDEBUG3microblaze_0_debugmicroblaze_0
S_AXISLAVEAXIaxi4lite_08 Peripherals.
+

+
+ + + + + + + +
Parameters
+ + These are the current parameter settings for this module. +

+ Parameters marked with + yellow + indicate parameters set by the user. +
+ Parameters marked with + blue + indicate parameters set by the system. + +
+ + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_FAMILYvirtex6
C_JTAG_CHAIN2
C_INTERCONNECT2
C_BASEADDR0x74800000
C_HIGHADDR0x748FFFFF
C_SPLB_AWIDTH32
C_SPLB_DWIDTH32
C_SPLB_P2P0
C_SPLB_MID_WIDTH3
C_SPLB_NUM_MASTERS8
C_SPLB_NATIVE_DWIDTH32
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_SPLB_SUPPORT_BURSTS0
C_MB_DBG_PORTS1
C_USE_UART1
C_S_AXI_ADDR_WIDTH32
C_S_AXI_DATA_WIDTH32
C_S_AXI_PROTOCOLAXI4LITE
C_INTERCONNECT_S_AXI_AW_REGISTER1
C_INTERCONNECT_S_AXI_AR_REGISTER1
C_INTERCONNECT_S_AXI_W_REGISTER1
C_INTERCONNECT_S_AXI_R_REGISTER1
C_INTERCONNECT_S_AXI_B_REGISTER1
+ + + + +
Post Synthesis Device Utilization
+ Device utilization information is not available for this IP. Run platgen to generate synthesis information. +
+
+

+
+
+

+ + +
Interrupt ControllersTOP
+
+ + +
+ + + + + + + + + +
+microblaze_0_intc +   AXI Interrupt Controller
intc core attached to the AXI

+
+ + + + + + + + + + +
IP Specs
CoreVersionDocumentation
axi_intc1.01.aIP
+

+
microblaze_0_intc IP Image + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
PORT LIST
These are the ports listed in the MHS file. + + Please refer to the IP documentation for complete information about module ports. +
#NAMEDIR[LSB:MSB]SIGNAL
0IRQO1microblaze_0_interrupt
1S_AXI_ACLKI1clk_50_0000MHzPLL0
2INTRI1ETHERNET_INTERRUPT & ETHERNET_dma_mm2s_introut & ETHERNET_dma_s2mm_introut & Push_Buttons_4Bits_IP2INTC_Irpt & RS232_Uart_1_Interrupt & axi_timer_0_Interrupt
Bus Interfaces
 NAME  TYPE BUSSTDBUSConnected To
S_AXISLAVEAXIaxi4lite_08 Peripherals.
+Interrupt Priorities
PrioritySIGMODULE
0ETHERNET_INTERRUPTETHERNET
1ETHERNET_dma_mm2s_introutETHERNET_dma
2ETHERNET_dma_s2mm_introutETHERNET_dma
3Push_Buttons_4Bits_IP2INTC_IrptPush_Buttons_4Bits
4RS232_Uart_1_InterruptRS232_Uart_1
5axi_timer_0_Interruptaxi_timer_0
+

+
+ + + + + + + +
Parameters
+ + These are the current parameter settings for this module. +

+ Parameters marked with + yellow + indicate parameters set by the user. +
+ Parameters marked with + blue + indicate parameters set by the system. + +
+ + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_FAMILYvirtex6
C_BASEADDR0x41200000
C_HIGHADDR0x4120FFFF
C_S_AXI_ADDR_WIDTH32
C_S_AXI_DATA_WIDTH32
C_NUM_INTR_INPUTS2
C_KIND_OF_INTR0xFFFFFFFF
C_KIND_OF_EDGE0xFFFFFFFF
C_KIND_OF_LVL0xFFFFFFFF
C_HAS_IPR1
C_HAS_SIE1
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_HAS_CIE1
C_HAS_IVR1
C_IRQ_IS_LEVEL1
C_IRQ_ACTIVE1
C_S_AXI_PROTOCOLAXI4LITE
C_INTERCONNECT_S_AXI_AW_REGISTER1
C_INTERCONNECT_S_AXI_AR_REGISTER1
C_INTERCONNECT_S_AXI_W_REGISTER1
C_INTERCONNECT_S_AXI_R_REGISTER1
C_INTERCONNECT_S_AXI_B_REGISTER1
 
+ + + + +
Post Synthesis Device Utilization
+ Device utilization information is not available for this IP. Run platgen to generate synthesis information. +
+
+

+
+
+

+ + +
BussesTOP
+
+ + + + + + + + +
+ + + + + + + + + +
+axi4_0 +   AXI Interconnect
AXI4 Memory-Mapped Interconnect

+
+ + + + + + + + + + +
IP Specs
CoreVersionDocumentation
axi_interconnect1.02.aIP
+

+
axi4_0 IP Image + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
PORT LIST
These are the ports listed in the MHS file. + + Please refer to the IP documentation for complete information about module ports. +
#NAMEDIR[LSB:MSB]SIGNAL
0interconnect_aclkI1clk_100_0000MHzPLL0
1INTERCONNECT_ARESETNI1proc_sys_reset_0_Interconnect_aresetn
Bus Connections
INSTANCEINTERFACE TYPEINTERFACE NAME
ETHERNET_dmaMASTERM_AXI_SG
ETHERNET_dmaMASTERM_AXI_MM2S
ETHERNET_dmaMASTERM_AXI_S2MM
MCB_DDR3SLAVES0_AXI
+

+
+ + + + + + + +
Parameters
+ + These are the current parameter settings for this module. +

+ Parameters marked with + yellow + indicate parameters set by the user. +
+ Parameters marked with + blue + indicate parameters set by the system. + +
+ + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_FAMILYrtl
C_BASEFAMILYrtl
C_NUM_SLAVE_SLOTS1
C_NUM_MASTER_SLOTS1
C_AXI_ID_WIDTH1
C_AXI_ADDR_WIDTH32
C_AXI_DATA_MAX_WIDTH32
C_S_AXI_DATA_WIDTH0x00000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020
C_M_AXI_DATA_WIDTH0x00000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020
C_INTERCONNECT_DATA_WIDTH32
C_S_AXI_PROTOCOL0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_M_AXI_PROTOCOL0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_M_AXI_BASE_ADDR0xFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF
C_M_AXI_HIGH_ADDR0x0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_S_AXI_BASE_ID0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_S_AXI_THREAD_ID_WIDTH0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_S_AXI_IS_INTERCONNECT0b0000000000000000
C_S_AXI_ACLK_RATIO0x00000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001
C_S_AXI_IS_ACLK_ASYNC0b0000000000000000
C_M_AXI_ACLK_RATIO0x00000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001
C_M_AXI_IS_ACLK_ASYNC0b0000000000000000
C_INTERCONNECT_ACLK_RATIO1
C_S_AXI_SUPPORTS_WRITE0b1111111111111111
C_S_AXI_SUPPORTS_READ0b1111111111111111
C_M_AXI_SUPPORTS_WRITE0b1111111111111111
C_M_AXI_SUPPORTS_READ0b1111111111111111
C_AXI_SUPPORTS_USER_SIGNALS0
C_AXI_AWUSER_WIDTH1
C_AXI_ARUSER_WIDTH1
C_AXI_WUSER_WIDTH1
C_AXI_RUSER_WIDTH1
C_AXI_BUSER_WIDTH1
C_AXI_CONNECTIVITY0xFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF
C_S_AXI_SINGLE_THREAD0b0000000000000000
C_M_AXI_SUPPORTS_REORDERING0b1111111111111111
C_S_AXI_SUPPORTS_NARROW_BURST0b1111111111111111
C_M_AXI_SUPPORTS_NARROW_BURST0b1111111111111111
C_S_AXI_WRITE_ACCEPTANCE0x00000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_S_AXI_READ_ACCEPTANCE0x00000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001
C_M_AXI_WRITE_ISSUING0x00000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001
C_M_AXI_READ_ISSUING0x00000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001
C_S_AXI_ARB_PRIORITY0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_M_AXI_SECURE0b0000000000000000
C_S_AXI_WRITE_FIFO_DEPTH0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_S_AXI_WRITE_FIFO_TYPE0b1111111111111111
C_S_AXI_WRITE_FIFO_DELAY0b0000000000000000
C_S_AXI_READ_FIFO_DEPTH0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_S_AXI_READ_FIFO_TYPE0b1111111111111111
C_S_AXI_READ_FIFO_DELAY0b0000000000000000
C_M_AXI_WRITE_FIFO_DEPTH0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_M_AXI_WRITE_FIFO_TYPE0b1111111111111111
C_M_AXI_WRITE_FIFO_DELAY0b0000000000000000
C_M_AXI_READ_FIFO_DEPTH0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_M_AXI_READ_FIFO_TYPE0b1111111111111111
C_M_AXI_READ_FIFO_DELAY0b0000000000000000
C_S_AXI_AW_REGISTER0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_S_AXI_AR_REGISTER0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_S_AXI_W_REGISTER0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_S_AXI_R_REGISTER0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_S_AXI_B_REGISTER0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_M_AXI_AW_REGISTER0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_M_AXI_AR_REGISTER0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_M_AXI_W_REGISTER0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_M_AXI_R_REGISTER0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_M_AXI_B_REGISTER0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_INTERCONNECT_R_REGISTER0
C_INTERCONNECT_CONNECTIVITY_MODE1
C_USE_CTRL_PORT0
C_USE_INTERRUPT1
C_RANGE_CHECK2
C_S_AXI_CTRL_PROTOCOLAXI4LITE
C_S_AXI_CTRL_ADDR_WIDTH32
C_S_AXI_CTRL_DATA_WIDTH32
C_BASEADDR0xFFFFFFFF
C_HIGHADDR0x00000000
C_DEBUG0
+ + + + +
Post Synthesis Device Utilization
+ Device utilization information is not available for this IP. Run platgen to generate synthesis information. +
+
+

+
+ + + + + + + + + +
+axi4lite_0 +   AXI Interconnect
AXI4 Memory-Mapped Interconnect

+
+ + + + + + + + + + +
IP Specs
CoreVersionDocumentation
axi_interconnect1.02.aIP
+

+
axi4lite_0 IP Image + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
PORT LIST
These are the ports listed in the MHS file. + + Please refer to the IP documentation for complete information about module ports. +
#NAMEDIR[LSB:MSB]SIGNAL
0INTERCONNECT_ARESETNI1proc_sys_reset_0_Interconnect_aresetn
1INTERCONNECT_ACLKI1clk_50_0000MHzPLL0
Bus Connections
INSTANCEINTERFACE TYPEINTERFACE NAME
microblaze_0MASTERM_AXI_DP
debug_moduleSLAVES_AXI
RS232_Uart_1SLAVES_AXI
LEDs_4BitsSLAVES_AXI
Push_Buttons_4BitsSLAVES_AXI
ETHERNETSLAVES_AXI
ETHERNET_dmaSLAVES_AXI_LITE
microblaze_0_intcSLAVES_AXI
axi_timer_0SLAVES_AXI
+

+
+ + + + + + + +
Parameters
+ + These are the current parameter settings for this module. +

+ Parameters marked with + yellow + indicate parameters set by the user. +
+ Parameters marked with + blue + indicate parameters set by the system. + +
+ + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_FAMILYrtl
C_BASEFAMILYrtl
C_NUM_SLAVE_SLOTS1
C_NUM_MASTER_SLOTS1
C_AXI_ID_WIDTH1
C_AXI_ADDR_WIDTH32
C_AXI_DATA_MAX_WIDTH32
C_S_AXI_DATA_WIDTH0x00000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020
C_M_AXI_DATA_WIDTH0x00000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020
C_INTERCONNECT_DATA_WIDTH32
C_S_AXI_PROTOCOL0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_M_AXI_PROTOCOL0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_M_AXI_BASE_ADDR0xFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF
C_M_AXI_HIGH_ADDR0x0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_S_AXI_BASE_ID0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_S_AXI_THREAD_ID_WIDTH0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_S_AXI_IS_INTERCONNECT0b0000000000000000
C_S_AXI_ACLK_RATIO0x00000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001
C_S_AXI_IS_ACLK_ASYNC0b0000000000000000
C_M_AXI_ACLK_RATIO0x00000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001
C_M_AXI_IS_ACLK_ASYNC0b0000000000000000
C_INTERCONNECT_ACLK_RATIO1
C_S_AXI_SUPPORTS_WRITE0b1111111111111111
C_S_AXI_SUPPORTS_READ0b1111111111111111
C_M_AXI_SUPPORTS_WRITE0b1111111111111111
C_M_AXI_SUPPORTS_READ0b1111111111111111
C_AXI_SUPPORTS_USER_SIGNALS0
C_AXI_AWUSER_WIDTH1
C_AXI_ARUSER_WIDTH1
C_AXI_WUSER_WIDTH1
C_AXI_RUSER_WIDTH1
C_AXI_BUSER_WIDTH1
C_AXI_CONNECTIVITY0xFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF
C_S_AXI_SINGLE_THREAD0b0000000000000000
C_M_AXI_SUPPORTS_REORDERING0b1111111111111111
C_S_AXI_SUPPORTS_NARROW_BURST0b1111111111111111
C_M_AXI_SUPPORTS_NARROW_BURST0b1111111111111111
C_S_AXI_WRITE_ACCEPTANCE0x00000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_S_AXI_READ_ACCEPTANCE0x00000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001
C_M_AXI_WRITE_ISSUING0x00000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001
C_M_AXI_READ_ISSUING0x00000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001
C_S_AXI_ARB_PRIORITY0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_M_AXI_SECURE0b0000000000000000
C_S_AXI_WRITE_FIFO_DEPTH0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_S_AXI_WRITE_FIFO_TYPE0b1111111111111111
C_S_AXI_WRITE_FIFO_DELAY0b0000000000000000
C_S_AXI_READ_FIFO_DEPTH0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_S_AXI_READ_FIFO_TYPE0b1111111111111111
C_S_AXI_READ_FIFO_DELAY0b0000000000000000
C_M_AXI_WRITE_FIFO_DEPTH0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_M_AXI_WRITE_FIFO_TYPE0b1111111111111111
C_M_AXI_WRITE_FIFO_DELAY0b0000000000000000
C_M_AXI_READ_FIFO_DEPTH0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_M_AXI_READ_FIFO_TYPE0b1111111111111111
C_M_AXI_READ_FIFO_DELAY0b0000000000000000
C_S_AXI_AW_REGISTER0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_S_AXI_AR_REGISTER0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_S_AXI_W_REGISTER0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_S_AXI_R_REGISTER0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_S_AXI_B_REGISTER0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_M_AXI_AW_REGISTER0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_M_AXI_AR_REGISTER0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_M_AXI_W_REGISTER0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_M_AXI_R_REGISTER0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_M_AXI_B_REGISTER0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_INTERCONNECT_R_REGISTER0
C_INTERCONNECT_CONNECTIVITY_MODE0
C_USE_CTRL_PORT0
C_USE_INTERRUPT1
C_RANGE_CHECK2
C_S_AXI_CTRL_PROTOCOLAXI4LITE
C_S_AXI_CTRL_ADDR_WIDTH32
C_S_AXI_CTRL_DATA_WIDTH32
C_BASEADDR0xFFFFFFFF
C_HIGHADDR0x00000000
C_DEBUG0
+ + + + +
Post Synthesis Device Utilization
+ Device utilization information is not available for this IP. Run platgen to generate synthesis information. +
+
+

+
+ + + + + + + + + +
+microblaze_0_dlmb +   Local Memory Bus (LMB) 1.0
'The LMB is a fast, local bus for connecting MicroBlaze I and D ports to peripherals and BRAM'

+
+ + + + + + + + + + +
IP Specs
CoreVersionDocumentation
lmb_v102.00.aIP
+

+
microblaze_0_dlmb IP Image + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
PORT LIST
These are the ports listed in the MHS file. + + Please refer to the IP documentation for complete information about module ports. +
#NAMEDIR[LSB:MSB]SIGNAL
0SYS_RSTI1proc_sys_reset_0_BUS_STRUCT_RESET
1LMB_CLKI1clk_100_0000MHzPLL0
Bus Connections
INSTANCEINTERFACE TYPEINTERFACE NAME
microblaze_0MASTERDLMB
microblaze_0_d_bram_ctrlSLAVESLMB
+

+
+ + + + + + + + + + + + + + + + + + + + +
Parameters
+ + These are the current parameter settings for this module. +

+ Parameters marked with + yellow + indicate parameters set by the user. +
+ Parameters marked with + blue + indicate parameters set by the system. + +
NameValue
C_LMB_NUM_SLAVES4
C_LMB_AWIDTH32
C_LMB_DWIDTH32
C_EXT_RESET_HIGH1
+ + + + +
Post Synthesis Device Utilization
+ Device utilization information is not available for this IP. Run platgen to generate synthesis information. +
+
+

+
+ + + + + + + + + +
+microblaze_0_ilmb +   Local Memory Bus (LMB) 1.0
'The LMB is a fast, local bus for connecting MicroBlaze I and D ports to peripherals and BRAM'

+
+ + + + + + + + + + +
IP Specs
CoreVersionDocumentation
lmb_v102.00.aIP
+

+
microblaze_0_ilmb IP Image + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
PORT LIST
These are the ports listed in the MHS file. + + Please refer to the IP documentation for complete information about module ports. +
#NAMEDIR[LSB:MSB]SIGNAL
0SYS_RSTI1proc_sys_reset_0_BUS_STRUCT_RESET
1LMB_CLKI1clk_100_0000MHzPLL0
Bus Connections
INSTANCEINTERFACE TYPEINTERFACE NAME
microblaze_0MASTERILMB
microblaze_0_i_bram_ctrlSLAVESLMB
+

+
+ + + + + + + + + + + + + + + + + + + + +
Parameters
+ + These are the current parameter settings for this module. +

+ Parameters marked with + yellow + indicate parameters set by the user. +
+ Parameters marked with + blue + indicate parameters set by the system. + +
NameValue
C_LMB_NUM_SLAVES4
C_LMB_AWIDTH32
C_LMB_DWIDTH32
C_EXT_RESET_HIGH1
+ + + + +
Post Synthesis Device Utilization
+ Device utilization information is not available for this IP. Run platgen to generate synthesis information. +
+
+

+
+
+

+ + +
MemorysTOP
+
+ + +
+ + + + + + + + + +
+microblaze_0_bram_block +   Block RAM (BRAM) Block
The BRAM Block is a configurable memory module that attaches to a variety of BRAM Interface Controllers.

+
+ + + + + + + + + + +
IP Specs
CoreVersionDocumentation
bram_block1.00.aIP
+

+
microblaze_0_bram_block IP Image + + + + + + + + + + + + + + + + + + + + + +
Bus Interfaces
 NAME  TYPE BUSSTDBUSConnected To
PORTATARGETXIL_BRAMmicroblaze_0_i_bram_ctrl_2_microblaze_0_bram_blockmicroblaze_0_i_bram_ctrl
PORTBTARGETXIL_BRAMmicroblaze_0_d_bram_ctrl_2_microblaze_0_bram_blockmicroblaze_0_d_bram_ctrl
+

+
+ + + + + + + + + + + + + + + + + + + + + + + +
Parameters
+ + These are the current parameter settings for this module. +

+ Parameters marked with + yellow + indicate parameters set by the user. +
+ Parameters marked with + blue + indicate parameters set by the system. + +
NameValue
C_MEMSIZE2048
C_PORT_DWIDTH32
C_PORT_AWIDTH32
C_NUM_WE4
C_FAMILYvirtex2
+ + + + +
Post Synthesis Device Utilization
+ Device utilization information is not available for this IP. Run platgen to generate synthesis information. +
+
+

+
+
+

+ + +
Memory ControllersTOP
+
+ + + + + + +
+ + + + + + + + + +
+MCB_DDR3 +   AXI S6 Memory Controller(DDR/DDR2/DDR3)
Spartan-6 memory controller

+
+ + + + + + + + + + +
IP Specs
CoreVersionDocumentation
axi_s6_ddrx1.02.aIP
+

+
MCB_DDR3 IP Image + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
PORT LIST
These are the ports listed in the MHS file. + + Please refer to the IP documentation for complete information about module ports. +
#NAMEDIR[LSB:MSB]SIGNAL
0mcbx_dram_clkO1mcbx_dram_clk
1mcbx_dram_clk_nO1mcbx_dram_clk_n
2mcbx_dram_ckeO1mcbx_dram_cke
3mcbx_dram_odtO1mcbx_dram_odt
4mcbx_dram_ras_nO1mcbx_dram_ras_n
5mcbx_dram_cas_nO1mcbx_dram_cas_n
6mcbx_dram_we_nO1mcbx_dram_we_n
7mcbx_dram_udmO1mcbx_dram_udm
8mcbx_dram_ldmO1mcbx_dram_ldm
9mcbx_dram_baO1mcbx_dram_ba
10mcbx_dram_addrO1mcbx_dram_addr
11mcbx_dram_ddr3_rstO1mcbx_dram_ddr3_rst
12mcbx_dram_dqIO1mcbx_dram_dq
13mcbx_dram_dqsIO1mcbx_dram_dqs
14mcbx_dram_dqs_nIO1mcbx_dram_dqs_n
15mcbx_dram_udqsIO1mcbx_dram_udqs
16mcbx_dram_udqs_nIO1mcbx_dram_udqs_n
17rzqIO1rzq
18zioIO1zio
19s0_axi_aclkI1clk_100_0000MHzPLL0
20ui_clkI1clk_100_0000MHzPLL0
21sysclk_2xI1clk_600_0000MHzPLL0_nobuf
22sysclk_2x_180I1clk_600_0000MHz180PLL0_nobuf
23SYS_RSTI1proc_sys_reset_0_BUS_STRUCT_RESET
24PLL_LOCKI1proc_sys_reset_0_Dcm_locked
Bus Interfaces
 NAME  TYPE BUSSTDBUSConnected To
S0_AXISLAVEAXIaxi4_0ETHERNET_dma
+

+
+ + + + + + + +
Parameters
+ + These are the current parameter settings for this module. +

+ Parameters marked with + yellow + indicate parameters set by the user. +
+ Parameters marked with + blue + indicate parameters set by the system. + +
+ + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_MCB_LOCMEMC3
C_MCB_RZQ_LOCK7
C_MCB_ZIO_LOCR7
C_MCB_PERFORMANCESTANDARD
C_BYPASS_CORE_UCF0
C_S0_AXI_BASEADDR0x80000000
C_S0_AXI_HIGHADDR0x87FFFFFF
C_S1_AXI_BASEADDR0xFFFFFFFF
C_S1_AXI_HIGHADDR0x00000000
C_S2_AXI_BASEADDR0xFFFFFFFF
C_S2_AXI_HIGHADDR0x00000000
C_S3_AXI_BASEADDR0xFFFFFFFF
C_S3_AXI_HIGHADDR0x00000000
C_S4_AXI_BASEADDR0xFFFFFFFF
C_S4_AXI_HIGHADDR0x00000000
C_S5_AXI_BASEADDR0xFFFFFFFF
C_S5_AXI_HIGHADDR0x00000000
C_MEM_TYPEDDR3
C_MEM_PARTNOMT41J64M16XX-187E
C_MEM_BASEPARTNONOT_SET
C_NUM_DQ_PINS16
C_MEM_ADDR_WIDTH13
C_MEM_BANKADDR_WIDTH3
C_MEM_NUM_COL_BITS10
C_MEM_TRAS-1
C_MEM_TRCD-1
C_MEM_TREFI-1
C_MEM_TRFC-1
C_MEM_TRP-1
C_MEM_TWR-1
C_MEM_TRTP-1
C_MEM_TWTR-1
C_PORT_CONFIGB32_B32_B32_B32
C_SKIP_IN_TERM_CAL0
C_SKIP_IN_TERM_CAL_VALUENONE
C_MEMCLK_PERIOD0
C_MEM_ADDR_ORDERROW_BANK_COLUMN
C_MEM_TZQINIT_MAXCNT512
C_MEM_CAS_LATENCY6
C_SIMULATIONFALSE
C_MEM_DDR1_2_ODSFULL
C_MEM_DDR1_2_ADDR_CONTROL_SSTL_ODSCLASS_II
C_MEM_DDR1_2_DATA_CONTROL_SSTL_ODSCLASS_II
C_MEM_DDR2_RTT150OHMS
C_MEM_DDR2_DIFF_DQS_ENYES
C_MEM_DDR2_3_PA_SRFULL
C_MEM_DDR2_3_HIGH_TEMP_SRNORMAL
C_MEM_DDR3_CAS_WR_LATENCY5
C_MEM_DDR3_CAS_LATENCY6
C_MEM_DDR3_ODSDIV6
C_MEM_DDR3_RTTDIV4
C_MEM_DDR3_AUTO_SRENABLED
C_MEM_MOBILE_PA_SRFULL
C_MEM_MDDR_ODSFULL
C_ARB_ALGORITHM0
C_ARB_NUM_TIME_SLOTS12
C_ARB_TIME_SLOT_00b000000000001010011
C_ARB_TIME_SLOT_10b000000001010011000
C_ARB_TIME_SLOT_20b000000010011000001
C_ARB_TIME_SLOT_30b000000011000001010
C_ARB_TIME_SLOT_40b000000000001010011
C_ARB_TIME_SLOT_50b000000001010011000
C_ARB_TIME_SLOT_60b000000010011000001
C_ARB_TIME_SLOT_70b000000011000001010
C_ARB_TIME_SLOT_80b000000000001010011
C_ARB_TIME_SLOT_90b000000001010011000
C_ARB_TIME_SLOT_100b000000010011000001
C_ARB_TIME_SLOT_110b000000011000001010
C_S0_AXI_ENABLE1
C_S0_AXI_PROTOCOLAXI4
C_S0_AXI_ID_WIDTH4
C_S0_AXI_ADDR_WIDTH32
C_S0_AXI_DATA_WIDTH32
C_S0_AXI_SUPPORTS_READ1
C_S0_AXI_SUPPORTS_WRITE1
C_S0_AXI_SUPPORTS_NARROW_BURST1
C_S0_AXI_REG_EN00x00000
C_S0_AXI_REG_EN10x01000
C_S0_AXI_STRICT_COHERENCY0
C_S0_AXI_ENABLE_AP0
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_INTERCONNECT_S0_AXI_READ_ACCEPTANCE4
C_INTERCONNECT_S0_AXI_WRITE_ACCEPTANCE4
C_S1_AXI_ENABLE0
C_S1_AXI_PROTOCOLAXI4
C_S1_AXI_ID_WIDTH4
C_S1_AXI_ADDR_WIDTH32
C_S1_AXI_DATA_WIDTH32
C_S1_AXI_SUPPORTS_READ1
C_S1_AXI_SUPPORTS_WRITE1
C_S1_AXI_SUPPORTS_NARROW_BURST1
C_S1_AXI_REG_EN00x00000
C_S1_AXI_REG_EN10x01000
C_S1_AXI_STRICT_COHERENCY1
C_S1_AXI_ENABLE_AP0
C_INTERCONNECT_S1_AXI_READ_ACCEPTANCE4
C_INTERCONNECT_S1_AXI_WRITE_ACCEPTANCE4
C_S2_AXI_ENABLE0
C_S2_AXI_PROTOCOLAXI4
C_S2_AXI_ID_WIDTH4
C_S2_AXI_ADDR_WIDTH32
C_S2_AXI_DATA_WIDTH32
C_S2_AXI_SUPPORTS_READ1
C_S2_AXI_SUPPORTS_WRITE1
C_S2_AXI_SUPPORTS_NARROW_BURST1
C_S2_AXI_REG_EN00x00000
C_S2_AXI_REG_EN10x01000
C_S2_AXI_STRICT_COHERENCY1
C_S2_AXI_ENABLE_AP0
C_INTERCONNECT_S2_AXI_READ_ACCEPTANCE4
C_INTERCONNECT_S2_AXI_WRITE_ACCEPTANCE4
C_S3_AXI_ENABLE0
C_S3_AXI_PROTOCOLAXI4
C_S3_AXI_ID_WIDTH4
C_S3_AXI_ADDR_WIDTH32
C_S3_AXI_DATA_WIDTH32
C_S3_AXI_SUPPORTS_READ1
C_S3_AXI_SUPPORTS_WRITE1
C_S3_AXI_SUPPORTS_NARROW_BURST1
C_S3_AXI_REG_EN00x00000
C_S3_AXI_REG_EN10x01000
C_S3_AXI_STRICT_COHERENCY1
C_S3_AXI_ENABLE_AP0
C_INTERCONNECT_S3_AXI_READ_ACCEPTANCE4
C_INTERCONNECT_S3_AXI_WRITE_ACCEPTANCE4
C_S4_AXI_ENABLE0
C_S4_AXI_PROTOCOLAXI4
C_S4_AXI_ID_WIDTH4
C_S4_AXI_ADDR_WIDTH32
C_S4_AXI_DATA_WIDTH32
C_S4_AXI_SUPPORTS_READ1
C_S4_AXI_SUPPORTS_WRITE1
C_S4_AXI_SUPPORTS_NARROW_BURST1
C_S4_AXI_REG_EN00x00000
C_S4_AXI_REG_EN10x01000
C_S4_AXI_STRICT_COHERENCY1
C_S4_AXI_ENABLE_AP0
C_INTERCONNECT_S4_AXI_READ_ACCEPTANCE4
C_INTERCONNECT_S4_AXI_WRITE_ACCEPTANCE4
C_S5_AXI_ENABLE0
C_S5_AXI_PROTOCOLAXI4
C_S5_AXI_ID_WIDTH4
C_S5_AXI_ADDR_WIDTH32
C_S5_AXI_DATA_WIDTH32
C_S5_AXI_SUPPORTS_READ1
C_S5_AXI_SUPPORTS_WRITE1
C_S5_AXI_SUPPORTS_NARROW_BURST1
C_S5_AXI_REG_EN00x00000
C_S5_AXI_REG_EN10x01000
C_S5_AXI_STRICT_COHERENCY1
C_S5_AXI_ENABLE_AP0
C_INTERCONNECT_S5_AXI_READ_ACCEPTANCE4
C_INTERCONNECT_S5_AXI_WRITE_ACCEPTANCE4
C_MCB_USE_EXTERNAL_BUFPLL0
C_SYS_RST_PRESENT0
C_INTERCONNECT_S0_AXI_MASTERSETHERNET_dma.M_AXI_SG & ETHERNET_dma.M_AXI_MM2S & ETHERNET_dma.M_AXI_S2MM
C_INTERCONNECT_S0_AXI_AW_REGISTER1
C_INTERCONNECT_S0_AXI_AR_REGISTER1
C_INTERCONNECT_S0_AXI_W_REGISTER1
C_INTERCONNECT_S0_AXI_R_REGISTER1
C_INTERCONNECT_S0_AXI_B_REGISTER1
+ + + + +
Post Synthesis Device Utilization
+ Device utilization information is not available for this IP. Run platgen to generate synthesis information. +
+
+

+
+ + + + + + + + + +
+microblaze_0_d_bram_ctrl +   LMB BRAM Controller
Local Memory Bus (LMB) Block RAM (BRAM) Interface Controller connects to an lmb bus

+
+ + + + + + + + + + +
IP Specs
CoreVersionDocumentation
lmb_bram_if_cntlr3.00.aIP
+

+
microblaze_0_d_bram_ctrl IP Image + + + + + + + + + + + + + + + + + + + + + +
Bus Interfaces
 NAME  TYPE BUSSTDBUSConnected To
BRAM_PORTINITIATORXIL_BRAMmicroblaze_0_d_bram_ctrl_2_microblaze_0_bram_blockmicroblaze_0_bram_block
SLMBSLAVELMBmicroblaze_0_dlmbmicroblaze_0
+

+
+ + + + + + + +
Parameters
+ + These are the current parameter settings for this module. +

+ Parameters marked with + yellow + indicate parameters set by the user. +
+ Parameters marked with + blue + indicate parameters set by the system. + +
+ + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_BASEADDR0x00000000
C_HIGHADDR0x00001FFF
C_FAMILYvirtex5
C_MASK0x00800000
C_LMB_AWIDTH32
C_LMB_DWIDTH32
C_ECC0
C_INTERCONNECT0
C_FAULT_INJECT0
C_CE_FAILING_REGISTERS0
C_UE_FAILING_REGISTERS0
C_ECC_STATUS_REGISTERS0
C_ECC_ONOFF_REGISTER0
C_ECC_ONOFF_RESET_VALUE1
C_CE_COUNTER_WIDTH0
C_WRITE_ACCESS2
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_SPLB_CTRL_BASEADDR0xFFFFFFFF
C_SPLB_CTRL_HIGHADDR0x00000000
C_SPLB_CTRL_AWIDTH32
C_SPLB_CTRL_DWIDTH32
C_SPLB_CTRL_P2P0
C_SPLB_CTRL_MID_WIDTH1
C_SPLB_CTRL_NUM_MASTERS1
C_SPLB_CTRL_SUPPORT_BURSTS0
C_SPLB_CTRL_NATIVE_DWIDTH32
C_SPLB_CTRL_CLK_FREQ_HZ100000000
C_S_AXI_CTRL_ACLK_FREQ_HZ100000000
C_S_AXI_CTRL_BASEADDR0xFFFFFFFF
C_S_AXI_CTRL_HIGHADDR0x00000000
C_S_AXI_CTRL_ADDR_WIDTH32
C_S_AXI_CTRL_DATA_WIDTH32
C_S_AXI_CTRL_PROTOCOLAXI4LITE
+ + + + +
Post Synthesis Device Utilization
+ Device utilization information is not available for this IP. Run platgen to generate synthesis information. +
+
+

+
+ + + + + + + + + +
+microblaze_0_i_bram_ctrl +   LMB BRAM Controller
Local Memory Bus (LMB) Block RAM (BRAM) Interface Controller connects to an lmb bus

+
+ + + + + + + + + + +
IP Specs
CoreVersionDocumentation
lmb_bram_if_cntlr3.00.aIP
+

+
microblaze_0_i_bram_ctrl IP Image + + + + + + + + + + + + + + + + + + + + + +
Bus Interfaces
 NAME  TYPE BUSSTDBUSConnected To
BRAM_PORTINITIATORXIL_BRAMmicroblaze_0_i_bram_ctrl_2_microblaze_0_bram_blockmicroblaze_0_bram_block
SLMBSLAVELMBmicroblaze_0_ilmbmicroblaze_0
+

+
+ + + + + + + +
Parameters
+ + These are the current parameter settings for this module. +

+ Parameters marked with + yellow + indicate parameters set by the user. +
+ Parameters marked with + blue + indicate parameters set by the system. + +
+ + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_BASEADDR0x00000000
C_HIGHADDR0x00001FFF
C_FAMILYvirtex5
C_MASK0x00800000
C_LMB_AWIDTH32
C_LMB_DWIDTH32
C_ECC0
C_INTERCONNECT0
C_FAULT_INJECT0
C_CE_FAILING_REGISTERS0
C_UE_FAILING_REGISTERS0
C_ECC_STATUS_REGISTERS0
C_ECC_ONOFF_REGISTER0
C_ECC_ONOFF_RESET_VALUE1
C_CE_COUNTER_WIDTH0
C_WRITE_ACCESS2
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_SPLB_CTRL_BASEADDR0xFFFFFFFF
C_SPLB_CTRL_HIGHADDR0x00000000
C_SPLB_CTRL_AWIDTH32
C_SPLB_CTRL_DWIDTH32
C_SPLB_CTRL_P2P0
C_SPLB_CTRL_MID_WIDTH1
C_SPLB_CTRL_NUM_MASTERS1
C_SPLB_CTRL_SUPPORT_BURSTS0
C_SPLB_CTRL_NATIVE_DWIDTH32
C_SPLB_CTRL_CLK_FREQ_HZ100000000
C_S_AXI_CTRL_ACLK_FREQ_HZ100000000
C_S_AXI_CTRL_BASEADDR0xFFFFFFFF
C_S_AXI_CTRL_HIGHADDR0x00000000
C_S_AXI_CTRL_ADDR_WIDTH32
C_S_AXI_CTRL_DATA_WIDTH32
C_S_AXI_CTRL_PROTOCOLAXI4LITE
+ + + + +
Post Synthesis Device Utilization
+ Device utilization information is not available for this IP. Run platgen to generate synthesis information. +
+
+

+
+
+

+ + +
PeripheralsTOP
+
+ + + + + + + + + + + + +
+ + + + + + + + + +
+ETHERNET +   AXI Ethernet
AXI Ethernet MAC

+
+ + + + + + + + + + +
IP Specs
CoreVersionDocumentation
axi_ethernet2.01.aIP
+

+
ETHERNET IP Image + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
PORT LIST
These are the ports listed in the MHS file. + + Please refer to the IP documentation for complete information about module ports. +
#NAMEDIR[LSB:MSB]SIGNAL
0MDIOIO1ETHERNET_MDIO
1MDCO1ETHERNET_MDC
2GMII_TX_ERO1ETHERNET_TX_ER
3GMII_TXDO1ETHERNET_TXD
4GMII_TX_ENO1ETHERNET_TX_EN
5MII_TX_CLKI1ETHERNET_MII_TX_CLK
6GMII_TX_CLKO1ETHERNET_TX_CLK
7GMII_RXDI1ETHERNET_RXD
8GMII_RX_ERI1ETHERNET_RX_ER
9GMII_RX_CLKI1ETHERNET_RX_CLK
10GMII_RX_DVI1ETHERNET_RX_DV
11PHY_RST_NO1ETHERNET_PHY_RST_N
12S_AXI_ACLKI1clk_50_0000MHzPLL0
13GTX_CLKI1clk_125_0000MHz
14REF_CLKI1clk_200_0000MHzPLL0
15AXI_STR_TXD_ACLKI1clk_100_0000MHzPLL0
16AXI_STR_TXC_ACLKI1clk_100_0000MHzPLL0
17AXI_STR_RXD_ACLKI1clk_100_0000MHzPLL0
18AXI_STR_RXS_ACLKI1clk_100_0000MHzPLL0
19AXI_STR_TXD_ARESETNI1AXI_STR_TXD_ARESETN
20AXI_STR_TXC_ARESETNI1AXI_STR_TXC_ARESETN
21AXI_STR_RXD_ARESETNI1AXI_STR_RXD_ARESETN
22AXI_STR_RXS_ARESETNI1AXI_STR_RXS_ARESETN
23INTERRUPTO1ETHERNET_INTERRUPT
Bus Interfaces
 NAME  TYPE BUSSTDBUSConnected To
AXI_STR_RXSINITIATORAXISETHERNET_dma_rxsETHERNET_dma
AXI_STR_RXDINITIATORAXISETHERNET_dma_rxdETHERNET_dma
S_AXISLAVEAXIaxi4lite_08 Peripherals.
AXI_STR_TXDTARGETAXISETHERNET_dma_txdETHERNET_dma
AXI_STR_TXCTARGETAXISETHERNET_dma_txcETHERNET_dma
+

+
+ + + + + + + +
Parameters
+ + These are the current parameter settings for this module. +

+ Parameters marked with + yellow + indicate parameters set by the user. +
+ Parameters marked with + blue + indicate parameters set by the system. + +
+ + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_S_AXI_PROTOCOLAXI4LITE
C_AXI_STR_TXC_TDATA_WIDTH32
C_AXI_STR_TXD_TDATA_WIDTH32
C_AXI_STR_RXS_TDATA_WIDTH32
C_AXI_STR_RXD_TDATA_WIDTH32
C_AXI_STR_TXC_PROTOCOLXIL_AXI_STREAM_ETH_CTRL
C_AXI_STR_TXD_PROTOCOLXIL_AXI_STREAM_ETH_DATA
C_AXI_STR_RXS_PROTOCOLXIL_AXI_STREAM_ETH_CTRL
C_AXI_STR_RXD_PROTOCOLXIL_AXI_STREAM_ETH_DATA
C_AXI_STR_AVBTX_PROTOCOLXIL_AXI_STREAM_ETH_AVB_TX
C_AXI_STR_AVBRX_PROTOCOLXIL_AXI_STREAM_ETH_AVB_RX
C_FAMILYvirtex6
C_S_AXI_ACLK_FREQ_HZ100000000
C_BASEADDR0x41240000
C_HIGHADDR0x4127FFFF
C_S_AXI_ADDR_WIDTH32
C_S_AXI_DATA_WIDTH32
C_S_AXI_ID_WIDTH4
C_TRANSA
C_PHYADDR0B00001
C_INCLUDE_IO1
C_TYPE1
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_PHY_TYPE1
C_HALFDUP0
C_TXMEM4096
C_RXMEM4096
C_TXCSUM0
C_RXCSUM0
C_TXVLAN_TRAN0
C_RXVLAN_TRAN0
C_TXVLAN_TAG0
C_RXVLAN_TAG0
C_TXVLAN_STRP0
C_RXVLAN_STRP0
C_MCAST_EXTEND0
C_STATS0
C_AVB0
C_SIMULATION0
C_INTERCONNECT_S_AXI_IS_ACLK_ASYNC0
C_INTERCONNECT_S_AXI_AW_REGISTER1
C_INTERCONNECT_S_AXI_AR_REGISTER1
C_INTERCONNECT_S_AXI_W_REGISTER1
C_INTERCONNECT_S_AXI_R_REGISTER1
C_INTERCONNECT_S_AXI_B_REGISTER1
+ + + + +
Post Synthesis Device Utilization
+ Device utilization information is not available for this IP. Run platgen to generate synthesis information. +
+
+

+
+ + + + + + + + + +
+ETHERNET_dma +   AXI DMA Engine
AXI MemoryMap to/from AXI Stream Direct Memory Access Engine

+
+ + + + + + + + + + +
IP Specs
CoreVersionDocumentation
axi_dma3.00.aIP
+

+
ETHERNET_dma IP Image + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
PORT LIST
These are the ports listed in the MHS file. + + Please refer to the IP documentation for complete information about module ports. +
#NAMEDIR[LSB:MSB]SIGNAL
0s_axi_lite_aclkI1clk_100_0000MHzPLL0
1m_axi_sg_aclkI1clk_100_0000MHzPLL0
2m_axi_mm2s_aclkI1clk_100_0000MHzPLL0
3m_axi_s2mm_aclkI1clk_100_0000MHzPLL0
4mm2s_prmry_reset_out_nO1AXI_STR_TXD_ARESETN
5mm2s_cntrl_reset_out_nO1AXI_STR_TXC_ARESETN
6s2mm_prmry_reset_out_nO1AXI_STR_RXD_ARESETN
7s2mm_sts_reset_out_nO1AXI_STR_RXS_ARESETN
8mm2s_introutO1ETHERNET_dma_mm2s_introut
9s2mm_introutO1ETHERNET_dma_s2mm_introut
Bus Interfaces
 NAME  TYPE BUSSTDBUSConnected To
M_AXIS_MM2SINITIATORAXISETHERNET_dma_txdETHERNET
M_AXIS_CNTRLINITIATORAXISETHERNET_dma_txcETHERNET
M_AXI_SGMASTERAXIaxi4_0MCB_DDR3
M_AXI_MM2SMASTERAXIaxi4_0MCB_DDR3
M_AXI_S2MMMASTERAXIaxi4_0MCB_DDR3
S_AXI_LITESLAVEAXIaxi4lite_08 Peripherals.
S_AXIS_STSTARGETAXISETHERNET_dma_rxsETHERNET
S_AXIS_S2MMTARGETAXISETHERNET_dma_rxdETHERNET
+

+
+ + + + + + + +
Parameters
+ + These are the current parameter settings for this module. +

+ Parameters marked with + yellow + indicate parameters set by the user. +
+ Parameters marked with + blue + indicate parameters set by the system. + +
+ + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_S_AXI_LITE_ADDR_WIDTH32
C_S_AXI_LITE_DATA_WIDTH32
C_DLYTMR_RESOLUTION1250
C_PRMRY_IS_ACLK_ASYNC0
C_SG_INCLUDE_DESC_QUEUE1
C_SG_INCLUDE_STSCNTRL_STRM1
C_SG_USE_STSAPP_LENGTH1
C_SG_LENGTH_WIDTH16
C_M_AXI_SG_ADDR_WIDTH32
C_M_AXI_SG_DATA_WIDTH32
C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH32
C_S_AXIS_S2MM_STS_TDATA_WIDTH32
C_INCLUDE_MM2S1
C_INCLUDE_MM2S_DRE1
C_MM2S_BURST_SIZE16
C_M_AXI_MM2S_ADDR_WIDTH32
C_M_AXI_MM2S_DATA_WIDTH32
C_M_AXIS_MM2S_TDATA_WIDTH32
C_INCLUDE_S2MM1
C_INCLUDE_S2MM_DRE1
C_S2MM_BURST_SIZE16
C_M_AXI_S2MM_ADDR_WIDTH32
C_M_AXI_S2MM_DATA_WIDTH32
C_S_AXIS_S2MM_TDATA_WIDTH32
C_FAMILYvirtex6
C_BASEADDR0x41E00000
C_HIGHADDR0x41E0FFFF
C_S_AXI_LITE_ACLK_FREQ_HZ100000000
C_M_AXI_SG_ACLK_FREQ_HZ100000000
C_M_AXI_MM2S_ACLK_FREQ_HZ100000000
C_M_AXI_S2MM_ACLK_FREQ_HZ100000000
C_S_AXI_LITE_PROTOCOLAXI4LITE
C_S_AXI_LITE_SUPPORTS_READ1
C_S_AXI_LITE_SUPPORTS_WRITE1
C_M_AXI_SG_PROTOCOLAXI4
C_M_AXI_SG_SUPPORTS_THREADS0
C_M_AXI_SG_THREAD_ID_WIDTH1
C_M_AXI_SG_SUPPORTS_NARROW_BURST0
C_M_AXI_SG_SUPPORTS_READ1
C_M_AXI_SG_SUPPORTS_WRITE1
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_M_AXI_MM2S_PROTOCOLAXI4
C_M_AXI_MM2S_SUPPORTS_THREADS0
C_M_AXI_MM2S_THREAD_ID_WIDTH1
C_M_AXI_MM2S_SUPPORTS_NARROW_BURST0
C_M_AXI_MM2S_SUPPORTS_READ1
C_M_AXI_MM2S_SUPPORTS_WRITE0
C_INTERCONNECT_M_AXI_MM2S_READ_ISSUING4
C_INTERCONNECT_M_AXI_MM2S_READ_FIFO_DEPTH512
C_M_AXI_S2MM_PROTOCOLAXI4
C_M_AXI_S2MM_SUPPORTS_THREADS0
C_M_AXI_S2MM_THREAD_ID_WIDTH1
C_M_AXI_S2MM_SUPPORTS_NARROW_BURST0
C_M_AXI_S2MM_SUPPORTS_WRITE1
C_M_AXI_S2MM_SUPPORTS_READ0
C_INTERCONNECT_M_AXI_S2MM_WRITE_ISSUING4
C_INTERCONNECT_M_AXI_S2MM_WRITE_FIFO_DEPTH512
C_M_AXIS_MM2S_PROTOCOLXIL_AXI_STREAM_ETH_DATA
C_S_AXIS_S2MM_PROTOCOLXIL_AXI_STREAM_ETH_DATA
C_M_AXIS_CNTRL_PROTOCOLXIL_AXI_STREAM_ETH_CTRL
C_S_AXIS_STS_PROTOCOLXIL_AXI_STREAM_ETH_CTRL
C_INTERCONNECT_S_AXI_LITE_AW_REGISTER1
C_INTERCONNECT_S_AXI_LITE_AR_REGISTER1
C_INTERCONNECT_S_AXI_LITE_W_REGISTER1
C_INTERCONNECT_S_AXI_LITE_R_REGISTER1
C_INTERCONNECT_S_AXI_LITE_B_REGISTER1
C_INTERCONNECT_M_AXI_SG_AW_REGISTER1
C_INTERCONNECT_M_AXI_SG_AR_REGISTER1
C_INTERCONNECT_M_AXI_SG_W_REGISTER1
C_INTERCONNECT_M_AXI_SG_R_REGISTER1
C_INTERCONNECT_M_AXI_SG_B_REGISTER1
C_INTERCONNECT_M_AXI_MM2S_AW_REGISTER1
C_INTERCONNECT_M_AXI_MM2S_AR_REGISTER1
C_INTERCONNECT_M_AXI_MM2S_W_REGISTER1
C_INTERCONNECT_M_AXI_MM2S_R_REGISTER1
C_INTERCONNECT_M_AXI_MM2S_B_REGISTER1
C_INTERCONNECT_M_AXI_S2MM_AW_REGISTER1
C_INTERCONNECT_M_AXI_S2MM_AR_REGISTER1
C_INTERCONNECT_M_AXI_S2MM_W_REGISTER1
C_INTERCONNECT_M_AXI_S2MM_R_REGISTER1
C_INTERCONNECT_M_AXI_S2MM_B_REGISTER1
+ + + + +
Post Synthesis Device Utilization
+ Device utilization information is not available for this IP. Run platgen to generate synthesis information. +
+
+

+
+ + + + + + + + + +
+LEDs_4Bits +   AXI General Purpose IO
General Purpose Input/Output (GPIO) core for the AXI bus.

+
+ + + + + + + + + + +
IP Specs
CoreVersionDocumentation
axi_gpio1.01.aIP
+

+
LEDs_4Bits IP Image + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
PORT LIST
These are the ports listed in the MHS file. + + Please refer to the IP documentation for complete information about module ports. +
#NAMEDIR[LSB:MSB]SIGNAL
0GPIO_IO_OO1LEDs_4Bits_TRI_O
1S_AXI_ACLKI1clk_50_0000MHzPLL0
Bus Interfaces
 NAME  TYPE BUSSTDBUSConnected To
S_AXISLAVEAXIaxi4lite_08 Peripherals.
+

+
+ + + + + + + +
Parameters
+ + These are the current parameter settings for this module. +

+ Parameters marked with + yellow + indicate parameters set by the user. +
+ Parameters marked with + blue + indicate parameters set by the system. + +
+ + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_FAMILYvirtex6
C_BASEADDR0x40020000
C_HIGHADDR0x4002FFFF
C_S_AXI_ADDR_WIDTH32
C_S_AXI_DATA_WIDTH32
C_GPIO_WIDTH4
C_GPIO2_WIDTH32
C_ALL_INPUTS0
C_ALL_INPUTS_20
C_INTERRUPT_PRESENT0
C_DOUT_DEFAULT0x00000000
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_TRI_DEFAULT0xFFFFFFFF
C_IS_DUAL0
C_DOUT_DEFAULT_20x00000000
C_TRI_DEFAULT_20xFFFFFFFF
C_S_AXI_PROTOCOLAXI4LITE
C_INTERCONNECT_S_AXI_AW_REGISTER1
C_INTERCONNECT_S_AXI_AR_REGISTER1
C_INTERCONNECT_S_AXI_W_REGISTER1
C_INTERCONNECT_S_AXI_R_REGISTER1
C_INTERCONNECT_S_AXI_B_REGISTER1
 
+ + + + +
Post Synthesis Device Utilization
+ Device utilization information is not available for this IP. Run platgen to generate synthesis information. +
+
+

+
+ + + + + + + + + +
+Push_Buttons_4Bits +   AXI General Purpose IO
General Purpose Input/Output (GPIO) core for the AXI bus.

+
+ + + + + + + + + + +
IP Specs
CoreVersionDocumentation
axi_gpio1.01.aIP
+

+
Push_Buttons_4Bits IP Image + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
PORT LIST
These are the ports listed in the MHS file. + + Please refer to the IP documentation for complete information about module ports. +
#NAMEDIR[LSB:MSB]SIGNAL
0GPIO_IO_II1Push_Buttons_4Bits_TRI_I
1S_AXI_ACLKI1clk_50_0000MHzPLL0
2IP2INTC_IrptO1Push_Buttons_4Bits_IP2INTC_Irpt
Bus Interfaces
 NAME  TYPE BUSSTDBUSConnected To
S_AXISLAVEAXIaxi4lite_08 Peripherals.
+

+
+ + + + + + + +
Parameters
+ + These are the current parameter settings for this module. +

+ Parameters marked with + yellow + indicate parameters set by the user. +
+ Parameters marked with + blue + indicate parameters set by the system. + +
+ + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_FAMILYvirtex6
C_BASEADDR0x40000000
C_HIGHADDR0x4000FFFF
C_S_AXI_ADDR_WIDTH32
C_S_AXI_DATA_WIDTH32
C_GPIO_WIDTH4
C_GPIO2_WIDTH32
C_ALL_INPUTS1
C_ALL_INPUTS_20
C_INTERRUPT_PRESENT1
C_DOUT_DEFAULT0x00000000
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_TRI_DEFAULT0xFFFFFFFF
C_IS_DUAL0
C_DOUT_DEFAULT_20x00000000
C_TRI_DEFAULT_20xFFFFFFFF
C_S_AXI_PROTOCOLAXI4LITE
C_INTERCONNECT_S_AXI_AW_REGISTER1
C_INTERCONNECT_S_AXI_AR_REGISTER1
C_INTERCONNECT_S_AXI_W_REGISTER1
C_INTERCONNECT_S_AXI_R_REGISTER1
C_INTERCONNECT_S_AXI_B_REGISTER1
 
+ + + + +
Post Synthesis Device Utilization
+ Device utilization information is not available for this IP. Run platgen to generate synthesis information. +
+
+

+
+ + + + + + + + + +
+RS232_Uart_1 +   AXI UART (Lite)
Generic UART (Universal Asynchronous Receiver/Transmitter) for AXI.

+
+ + + + + + + + + + +
IP Specs
CoreVersionDocumentation
axi_uartlite1.01.aIP
+

+
RS232_Uart_1 IP Image + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
PORT LIST
These are the ports listed in the MHS file. + + Please refer to the IP documentation for complete information about module ports. +
#NAMEDIR[LSB:MSB]SIGNAL
0TXO1RS232_Uart_1_sout
1RXI1RS232_Uart_1_sin
2S_AXI_ACLKI1clk_50_0000MHzPLL0
3InterruptO1RS232_Uart_1_Interrupt
Bus Interfaces
 NAME  TYPE BUSSTDBUSConnected To
S_AXISLAVEAXIaxi4lite_08 Peripherals.
+

+
+ + + + + + + +
Parameters
+ + These are the current parameter settings for this module. +

+ Parameters marked with + yellow + indicate parameters set by the user. +
+ Parameters marked with + blue + indicate parameters set by the system. + +
+ + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_FAMILYvirtex6
C_S_AXI_ACLK_FREQ_HZ100000000
C_BASEADDR0x40600000
C_HIGHADDR0x4060FFFF
C_S_AXI_ADDR_WIDTH32
C_S_AXI_DATA_WIDTH32
C_BAUDRATE115200
C_DATA_BITS8
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_USE_PARITY0
C_ODD_PARITY1
C_S_AXI_PROTOCOLAXI4LITE
C_INTERCONNECT_S_AXI_AW_REGISTER1
C_INTERCONNECT_S_AXI_AR_REGISTER1
C_INTERCONNECT_S_AXI_W_REGISTER1
C_INTERCONNECT_S_AXI_R_REGISTER1
C_INTERCONNECT_S_AXI_B_REGISTER1
+ + + + +
Post Synthesis Device Utilization
+ Device utilization information is not available for this IP. Run platgen to generate synthesis information. +
+
+

+
+ + + + + + + + + +
+axi_timer_0 +   AXI Timer/Counter
Timer counter with AXI interface

+
+ + + + + + + + + + +
IP Specs
CoreVersionDocumentation
axi_timer1.01.aIP
+

+
axi_timer_0 IP Image + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
PORT LIST
These are the ports listed in the MHS file. + + Please refer to the IP documentation for complete information about module ports. +
#NAMEDIR[LSB:MSB]SIGNAL
0S_AXI_ACLKI1clk_50_0000MHzPLL0
1InterruptO1axi_timer_0_Interrupt
Bus Interfaces
 NAME  TYPE BUSSTDBUSConnected To
S_AXISLAVEAXIaxi4lite_08 Peripherals.
+

+
+ + + + + + + +
Parameters
+ + These are the current parameter settings for this module. +

+ Parameters marked with + yellow + indicate parameters set by the user. +
+ Parameters marked with + blue + indicate parameters set by the system. + +
+ + + +
+ + + + + + + + + + + + + + + + + + + + +
NameValue
C_S_AXI_PROTOCOLAXI4LITE
C_FAMILYvirtex6
C_COUNT_WIDTH32
C_ONE_TIMER_ONLY0
C_TRIG0_ASSERT1
C_TRIG1_ASSERT1
 
+ + + + + + + + + + + + + + + + + + + + +
NameValue
C_GEN0_ASSERT1
C_GEN1_ASSERT1
C_BASEADDR0x41C00000
C_HIGHADDR0x41C0FFFF
C_S_AXI_ADDR_WIDTH32
C_S_AXI_DATA_WIDTH32
+ + + + +
Post Synthesis Device Utilization
+ Device utilization information is not available for this IP. Run platgen to generate synthesis information. +
+
+

+
+
+

+ + +
IPTOP
+
+ + + + +
+ + + + + + + + + +
+clock_generator_0 +   Clock Generator
Clock generator for processor system.

+
+ + + + + + + + + + +
IP Specs
CoreVersionDocumentation
clock_generator4.01.aIP
+

+
clock_generator_0 IP Image + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
PORT LIST
These are the ports listed in the MHS file. + + Please refer to the IP documentation for complete information about module ports. +
#NAMEDIR[LSB:MSB]SIGNAL
0RSTI1RESET
1CLKINI1CLK
2CLKOUT2O1clk_100_0000MHzPLL0
3CLKOUT5O1clk_50_0000MHzPLL0
4CLKOUT3O1clk_125_0000MHz
5CLKOUT4O1clk_200_0000MHzPLL0
6CLKOUT0O1clk_600_0000MHzPLL0_nobuf
7CLKOUT1O1clk_600_0000MHz180PLL0_nobuf
8LOCKEDO1proc_sys_reset_0_Dcm_locked
+

+
+ + + + + + + +
Parameters
+ + These are the current parameter settings for this module. +

+ Parameters marked with + yellow + indicate parameters set by the user. +
+ Parameters marked with + blue + indicate parameters set by the system. + +
+ + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_FAMILYvirtex6
C_DEVICENOT_SET
C_PACKAGENOT_SET
C_SPEEDGRADENOT_SET
C_CLKIN_FREQ200000000
C_CLKOUT0_FREQ600000000
C_CLKOUT0_PHASE0
C_CLKOUT0_GROUPPLL0
C_CLKOUT0_BUFFALSE
C_CLKOUT0_VARIABLE_PHASEFALSE
C_CLKOUT1_FREQ600000000
C_CLKOUT1_PHASE180
C_CLKOUT1_GROUPPLL0
C_CLKOUT1_BUFFALSE
C_CLKOUT1_VARIABLE_PHASEFALSE
C_CLKOUT2_FREQ100000000
C_CLKOUT2_PHASE0
C_CLKOUT2_GROUPPLL0
C_CLKOUT2_BUFTRUE
C_CLKOUT2_VARIABLE_PHASEFALSE
C_CLKOUT3_FREQ125000000
C_CLKOUT3_PHASE0
C_CLKOUT3_GROUPNONE
C_CLKOUT3_BUFTRUE
C_CLKOUT3_VARIABLE_PHASEFALSE
C_CLKOUT4_FREQ200000000
C_CLKOUT4_PHASE0
C_CLKOUT4_GROUPPLL0
C_CLKOUT4_BUFTRUE
C_CLKOUT4_VARIABLE_PHASEFALSE
C_CLKOUT5_FREQ50000000
C_CLKOUT5_PHASE0
C_CLKOUT5_GROUPPLL0
C_CLKOUT5_BUFTRUE
C_CLKOUT5_VARIABLE_PHASEFALSE
C_CLKOUT6_FREQ0
C_CLKOUT6_PHASE0
C_CLKOUT6_GROUPNONE
C_CLKOUT6_BUFTRUE
C_CLKOUT6_VARIABLE_PHASEFALSE
C_CLKOUT7_FREQ0
C_CLKOUT7_PHASE0
C_CLKOUT7_GROUPNONE
C_CLKOUT7_BUFTRUE
C_CLKOUT7_VARIABLE_PHASEFALSE
C_CLKOUT8_FREQ0
C_CLKOUT8_PHASE0
C_CLKOUT8_GROUPNONE
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_CLKOUT8_BUFTRUE
C_CLKOUT8_VARIABLE_PHASEFALSE
C_CLKOUT9_FREQ0
C_CLKOUT9_PHASE0
C_CLKOUT9_GROUPNONE
C_CLKOUT9_BUFTRUE
C_CLKOUT9_VARIABLE_PHASEFALSE
C_CLKOUT10_FREQ0
C_CLKOUT10_PHASE0
C_CLKOUT10_GROUPNONE
C_CLKOUT10_BUFTRUE
C_CLKOUT10_VARIABLE_PHASEFALSE
C_CLKOUT11_FREQ0
C_CLKOUT11_PHASE0
C_CLKOUT11_GROUPNONE
C_CLKOUT11_BUFTRUE
C_CLKOUT11_VARIABLE_PHASEFALSE
C_CLKOUT12_FREQ0
C_CLKOUT12_PHASE0
C_CLKOUT12_GROUPNONE
C_CLKOUT12_BUFTRUE
C_CLKOUT12_VARIABLE_PHASEFALSE
C_CLKOUT13_FREQ0
C_CLKOUT13_PHASE0
C_CLKOUT13_GROUPNONE
C_CLKOUT13_BUFTRUE
C_CLKOUT13_VARIABLE_PHASEFALSE
C_CLKOUT14_FREQ0
C_CLKOUT14_PHASE0
C_CLKOUT14_GROUPNONE
C_CLKOUT14_BUFTRUE
C_CLKOUT14_VARIABLE_PHASEFALSE
C_CLKOUT15_FREQ0
C_CLKOUT15_PHASE0
C_CLKOUT15_GROUPNONE
C_CLKOUT15_BUFTRUE
C_CLKOUT15_VARIABLE_PHASEFALSE
C_CLKFBIN_FREQ0
C_CLKFBIN_DESKEWNONE
C_CLKFBOUT_FREQ0
C_CLKFBOUT_PHASE0
C_CLKFBOUT_GROUPNONE
C_CLKFBOUT_BUFTRUE
C_PSDONE_GROUPNONE
C_EXT_RESET_HIGH1
C_CLK_PRIMITIVE_FEEDBACK_BUFFALSE
C_CLK_GENUPDATE
 
+ + + + +
Post Synthesis Device Utilization
+ Device utilization information is not available for this IP. Run platgen to generate synthesis information. +
+
+

+
+ + + + + + + + + +
+proc_sys_reset_0 +   Processor System Reset Module
Reset management module

+
+ + + + + + + + + + +
IP Specs
CoreVersionDocumentation
proc_sys_reset3.00.aIP
+

+
proc_sys_reset_0 IP Image + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
PORT LIST
These are the ports listed in the MHS file. + + Please refer to the IP documentation for complete information about module ports. +
#NAMEDIR[LSB:MSB]SIGNAL
0Ext_Reset_InI1RESET
1MB_ResetO1proc_sys_reset_0_MB_Reset
2Slowest_sync_clkI1clk_50_0000MHzPLL0
3Interconnect_aresetnO1proc_sys_reset_0_Interconnect_aresetn
4Dcm_lockedI1proc_sys_reset_0_Dcm_locked
5MB_Debug_Sys_RstI1proc_sys_reset_0_MB_Debug_Sys_Rst
6BUS_STRUCT_RESETO1proc_sys_reset_0_BUS_STRUCT_RESET
+

+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Parameters
+ + These are the current parameter settings for this module. +

+ Parameters marked with + yellow + indicate parameters set by the user. +
+ Parameters marked with + blue + indicate parameters set by the system. + +
NameValue
C_SUBFAMILYlx
C_EXT_RST_WIDTH4
C_AUX_RST_WIDTH4
C_EXT_RESET_HIGH1
C_AUX_RESET_HIGH1
C_NUM_BUS_RST1
C_NUM_PERP_RST1
C_NUM_INTERCONNECT_ARESETN1
C_NUM_PERP_ARESETN1
C_FAMILYvirtex5
+ + + + +
Post Synthesis Device Utilization
+ Device utilization information is not available for this IP. Run platgen to generate synthesis information. +
+
+

+
+
+

+ + +
Timing InformationTOP
+

+ + + +
Post Synthesis Clock Limits
+ No clocks could be identified in the design. Run platgen to generate synthesis information. +
+
+ diff --git a/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/SDK/SDK_Export/hw/system_mainNF.html b/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/SDK/SDK_Export/hw/system_mainNF.html new file mode 100644 index 000000000..6cc23975e --- /dev/null +++ b/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/SDK/SDK_Export/hw/system_mainNF.html @@ -0,0 +1,5994 @@ + + + + +XPS Project Report + + + +
+ + + +
TABLE OF CONTENTS
+
Overview
Block Diagram
External Ports
Processor
   microblaze_0
Debuggers
   debug_module
Interrupt Controllers
   microblaze_0_intc
Busses
   axi4_0
   axi4lite_0
   microblaze_0_dlmb
   microblaze_0_ilmb
Memory
   microblaze_0_bram_block
Memory Controllers
   MCB_DDR3
   microblaze_0_d_bram_ctrl
   microblaze_0_i_bram_ctrl
Peripherals
   ETHERNET
   ETHERNET_dma
   LEDs_4Bits
   Push_Buttons_4Bits
   RS232_Uart_1
   axi_timer_0
IP
   clock_generator_0
   proc_sys_reset_0
Timing Information +
+ + + + + + + + + + + + + + + + + + + + + + + + +
+ + + +
OverviewTOC
+ + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Resources Used
1  MicroBlaze
2  AXI Interconnect
2  Local Memory Bus (LMB) 1.0
1  Block RAM (BRAM) Block
2  LMB BRAM Controller
1  AXI S6 Memory Controller(DDR/DDR2/DDR3)
1  Processor System Reset Module
1  Clock Generator
1  MicroBlaze Debug Module (MDM)
1  AXI UART (Lite)
2  AXI General Purpose IO
1  AXI Ethernet
1  AXI DMA Engine
1  AXI Interrupt Controller
1  AXI Timer/Counter
+ + + + + + + + + + + + + + +
Specifics
GeneratedSat Aug 27 12:49:18 2011
EDK Version13.1
Device Familyspartan6
Devicexc6slx45tfgg484-3
+
+
+ + +
Block DiagramTOC
+
BlockDiagram +
+ + + +
External PortsTOC
+
+ + + + + + +
+ These are the external ports defined in the MHS file. +
+Attributes Key
The attributes are obtained from the SIGIS and IOB_STATE parameters set on the PORT in the MHS file
CLK  indicates Clock ports, (SIGIS = CLK) 
INTR  indicates Interrupt ports,(SIGIS = INTR) 
RESET  indicates Reset ports, (SIGIS = RST) 
BUF or REG  Indicates ports that instantiate or infer IOB primitives, (IOB_STATE = BUF or REG)  +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
#NAMEDIR[LSB:MSB]SIGATTRIBUTES
+SHARED +RESETI1RESET RESET 
+ETHERNET +ETHERNET_MII_TX_CLKI1ETHERNET_MII_TX_CLK
+ETHERNET +ETHERNET_RXDI0:7ETHERNET_RXD
+ETHERNET +ETHERNET_RX_CLKI1ETHERNET_RX_CLK
+ETHERNET +ETHERNET_RX_DVI1ETHERNET_RX_DV
+ETHERNET +ETHERNET_RX_ERI1ETHERNET_RX_ER
+ETHERNET +ETHERNET_MDIOIO1ETHERNET_MDIO
+ETHERNET +ETHERNET_MDCO1ETHERNET_MDC
+ETHERNET +ETHERNET_PHY_RST_NO1ETHERNET_PHY_RST_N
+ETHERNET +ETHERNET_TXDO0:7ETHERNET_TXD
+ETHERNET +ETHERNET_TX_CLKO1ETHERNET_TX_CLK
+ETHERNET +ETHERNET_TX_ENO1ETHERNET_TX_EN
+ETHERNET +ETHERNET_TX_ERO1ETHERNET_TX_ER
+LEDs_4Bits +LEDs_4Bits_TRI_OO0:3LEDs_4Bits_TRI_O
+MCB_DDR3 +mcbx_dram_dqIO0:15mcbx_dram_dq
+MCB_DDR3 +mcbx_dram_dqsIO1mcbx_dram_dqs
+MCB_DDR3 +mcbx_dram_dqs_nIO1mcbx_dram_dqs_n
+MCB_DDR3 +mcbx_dram_udqsIO1mcbx_dram_udqs
+MCB_DDR3 +mcbx_dram_udqs_nIO1mcbx_dram_udqs_n
+MCB_DDR3 +rzqIO1rzq
+MCB_DDR3 +zioIO1zio
+MCB_DDR3 +mcbx_dram_addrO0:12mcbx_dram_addr
+MCB_DDR3 +mcbx_dram_baO0:2mcbx_dram_ba
+MCB_DDR3 +mcbx_dram_cas_nO1mcbx_dram_cas_n
+MCB_DDR3 +mcbx_dram_ckeO1mcbx_dram_cke
+MCB_DDR3 +mcbx_dram_clkO1mcbx_dram_clk
+MCB_DDR3 +mcbx_dram_clk_nO1mcbx_dram_clk_n
+MCB_DDR3 +mcbx_dram_ddr3_rstO1mcbx_dram_ddr3_rst
+MCB_DDR3 +mcbx_dram_ldmO1mcbx_dram_ldm
+MCB_DDR3 +mcbx_dram_odtO1mcbx_dram_odt
+MCB_DDR3 +mcbx_dram_ras_nO1mcbx_dram_ras_n
+MCB_DDR3 +mcbx_dram_udmO1mcbx_dram_udm
+MCB_DDR3 +mcbx_dram_we_nO1mcbx_dram_we_n
+Push_Buttons_4Bits +Push_Buttons_4Bits_TRI_II0:3Push_Buttons_4Bits_TRI_I
+RS232_Uart_1 +RS232_Uart_1_sinI1RS232_Uart_1_sin
+RS232_Uart_1 +RS232_Uart_1_soutO1RS232_Uart_1_sout
+clock_generator_0 +CLK_NI1CLK CLK 
+clock_generator_0 +CLK_PI1CLK CLK 
+
+

+ + +
ProcessorsTOC
+
+ + +
+ + + + + + + + + +
+microblaze_0 +   MicroBlaze
The MicroBlaze 32 bit soft processor

+
+ + + + + + + + + + +
IP Specs
CoreVersionDocumentation
microblaze8.10.aIP
+

+
microblaze_0 IP Image + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
PORT LIST
These are the ports listed in the MHS file. + + Please refer to the IP documentation for complete information about module ports. +
#NAMEDIR[LSB:MSB]SIGNAL
0MB_RESETI1proc_sys_reset_0_MB_Reset
1CLKI1clk_100_0000MHzPLL0
2INTERRUPTI1microblaze_0_interrupt
Bus Interfaces
 NAME  TYPE BUSSTDBUSConnected To
M_AXI_DPMASTERAXIaxi4lite_08 Peripherals.
DLMBMASTERLMBmicroblaze_0_dlmbmicroblaze_0_d_bram_ctrl
ILMBMASTERLMBmicroblaze_0_ilmbmicroblaze_0_i_bram_ctrl
DEBUGTARGETXIL_MBDEBUG3microblaze_0_debugdebug_module
+

+
+ + + + + + + +
Parameters
+ + These are the current parameter settings for this module. +

+ Parameters marked with + yellow + indicate parameters set by the user. +
+ Parameters marked with + blue + indicate parameters set by the system. + +
+ + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_SCO0
C_FREQ0
C_DATA_SIZE32
C_DYNAMIC_BUS_SIZING1
C_FAMILYvirtex5
C_INSTANCEmicroblaze
C_FAULT_TOLERANT0
C_ECC_USE_CE_EXCEPTION0
C_ENDIANNESS0
C_AREA_OPTIMIZED0
C_OPTIMIZATION0
C_INTERCONNECT2
C_STREAM_INTERCONNECT0
C_DPLB_DWIDTH32
C_DPLB_NATIVE_DWIDTH32
C_DPLB_BURST_EN0
C_DPLB_P2P0
C_IPLB_DWIDTH32
C_IPLB_NATIVE_DWIDTH32
C_IPLB_BURST_EN0
C_IPLB_P2P0
C_M_AXI_DP_SUPPORTS_THREADS0
C_M_AXI_DP_THREAD_ID_WIDTH1
C_M_AXI_DP_SUPPORTS_READ1
C_M_AXI_DP_SUPPORTS_WRITE1
C_M_AXI_DP_SUPPORTS_NARROW_BURST0
C_M_AXI_DP_DATA_WIDTH32
C_M_AXI_DP_ADDR_WIDTH32
C_M_AXI_DP_PROTOCOLAXI4LITE
C_M_AXI_DP_EXCLUSIVE_ACCESS0
C_INTERCONNECT_M_AXI_DP_READ_ISSUING1
C_INTERCONNECT_M_AXI_DP_WRITE_ISSUING1
C_M_AXI_IP_SUPPORTS_THREADS0
C_M_AXI_IP_THREAD_ID_WIDTH1
C_M_AXI_IP_SUPPORTS_READ1
C_M_AXI_IP_SUPPORTS_WRITE0
C_M_AXI_IP_SUPPORTS_NARROW_BURST0
C_M_AXI_IP_DATA_WIDTH32
C_M_AXI_IP_ADDR_WIDTH32
C_M_AXI_IP_PROTOCOLAXI4LITE
C_INTERCONNECT_M_AXI_IP_READ_ISSUING1
C_D_AXI0
C_D_PLB0
C_D_LMB1
C_I_AXI0
C_I_PLB0
C_I_LMB1
C_USE_MSR_INSTR1
C_USE_PCMP_INSTR1
C_USE_BARREL1
C_USE_DIV0
C_USE_HW_MUL1
C_USE_FPU0
C_UNALIGNED_EXCEPTIONS0
C_ILL_OPCODE_EXCEPTION0
C_M_AXI_I_BUS_EXCEPTION0
C_M_AXI_D_BUS_EXCEPTION0
C_IPLB_BUS_EXCEPTION0
C_DPLB_BUS_EXCEPTION0
C_DIV_ZERO_EXCEPTION0
C_FPU_EXCEPTION0
C_FSL_EXCEPTION0
C_USE_STACK_PROTECTION0
C_PVR0
C_PVR_USER10x00
C_PVR_USER20x00000000
C_DEBUG_ENABLED1
C_NUMBER_OF_PC_BRK7
C_NUMBER_OF_RD_ADDR_BRK2
C_NUMBER_OF_WR_ADDR_BRK2
C_INTERRUPT_IS_EDGE0
C_EDGE_IS_POSITIVE1
C_RESET_MSR0x00000000
C_OPCODE_0x0_ILLEGAL0
C_FSL_LINKS0
C_FSL_DATA_SIZE32
C_USE_EXTENDED_FSL_INSTR0
C_M0_AXIS_PROTOCOLGENERIC
C_S0_AXIS_PROTOCOLGENERIC
C_M1_AXIS_PROTOCOLGENERIC
C_S1_AXIS_PROTOCOLGENERIC
C_M2_AXIS_PROTOCOLGENERIC
C_S2_AXIS_PROTOCOLGENERIC
C_M3_AXIS_PROTOCOLGENERIC
C_S3_AXIS_PROTOCOLGENERIC
C_M4_AXIS_PROTOCOLGENERIC
C_S4_AXIS_PROTOCOLGENERIC
C_M5_AXIS_PROTOCOLGENERIC
C_S5_AXIS_PROTOCOLGENERIC
C_M6_AXIS_PROTOCOLGENERIC
C_S6_AXIS_PROTOCOLGENERIC
C_M7_AXIS_PROTOCOLGENERIC
C_S7_AXIS_PROTOCOLGENERIC
C_M8_AXIS_PROTOCOLGENERIC
C_S8_AXIS_PROTOCOLGENERIC
C_M9_AXIS_PROTOCOLGENERIC
C_S9_AXIS_PROTOCOLGENERIC
C_M10_AXIS_PROTOCOLGENERIC
C_S10_AXIS_PROTOCOLGENERIC
C_M11_AXIS_PROTOCOLGENERIC
C_S11_AXIS_PROTOCOLGENERIC
C_M12_AXIS_PROTOCOLGENERIC
C_S12_AXIS_PROTOCOLGENERIC
C_M13_AXIS_PROTOCOLGENERIC
C_S13_AXIS_PROTOCOLGENERIC
C_M14_AXIS_PROTOCOLGENERIC
C_S14_AXIS_PROTOCOLGENERIC
C_M15_AXIS_PROTOCOLGENERIC
C_S15_AXIS_PROTOCOLGENERIC
C_M0_AXIS_DATA_WIDTH32
C_S0_AXIS_DATA_WIDTH32
C_M1_AXIS_DATA_WIDTH32
C_S1_AXIS_DATA_WIDTH32
C_M2_AXIS_DATA_WIDTH32
C_S2_AXIS_DATA_WIDTH32
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_M3_AXIS_DATA_WIDTH32
C_S3_AXIS_DATA_WIDTH32
C_M4_AXIS_DATA_WIDTH32
C_S4_AXIS_DATA_WIDTH32
C_M5_AXIS_DATA_WIDTH32
C_S5_AXIS_DATA_WIDTH32
C_M6_AXIS_DATA_WIDTH32
C_S6_AXIS_DATA_WIDTH32
C_M7_AXIS_DATA_WIDTH32
C_S7_AXIS_DATA_WIDTH32
C_M8_AXIS_DATA_WIDTH32
C_S8_AXIS_DATA_WIDTH32
C_M9_AXIS_DATA_WIDTH32
C_S9_AXIS_DATA_WIDTH32
C_M10_AXIS_DATA_WIDTH32
C_S10_AXIS_DATA_WIDTH32
C_M11_AXIS_DATA_WIDTH32
C_S11_AXIS_DATA_WIDTH32
C_M12_AXIS_DATA_WIDTH32
C_S12_AXIS_DATA_WIDTH32
C_M13_AXIS_DATA_WIDTH32
C_S13_AXIS_DATA_WIDTH32
C_M14_AXIS_DATA_WIDTH32
C_S14_AXIS_DATA_WIDTH32
C_M15_AXIS_DATA_WIDTH32
C_S15_AXIS_DATA_WIDTH32
C_ICACHE_BASEADDR0xC0000000
C_ICACHE_HIGHADDR0xC7FFFFFF
C_USE_ICACHE0
C_ALLOW_ICACHE_WR1
C_ADDR_TAG_BITS17
C_CACHE_BYTE_SIZE8192
C_ICACHE_USE_FSL1
C_ICACHE_LINE_LEN4
C_ICACHE_ALWAYS_USED1
C_ICACHE_INTERFACE0
C_ICACHE_VICTIMS0
C_ICACHE_STREAMS0
C_ICACHE_FORCE_TAG_LUTRAM0
C_ICACHE_DATA_WIDTH0
C_M_AXI_IC_SUPPORTS_THREADS0
C_M_AXI_IC_THREAD_ID_WIDTH1
C_M_AXI_IC_SUPPORTS_READ1
C_M_AXI_IC_SUPPORTS_WRITE0
C_M_AXI_IC_SUPPORTS_NARROW_BURST0
C_M_AXI_IC_DATA_WIDTH32
C_M_AXI_IC_ADDR_WIDTH32
C_M_AXI_IC_PROTOCOLAXI4
C_M_AXI_IC_USER_VALUE0b11111
C_M_AXI_IC_SUPPORTS_USER_SIGNALS1
C_M_AXI_IC_AWUSER_WIDTH5
C_M_AXI_IC_ARUSER_WIDTH5
C_M_AXI_IC_WUSER_WIDTH1
C_M_AXI_IC_RUSER_WIDTH1
C_M_AXI_IC_BUSER_WIDTH1
C_INTERCONNECT_M_AXI_IC_READ_ISSUING2
C_DCACHE_BASEADDR0xC0000000
C_DCACHE_HIGHADDR0xC7FFFFFF
C_USE_DCACHE0
C_ALLOW_DCACHE_WR1
C_DCACHE_ADDR_TAG17
C_DCACHE_BYTE_SIZE8192
C_DCACHE_USE_FSL1
C_DCACHE_LINE_LEN4
C_DCACHE_ALWAYS_USED1
C_DCACHE_INTERFACE0
C_DCACHE_USE_WRITEBACK0
C_DCACHE_VICTIMS0
C_DCACHE_FORCE_TAG_LUTRAM0
C_DCACHE_DATA_WIDTH0
C_M_AXI_DC_SUPPORTS_THREADS0
C_M_AXI_DC_THREAD_ID_WIDTH1
C_M_AXI_DC_SUPPORTS_READ1
C_M_AXI_DC_SUPPORTS_WRITE1
C_M_AXI_DC_SUPPORTS_NARROW_BURST0
C_M_AXI_DC_DATA_WIDTH32
C_M_AXI_DC_ADDR_WIDTH32
C_M_AXI_DC_PROTOCOLAXI4
C_M_AXI_DC_EXCLUSIVE_ACCESS0
C_M_AXI_DC_USER_VALUE0b11111
C_M_AXI_DC_SUPPORTS_USER_SIGNALS1
C_M_AXI_DC_AWUSER_WIDTH5
C_M_AXI_DC_ARUSER_WIDTH5
C_M_AXI_DC_WUSER_WIDTH1
C_M_AXI_DC_RUSER_WIDTH1
C_M_AXI_DC_BUSER_WIDTH1
C_INTERCONNECT_M_AXI_DC_READ_ISSUING2
C_INTERCONNECT_M_AXI_DC_WRITE_ISSUING32
C_USE_MMU0
C_MMU_DTLB_SIZE4
C_MMU_ITLB_SIZE2
C_MMU_TLB_ACCESS3
C_MMU_ZONES16
C_MMU_PRIVILEGED_INSTR0
C_USE_INTERRUPT0
C_USE_EXT_BRK0
C_USE_EXT_NM_BRK0
C_USE_BRANCH_TARGET_CACHE0
C_BRANCH_TARGET_CACHE_SIZE0
C_INTERCONNECT_M_AXI_DC_AW_REGISTER1
C_INTERCONNECT_M_AXI_DC_W_REGISTER1
C_INTERCONNECT_M_AXI_DP_AW_REGISTER1
C_INTERCONNECT_M_AXI_DP_AR_REGISTER1
C_INTERCONNECT_M_AXI_DP_W_REGISTER1
C_INTERCONNECT_M_AXI_DP_R_REGISTER1
C_INTERCONNECT_M_AXI_DP_B_REGISTER1
C_INTERCONNECT_M_AXI_DC_AR_REGISTER1
C_INTERCONNECT_M_AXI_DC_R_REGISTER1
C_INTERCONNECT_M_AXI_DC_B_REGISTER1
C_INTERCONNECT_M_AXI_IC_AW_REGISTER1
C_INTERCONNECT_M_AXI_IC_AR_REGISTER1
C_INTERCONNECT_M_AXI_IC_W_REGISTER1
C_INTERCONNECT_M_AXI_IC_R_REGISTER1
C_INTERCONNECT_M_AXI_IC_B_REGISTER1
 
+ + + + +
Post Synthesis Device Utilization
+ Device utilization information is not available for this IP. Run platgen to generate synthesis information. +
+
+

+
+
+

+ + +
DebuggersTOC
+
+ + +
+ + + + + + + + + +
+debug_module +   MicroBlaze Debug Module (MDM)
Debug module for MicroBlaze Soft Processor.

+
+ + + + + + + + + + +
IP Specs
CoreVersionDocumentation
mdm2.00.bIP
+

+
debug_module IP Image + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
PORT LIST
These are the ports listed in the MHS file. + + Please refer to the IP documentation for complete information about module ports. +
#NAMEDIR[LSB:MSB]SIGNAL
0S_AXI_ACLKI1clk_50_0000MHzPLL0
1Debug_SYS_RstO1proc_sys_reset_0_MB_Debug_Sys_Rst
Bus Interfaces
 NAME  TYPE BUSSTDBUSConnected To
MBDEBUG_0INITIATORXIL_MBDEBUG3microblaze_0_debugmicroblaze_0
S_AXISLAVEAXIaxi4lite_08 Peripherals.
+

+
+ + + + + + + +
Parameters
+ + These are the current parameter settings for this module. +

+ Parameters marked with + yellow + indicate parameters set by the user. +
+ Parameters marked with + blue + indicate parameters set by the system. + +
+ + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_FAMILYvirtex6
C_JTAG_CHAIN2
C_INTERCONNECT2
C_BASEADDR0x74800000
C_HIGHADDR0x748FFFFF
C_SPLB_AWIDTH32
C_SPLB_DWIDTH32
C_SPLB_P2P0
C_SPLB_MID_WIDTH3
C_SPLB_NUM_MASTERS8
C_SPLB_NATIVE_DWIDTH32
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_SPLB_SUPPORT_BURSTS0
C_MB_DBG_PORTS1
C_USE_UART1
C_S_AXI_ADDR_WIDTH32
C_S_AXI_DATA_WIDTH32
C_S_AXI_PROTOCOLAXI4LITE
C_INTERCONNECT_S_AXI_AW_REGISTER1
C_INTERCONNECT_S_AXI_AR_REGISTER1
C_INTERCONNECT_S_AXI_W_REGISTER1
C_INTERCONNECT_S_AXI_R_REGISTER1
C_INTERCONNECT_S_AXI_B_REGISTER1
+ + + + +
Post Synthesis Device Utilization
+ Device utilization information is not available for this IP. Run platgen to generate synthesis information. +
+
+

+
+
+

+ + +
Interrupt ControllersTOC
+
+ + +
+ + + + + + + + + +
+microblaze_0_intc +   AXI Interrupt Controller
intc core attached to the AXI

+
+ + + + + + + + + + +
IP Specs
CoreVersionDocumentation
axi_intc1.01.aIP
+

+
microblaze_0_intc IP Image + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
PORT LIST
These are the ports listed in the MHS file. + + Please refer to the IP documentation for complete information about module ports. +
#NAMEDIR[LSB:MSB]SIGNAL
0IRQO1microblaze_0_interrupt
1S_AXI_ACLKI1clk_50_0000MHzPLL0
2INTRI1ETHERNET_INTERRUPT & ETHERNET_dma_mm2s_introut & ETHERNET_dma_s2mm_introut & Push_Buttons_4Bits_IP2INTC_Irpt & RS232_Uart_1_Interrupt & axi_timer_0_Interrupt
Bus Interfaces
 NAME  TYPE BUSSTDBUSConnected To
S_AXISLAVEAXIaxi4lite_08 Peripherals.
+Interrupt Priorities
PrioritySIGMODULE
0ETHERNET_INTERRUPTETHERNET
1ETHERNET_dma_mm2s_introutETHERNET_dma
2ETHERNET_dma_s2mm_introutETHERNET_dma
3Push_Buttons_4Bits_IP2INTC_IrptPush_Buttons_4Bits
4RS232_Uart_1_InterruptRS232_Uart_1
5axi_timer_0_Interruptaxi_timer_0
+

+
+ + + + + + + +
Parameters
+ + These are the current parameter settings for this module. +

+ Parameters marked with + yellow + indicate parameters set by the user. +
+ Parameters marked with + blue + indicate parameters set by the system. + +
+ + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_FAMILYvirtex6
C_BASEADDR0x41200000
C_HIGHADDR0x4120FFFF
C_S_AXI_ADDR_WIDTH32
C_S_AXI_DATA_WIDTH32
C_NUM_INTR_INPUTS2
C_KIND_OF_INTR0xFFFFFFFF
C_KIND_OF_EDGE0xFFFFFFFF
C_KIND_OF_LVL0xFFFFFFFF
C_HAS_IPR1
C_HAS_SIE1
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_HAS_CIE1
C_HAS_IVR1
C_IRQ_IS_LEVEL1
C_IRQ_ACTIVE1
C_S_AXI_PROTOCOLAXI4LITE
C_INTERCONNECT_S_AXI_AW_REGISTER1
C_INTERCONNECT_S_AXI_AR_REGISTER1
C_INTERCONNECT_S_AXI_W_REGISTER1
C_INTERCONNECT_S_AXI_R_REGISTER1
C_INTERCONNECT_S_AXI_B_REGISTER1
 
+ + + + +
Post Synthesis Device Utilization
+ Device utilization information is not available for this IP. Run platgen to generate synthesis information. +
+
+

+
+
+

+ + +
BussesTOC
+
+ + + + + + + + +
+ + + + + + + + + +
+axi4_0 +   AXI Interconnect
AXI4 Memory-Mapped Interconnect

+
+ + + + + + + + + + +
IP Specs
CoreVersionDocumentation
axi_interconnect1.02.aIP
+

+
axi4_0 IP Image + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
PORT LIST
These are the ports listed in the MHS file. + + Please refer to the IP documentation for complete information about module ports. +
#NAMEDIR[LSB:MSB]SIGNAL
0interconnect_aclkI1clk_100_0000MHzPLL0
1INTERCONNECT_ARESETNI1proc_sys_reset_0_Interconnect_aresetn
Bus Connections
INSTANCEINTERFACE TYPEINTERFACE NAME
ETHERNET_dmaMASTERM_AXI_SG
ETHERNET_dmaMASTERM_AXI_MM2S
ETHERNET_dmaMASTERM_AXI_S2MM
MCB_DDR3SLAVES0_AXI
+

+
+ + + + + + + +
Parameters
+ + These are the current parameter settings for this module. +

+ Parameters marked with + yellow + indicate parameters set by the user. +
+ Parameters marked with + blue + indicate parameters set by the system. + +
+ + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_FAMILYrtl
C_BASEFAMILYrtl
C_NUM_SLAVE_SLOTS1
C_NUM_MASTER_SLOTS1
C_AXI_ID_WIDTH1
C_AXI_ADDR_WIDTH32
C_AXI_DATA_MAX_WIDTH32
C_S_AXI_DATA_WIDTH0x00000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020
C_M_AXI_DATA_WIDTH0x00000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020
C_INTERCONNECT_DATA_WIDTH32
C_S_AXI_PROTOCOL0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_M_AXI_PROTOCOL0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_M_AXI_BASE_ADDR0xFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF
C_M_AXI_HIGH_ADDR0x0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_S_AXI_BASE_ID0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_S_AXI_THREAD_ID_WIDTH0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_S_AXI_IS_INTERCONNECT0b0000000000000000
C_S_AXI_ACLK_RATIO0x00000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001
C_S_AXI_IS_ACLK_ASYNC0b0000000000000000
C_M_AXI_ACLK_RATIO0x00000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001
C_M_AXI_IS_ACLK_ASYNC0b0000000000000000
C_INTERCONNECT_ACLK_RATIO1
C_S_AXI_SUPPORTS_WRITE0b1111111111111111
C_S_AXI_SUPPORTS_READ0b1111111111111111
C_M_AXI_SUPPORTS_WRITE0b1111111111111111
C_M_AXI_SUPPORTS_READ0b1111111111111111
C_AXI_SUPPORTS_USER_SIGNALS0
C_AXI_AWUSER_WIDTH1
C_AXI_ARUSER_WIDTH1
C_AXI_WUSER_WIDTH1
C_AXI_RUSER_WIDTH1
C_AXI_BUSER_WIDTH1
C_AXI_CONNECTIVITY0xFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF
C_S_AXI_SINGLE_THREAD0b0000000000000000
C_M_AXI_SUPPORTS_REORDERING0b1111111111111111
C_S_AXI_SUPPORTS_NARROW_BURST0b1111111111111111
C_M_AXI_SUPPORTS_NARROW_BURST0b1111111111111111
C_S_AXI_WRITE_ACCEPTANCE0x00000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_S_AXI_READ_ACCEPTANCE0x00000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001
C_M_AXI_WRITE_ISSUING0x00000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001
C_M_AXI_READ_ISSUING0x00000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001
C_S_AXI_ARB_PRIORITY0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_M_AXI_SECURE0b0000000000000000
C_S_AXI_WRITE_FIFO_DEPTH0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_S_AXI_WRITE_FIFO_TYPE0b1111111111111111
C_S_AXI_WRITE_FIFO_DELAY0b0000000000000000
C_S_AXI_READ_FIFO_DEPTH0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_S_AXI_READ_FIFO_TYPE0b1111111111111111
C_S_AXI_READ_FIFO_DELAY0b0000000000000000
C_M_AXI_WRITE_FIFO_DEPTH0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_M_AXI_WRITE_FIFO_TYPE0b1111111111111111
C_M_AXI_WRITE_FIFO_DELAY0b0000000000000000
C_M_AXI_READ_FIFO_DEPTH0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_M_AXI_READ_FIFO_TYPE0b1111111111111111
C_M_AXI_READ_FIFO_DELAY0b0000000000000000
C_S_AXI_AW_REGISTER0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_S_AXI_AR_REGISTER0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_S_AXI_W_REGISTER0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_S_AXI_R_REGISTER0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_S_AXI_B_REGISTER0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_M_AXI_AW_REGISTER0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_M_AXI_AR_REGISTER0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_M_AXI_W_REGISTER0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_M_AXI_R_REGISTER0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_M_AXI_B_REGISTER0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_INTERCONNECT_R_REGISTER0
C_INTERCONNECT_CONNECTIVITY_MODE1
C_USE_CTRL_PORT0
C_USE_INTERRUPT1
C_RANGE_CHECK2
C_S_AXI_CTRL_PROTOCOLAXI4LITE
C_S_AXI_CTRL_ADDR_WIDTH32
C_S_AXI_CTRL_DATA_WIDTH32
C_BASEADDR0xFFFFFFFF
C_HIGHADDR0x00000000
C_DEBUG0
+ + + + +
Post Synthesis Device Utilization
+ Device utilization information is not available for this IP. Run platgen to generate synthesis information. +
+
+

+
+ + + + + + + + + +
+axi4lite_0 +   AXI Interconnect
AXI4 Memory-Mapped Interconnect

+
+ + + + + + + + + + +
IP Specs
CoreVersionDocumentation
axi_interconnect1.02.aIP
+

+
axi4lite_0 IP Image + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
PORT LIST
These are the ports listed in the MHS file. + + Please refer to the IP documentation for complete information about module ports. +
#NAMEDIR[LSB:MSB]SIGNAL
0INTERCONNECT_ARESETNI1proc_sys_reset_0_Interconnect_aresetn
1INTERCONNECT_ACLKI1clk_50_0000MHzPLL0
Bus Connections
INSTANCEINTERFACE TYPEINTERFACE NAME
microblaze_0MASTERM_AXI_DP
debug_moduleSLAVES_AXI
RS232_Uart_1SLAVES_AXI
LEDs_4BitsSLAVES_AXI
Push_Buttons_4BitsSLAVES_AXI
ETHERNETSLAVES_AXI
ETHERNET_dmaSLAVES_AXI_LITE
microblaze_0_intcSLAVES_AXI
axi_timer_0SLAVES_AXI
+

+
+ + + + + + + +
Parameters
+ + These are the current parameter settings for this module. +

+ Parameters marked with + yellow + indicate parameters set by the user. +
+ Parameters marked with + blue + indicate parameters set by the system. + +
+ + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_FAMILYrtl
C_BASEFAMILYrtl
C_NUM_SLAVE_SLOTS1
C_NUM_MASTER_SLOTS1
C_AXI_ID_WIDTH1
C_AXI_ADDR_WIDTH32
C_AXI_DATA_MAX_WIDTH32
C_S_AXI_DATA_WIDTH0x00000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020
C_M_AXI_DATA_WIDTH0x00000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020000000200000002000000020
C_INTERCONNECT_DATA_WIDTH32
C_S_AXI_PROTOCOL0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_M_AXI_PROTOCOL0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_M_AXI_BASE_ADDR0xFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF
C_M_AXI_HIGH_ADDR0x0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_S_AXI_BASE_ID0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_S_AXI_THREAD_ID_WIDTH0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_S_AXI_IS_INTERCONNECT0b0000000000000000
C_S_AXI_ACLK_RATIO0x00000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001
C_S_AXI_IS_ACLK_ASYNC0b0000000000000000
C_M_AXI_ACLK_RATIO0x00000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001
C_M_AXI_IS_ACLK_ASYNC0b0000000000000000
C_INTERCONNECT_ACLK_RATIO1
C_S_AXI_SUPPORTS_WRITE0b1111111111111111
C_S_AXI_SUPPORTS_READ0b1111111111111111
C_M_AXI_SUPPORTS_WRITE0b1111111111111111
C_M_AXI_SUPPORTS_READ0b1111111111111111
C_AXI_SUPPORTS_USER_SIGNALS0
C_AXI_AWUSER_WIDTH1
C_AXI_ARUSER_WIDTH1
C_AXI_WUSER_WIDTH1
C_AXI_RUSER_WIDTH1
C_AXI_BUSER_WIDTH1
C_AXI_CONNECTIVITY0xFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF
C_S_AXI_SINGLE_THREAD0b0000000000000000
C_M_AXI_SUPPORTS_REORDERING0b1111111111111111
C_S_AXI_SUPPORTS_NARROW_BURST0b1111111111111111
C_M_AXI_SUPPORTS_NARROW_BURST0b1111111111111111
C_S_AXI_WRITE_ACCEPTANCE0x00000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_S_AXI_READ_ACCEPTANCE0x00000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001
C_M_AXI_WRITE_ISSUING0x00000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001
C_M_AXI_READ_ISSUING0x00000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001000000010000000100000001
C_S_AXI_ARB_PRIORITY0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_M_AXI_SECURE0b0000000000000000
C_S_AXI_WRITE_FIFO_DEPTH0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_S_AXI_WRITE_FIFO_TYPE0b1111111111111111
C_S_AXI_WRITE_FIFO_DELAY0b0000000000000000
C_S_AXI_READ_FIFO_DEPTH0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_S_AXI_READ_FIFO_TYPE0b1111111111111111
C_S_AXI_READ_FIFO_DELAY0b0000000000000000
C_M_AXI_WRITE_FIFO_DEPTH0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_M_AXI_WRITE_FIFO_TYPE0b1111111111111111
C_M_AXI_WRITE_FIFO_DELAY0b0000000000000000
C_M_AXI_READ_FIFO_DEPTH0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_M_AXI_READ_FIFO_TYPE0b1111111111111111
C_M_AXI_READ_FIFO_DELAY0b0000000000000000
C_S_AXI_AW_REGISTER0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_S_AXI_AR_REGISTER0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_S_AXI_W_REGISTER0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_S_AXI_R_REGISTER0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_S_AXI_B_REGISTER0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_M_AXI_AW_REGISTER0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_M_AXI_AR_REGISTER0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_M_AXI_W_REGISTER0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_M_AXI_R_REGISTER0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_M_AXI_B_REGISTER0x00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000
C_INTERCONNECT_R_REGISTER0
C_INTERCONNECT_CONNECTIVITY_MODE0
C_USE_CTRL_PORT0
C_USE_INTERRUPT1
C_RANGE_CHECK2
C_S_AXI_CTRL_PROTOCOLAXI4LITE
C_S_AXI_CTRL_ADDR_WIDTH32
C_S_AXI_CTRL_DATA_WIDTH32
C_BASEADDR0xFFFFFFFF
C_HIGHADDR0x00000000
C_DEBUG0
+ + + + +
Post Synthesis Device Utilization
+ Device utilization information is not available for this IP. Run platgen to generate synthesis information. +
+
+

+
+ + + + + + + + + +
+microblaze_0_dlmb +   Local Memory Bus (LMB) 1.0
'The LMB is a fast, local bus for connecting MicroBlaze I and D ports to peripherals and BRAM'

+
+ + + + + + + + + + +
IP Specs
CoreVersionDocumentation
lmb_v102.00.aIP
+

+
microblaze_0_dlmb IP Image + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
PORT LIST
These are the ports listed in the MHS file. + + Please refer to the IP documentation for complete information about module ports. +
#NAMEDIR[LSB:MSB]SIGNAL
0SYS_RSTI1proc_sys_reset_0_BUS_STRUCT_RESET
1LMB_CLKI1clk_100_0000MHzPLL0
Bus Connections
INSTANCEINTERFACE TYPEINTERFACE NAME
microblaze_0MASTERDLMB
microblaze_0_d_bram_ctrlSLAVESLMB
+

+
+ + + + + + + + + + + + + + + + + + + + +
Parameters
+ + These are the current parameter settings for this module. +

+ Parameters marked with + yellow + indicate parameters set by the user. +
+ Parameters marked with + blue + indicate parameters set by the system. + +
NameValue
C_LMB_NUM_SLAVES4
C_LMB_AWIDTH32
C_LMB_DWIDTH32
C_EXT_RESET_HIGH1
+ + + + +
Post Synthesis Device Utilization
+ Device utilization information is not available for this IP. Run platgen to generate synthesis information. +
+
+

+
+ + + + + + + + + +
+microblaze_0_ilmb +   Local Memory Bus (LMB) 1.0
'The LMB is a fast, local bus for connecting MicroBlaze I and D ports to peripherals and BRAM'

+
+ + + + + + + + + + +
IP Specs
CoreVersionDocumentation
lmb_v102.00.aIP
+

+
microblaze_0_ilmb IP Image + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
PORT LIST
These are the ports listed in the MHS file. + + Please refer to the IP documentation for complete information about module ports. +
#NAMEDIR[LSB:MSB]SIGNAL
0SYS_RSTI1proc_sys_reset_0_BUS_STRUCT_RESET
1LMB_CLKI1clk_100_0000MHzPLL0
Bus Connections
INSTANCEINTERFACE TYPEINTERFACE NAME
microblaze_0MASTERILMB
microblaze_0_i_bram_ctrlSLAVESLMB
+

+
+ + + + + + + + + + + + + + + + + + + + +
Parameters
+ + These are the current parameter settings for this module. +

+ Parameters marked with + yellow + indicate parameters set by the user. +
+ Parameters marked with + blue + indicate parameters set by the system. + +
NameValue
C_LMB_NUM_SLAVES4
C_LMB_AWIDTH32
C_LMB_DWIDTH32
C_EXT_RESET_HIGH1
+ + + + +
Post Synthesis Device Utilization
+ Device utilization information is not available for this IP. Run platgen to generate synthesis information. +
+
+

+
+
+

+ + +
MemorysTOC
+
+ + +
+ + + + + + + + + +
+microblaze_0_bram_block +   Block RAM (BRAM) Block
The BRAM Block is a configurable memory module that attaches to a variety of BRAM Interface Controllers.

+
+ + + + + + + + + + +
IP Specs
CoreVersionDocumentation
bram_block1.00.aIP
+

+
microblaze_0_bram_block IP Image + + + + + + + + + + + + + + + + + + + + + +
Bus Interfaces
 NAME  TYPE BUSSTDBUSConnected To
PORTATARGETXIL_BRAMmicroblaze_0_i_bram_ctrl_2_microblaze_0_bram_blockmicroblaze_0_i_bram_ctrl
PORTBTARGETXIL_BRAMmicroblaze_0_d_bram_ctrl_2_microblaze_0_bram_blockmicroblaze_0_d_bram_ctrl
+

+
+ + + + + + + + + + + + + + + + + + + + + + + +
Parameters
+ + These are the current parameter settings for this module. +

+ Parameters marked with + yellow + indicate parameters set by the user. +
+ Parameters marked with + blue + indicate parameters set by the system. + +
NameValue
C_MEMSIZE2048
C_PORT_DWIDTH32
C_PORT_AWIDTH32
C_NUM_WE4
C_FAMILYvirtex2
+ + + + +
Post Synthesis Device Utilization
+ Device utilization information is not available for this IP. Run platgen to generate synthesis information. +
+
+

+
+
+

+ + +
Memory ControllersTOC
+
+ + + + + + +
+ + + + + + + + + +
+MCB_DDR3 +   AXI S6 Memory Controller(DDR/DDR2/DDR3)
Spartan-6 memory controller

+
+ + + + + + + + + + +
IP Specs
CoreVersionDocumentation
axi_s6_ddrx1.02.aIP
+

+
MCB_DDR3 IP Image + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
PORT LIST
These are the ports listed in the MHS file. + + Please refer to the IP documentation for complete information about module ports. +
#NAMEDIR[LSB:MSB]SIGNAL
0mcbx_dram_clkO1mcbx_dram_clk
1mcbx_dram_clk_nO1mcbx_dram_clk_n
2mcbx_dram_ckeO1mcbx_dram_cke
3mcbx_dram_odtO1mcbx_dram_odt
4mcbx_dram_ras_nO1mcbx_dram_ras_n
5mcbx_dram_cas_nO1mcbx_dram_cas_n
6mcbx_dram_we_nO1mcbx_dram_we_n
7mcbx_dram_udmO1mcbx_dram_udm
8mcbx_dram_ldmO1mcbx_dram_ldm
9mcbx_dram_baO1mcbx_dram_ba
10mcbx_dram_addrO1mcbx_dram_addr
11mcbx_dram_ddr3_rstO1mcbx_dram_ddr3_rst
12mcbx_dram_dqIO1mcbx_dram_dq
13mcbx_dram_dqsIO1mcbx_dram_dqs
14mcbx_dram_dqs_nIO1mcbx_dram_dqs_n
15mcbx_dram_udqsIO1mcbx_dram_udqs
16mcbx_dram_udqs_nIO1mcbx_dram_udqs_n
17rzqIO1rzq
18zioIO1zio
19s0_axi_aclkI1clk_100_0000MHzPLL0
20ui_clkI1clk_100_0000MHzPLL0
21sysclk_2xI1clk_600_0000MHzPLL0_nobuf
22sysclk_2x_180I1clk_600_0000MHz180PLL0_nobuf
23SYS_RSTI1proc_sys_reset_0_BUS_STRUCT_RESET
24PLL_LOCKI1proc_sys_reset_0_Dcm_locked
Bus Interfaces
 NAME  TYPE BUSSTDBUSConnected To
S0_AXISLAVEAXIaxi4_0ETHERNET_dma
+

+
+ + + + + + + +
Parameters
+ + These are the current parameter settings for this module. +

+ Parameters marked with + yellow + indicate parameters set by the user. +
+ Parameters marked with + blue + indicate parameters set by the system. + +
+ + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_MCB_LOCMEMC3
C_MCB_RZQ_LOCK7
C_MCB_ZIO_LOCR7
C_MCB_PERFORMANCESTANDARD
C_BYPASS_CORE_UCF0
C_S0_AXI_BASEADDR0x80000000
C_S0_AXI_HIGHADDR0x87FFFFFF
C_S1_AXI_BASEADDR0xFFFFFFFF
C_S1_AXI_HIGHADDR0x00000000
C_S2_AXI_BASEADDR0xFFFFFFFF
C_S2_AXI_HIGHADDR0x00000000
C_S3_AXI_BASEADDR0xFFFFFFFF
C_S3_AXI_HIGHADDR0x00000000
C_S4_AXI_BASEADDR0xFFFFFFFF
C_S4_AXI_HIGHADDR0x00000000
C_S5_AXI_BASEADDR0xFFFFFFFF
C_S5_AXI_HIGHADDR0x00000000
C_MEM_TYPEDDR3
C_MEM_PARTNOMT41J64M16XX-187E
C_MEM_BASEPARTNONOT_SET
C_NUM_DQ_PINS16
C_MEM_ADDR_WIDTH13
C_MEM_BANKADDR_WIDTH3
C_MEM_NUM_COL_BITS10
C_MEM_TRAS-1
C_MEM_TRCD-1
C_MEM_TREFI-1
C_MEM_TRFC-1
C_MEM_TRP-1
C_MEM_TWR-1
C_MEM_TRTP-1
C_MEM_TWTR-1
C_PORT_CONFIGB32_B32_B32_B32
C_SKIP_IN_TERM_CAL0
C_SKIP_IN_TERM_CAL_VALUENONE
C_MEMCLK_PERIOD0
C_MEM_ADDR_ORDERROW_BANK_COLUMN
C_MEM_TZQINIT_MAXCNT512
C_MEM_CAS_LATENCY6
C_SIMULATIONFALSE
C_MEM_DDR1_2_ODSFULL
C_MEM_DDR1_2_ADDR_CONTROL_SSTL_ODSCLASS_II
C_MEM_DDR1_2_DATA_CONTROL_SSTL_ODSCLASS_II
C_MEM_DDR2_RTT150OHMS
C_MEM_DDR2_DIFF_DQS_ENYES
C_MEM_DDR2_3_PA_SRFULL
C_MEM_DDR2_3_HIGH_TEMP_SRNORMAL
C_MEM_DDR3_CAS_WR_LATENCY5
C_MEM_DDR3_CAS_LATENCY6
C_MEM_DDR3_ODSDIV6
C_MEM_DDR3_RTTDIV4
C_MEM_DDR3_AUTO_SRENABLED
C_MEM_MOBILE_PA_SRFULL
C_MEM_MDDR_ODSFULL
C_ARB_ALGORITHM0
C_ARB_NUM_TIME_SLOTS12
C_ARB_TIME_SLOT_00b000000000001010011
C_ARB_TIME_SLOT_10b000000001010011000
C_ARB_TIME_SLOT_20b000000010011000001
C_ARB_TIME_SLOT_30b000000011000001010
C_ARB_TIME_SLOT_40b000000000001010011
C_ARB_TIME_SLOT_50b000000001010011000
C_ARB_TIME_SLOT_60b000000010011000001
C_ARB_TIME_SLOT_70b000000011000001010
C_ARB_TIME_SLOT_80b000000000001010011
C_ARB_TIME_SLOT_90b000000001010011000
C_ARB_TIME_SLOT_100b000000010011000001
C_ARB_TIME_SLOT_110b000000011000001010
C_S0_AXI_ENABLE1
C_S0_AXI_PROTOCOLAXI4
C_S0_AXI_ID_WIDTH4
C_S0_AXI_ADDR_WIDTH32
C_S0_AXI_DATA_WIDTH32
C_S0_AXI_SUPPORTS_READ1
C_S0_AXI_SUPPORTS_WRITE1
C_S0_AXI_SUPPORTS_NARROW_BURST1
C_S0_AXI_REG_EN00x00000
C_S0_AXI_REG_EN10x01000
C_S0_AXI_STRICT_COHERENCY0
C_S0_AXI_ENABLE_AP0
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_INTERCONNECT_S0_AXI_READ_ACCEPTANCE4
C_INTERCONNECT_S0_AXI_WRITE_ACCEPTANCE4
C_S1_AXI_ENABLE0
C_S1_AXI_PROTOCOLAXI4
C_S1_AXI_ID_WIDTH4
C_S1_AXI_ADDR_WIDTH32
C_S1_AXI_DATA_WIDTH32
C_S1_AXI_SUPPORTS_READ1
C_S1_AXI_SUPPORTS_WRITE1
C_S1_AXI_SUPPORTS_NARROW_BURST1
C_S1_AXI_REG_EN00x00000
C_S1_AXI_REG_EN10x01000
C_S1_AXI_STRICT_COHERENCY1
C_S1_AXI_ENABLE_AP0
C_INTERCONNECT_S1_AXI_READ_ACCEPTANCE4
C_INTERCONNECT_S1_AXI_WRITE_ACCEPTANCE4
C_S2_AXI_ENABLE0
C_S2_AXI_PROTOCOLAXI4
C_S2_AXI_ID_WIDTH4
C_S2_AXI_ADDR_WIDTH32
C_S2_AXI_DATA_WIDTH32
C_S2_AXI_SUPPORTS_READ1
C_S2_AXI_SUPPORTS_WRITE1
C_S2_AXI_SUPPORTS_NARROW_BURST1
C_S2_AXI_REG_EN00x00000
C_S2_AXI_REG_EN10x01000
C_S2_AXI_STRICT_COHERENCY1
C_S2_AXI_ENABLE_AP0
C_INTERCONNECT_S2_AXI_READ_ACCEPTANCE4
C_INTERCONNECT_S2_AXI_WRITE_ACCEPTANCE4
C_S3_AXI_ENABLE0
C_S3_AXI_PROTOCOLAXI4
C_S3_AXI_ID_WIDTH4
C_S3_AXI_ADDR_WIDTH32
C_S3_AXI_DATA_WIDTH32
C_S3_AXI_SUPPORTS_READ1
C_S3_AXI_SUPPORTS_WRITE1
C_S3_AXI_SUPPORTS_NARROW_BURST1
C_S3_AXI_REG_EN00x00000
C_S3_AXI_REG_EN10x01000
C_S3_AXI_STRICT_COHERENCY1
C_S3_AXI_ENABLE_AP0
C_INTERCONNECT_S3_AXI_READ_ACCEPTANCE4
C_INTERCONNECT_S3_AXI_WRITE_ACCEPTANCE4
C_S4_AXI_ENABLE0
C_S4_AXI_PROTOCOLAXI4
C_S4_AXI_ID_WIDTH4
C_S4_AXI_ADDR_WIDTH32
C_S4_AXI_DATA_WIDTH32
C_S4_AXI_SUPPORTS_READ1
C_S4_AXI_SUPPORTS_WRITE1
C_S4_AXI_SUPPORTS_NARROW_BURST1
C_S4_AXI_REG_EN00x00000
C_S4_AXI_REG_EN10x01000
C_S4_AXI_STRICT_COHERENCY1
C_S4_AXI_ENABLE_AP0
C_INTERCONNECT_S4_AXI_READ_ACCEPTANCE4
C_INTERCONNECT_S4_AXI_WRITE_ACCEPTANCE4
C_S5_AXI_ENABLE0
C_S5_AXI_PROTOCOLAXI4
C_S5_AXI_ID_WIDTH4
C_S5_AXI_ADDR_WIDTH32
C_S5_AXI_DATA_WIDTH32
C_S5_AXI_SUPPORTS_READ1
C_S5_AXI_SUPPORTS_WRITE1
C_S5_AXI_SUPPORTS_NARROW_BURST1
C_S5_AXI_REG_EN00x00000
C_S5_AXI_REG_EN10x01000
C_S5_AXI_STRICT_COHERENCY1
C_S5_AXI_ENABLE_AP0
C_INTERCONNECT_S5_AXI_READ_ACCEPTANCE4
C_INTERCONNECT_S5_AXI_WRITE_ACCEPTANCE4
C_MCB_USE_EXTERNAL_BUFPLL0
C_SYS_RST_PRESENT0
C_INTERCONNECT_S0_AXI_MASTERSETHERNET_dma.M_AXI_SG & ETHERNET_dma.M_AXI_MM2S & ETHERNET_dma.M_AXI_S2MM
C_INTERCONNECT_S0_AXI_AW_REGISTER1
C_INTERCONNECT_S0_AXI_AR_REGISTER1
C_INTERCONNECT_S0_AXI_W_REGISTER1
C_INTERCONNECT_S0_AXI_R_REGISTER1
C_INTERCONNECT_S0_AXI_B_REGISTER1
+ + + + +
Post Synthesis Device Utilization
+ Device utilization information is not available for this IP. Run platgen to generate synthesis information. +
+
+

+
+ + + + + + + + + +
+microblaze_0_d_bram_ctrl +   LMB BRAM Controller
Local Memory Bus (LMB) Block RAM (BRAM) Interface Controller connects to an lmb bus

+
+ + + + + + + + + + +
IP Specs
CoreVersionDocumentation
lmb_bram_if_cntlr3.00.aIP
+

+
microblaze_0_d_bram_ctrl IP Image + + + + + + + + + + + + + + + + + + + + + +
Bus Interfaces
 NAME  TYPE BUSSTDBUSConnected To
BRAM_PORTINITIATORXIL_BRAMmicroblaze_0_d_bram_ctrl_2_microblaze_0_bram_blockmicroblaze_0_bram_block
SLMBSLAVELMBmicroblaze_0_dlmbmicroblaze_0
+

+
+ + + + + + + +
Parameters
+ + These are the current parameter settings for this module. +

+ Parameters marked with + yellow + indicate parameters set by the user. +
+ Parameters marked with + blue + indicate parameters set by the system. + +
+ + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_BASEADDR0x00000000
C_HIGHADDR0x00001FFF
C_FAMILYvirtex5
C_MASK0x00800000
C_LMB_AWIDTH32
C_LMB_DWIDTH32
C_ECC0
C_INTERCONNECT0
C_FAULT_INJECT0
C_CE_FAILING_REGISTERS0
C_UE_FAILING_REGISTERS0
C_ECC_STATUS_REGISTERS0
C_ECC_ONOFF_REGISTER0
C_ECC_ONOFF_RESET_VALUE1
C_CE_COUNTER_WIDTH0
C_WRITE_ACCESS2
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_SPLB_CTRL_BASEADDR0xFFFFFFFF
C_SPLB_CTRL_HIGHADDR0x00000000
C_SPLB_CTRL_AWIDTH32
C_SPLB_CTRL_DWIDTH32
C_SPLB_CTRL_P2P0
C_SPLB_CTRL_MID_WIDTH1
C_SPLB_CTRL_NUM_MASTERS1
C_SPLB_CTRL_SUPPORT_BURSTS0
C_SPLB_CTRL_NATIVE_DWIDTH32
C_SPLB_CTRL_CLK_FREQ_HZ100000000
C_S_AXI_CTRL_ACLK_FREQ_HZ100000000
C_S_AXI_CTRL_BASEADDR0xFFFFFFFF
C_S_AXI_CTRL_HIGHADDR0x00000000
C_S_AXI_CTRL_ADDR_WIDTH32
C_S_AXI_CTRL_DATA_WIDTH32
C_S_AXI_CTRL_PROTOCOLAXI4LITE
+ + + + +
Post Synthesis Device Utilization
+ Device utilization information is not available for this IP. Run platgen to generate synthesis information. +
+
+

+
+ + + + + + + + + +
+microblaze_0_i_bram_ctrl +   LMB BRAM Controller
Local Memory Bus (LMB) Block RAM (BRAM) Interface Controller connects to an lmb bus

+
+ + + + + + + + + + +
IP Specs
CoreVersionDocumentation
lmb_bram_if_cntlr3.00.aIP
+

+
microblaze_0_i_bram_ctrl IP Image + + + + + + + + + + + + + + + + + + + + + +
Bus Interfaces
 NAME  TYPE BUSSTDBUSConnected To
BRAM_PORTINITIATORXIL_BRAMmicroblaze_0_i_bram_ctrl_2_microblaze_0_bram_blockmicroblaze_0_bram_block
SLMBSLAVELMBmicroblaze_0_ilmbmicroblaze_0
+

+
+ + + + + + + +
Parameters
+ + These are the current parameter settings for this module. +

+ Parameters marked with + yellow + indicate parameters set by the user. +
+ Parameters marked with + blue + indicate parameters set by the system. + +
+ + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_BASEADDR0x00000000
C_HIGHADDR0x00001FFF
C_FAMILYvirtex5
C_MASK0x00800000
C_LMB_AWIDTH32
C_LMB_DWIDTH32
C_ECC0
C_INTERCONNECT0
C_FAULT_INJECT0
C_CE_FAILING_REGISTERS0
C_UE_FAILING_REGISTERS0
C_ECC_STATUS_REGISTERS0
C_ECC_ONOFF_REGISTER0
C_ECC_ONOFF_RESET_VALUE1
C_CE_COUNTER_WIDTH0
C_WRITE_ACCESS2
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_SPLB_CTRL_BASEADDR0xFFFFFFFF
C_SPLB_CTRL_HIGHADDR0x00000000
C_SPLB_CTRL_AWIDTH32
C_SPLB_CTRL_DWIDTH32
C_SPLB_CTRL_P2P0
C_SPLB_CTRL_MID_WIDTH1
C_SPLB_CTRL_NUM_MASTERS1
C_SPLB_CTRL_SUPPORT_BURSTS0
C_SPLB_CTRL_NATIVE_DWIDTH32
C_SPLB_CTRL_CLK_FREQ_HZ100000000
C_S_AXI_CTRL_ACLK_FREQ_HZ100000000
C_S_AXI_CTRL_BASEADDR0xFFFFFFFF
C_S_AXI_CTRL_HIGHADDR0x00000000
C_S_AXI_CTRL_ADDR_WIDTH32
C_S_AXI_CTRL_DATA_WIDTH32
C_S_AXI_CTRL_PROTOCOLAXI4LITE
+ + + + +
Post Synthesis Device Utilization
+ Device utilization information is not available for this IP. Run platgen to generate synthesis information. +
+
+

+
+
+

+ + +
PeripheralsTOC
+
+ + + + + + + + + + + + +
+ + + + + + + + + +
+ETHERNET +   AXI Ethernet
AXI Ethernet MAC

+
+ + + + + + + + + + +
IP Specs
CoreVersionDocumentation
axi_ethernet2.01.aIP
+

+
ETHERNET IP Image + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
PORT LIST
These are the ports listed in the MHS file. + + Please refer to the IP documentation for complete information about module ports. +
#NAMEDIR[LSB:MSB]SIGNAL
0MDIOIO1ETHERNET_MDIO
1MDCO1ETHERNET_MDC
2GMII_TX_ERO1ETHERNET_TX_ER
3GMII_TXDO1ETHERNET_TXD
4GMII_TX_ENO1ETHERNET_TX_EN
5MII_TX_CLKI1ETHERNET_MII_TX_CLK
6GMII_TX_CLKO1ETHERNET_TX_CLK
7GMII_RXDI1ETHERNET_RXD
8GMII_RX_ERI1ETHERNET_RX_ER
9GMII_RX_CLKI1ETHERNET_RX_CLK
10GMII_RX_DVI1ETHERNET_RX_DV
11PHY_RST_NO1ETHERNET_PHY_RST_N
12S_AXI_ACLKI1clk_50_0000MHzPLL0
13GTX_CLKI1clk_125_0000MHz
14REF_CLKI1clk_200_0000MHzPLL0
15AXI_STR_TXD_ACLKI1clk_100_0000MHzPLL0
16AXI_STR_TXC_ACLKI1clk_100_0000MHzPLL0
17AXI_STR_RXD_ACLKI1clk_100_0000MHzPLL0
18AXI_STR_RXS_ACLKI1clk_100_0000MHzPLL0
19AXI_STR_TXD_ARESETNI1AXI_STR_TXD_ARESETN
20AXI_STR_TXC_ARESETNI1AXI_STR_TXC_ARESETN
21AXI_STR_RXD_ARESETNI1AXI_STR_RXD_ARESETN
22AXI_STR_RXS_ARESETNI1AXI_STR_RXS_ARESETN
23INTERRUPTO1ETHERNET_INTERRUPT
Bus Interfaces
 NAME  TYPE BUSSTDBUSConnected To
AXI_STR_RXSINITIATORAXISETHERNET_dma_rxsETHERNET_dma
AXI_STR_RXDINITIATORAXISETHERNET_dma_rxdETHERNET_dma
S_AXISLAVEAXIaxi4lite_08 Peripherals.
AXI_STR_TXDTARGETAXISETHERNET_dma_txdETHERNET_dma
AXI_STR_TXCTARGETAXISETHERNET_dma_txcETHERNET_dma
+

+
+ + + + + + + +
Parameters
+ + These are the current parameter settings for this module. +

+ Parameters marked with + yellow + indicate parameters set by the user. +
+ Parameters marked with + blue + indicate parameters set by the system. + +
+ + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_S_AXI_PROTOCOLAXI4LITE
C_AXI_STR_TXC_TDATA_WIDTH32
C_AXI_STR_TXD_TDATA_WIDTH32
C_AXI_STR_RXS_TDATA_WIDTH32
C_AXI_STR_RXD_TDATA_WIDTH32
C_AXI_STR_TXC_PROTOCOLXIL_AXI_STREAM_ETH_CTRL
C_AXI_STR_TXD_PROTOCOLXIL_AXI_STREAM_ETH_DATA
C_AXI_STR_RXS_PROTOCOLXIL_AXI_STREAM_ETH_CTRL
C_AXI_STR_RXD_PROTOCOLXIL_AXI_STREAM_ETH_DATA
C_AXI_STR_AVBTX_PROTOCOLXIL_AXI_STREAM_ETH_AVB_TX
C_AXI_STR_AVBRX_PROTOCOLXIL_AXI_STREAM_ETH_AVB_RX
C_FAMILYvirtex6
C_S_AXI_ACLK_FREQ_HZ100000000
C_BASEADDR0x41240000
C_HIGHADDR0x4127FFFF
C_S_AXI_ADDR_WIDTH32
C_S_AXI_DATA_WIDTH32
C_S_AXI_ID_WIDTH4
C_TRANSA
C_PHYADDR0B00001
C_INCLUDE_IO1
C_TYPE1
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_PHY_TYPE1
C_HALFDUP0
C_TXMEM4096
C_RXMEM4096
C_TXCSUM0
C_RXCSUM0
C_TXVLAN_TRAN0
C_RXVLAN_TRAN0
C_TXVLAN_TAG0
C_RXVLAN_TAG0
C_TXVLAN_STRP0
C_RXVLAN_STRP0
C_MCAST_EXTEND0
C_STATS0
C_AVB0
C_SIMULATION0
C_INTERCONNECT_S_AXI_IS_ACLK_ASYNC0
C_INTERCONNECT_S_AXI_AW_REGISTER1
C_INTERCONNECT_S_AXI_AR_REGISTER1
C_INTERCONNECT_S_AXI_W_REGISTER1
C_INTERCONNECT_S_AXI_R_REGISTER1
C_INTERCONNECT_S_AXI_B_REGISTER1
+ + + + +
Post Synthesis Device Utilization
+ Device utilization information is not available for this IP. Run platgen to generate synthesis information. +
+
+

+
+ + + + + + + + + +
+ETHERNET_dma +   AXI DMA Engine
AXI MemoryMap to/from AXI Stream Direct Memory Access Engine

+
+ + + + + + + + + + +
IP Specs
CoreVersionDocumentation
axi_dma3.00.aIP
+

+
ETHERNET_dma IP Image + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
PORT LIST
These are the ports listed in the MHS file. + + Please refer to the IP documentation for complete information about module ports. +
#NAMEDIR[LSB:MSB]SIGNAL
0s_axi_lite_aclkI1clk_100_0000MHzPLL0
1m_axi_sg_aclkI1clk_100_0000MHzPLL0
2m_axi_mm2s_aclkI1clk_100_0000MHzPLL0
3m_axi_s2mm_aclkI1clk_100_0000MHzPLL0
4mm2s_prmry_reset_out_nO1AXI_STR_TXD_ARESETN
5mm2s_cntrl_reset_out_nO1AXI_STR_TXC_ARESETN
6s2mm_prmry_reset_out_nO1AXI_STR_RXD_ARESETN
7s2mm_sts_reset_out_nO1AXI_STR_RXS_ARESETN
8mm2s_introutO1ETHERNET_dma_mm2s_introut
9s2mm_introutO1ETHERNET_dma_s2mm_introut
Bus Interfaces
 NAME  TYPE BUSSTDBUSConnected To
M_AXIS_MM2SINITIATORAXISETHERNET_dma_txdETHERNET
M_AXIS_CNTRLINITIATORAXISETHERNET_dma_txcETHERNET
M_AXI_SGMASTERAXIaxi4_0MCB_DDR3
M_AXI_MM2SMASTERAXIaxi4_0MCB_DDR3
M_AXI_S2MMMASTERAXIaxi4_0MCB_DDR3
S_AXI_LITESLAVEAXIaxi4lite_08 Peripherals.
S_AXIS_STSTARGETAXISETHERNET_dma_rxsETHERNET
S_AXIS_S2MMTARGETAXISETHERNET_dma_rxdETHERNET
+

+
+ + + + + + + +
Parameters
+ + These are the current parameter settings for this module. +

+ Parameters marked with + yellow + indicate parameters set by the user. +
+ Parameters marked with + blue + indicate parameters set by the system. + +
+ + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_S_AXI_LITE_ADDR_WIDTH32
C_S_AXI_LITE_DATA_WIDTH32
C_DLYTMR_RESOLUTION1250
C_PRMRY_IS_ACLK_ASYNC0
C_SG_INCLUDE_DESC_QUEUE1
C_SG_INCLUDE_STSCNTRL_STRM1
C_SG_USE_STSAPP_LENGTH1
C_SG_LENGTH_WIDTH16
C_M_AXI_SG_ADDR_WIDTH32
C_M_AXI_SG_DATA_WIDTH32
C_M_AXIS_MM2S_CNTRL_TDATA_WIDTH32
C_S_AXIS_S2MM_STS_TDATA_WIDTH32
C_INCLUDE_MM2S1
C_INCLUDE_MM2S_DRE1
C_MM2S_BURST_SIZE16
C_M_AXI_MM2S_ADDR_WIDTH32
C_M_AXI_MM2S_DATA_WIDTH32
C_M_AXIS_MM2S_TDATA_WIDTH32
C_INCLUDE_S2MM1
C_INCLUDE_S2MM_DRE1
C_S2MM_BURST_SIZE16
C_M_AXI_S2MM_ADDR_WIDTH32
C_M_AXI_S2MM_DATA_WIDTH32
C_S_AXIS_S2MM_TDATA_WIDTH32
C_FAMILYvirtex6
C_BASEADDR0x41E00000
C_HIGHADDR0x41E0FFFF
C_S_AXI_LITE_ACLK_FREQ_HZ100000000
C_M_AXI_SG_ACLK_FREQ_HZ100000000
C_M_AXI_MM2S_ACLK_FREQ_HZ100000000
C_M_AXI_S2MM_ACLK_FREQ_HZ100000000
C_S_AXI_LITE_PROTOCOLAXI4LITE
C_S_AXI_LITE_SUPPORTS_READ1
C_S_AXI_LITE_SUPPORTS_WRITE1
C_M_AXI_SG_PROTOCOLAXI4
C_M_AXI_SG_SUPPORTS_THREADS0
C_M_AXI_SG_THREAD_ID_WIDTH1
C_M_AXI_SG_SUPPORTS_NARROW_BURST0
C_M_AXI_SG_SUPPORTS_READ1
C_M_AXI_SG_SUPPORTS_WRITE1
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_M_AXI_MM2S_PROTOCOLAXI4
C_M_AXI_MM2S_SUPPORTS_THREADS0
C_M_AXI_MM2S_THREAD_ID_WIDTH1
C_M_AXI_MM2S_SUPPORTS_NARROW_BURST0
C_M_AXI_MM2S_SUPPORTS_READ1
C_M_AXI_MM2S_SUPPORTS_WRITE0
C_INTERCONNECT_M_AXI_MM2S_READ_ISSUING4
C_INTERCONNECT_M_AXI_MM2S_READ_FIFO_DEPTH512
C_M_AXI_S2MM_PROTOCOLAXI4
C_M_AXI_S2MM_SUPPORTS_THREADS0
C_M_AXI_S2MM_THREAD_ID_WIDTH1
C_M_AXI_S2MM_SUPPORTS_NARROW_BURST0
C_M_AXI_S2MM_SUPPORTS_WRITE1
C_M_AXI_S2MM_SUPPORTS_READ0
C_INTERCONNECT_M_AXI_S2MM_WRITE_ISSUING4
C_INTERCONNECT_M_AXI_S2MM_WRITE_FIFO_DEPTH512
C_M_AXIS_MM2S_PROTOCOLXIL_AXI_STREAM_ETH_DATA
C_S_AXIS_S2MM_PROTOCOLXIL_AXI_STREAM_ETH_DATA
C_M_AXIS_CNTRL_PROTOCOLXIL_AXI_STREAM_ETH_CTRL
C_S_AXIS_STS_PROTOCOLXIL_AXI_STREAM_ETH_CTRL
C_INTERCONNECT_S_AXI_LITE_AW_REGISTER1
C_INTERCONNECT_S_AXI_LITE_AR_REGISTER1
C_INTERCONNECT_S_AXI_LITE_W_REGISTER1
C_INTERCONNECT_S_AXI_LITE_R_REGISTER1
C_INTERCONNECT_S_AXI_LITE_B_REGISTER1
C_INTERCONNECT_M_AXI_SG_AW_REGISTER1
C_INTERCONNECT_M_AXI_SG_AR_REGISTER1
C_INTERCONNECT_M_AXI_SG_W_REGISTER1
C_INTERCONNECT_M_AXI_SG_R_REGISTER1
C_INTERCONNECT_M_AXI_SG_B_REGISTER1
C_INTERCONNECT_M_AXI_MM2S_AW_REGISTER1
C_INTERCONNECT_M_AXI_MM2S_AR_REGISTER1
C_INTERCONNECT_M_AXI_MM2S_W_REGISTER1
C_INTERCONNECT_M_AXI_MM2S_R_REGISTER1
C_INTERCONNECT_M_AXI_MM2S_B_REGISTER1
C_INTERCONNECT_M_AXI_S2MM_AW_REGISTER1
C_INTERCONNECT_M_AXI_S2MM_AR_REGISTER1
C_INTERCONNECT_M_AXI_S2MM_W_REGISTER1
C_INTERCONNECT_M_AXI_S2MM_R_REGISTER1
C_INTERCONNECT_M_AXI_S2MM_B_REGISTER1
+ + + + +
Post Synthesis Device Utilization
+ Device utilization information is not available for this IP. Run platgen to generate synthesis information. +
+
+

+
+ + + + + + + + + +
+LEDs_4Bits +   AXI General Purpose IO
General Purpose Input/Output (GPIO) core for the AXI bus.

+
+ + + + + + + + + + +
IP Specs
CoreVersionDocumentation
axi_gpio1.01.aIP
+

+
LEDs_4Bits IP Image + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
PORT LIST
These are the ports listed in the MHS file. + + Please refer to the IP documentation for complete information about module ports. +
#NAMEDIR[LSB:MSB]SIGNAL
0GPIO_IO_OO1LEDs_4Bits_TRI_O
1S_AXI_ACLKI1clk_50_0000MHzPLL0
Bus Interfaces
 NAME  TYPE BUSSTDBUSConnected To
S_AXISLAVEAXIaxi4lite_08 Peripherals.
+

+
+ + + + + + + +
Parameters
+ + These are the current parameter settings for this module. +

+ Parameters marked with + yellow + indicate parameters set by the user. +
+ Parameters marked with + blue + indicate parameters set by the system. + +
+ + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_FAMILYvirtex6
C_BASEADDR0x40020000
C_HIGHADDR0x4002FFFF
C_S_AXI_ADDR_WIDTH32
C_S_AXI_DATA_WIDTH32
C_GPIO_WIDTH4
C_GPIO2_WIDTH32
C_ALL_INPUTS0
C_ALL_INPUTS_20
C_INTERRUPT_PRESENT0
C_DOUT_DEFAULT0x00000000
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_TRI_DEFAULT0xFFFFFFFF
C_IS_DUAL0
C_DOUT_DEFAULT_20x00000000
C_TRI_DEFAULT_20xFFFFFFFF
C_S_AXI_PROTOCOLAXI4LITE
C_INTERCONNECT_S_AXI_AW_REGISTER1
C_INTERCONNECT_S_AXI_AR_REGISTER1
C_INTERCONNECT_S_AXI_W_REGISTER1
C_INTERCONNECT_S_AXI_R_REGISTER1
C_INTERCONNECT_S_AXI_B_REGISTER1
 
+ + + + +
Post Synthesis Device Utilization
+ Device utilization information is not available for this IP. Run platgen to generate synthesis information. +
+
+

+
+ + + + + + + + + +
+Push_Buttons_4Bits +   AXI General Purpose IO
General Purpose Input/Output (GPIO) core for the AXI bus.

+
+ + + + + + + + + + +
IP Specs
CoreVersionDocumentation
axi_gpio1.01.aIP
+

+
Push_Buttons_4Bits IP Image + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
PORT LIST
These are the ports listed in the MHS file. + + Please refer to the IP documentation for complete information about module ports. +
#NAMEDIR[LSB:MSB]SIGNAL
0GPIO_IO_II1Push_Buttons_4Bits_TRI_I
1S_AXI_ACLKI1clk_50_0000MHzPLL0
2IP2INTC_IrptO1Push_Buttons_4Bits_IP2INTC_Irpt
Bus Interfaces
 NAME  TYPE BUSSTDBUSConnected To
S_AXISLAVEAXIaxi4lite_08 Peripherals.
+

+
+ + + + + + + +
Parameters
+ + These are the current parameter settings for this module. +

+ Parameters marked with + yellow + indicate parameters set by the user. +
+ Parameters marked with + blue + indicate parameters set by the system. + +
+ + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_FAMILYvirtex6
C_BASEADDR0x40000000
C_HIGHADDR0x4000FFFF
C_S_AXI_ADDR_WIDTH32
C_S_AXI_DATA_WIDTH32
C_GPIO_WIDTH4
C_GPIO2_WIDTH32
C_ALL_INPUTS1
C_ALL_INPUTS_20
C_INTERRUPT_PRESENT1
C_DOUT_DEFAULT0x00000000
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_TRI_DEFAULT0xFFFFFFFF
C_IS_DUAL0
C_DOUT_DEFAULT_20x00000000
C_TRI_DEFAULT_20xFFFFFFFF
C_S_AXI_PROTOCOLAXI4LITE
C_INTERCONNECT_S_AXI_AW_REGISTER1
C_INTERCONNECT_S_AXI_AR_REGISTER1
C_INTERCONNECT_S_AXI_W_REGISTER1
C_INTERCONNECT_S_AXI_R_REGISTER1
C_INTERCONNECT_S_AXI_B_REGISTER1
 
+ + + + +
Post Synthesis Device Utilization
+ Device utilization information is not available for this IP. Run platgen to generate synthesis information. +
+
+

+
+ + + + + + + + + +
+RS232_Uart_1 +   AXI UART (Lite)
Generic UART (Universal Asynchronous Receiver/Transmitter) for AXI.

+
+ + + + + + + + + + +
IP Specs
CoreVersionDocumentation
axi_uartlite1.01.aIP
+

+
RS232_Uart_1 IP Image + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
PORT LIST
These are the ports listed in the MHS file. + + Please refer to the IP documentation for complete information about module ports. +
#NAMEDIR[LSB:MSB]SIGNAL
0TXO1RS232_Uart_1_sout
1RXI1RS232_Uart_1_sin
2S_AXI_ACLKI1clk_50_0000MHzPLL0
3InterruptO1RS232_Uart_1_Interrupt
Bus Interfaces
 NAME  TYPE BUSSTDBUSConnected To
S_AXISLAVEAXIaxi4lite_08 Peripherals.
+

+
+ + + + + + + +
Parameters
+ + These are the current parameter settings for this module. +

+ Parameters marked with + yellow + indicate parameters set by the user. +
+ Parameters marked with + blue + indicate parameters set by the system. + +
+ + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_FAMILYvirtex6
C_S_AXI_ACLK_FREQ_HZ100000000
C_BASEADDR0x40600000
C_HIGHADDR0x4060FFFF
C_S_AXI_ADDR_WIDTH32
C_S_AXI_DATA_WIDTH32
C_BAUDRATE115200
C_DATA_BITS8
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_USE_PARITY0
C_ODD_PARITY1
C_S_AXI_PROTOCOLAXI4LITE
C_INTERCONNECT_S_AXI_AW_REGISTER1
C_INTERCONNECT_S_AXI_AR_REGISTER1
C_INTERCONNECT_S_AXI_W_REGISTER1
C_INTERCONNECT_S_AXI_R_REGISTER1
C_INTERCONNECT_S_AXI_B_REGISTER1
+ + + + +
Post Synthesis Device Utilization
+ Device utilization information is not available for this IP. Run platgen to generate synthesis information. +
+
+

+
+ + + + + + + + + +
+axi_timer_0 +   AXI Timer/Counter
Timer counter with AXI interface

+
+ + + + + + + + + + +
IP Specs
CoreVersionDocumentation
axi_timer1.01.aIP
+

+
axi_timer_0 IP Image + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
PORT LIST
These are the ports listed in the MHS file. + + Please refer to the IP documentation for complete information about module ports. +
#NAMEDIR[LSB:MSB]SIGNAL
0S_AXI_ACLKI1clk_50_0000MHzPLL0
1InterruptO1axi_timer_0_Interrupt
Bus Interfaces
 NAME  TYPE BUSSTDBUSConnected To
S_AXISLAVEAXIaxi4lite_08 Peripherals.
+

+
+ + + + + + + +
Parameters
+ + These are the current parameter settings for this module. +

+ Parameters marked with + yellow + indicate parameters set by the user. +
+ Parameters marked with + blue + indicate parameters set by the system. + +
+ + + +
+ + + + + + + + + + + + + + + + + + + + +
NameValue
C_S_AXI_PROTOCOLAXI4LITE
C_FAMILYvirtex6
C_COUNT_WIDTH32
C_ONE_TIMER_ONLY0
C_TRIG0_ASSERT1
C_TRIG1_ASSERT1
 
+ + + + + + + + + + + + + + + + + + + + +
NameValue
C_GEN0_ASSERT1
C_GEN1_ASSERT1
C_BASEADDR0x41C00000
C_HIGHADDR0x41C0FFFF
C_S_AXI_ADDR_WIDTH32
C_S_AXI_DATA_WIDTH32
+ + + + +
Post Synthesis Device Utilization
+ Device utilization information is not available for this IP. Run platgen to generate synthesis information. +
+
+

+
+
+

+ + +
IPTOC
+
+ + + + +
+ + + + + + + + + +
+clock_generator_0 +   Clock Generator
Clock generator for processor system.

+
+ + + + + + + + + + +
IP Specs
CoreVersionDocumentation
clock_generator4.01.aIP
+

+
clock_generator_0 IP Image + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
PORT LIST
These are the ports listed in the MHS file. + + Please refer to the IP documentation for complete information about module ports. +
#NAMEDIR[LSB:MSB]SIGNAL
0RSTI1RESET
1CLKINI1CLK
2CLKOUT2O1clk_100_0000MHzPLL0
3CLKOUT5O1clk_50_0000MHzPLL0
4CLKOUT3O1clk_125_0000MHz
5CLKOUT4O1clk_200_0000MHzPLL0
6CLKOUT0O1clk_600_0000MHzPLL0_nobuf
7CLKOUT1O1clk_600_0000MHz180PLL0_nobuf
8LOCKEDO1proc_sys_reset_0_Dcm_locked
+

+
+ + + + + + + +
Parameters
+ + These are the current parameter settings for this module. +

+ Parameters marked with + yellow + indicate parameters set by the user. +
+ Parameters marked with + blue + indicate parameters set by the system. + +
+ + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_FAMILYvirtex6
C_DEVICENOT_SET
C_PACKAGENOT_SET
C_SPEEDGRADENOT_SET
C_CLKIN_FREQ200000000
C_CLKOUT0_FREQ600000000
C_CLKOUT0_PHASE0
C_CLKOUT0_GROUPPLL0
C_CLKOUT0_BUFFALSE
C_CLKOUT0_VARIABLE_PHASEFALSE
C_CLKOUT1_FREQ600000000
C_CLKOUT1_PHASE180
C_CLKOUT1_GROUPPLL0
C_CLKOUT1_BUFFALSE
C_CLKOUT1_VARIABLE_PHASEFALSE
C_CLKOUT2_FREQ100000000
C_CLKOUT2_PHASE0
C_CLKOUT2_GROUPPLL0
C_CLKOUT2_BUFTRUE
C_CLKOUT2_VARIABLE_PHASEFALSE
C_CLKOUT3_FREQ125000000
C_CLKOUT3_PHASE0
C_CLKOUT3_GROUPNONE
C_CLKOUT3_BUFTRUE
C_CLKOUT3_VARIABLE_PHASEFALSE
C_CLKOUT4_FREQ200000000
C_CLKOUT4_PHASE0
C_CLKOUT4_GROUPPLL0
C_CLKOUT4_BUFTRUE
C_CLKOUT4_VARIABLE_PHASEFALSE
C_CLKOUT5_FREQ50000000
C_CLKOUT5_PHASE0
C_CLKOUT5_GROUPPLL0
C_CLKOUT5_BUFTRUE
C_CLKOUT5_VARIABLE_PHASEFALSE
C_CLKOUT6_FREQ0
C_CLKOUT6_PHASE0
C_CLKOUT6_GROUPNONE
C_CLKOUT6_BUFTRUE
C_CLKOUT6_VARIABLE_PHASEFALSE
C_CLKOUT7_FREQ0
C_CLKOUT7_PHASE0
C_CLKOUT7_GROUPNONE
C_CLKOUT7_BUFTRUE
C_CLKOUT7_VARIABLE_PHASEFALSE
C_CLKOUT8_FREQ0
C_CLKOUT8_PHASE0
C_CLKOUT8_GROUPNONE
 
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NameValue
C_CLKOUT8_BUFTRUE
C_CLKOUT8_VARIABLE_PHASEFALSE
C_CLKOUT9_FREQ0
C_CLKOUT9_PHASE0
C_CLKOUT9_GROUPNONE
C_CLKOUT9_BUFTRUE
C_CLKOUT9_VARIABLE_PHASEFALSE
C_CLKOUT10_FREQ0
C_CLKOUT10_PHASE0
C_CLKOUT10_GROUPNONE
C_CLKOUT10_BUFTRUE
C_CLKOUT10_VARIABLE_PHASEFALSE
C_CLKOUT11_FREQ0
C_CLKOUT11_PHASE0
C_CLKOUT11_GROUPNONE
C_CLKOUT11_BUFTRUE
C_CLKOUT11_VARIABLE_PHASEFALSE
C_CLKOUT12_FREQ0
C_CLKOUT12_PHASE0
C_CLKOUT12_GROUPNONE
C_CLKOUT12_BUFTRUE
C_CLKOUT12_VARIABLE_PHASEFALSE
C_CLKOUT13_FREQ0
C_CLKOUT13_PHASE0
C_CLKOUT13_GROUPNONE
C_CLKOUT13_BUFTRUE
C_CLKOUT13_VARIABLE_PHASEFALSE
C_CLKOUT14_FREQ0
C_CLKOUT14_PHASE0
C_CLKOUT14_GROUPNONE
C_CLKOUT14_BUFTRUE
C_CLKOUT14_VARIABLE_PHASEFALSE
C_CLKOUT15_FREQ0
C_CLKOUT15_PHASE0
C_CLKOUT15_GROUPNONE
C_CLKOUT15_BUFTRUE
C_CLKOUT15_VARIABLE_PHASEFALSE
C_CLKFBIN_FREQ0
C_CLKFBIN_DESKEWNONE
C_CLKFBOUT_FREQ0
C_CLKFBOUT_PHASE0
C_CLKFBOUT_GROUPNONE
C_CLKFBOUT_BUFTRUE
C_PSDONE_GROUPNONE
C_EXT_RESET_HIGH1
C_CLK_PRIMITIVE_FEEDBACK_BUFFALSE
C_CLK_GENUPDATE
 
+ + + + +
Post Synthesis Device Utilization
+ Device utilization information is not available for this IP. Run platgen to generate synthesis information. +
+
+

+
+ + + + + + + + + +
+proc_sys_reset_0 +   Processor System Reset Module
Reset management module

+
+ + + + + + + + + + +
IP Specs
CoreVersionDocumentation
proc_sys_reset3.00.aIP
+

+
proc_sys_reset_0 IP Image + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
PORT LIST
These are the ports listed in the MHS file. + + Please refer to the IP documentation for complete information about module ports. +
#NAMEDIR[LSB:MSB]SIGNAL
0Ext_Reset_InI1RESET
1MB_ResetO1proc_sys_reset_0_MB_Reset
2Slowest_sync_clkI1clk_50_0000MHzPLL0
3Interconnect_aresetnO1proc_sys_reset_0_Interconnect_aresetn
4Dcm_lockedI1proc_sys_reset_0_Dcm_locked
5MB_Debug_Sys_RstI1proc_sys_reset_0_MB_Debug_Sys_Rst
6BUS_STRUCT_RESETO1proc_sys_reset_0_BUS_STRUCT_RESET
+

+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Parameters
+ + These are the current parameter settings for this module. +

+ Parameters marked with + yellow + indicate parameters set by the user. +
+ Parameters marked with + blue + indicate parameters set by the system. + +
NameValue
C_SUBFAMILYlx
C_EXT_RST_WIDTH4
C_AUX_RST_WIDTH4
C_EXT_RESET_HIGH1
C_AUX_RESET_HIGH1
C_NUM_BUS_RST1
C_NUM_PERP_RST1
C_NUM_INTERCONNECT_ARESETN1
C_NUM_PERP_ARESETN1
C_FAMILYvirtex5
+ + + + +
Post Synthesis Device Utilization
+ Device utilization information is not available for this IP. Run platgen to generate synthesis information. +
+
+

+
+
+

+ + +
Timing InformationTOC
+

+ + + +
Post Synthesis Clock Limits
+ No clocks could be identified in the design. Run platgen to generate synthesis information. +
+
+ + diff --git a/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/SDK/SDK_Export/hw/system_toc.html b/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/SDK/SDK_Export/hw/system_toc.html new file mode 100644 index 000000000..88f408ceb --- /dev/null +++ b/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/SDK/SDK_Export/hw/system_toc.html @@ -0,0 +1,73 @@ + + + + +Table of Contents + + + + +
+Overview
Block Diagram
External Ports
+ Processor +
+   microblaze_0
+ Debuggers +
+   debug_module
+ Interrupt Controllers +
+   microblaze_0_intc
+ Busses +
+   axi4_0
   axi4lite_0
   microblaze_0_dlmb
   microblaze_0_ilmb
+ Memory +
+   microblaze_0_bram_block
+ Memory Controllers +
+   MCB_DDR3
   microblaze_0_d_bram_ctrl
   microblaze_0_i_bram_ctrl
+ Peripherals +
+   ETHERNET
   ETHERNET_dma
   LEDs_4Bits
   Push_Buttons_4Bits
   RS232_Uart_1
   axi_timer_0
+ IP +
+   clock_generator_0
   proc_sys_reset_0
Timing Information
+
+ diff --git a/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/__xps/MCB_DDR3/param_input.xml b/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/__xps/MCB_DDR3/param_input.xml index 2424c8119..7e444c01f 100644 --- a/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/__xps/MCB_DDR3/param_input.xml +++ b/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/__xps/MCB_DDR3/param_input.xml @@ -589,7 +589,7 @@ C_S0_AXI_STRICT_COHERENCY - "1" + "0" C_S0_AXI_SUPPORTS_NARROW_BURST diff --git a/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/__xps/MCB_DDR3/tcl.log b/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/__xps/MCB_DDR3/tcl.log index 250c330b7..937512d97 100644 --- a/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/__xps/MCB_DDR3/tcl.log +++ b/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/__xps/MCB_DDR3/tcl.log @@ -1,6 +1,6 @@ ========================================================================= -Time: Fri Aug 26 20:58:58 GMT Daylight Time 2011 -Running: run_batch_mode 74543544 +Time: Sat Aug 27 12:49:03 GMT Daylight Time 2011 +Running: run_batch_mode 96333944 {COLLECTING: INSTANCE MCB_DDR3 } {COLLECTING: C_INTERCONNECT_S0_AXI_MASTERS ETHERNET_dma.M_AXI_SG & ETHERNET_dma.M_AXI_MM2S & ETHERNET_dma.M_AXI_S2MM OPTIONAL string none ETHERNET_dma.M_AXI_SG & ETHERNET_dma.M_AXI_MM2S & ETHERNET_dma.M_AXI_S2MM} {COLLECTING: C_INTERCONNECT_S0_AXI_IS_ACLK_ASYNC 0 OPTIONAL_UPDATE integer 0 } @@ -109,13 +109,13 @@ Running: run_batch_mode 74543544 {COLLECTING: C_S4_AXI_HIGHADDR 0x00000000 OPTIONAL STD_LOGIC_VECTOR 0x00000000 } {COLLECTING: C_S5_AXI_BASEADDR 0xFFFFFFFF OPTIONAL STD_LOGIC_VECTOR 0xFFFFFFFF } {COLLECTING: C_S5_AXI_HIGHADDR 0x00000000 OPTIONAL STD_LOGIC_VECTOR 0x00000000 } -{COLLECTING: C_MEM_TYPE DDR3 OPTIONAL STRING DDR3 DDR3} +{COLLECTING: C_MEM_TYPE DDR3 OPTIONAL STRING DDR3 } {COLLECTING: C_MEM_PARTNO MT41J64M16XX-187E REQUIRE STRING NOT_SET MT41J64M16XX-187E} {COLLECTING: C_MEM_BASEPARTNO NOT_SET OPTIONAL STRING NOT_SET } {COLLECTING: C_NUM_DQ_PINS 16 OPTIONAL_UPDATE INTEGER 16 } {COLLECTING: C_MEM_ADDR_WIDTH 13 OPTIONAL_UPDATE INTEGER 13 } -{COLLECTING: C_MEM_BANKADDR_WIDTH 3 OPTIONAL_UPDATE INTEGER 3 3} -{COLLECTING: C_MEM_NUM_COL_BITS 10 OPTIONAL_UPDATE INTEGER 10 10} +{COLLECTING: C_MEM_BANKADDR_WIDTH 3 OPTIONAL_UPDATE INTEGER 3 } +{COLLECTING: C_MEM_NUM_COL_BITS 10 OPTIONAL_UPDATE INTEGER 10 } {COLLECTING: C_MEM_TRAS -1 OPTIONAL_UPDATE INTEGER -1 } {COLLECTING: C_MEM_TRCD -1 OPTIONAL_UPDATE INTEGER -1 } {COLLECTING: C_MEM_TREFI -1 OPTIONAL_UPDATE INTEGER -1 } @@ -125,7 +125,7 @@ Running: run_batch_mode 74543544 {COLLECTING: C_MEM_TRTP -1 OPTIONAL_UPDATE INTEGER -1 } {COLLECTING: C_MEM_TWTR -1 OPTIONAL_UPDATE INTEGER -1 } {COLLECTING: C_PORT_CONFIG B32_B32_B32_B32 OPTIONAL STRING B32_B32_B32_B32 } -{COLLECTING: C_SKIP_IN_TERM_CAL 0 OPTIONAL INTEGER 0 0} +{COLLECTING: C_SKIP_IN_TERM_CAL 0 OPTIONAL INTEGER 0 } {COLLECTING: C_SKIP_IN_TERM_CAL_VALUE NONE OPTIONAL STRING NONE } {COLLECTING: C_MEMCLK_PERIOD 0 OPTIONAL_UPDATE INTEGER 0 } {COLLECTING: C_MEM_ADDR_ORDER ROW_BANK_COLUMN OPTIONAL STRING ROW_BANK_COLUMN } @@ -160,7 +160,7 @@ Running: run_batch_mode 74543544 {COLLECTING: C_ARB_TIME_SLOT_9 0b000000001010011000 OPTIONAL STD_LOGIC_VECTOR 0b000000001010011000 } {COLLECTING: C_ARB_TIME_SLOT_10 0b000000010011000001 OPTIONAL STD_LOGIC_VECTOR 0b000000010011000001 } {COLLECTING: C_ARB_TIME_SLOT_11 0b000000011000001010 OPTIONAL STD_LOGIC_VECTOR 0b000000011000001010 } -{COLLECTING: C_S0_AXI_ENABLE 1 OPTIONAL INTEGER 1 1} +{COLLECTING: C_S0_AXI_ENABLE 1 OPTIONAL INTEGER 1 } {COLLECTING: C_S0_AXI_PROTOCOL AXI4 CONSTANT STRING AXI4 } {COLLECTING: C_S0_AXI_ID_WIDTH 2 UPDATE INTEGER 4 } {COLLECTING: C_S0_AXI_ADDR_WIDTH 32 CONSTANT INTEGER 32 } @@ -170,7 +170,7 @@ Running: run_batch_mode 74543544 {COLLECTING: C_S0_AXI_SUPPORTS_NARROW_BURST Auto OPTIONAL_UPDATE INTEGER 1 } {COLLECTING: C_S0_AXI_REG_EN0 0x00000 OPTIONAL_UPDATE STD_LOGIC_VECTOR 0x00000 } {COLLECTING: C_S0_AXI_REG_EN1 0x01000 OPTIONAL STD_LOGIC_VECTOR 0x01000 } -{COLLECTING: C_S0_AXI_STRICT_COHERENCY 1 OPTIONAL_UPDATE INTEGER 1 } +{COLLECTING: C_S0_AXI_STRICT_COHERENCY 0 OPTIONAL_UPDATE INTEGER 1 0} {COLLECTING: C_S0_AXI_ENABLE_AP 0 OPTIONAL INTEGER 0 } {COLLECTING: C_S1_AXI_ENABLE 0 OPTIONAL INTEGER 0 } {COLLECTING: C_S1_AXI_PROTOCOL AXI4 CONSTANT STRING AXI4 } @@ -379,7 +379,7 @@ Running: run_batch_mode 74543544 {SENDING PARAMETER: C_S0_AXI_PROTOCOL : AXI4 STRING CONSTANT} {SENDING PARAMETER: C_S0_AXI_REG_EN0 : 0x00000 STD_LOGIC_VECTOR OPTIONAL_UPDATE} {SENDING PARAMETER: C_S0_AXI_REG_EN1 : 0x01000 STD_LOGIC_VECTOR OPTIONAL} -{SENDING PARAMETER: C_S0_AXI_STRICT_COHERENCY : 1 INTEGER OPTIONAL_UPDATE} +{SENDING PARAMETER: C_S0_AXI_STRICT_COHERENCY : 0 INTEGER OPTIONAL_UPDATE} {SENDING PARAMETER: C_S0_AXI_SUPPORTS_NARROW_BURST : Auto INTEGER OPTIONAL_UPDATE} {SENDING PARAMETER: C_S0_AXI_SUPPORTS_READ : 1 INTEGER OPTIONAL_UPDATE} {SENDING PARAMETER: C_S0_AXI_SUPPORTS_WRITE : 1 INTEGER OPTIONAL_UPDATE} @@ -479,14 +479,14 @@ Running: run_batch_mode 74543544 {SET: IGNORE C_MEM_DDR2_DIFF_DQS_EN = YES (BATCH:OPTIONAL::MPD:MPDVAL)} {SET: IGNORE C_S2_AXI_ENABLE = 0 (BATCH:OPTIONAL::MPD:MPDVAL)} {SET: IGNORE C_S0_AXI_DATA_WIDTH = 32 (BATCH:OPTIONAL::MPD:MPDVAL)} -{SET: CHECK C_MEM_NUM_COL_BITS = 10 (BATCH:OPTIONAL_UPDATE:CHECK:MHS:MPDVAL)} +{SET: CHECK C_MEM_NUM_COL_BITS = 10 (BATCH:OPTIONAL_UPDATE:CHECK:MPD:MPDVAL)} {SET: IGNORE C_MEM_DDR3_RTT = DIV4 (BATCH:OPTIONAL::MPD:MPDVAL)} {SET: UPDREM C_MEM_CAS_LATENCY = 6 (BATCH:UPDATE::MPD:MPDVAL)} {SET: UPDATE C_MEM_TRFC = 160000 (BATCH:OPTIONAL_UPDATE::MPD:COMPVAL)} {SET: IGNORE C_INTERCONNECT_S0_AXI_AR_REGISTER = 1 (BATCH:OPTIONAL::MHS:COMPVAL)} {SET: UPDATE C_S3_AXI_SUPPORTS_READ = 0 (BATCH:OPTIONAL_UPDATE::MPD:COMPVAL)} {SET: IGNORE C_S0_AXI_SUPPORTS_NARROW_BURST = Auto (BATCH:OPTIONAL_UPDATE::MPD:DEFVAL)} -{SET: UPDREM C_S0_AXI_STRICT_COHERENCY = 1 (BATCH:OPTIONAL_UPDATE::MPD:MPDVAL)} +{SET: IGNORE C_S0_AXI_STRICT_COHERENCY = 0 (BATCH:OPTIONAL_UPDATE::MHS:COMPVAL)} {SET: IGNORE C_ARB_TIME_SLOT_10 = 0b000000000000000000 (BATCH:OPTIONAL::MPD:COMPVAL)} {SET: IGNORE C_INTERCONNECT_S0_AXI_SECURE = 0 (BATCH:OPTIONAL::MPD:MPDVAL)} {SET: IGNORE C_ARB_TIME_SLOT_11 = 0b000000000000000000 (BATCH:OPTIONAL::MPD:COMPVAL)} @@ -497,14 +497,14 @@ Running: run_batch_mode 74543544 {SET: UPDATE C_MEM_TREFI = 7800000 (BATCH:OPTIONAL_UPDATE::MPD:COMPVAL)} {SET: IGNORE C_INTERCONNECT_S0_AXI_READ_ACCEPTANCE = 4 (BATCH:OPTIONAL::MPD:MPDVAL)} {SET: IGNORE C_INTERCONNECT_S0_AXI_READ_FIFO_DEPTH = 0 (BATCH:OPTIONAL::MPD:MPDVAL)} -{SET: IGNORE C_S0_AXI_ENABLE = 1 (BATCH:OPTIONAL::MHS:MPDVAL)} +{SET: IGNORE C_S0_AXI_ENABLE = 1 (BATCH:OPTIONAL::MPD:MPDVAL)} {SET: IGNORE C_MEM_MOBILE_PA_SR = FULL (BATCH:OPTIONAL::MPD:MPDVAL)} -{SET: IGNORE C_SKIP_IN_TERM_CAL = 0 (BATCH:OPTIONAL::MHS:MPDVAL)} +{SET: IGNORE C_SKIP_IN_TERM_CAL = 0 (BATCH:OPTIONAL::MPD:MPDVAL)} {SET: IGNORE C_MEM_DDR2_3_HIGH_TEMP_SR = NORMAL (BATCH:OPTIONAL::MPD:MPDVAL)} {SET: UPDREM C_S0_AXI_SUPPORTS_READ = 1 (BATCH:OPTIONAL_UPDATE::MPD:MPDVAL)} {SET: IGNORE C_S0_AXI_HIGHADDR = 0x87ffffff (BATCH:OPTIONAL::MHS:COMPVAL)} {SET: IGNORE C_MEM_DDR1_2_ODS = FULL (BATCH:OPTIONAL::MPD:MPDVAL)} -{SET: IGNORE C_MEM_TYPE = DDR3 (BATCH:OPTIONAL::MHS:MPDVAL)} +{SET: IGNORE C_MEM_TYPE = DDR3 (BATCH:OPTIONAL::MPD:MPDVAL)} {SET: CHECK C_MEM_ADDR_WIDTH = 13 (BATCH:OPTIONAL_UPDATE:CHECK:MPD:MPDVAL)} {SET: UPDATE C_S5_AXI_SUPPORTS_WRITE = 0 (BATCH:OPTIONAL_UPDATE::MPD:COMPVAL)} {SET: UPDATE C_S4_AXI_SUPPORTS_READ = 0 (BATCH:OPTIONAL_UPDATE::MPD:COMPVAL)} @@ -521,7 +521,7 @@ Running: run_batch_mode 74543544 {SET: IGNORE C_INTERCONNECT_S0_AXI_W_REGISTER = 1 (BATCH:OPTIONAL::MHS:COMPVAL)} {SET: IGNORE C_MEM_DDR2_RTT = 150OHMS (BATCH:OPTIONAL::MPD:MPDVAL)} {SET: IGNORE C_MCB_PERFORMANCE = STANDARD (BATCH:OPTIONAL::MPD:MPDVAL)} -{SET: CHECK C_MEM_BANKADDR_WIDTH = 3 (BATCH:OPTIONAL_UPDATE:CHECK:MHS:MPDVAL)} +{SET: CHECK C_MEM_BANKADDR_WIDTH = 3 (BATCH:OPTIONAL_UPDATE:CHECK:MPD:MPDVAL)} {SET: IGNORE C_INTERCONNECT_S0_AXI_B_REGISTER = 1 (BATCH:OPTIONAL::MHS:COMPVAL)} {SET: IGNORE C_SIMULATION = FALSE (BATCH:OPTIONAL::MPD:MPDVAL)} {SET: UPDATE C_S1_AXI_SUPPORTS_READ = 0 (BATCH:OPTIONAL_UPDATE::MPD:COMPVAL)} @@ -561,7 +561,3 @@ Running: run_batch_mode 74543544 {SET: IGNORE C_MEM_PARTNO = MT41J64M16XX-187E (BATCH:REQUIRE::MHS:COMPVAL)} {SET: CHECK C_NUM_DQ_PINS = 16 (BATCH:OPTIONAL_UPDATE:CHECK:MPD:MPDVAL)} RETURN: 0 -========================================================================= -Time: Fri Aug 26 20:59:03 GMT Daylight Time 2011 -Running: generate_corelevel_constraints 74543544 -RETURN: diff --git a/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/__xps/ise/system.xreport b/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/__xps/ise/system.xreport index 475832950..452c0cddf 100644 --- a/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/__xps/ise/system.xreport +++ b/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/__xps/ise/system.xreport @@ -1,9 +1,9 @@

- 2011-08-26T20:58:42 + 2011-08-27T11:01:38 system - 2011-08-26T20:58:42 + 2011-08-27T11:01:38 C:/E/Dev/FreeRTOS/WorkingCopy/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/__xps/ise/system.xreport filter.filter C:/E/Dev/FreeRTOS/WorkingCopy/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/__xps/ise diff --git a/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/__xps/system.xml b/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/__xps/system.xml index 63bbe247f..e70dd10d5 100644 --- a/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/__xps/system.xml +++ b/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/__xps/system.xml @@ -1,47 +1,7 @@ - - + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + @@ -50,7 +10,6 @@ - @@ -271,6 +230,7 @@ + AXI Interconnect @@ -278,7 +238,6 @@ - @@ -293,7 +252,7 @@ - + @@ -499,6 +458,7 @@ + MicroBlaze @@ -506,7 +466,6 @@ - @@ -2519,18 +2478,21 @@ + + + - + - + - + @@ -2583,9 +2545,7 @@ - - - + Local Memory Bus (LMB) 1.0 @@ -2593,7 +2553,6 @@ - @@ -2631,6 +2590,7 @@ + Local Memory Bus (LMB) 1.0 @@ -2638,7 +2598,6 @@ - @@ -2676,6 +2635,7 @@ + LMB BRAM Controller @@ -2683,10 +2643,9 @@ - - + @@ -2898,7 +2857,7 @@ - + @@ -2914,6 +2873,7 @@ + LMB BRAM Controller @@ -2921,10 +2881,9 @@ - - + @@ -3136,7 +3095,7 @@ - + @@ -3152,6 +3111,7 @@ + Block RAM (BRAM) Block @@ -3159,9 +3119,8 @@ - - + @@ -3207,6 +3166,7 @@ + Processor System Reset Module @@ -3214,7 +3174,6 @@ - @@ -3276,6 +3235,7 @@ + Clock Generator @@ -3283,7 +3243,6 @@ - @@ -3409,6 +3368,7 @@ + MicroBlaze Debug Module (MDM) @@ -3416,13 +3376,12 @@ - - + @@ -3768,13 +3727,14 @@ - + + AXI UART (Lite) @@ -3782,7 +3742,6 @@ - @@ -3862,6 +3821,9 @@ + + + @@ -3869,9 +3831,7 @@ - - - + AXI General Purpose IO @@ -3879,7 +3839,6 @@ - @@ -3983,6 +3942,7 @@ + AXI General Purpose IO @@ -3990,7 +3950,6 @@ - @@ -4087,6 +4046,9 @@ + + + @@ -4094,9 +4056,7 @@ - - - + AXI S6 Memory Controller(DDR/DDR2/DDR3) @@ -4104,15 +4064,14 @@ - - - + + @@ -4123,13 +4082,13 @@ - - + + - - + + @@ -4139,7 +4098,7 @@ - + @@ -4174,7 +4133,7 @@ - + @@ -4184,7 +4143,7 @@ - + @@ -4260,12 +4219,12 @@ - - - - - - + + + + + + @@ -4857,6 +4816,7 @@ + AXI Ethernet @@ -4864,7 +4824,6 @@ - @@ -5177,6 +5136,9 @@ + + + @@ -5184,9 +5146,7 @@ - - - + AXI DMA Engine @@ -5194,7 +5154,6 @@ - @@ -5530,6 +5489,10 @@ + + + + @@ -5537,10 +5500,7 @@ - - - - + AXI Interrupt Controller @@ -5548,7 +5508,6 @@ - @@ -5632,13 +5591,6 @@ - - - - - - - @@ -5648,6 +5600,14 @@ + + + + + + + + AXI Timer/Counter @@ -5655,7 +5615,6 @@ - @@ -5733,6 +5692,9 @@ + + + @@ -5740,10 +5702,49 @@ - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + \ No newline at end of file diff --git a/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/etc/system.filters b/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/etc/system.filters index d4000c0c3..42835f226 100644 --- a/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/etc/system.filters +++ b/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/etc/system.filters @@ -1,10 +1,11 @@ + - + @@ -93,8 +94,8 @@ - - + + diff --git a/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/etc/system.gui b/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/etc/system.gui index 0f1452183..f9ab6d94b 100644 --- a/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/etc/system.gui +++ b/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/etc/system.gui @@ -6,16 +6,18 @@ - + - + - + - + + + @@ -96,6 +98,7 @@ + @@ -109,16 +112,17 @@ - - + + - + - + + @@ -189,12 +193,19 @@ - + + - - + + + + + + + + diff --git a/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/implementation/system_summary.html b/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/implementation/system_summary.html index c14fba7dd..7ac689bd4 100644 --- a/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/implementation/system_summary.html +++ b/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/implementation/system_summary.html @@ -2,7 +2,7 @@ - + @@ -19,7 +19,7 @@ No Errors - +
Project Status (08/27/2011 - 07:43:24)
Project Status (08/27/2011 - 12:37:45)
Project File: system.xmp
Product Version:EDK 13.1
  • Warnings:
238 Warnings (237 new)238 Warnings (0 new)
@@ -29,16 +29,23 @@ No Errors XPS Reports [-] Report NameGenerated ErrorsWarningsInfos -Platgen Log FileFri 26. Aug 21:18:30 2011019 Warnings (19 new)35 Infos (35 new) +Platgen Log FileSat 27. Aug 12:17:02 2011019 Warnings (18 new)34 Infos (32 new) Libgen Log File     Simgen Log File     BitInit Log File     -System Log FileFri 26. Aug 21:36:10 2011    +System Log FileSat 27. Aug 12:34:09 2011     
- + + + + + + + + @@ -55,20 +62,13 @@ No Errors - - - - - - - @@ -109,31 +109,31 @@ No Errors - + - + - + - + - + @@ -223,13 +223,13 @@ No Errors - + - + @@ -247,33 +247,33 @@ No Errors - + - + - + - - - + + + - - + + - - - + + + @@ -307,9 +307,9 @@ No Errors - + - + @@ -511,7 +511,7 @@ No Errors - + @@ -548,18 +548,18 @@ No Errors - - - - - + + + + +
XPS Synthesis Summary (estimated values) [-]
ReportGeneratedFlip Flops UsedLUTs UsedBRAMS UsedErrors
systemFri 26. Aug 21:19:20 20111469614249420
systemSat 27. Aug 12:17:50 20111469614247140
mcb_ddr3_wrapperSat 27. Aug 12:16:50 2011373690 0
debug_module_wrapperSat 27. Aug 12:16:27 2011131142 0
clock_generator_0_wrapperSat 27. Aug 12:16:17 2011 1 0
microblaze_0_bram_block_wrapperSat 27. Aug 12:16:12 2011  40
microblaze_0_d_bram_ctrl_wrapperSat 27. Aug 12:16:06 201126 0
microblaze_0_i_bram_ctrl_wrapperSat 27. Aug 12:16:01 201126 0
axi4lite_0_wrapperSat 27. Aug 12:15:55 201129051827 0
axi_timer_0_wrapperFri 26. Aug 21:17:55 2011260272 0
microblaze_0_intc_wrapperFri 26. Aug 21:17:45 201186115 0
ethernet_dma_wrapperFri 26. Aug 21:17:37 201137283798 0
ethernet_wrapper_blk_mem_gen_v5_2_1_blk_mem_gen_v5_2_xst_1Fri 26. Aug 21:07:03 2011  20
ethernet_wrapper_blk_mem_gen_v5_2_4_blk_mem_gen_v5_2_xst_1Fri 26. Aug 21:06:36 2011  10
ethernet_wrapper_blk_mem_gen_v5_2_3_blk_mem_gen_v5_2_xst_1Fri 26. Aug 21:06:10 201124920
mcb_ddr3_wrapperFri 26. Aug 21:04:44 2011373691 0
push_buttons_4bits_wrapperFri 26. Aug 21:04:24 20117285 0
leds_4bits_wrapperFri 26. Aug 21:04:14 20113341 0
rs232_uart_1_wrapperFri 26. Aug 21:04:05 201184102 0
debug_module_wrapperFri 26. Aug 21:03:57 2011131142 0
clock_generator_0_wrapperFri 26. Aug 21:03:48 2011 1 0
proc_sys_reset_0_wrapperFri 26. Aug 21:03:43 20116955 0
microblaze_0_bram_block_wrapperFri 26. Aug 21:03:37 2011  320
microblaze_0_d_bram_ctrl_wrapperFri 26. Aug 21:03:30 201126 0
microblaze_0_i_bram_ctrl_wrapperFri 26. Aug 21:03:25 201126 0
microblaze_0_dlmb_wrapperFri 26. Aug 21:03:19 201111 0
microblaze_0_ilmb_wrapperFri 26. Aug 21:03:15 201111 0
microblaze_0_wrapperFri 26. Aug 21:03:10 201113011703 0
axi4lite_0_wrapperFri 26. Aug 21:02:41 201129051828 0
axi4_0_wrapperFri 26. Aug 21:02:14 201114881083 0
axi4_0_wrapper_FIFO_GENERATOR_V8_1_2_fifo_generator_v8_1_xst_1Fri 26. Aug 21:01:57 2011909720
axi4_0_wrapper_FIFO_GENERATOR_V8_1_1_fifo_generator_v8_1_xst_1Fri 26. Aug 21:00:49 2011899610
 
Number of Slice LUTs10,97310,940 27,288 40%  
    Number used as logic9,6419,639 27,288 35%  
        Number using O6 output only6,8876,889      
        Number using O5 output only261260      
        Number using O5 and O62,4932,490        
    Number used exclusively as route-thrus639608      
        Number with same-slice register load597566        
Number of occupied Slices4,5204,589 6,82266%67%  
Number of LUT Flip Flop pairs used13,73113,843      
    Number with an unused Flip Flop3,68613,73126%3,76513,84327%  
    Number with an unused LUT2,75813,7312,90313,843 20%  
    Number of fully used LUT-FF pairs7,28713,73153%7,17513,84351%  
    Number of unique control sets  
Number of RAMB16BWERs4012 11634%10%  
Number of RAMB8BWERs  
Average Fanout of Non-Clock Nets3.953.89      
Detailed Reports [-]
Report NameStatusGenerated ErrorsWarningsInfos
Translation ReportCurrentFri 26. Aug 21:20:32 2011087 Warnings (86 new)13 Infos (13 new)
Map ReportCurrentFri 26. Aug 21:30:17 2011050 Warnings (50 new)1134 Infos (1134 new)
Place and Route ReportCurrentFri 26. Aug 21:33:52 2011051 Warnings (51 new)3 Infos (3 new)
Post-PAR Static Timing ReportCurrentFri 26. Aug 21:34:50 201103 Warnings (3 new)3 Infos (3 new)
Bitgen ReportCurrentFri 26. Aug 21:36:07 2011047 Warnings (47 new)0
Translation ReportCurrentSat 27. Aug 12:19:05 2011087 Warnings (0 new)13 Infos (8 new)
Map ReportCurrentSat 27. Aug 12:28:13 2011050 Warnings (0 new)1134 Infos (0 new)
Place and Route ReportCurrentSat 27. Aug 12:31:43 2011051 Warnings (0 new)3 Infos (0 new)
Post-PAR Static Timing ReportCurrentSat 27. Aug 12:32:50 201103 Warnings (0 new)3 Infos (0 new)
Bitgen ReportCurrentSat 27. Aug 12:34:09 2011047 Warnings (0 new)0
 
- +
Secondary Reports [-]
Report NameStatusGenerated
WebTalk Log FileCurrentFri 26. Aug 21:36:10 2011
WebTalk Log FileCurrentSat 27. Aug 12:34:09 2011
-
Date Generated: 08/27/2011 - 07:43:25
+
Date Generated: 08/27/2011 - 12:37:46
\ No newline at end of file diff --git a/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/system.mhs b/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/system.mhs index 37a4f5b4c..8baa228ea 100644 --- a/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/system.mhs +++ b/Demo/MicroBlaze_Spartan-6_EthernetFull/PlatformStudioProject/system.mhs @@ -126,7 +126,7 @@ BEGIN lmb_bram_if_cntlr PARAMETER INSTANCE = microblaze_0_i_bram_ctrl PARAMETER HW_VER = 3.00.a PARAMETER C_BASEADDR = 0x00000000 - PARAMETER C_HIGHADDR = 0x0000ffff + PARAMETER C_HIGHADDR = 0x00001FFF BUS_INTERFACE SLMB = microblaze_0_ilmb BUS_INTERFACE BRAM_PORT = microblaze_0_i_bram_ctrl_2_microblaze_0_bram_block END @@ -135,7 +135,7 @@ BEGIN lmb_bram_if_cntlr PARAMETER INSTANCE = microblaze_0_d_bram_ctrl PARAMETER HW_VER = 3.00.a PARAMETER C_BASEADDR = 0x00000000 - PARAMETER C_HIGHADDR = 0x0000ffff + PARAMETER C_HIGHADDR = 0x00001FFF BUS_INTERFACE SLMB = microblaze_0_dlmb BUS_INTERFACE BRAM_PORT = microblaze_0_d_bram_ctrl_2_microblaze_0_bram_block END @@ -201,7 +201,7 @@ BEGIN mdm PARAMETER C_INTERCONNECT_S_AXI_R_REGISTER = 1 PARAMETER C_INTERCONNECT_S_AXI_B_REGISTER = 1 PARAMETER C_BASEADDR = 0x74800000 - PARAMETER C_HIGHADDR = 0x7480ffff + PARAMETER C_HIGHADDR = 0x748FFFFF BUS_INTERFACE S_AXI = axi4lite_0 BUS_INTERFACE MBDEBUG_0 = microblaze_0_debug PORT S_AXI_ACLK = clk_50_0000MHzPLL0 @@ -273,12 +273,7 @@ BEGIN axi_s6_ddrx PARAMETER HW_VER = 1.02.a PARAMETER C_MCB_RZQ_LOC = K7 PARAMETER C_MCB_ZIO_LOC = R7 - PARAMETER C_MEM_TYPE = DDR3 PARAMETER C_MEM_PARTNO = MT41J64M16XX-187E - PARAMETER C_MEM_BANKADDR_WIDTH = 3 - PARAMETER C_MEM_NUM_COL_BITS = 10 - PARAMETER C_SKIP_IN_TERM_CAL = 0 - PARAMETER C_S0_AXI_ENABLE = 1 PARAMETER C_INTERCONNECT_S0_AXI_MASTERS = ETHERNET_dma.M_AXI_SG & ETHERNET_dma.M_AXI_MM2S & ETHERNET_dma.M_AXI_S2MM PARAMETER C_INTERCONNECT_S0_AXI_AW_REGISTER = 1 PARAMETER C_INTERCONNECT_S0_AXI_AR_REGISTER = 1 @@ -287,6 +282,7 @@ BEGIN axi_s6_ddrx PARAMETER C_INTERCONNECT_S0_AXI_B_REGISTER = 1 PARAMETER C_S0_AXI_BASEADDR = 0x80000000 PARAMETER C_S0_AXI_HIGHADDR = 0x87ffffff + PARAMETER C_S0_AXI_STRICT_COHERENCY = 0 BUS_INTERFACE S0_AXI = axi4_0 PORT mcbx_dram_clk = mcbx_dram_clk PORT mcbx_dram_clk_n = mcbx_dram_clk_n -- 2.39.5

suOn}tv$@7l?6nU(o58=LtsEX#55Va&j`_@g>&0^};s!1`*?Mq&9RxJtD1 zskvh^7o*YzxLajnzQ}U(PaK&7SX9oqb3>Fpc%YrNcdkF^ch)v;cTX<9-cLBIfYogw z-XY_9TH_-x{iPXoa<~M)yH~;vvpZ)A_ zU5M~hug;Nr-}~I|=If`@?gl(lg5TsDuD`NN{VaFczaKm7taaLx%f`a(p4@Pay}Rb` z{sU)wcC`-q&);u8k^fjpt%dtB>*i(t%KiA`vXg(3!_ihO_xrYhZD4rr-_ebOY6Wt`fHR43$YLX^M?;VfAHRe&RQgEWjDUW z$A$0i>FcQ5G&r&QgX_268o&Pf*SO z_9lOfnCMP#v!JOkI>CAUt=v8Q+QWz4-%nq{ec$_d7o0=l zQ@pMD`s-i1q3;l1mf%x2hWEcW)cPbXuq!KxzmeAXJ3=IZcb`C;|13@xb0*vxG1`F#D{D{TC7ar!x+4Iv*SbaWR5RiE%Ko;guLrSKd;zD$Z z(sId^djm8kVn)!>aC6K#kMrQkEpDzhU{w<|5~{QG4Fw)5w`|IcmoraR=$6P-A|~e# zBOr|jjgvTO>1Ifcfi3JRJ@RS2N<^P{q54{`#(NN+$q1EmM{wgZOhjjYa9=TjqGwn* zR@Nn$v{%$frUTj>+_VL6kr1Vk4!(gvJ8?x_Ecpo;qRg5rwO0AuT>T48k6`CpLZ+!s zq`M2Z3}VU4e9D_a$4H97x)wQgpz183a~A>9U}6N)Qo?=JYTTY6IMv?<$RF*5acom8 z$+rgRnrOm8nLr8?V5vz50lGs)*vidM9V%M%VDxj5uwsEiO!Bd4cyTOuo*#f931S^H zC>bkCn3!CS6zKUQ{ENfWY$ciV6bYQ|c(p2_u{G2x2>>lwoA{-lvwO7|w=)hapXYOG zlm^H9rA3ZXMtzP+4!QEv;0Xc3D6q#l=uQ*5QNY!TZ-G3AlR23w`CLuiO1KIUQKh|8 zb-1E)UEAS3c5q?OD6=h82m5pNnIHt4GGZsFQ(2${=Jsl;=esv@>nJU4OcvC^Y6Ur| zG&KW)kw+rQ^x%Bw-SqI3SVSqDI4nn|e~qS#7AcjNf-u$n$)xO8)yb(^LOsvNSw#*I zPmRap0t#uRfZ~!A2#>+e#X_DadfA739%$)~rpInkc;;o{5nVt-a7CmI`I@)wX3feW z6@}I;oLix0E=Du&Q9Q~e(+Dh_kgd#}N`OqC0uJ0JGPY(rWhcW-MRC!Vd?jmTxZ&crA&#sbI<={c&-~^`%OjMu zg(O^!Do=)wILV1OXVpY~UW9TvISpS^NyNdHiun`v2ZR>`nrVRZ*cvd zY47msIXYX1ykmiu5;=b-$br+a_jdi3z6+#XhHjsc@&3byZ@+zT@NFBNf0R-B*;#V` zsrKk<6XPciK9Q2t{!%F@HgeywH4fif|93W?f5I+5J3C1mPz@A1z`i>!OYl+X-!?Z@HgS&+zJ2hagAb*MPnUpQx9gu+yL$ch4J43e;r1r)$cXms zZy)?xiVe1x>aFox<6E~x$cKCQLel!~`aPz(L5OPbs_55m*jcwG{p&YhzuEI3`{;EK zmHl0Nz5dysU9;g+S{&&yrSZA&X8z{G*I$1`L*94))yIDJXN~Kw1n)n1i4&oX@!h-c zkp$)$4#`HNWVdiCzZa3B{V|{lxF`36Y*or&*=FyDqp$k1X?zeLr67(5lg;KjD6CqEpRpku5ek!IdlC zzw;5DB~2td5gPUQ>oGohgwAXw&4+`W_iB>|?^SDj{q@&B{-po15Sg&HaCY{1b1E7< zp8@Ojqesr&y?b$1DdAJZwfmis3y&TRZBxbJJv!oh58kWRc=xW3cz*a$?Wa(a2lmf? z`+Jn&{E5eO5BAQBv!EzQ@Qf|Xw8U>ddGf@NsGcf|vnQP14t!^Oc%|`PECb`ZF|Lq+ zFtx;+)`##Qfy&~^lW&;>{T|-9VeuTtvn5E6SPu_ILa}h{prvTMVm%wX?AbO=Er>C%%CM&0H4bnz9Om4SYKQvS#066nQdCbz&Qs{~<(%h3WO^CBP`*npZ(Jij18z#bcXAsr+Im`#(F$NJw#B5GF6a39`t%_h!*s&l z1qyJ1!55$MKsiAbG1Nw+WnBb{U`+8B#c`a{ZnH>lC^8}u1!{!Gr8|YI0;}s5-Mn&n zGxDY~M^0fBE`YXo_{obFTt>|P9aaurRByHZoy`FObAHuRLla-$qCf6nOLGj^FjEQ%3c&F?UFeUK50bl zrAXo!2?JtE(ptV3!XV}`xN2M-S@I!TQs~l3$u@b%0(%@up($2w<%+J(0*4dLcr+YQ zoQox!SB_Vc!UuPlb>)~wv*dUsolaE4W63R{P0O7*UgtuFczr!IxOmcK9f-0;V{UPF zy7-X_(sSXLEkGC%8=z*5C+)c7@mS`Q<5gES$IDrzZo8}-YJJ)SB6Lg5evGrZ(&TU8 z%_)Rw+2H5|c)=S@plf6z78bipc%CV-az5fSn9gYc-LX63K3+~%e7cG5M91lzpVecn z+VT=IyL*&@Q_gXRlkI6IawJLZDv)fmigTYe@lhF`47fnJ=a#PWu`j#h7oIIfICwpG zI;z=sF|9|VDZkZZR4t=lqPjDaH|2BnF(-x^Gu>S=;G#Q1w(w$zCkSa^&AK`%a3d6ojUH=1;R!`R$QG6tE_dQU_4ZjsZQvbW}9Y?ohVfJ=%gyM&?1aLaZ85m2n2gedoQx*55|+WdUx@Lgr=Ky<1N?hUYTr zcZk`M;7^cZ|5x_cKlgJ#cY#TeCV2t(-FTzV?Nlmki$0u6HSikngMBf4pnJMK#C)2) z6Z6&^X-)CQjiR`A?d6w0Xlsf)1ijNTu3^`oJ-p`nx-JSae;K$s5oGu?kwELv9sPCx z{vEATqm_redyj_aNsG7eJx{nB-n`YRR%3?_j(v)B!`GDiH*3-J-#zA}f?;KwnD)h| z9h=jtlw!gwe-Gb6#pmnMa(9n-o3_3A<_&aM+W5`&8)((c8)xSLEBnUndQ9%a_whC8!Cx^tNXcmMeHZ567(zLe;*|qajukLjRa@ROr4j2DH{HYbY226F+9eTKbGq5_y+a?>8Ja_=M~OR zb7}*f?8lGgXD029gw;2lw&AyK{qZ=h?r(8Vbur&GggqIbxnEm{N9OM(XaU`IK6;=z zwJjtG5U-c(yafCEl0d8bF9HpB>4q(S0b-AOQ+P6i9;$te@8FJ* zxjDanIJij&0bt(s{4Mt`B5=VTQj@O_>8&Q&^8qV9nmOJIG=wlz=zkkbgtOz4>57Pv zpuyrvUG&~4pN45dsED-5P~(EWgmI_xkl!Gh-VPFs-%{C#J-R4<3nL7;CPP(5Z#Ws1 zLwjNc(p#8c;0p|qz0w)6k6StPOFW+Oi;EuZrqe*hGbosgfkBeW)j{GKY$O~I88Zr1 zilSwNJ_ezpTt<%OWm`oijTC5D|Efu2Q9*ZXuRkD+cY&Wx|CoyGQzNk$DkYk%@!bf~ zhsdfmq-tOxGL|!v&LuaA0%ZXS5pzcpoe9N=*fWAUm)ju-27(J#_FR@Z5PHcz2Yj8X>as31}T# zWnzH-svo{!ehuU#Ng6$}cavq4c{Zc&#G}sw!dHrA&`!vowh+u}BDTWKSn|gT&iO1H zHisw;XpzyK7O50?pAm6}GJq18Cu=ZO+MWclDFh|V7Ee?F+SUq&+bWyo(s4mNW47GX< z`wY0xB!UjB%`mupL6);%`G(0tvonQ~6VB#w z&d%q|OQeNc9cD3Wk7EuG=pj`Hu^g~>zt7RkdxBebNpuzoj}QXH$+kXLMiVx;AV-ow zRkIPYjg5+xZ30IwRL~SXup~}DAbzJ=N=Q0!aFdqXQmY=)jd*W|X|2`Na|)FOE-*u& zd~(*cw}8WfRxpoGc~yQo_RG^)MPmaycj8*tjs~u9^dO7K zgvl`>$KwUlP+EH)#cHx-L;KhT@H8n2fCx^IOml7xAKW~8{OUio@Z6r4tH{ph6E3|J zW2r4jvdt83RgwCA)`g<-lnPFYT4SJ8b)MifCaRbvMvX z0E0GVF?lv7U6HpzP9SHK7zQ=XEL8@NJYTl8I9QG1y64=3&B}L$j@>f>&y3wXM;E_y z`;P5OzugC65vAA7uWel0(B%3uK`?Z(1!(i62fWiYb2tpzJz9EEfM&$2QLl}gZSH$tJsnuG-PQ8=-m@AZtLit4vLD+JIZ2?_#LkF~ z1_+)C7*44&+jAeR|3>Oej}!gV(@r6mCD3Mv4}Ng{!7Su)H}=8$C$G*^zgztCKqSVU zKGMOHgD1Q3pXNR!|*|IzvnFUB}5qM~Lxv^ysOS7^gxf6ISF6s-1J&TwRm9sZJ zwl}}2FqUHPZF>8hMG9Ns9_}qsLk&S040j~3u+9Cx_g%Dx3H^kJQx;;S>9rbU?i_anj}{7b&Sh>>+{HD(zEF&UoNtm1U8)o? z2`EGRTJ_*p-ZZ?-+}NvRobgR1T?CpTV)x)>BN2Z!&tYbw6}pFnXS&RBluLl5Qyw)Y zV=Sdy*CgSU=8WbQ6z(geha44H8{M6YCO-}!$uVSg@G{ng*nl;=n861I_F}got^LeG zTTo2Ay3M*3b6J$xvS=+FzS`mLk3G$gCOlEYajR)IZm^Z=(6Qtbv_pEaGk?QiSuhE0 zR8L_@I!A2dV*!%KBX^F9PJtbIc1h>XdzN~^3ToGMN7WI<35EJvlNnxT97s5MmIc#5 z+iort09^&ov8HzXBuCd?_@xp9YD!tm@~NN8uhy6of%UkZn#50=S8XO5u+zQ9BcP^$yKQ|lA3FzAs zE}Ta$x+b1dL@|=BoU${%3Z>a-q0`H~Mfbr`5!6I>*wKEX&x<&ka;Oz0ap;<|WI{uA z1Z|5tdqP)OG?93SMgQmWIIv?Oj=J@&+$=KX#7ZQg1j@8>EwDrHwuGbF#atrb>Vf;i zj2$EPdWsE5)CcC;ZU94uScHtWE9Y31~DTSS6%VnW1sfLZlN`zL$8dQG?GAvyN zHQHC7dvNx?My-HVf#Ot`+@9dSI%WU(DJPN=pw>d>D1xcp8+U{Q3uf4gY}Il65$Ymp zT&DdGmXa+tCHP20_QIXVITk_R9J?T&T zRb55oJ5O%P(sM_#2e>d*RPmBMTt_iy_qf=K4la?OQj1(c6~+(K!1|B5X?r|p$!p2M z&>lN5Ek{?5Rz8tAg1ji>NO!u5OSKrB!nAiDsJH>p_}I5LV<*Cnq{_!RAbC$(`e7R= zZIWb51@>v~Lm(TxMKTYF+*x%`G98AH6@A4HcIvx1h3qmWXkE?i;@C=G=`JX_zDTh* zKntP5T3F>QeygP{Pjnaw#m*0#xM=09o!A!i;|I=mgX^8|d++GE$i}ZXv#&o$Ut9Wt zCi&fiS<-m#*{N2Fpb*)2dfTCo>0R#4w~YzAh9Bs4Q#uQw(}1S$zte(>$I+ zGoaUBJ$U)nK0j@527d9iUwrL=eaqr8Xqd8gnKIDe|_|WoY}jl zw`GlHSAyM0L%w%$G@VoogMD#@d%&NI^j&!dPG+kVuG&{HaQ-ZBV{6~@Wq9pR{)ke% z2!H-}{`~LkYM}oHpxyPUya!vc`PZj;D5{imQKn}+?`|7 z!q5E}@H7d=`byIHi&}@yJ9>VqJ7TA=DzU=tZguG1;Ij@iI`_fvj_8N?-CqzMNR(Tf zrBSe~p{JO*?bh}4kFLLK>}-CZaOwL@bkC(YxG$oW|KYp#_Ud{oxdrsb=h?f>)_3PU z&Fj(n5zY8)H~hui7U@w{Q9}nmfy(~YcyDii|JJP`_V45P8^5vUE6uwQ&C?QjBSF5- zzdH$}wYnt1k23#Y5^S{VM>I7W8`7Dsyeq@tzN>|2=7Ho_JNx=O)4Aqx~+m zz8^H=xAw++n&Fa%b?!leP5UvTrx@?j-Q}Gxz5gk}PeE@bNCaC6q%0bYJ(jL5gwovU z(WkJIYZ9D~hTkz38@I>h2R=RPdJ~Gn+T||d>F&8&v<9}GXH9}+cd_RTQcu&T!w_$m zV!$P_l03?3auu6rgPw?kC#6@r$SKe&94EQLPW_XX>9!_A>h+g~@~`+E5QnqlKUYgA%ZruhxQC zz^izINidrs{W#1?e&XZEd&i1j(65OiG8nkd`*&&BCWysnP(}I>s*RMd>bmr!vNp+$e|w1>xW_ko1-1}G+0}* z#TJt zc>r&wjA1=A4Io8%sZ6P}LB_tOh@KI*5d#6UAhniaRn5C_-Yc<*7Ln9h4tzmz>3lSD zR4sQS_HJz=-35!?BWk)48g7)hfwDmI)Vej|?2M?LJ5LIXi;FaT4ZQE2J72KI)uF+< zkVVR(Xx-uj*5so=#l8xD!RGn>m(Q|ODlJI96dCrJgs*h&zXj9V^8 zDEg+hs|Agmx8d)(*ylY#H5FC-1ukp~H`2s;qg)V~i|HN7-kO!1^tMn8IPrq1wjly$p2X(kZsq>D4ZIUjUzMR;W!>mM7Oiw8Mc`h(kd25r6%cwpN5qN*R**>COv z_BFEkJZM|JciXnlqkEC?$Y7n{E{rMJ=YscFkaUL2uLyZIig&)x5sc6IE_pr<+(1)# z>813Qq+5Hp_S|^=oLHCG^oY-)dHu$3{D$8R=dI&i?wQ@REe@4sI?FR&rN!HV~-?vq-tzJANTx^&POu%-GECGVG$s7@;!jn2N=eeJuEfV0vr@x7YQ z(% z`tSeaKlsmy?ZjP^^`hWKIQBeGon7(?<v05B1b7-VL6J`3+u9*8O-lb6#fsJJcQp!MnNd^QtV3$?R~zFSSXLp-WRJ23CL?R&v&k^c_TZOlnue zxd>H{IC2eK8(K!G^~SD1=Dg0~I6)6DV-Z=EZgi|x(%_|Gvzw3DtYa{O(_U(H`Y02- zmG*vK^K8>7M*)?0LnRo=Q#&9?c)D|{iw_t4| zUs#D}7NGW_Wx^!shSqrD8ybmMh+-UZP5LI&S(2E>0}I9m9|Z zY&ss%up+?#gC(oWn&kR&@EU%d;vodHgDNX95Sug5ETe6S_6v#xMrz>-7KlU7Mf5J< zM@E=W+VpMVIo=R-&>am$p?t|x3#FS_OW)bDRY#cC3MD`t;9#y)Mou+8Hxve$OztGb zhSL!4U=Oga7(2bGO^qk;Sol$eI$ry_3c4t)`a^a_@IpAtd&jx+NTq!He-B5lohw_j%O`_^{G`KuwLA=@& zPrcWLl>75Ke3Q4TOS%plP3f;H1NN5YunR#UgUH$dBTw$>w4T8@9yP*9+=%UhB|`i3 z%UMAy_GH39?SQ-3n2;i2c1%<*a#U91*1MKF5lu+ZvaYDuowHi12GvFeU@g5<&bk3H z*rSfA*uoxMW)_yG30r1>JzeC`Rna{cGvss7kw{D*PLU=7J~+Q(=0$@EA#q zmGki^Mi69CXc_X#fn8yHY!&f&2*OQDkCsb=Mayp7aoH_Ghnm%>MTne5Q}Dh}8)M-% z>$)!ECV@?$DDpa^IfbrJCBTnMj;>JKsHwV^@FLMGYy+t`+eWCxF_UPHbWRCHn8Tvc zu4gu8(M~oIq}JCpNvB?)o`wiUGxSB1FFQ+|mSAE@;8c;=Pz|jCNS;&%!aM751*f5YGu%%WMlh7}hf+VV~6bI-hX zEX(Embk-J!F%>5fLeDv)E~f|}PI-@0mz0xsD?56#H6bH^AVbmc66&c?5E8h%a1RP_ zW>Us#Ic0u1J+N){^7v+-x}v!U z=D#DG{p!ho%|hhAeOm$je)WVk6U^;p4x%T#6{=!xQwZ8=CB>2L! zC3yMegM-&zlLR+zd5yWgV+_u|{lRRQDnXbx$-3@|d0dc(+w z6ikyPSIj&PanLJf(k~>73Wc|ted7wA`mFE72D6A1dCcIc(PECTi8YjpMZBoP)FctH z3hxWU^7C|B(9i&E1q$`1 z7#CXh;Z9Z0vj%OLaeWtw0}*%utA`=gO95TNDTX-XW1-eljANEmnSod+M&KeBJ><#O zM~`Al0r8Dr*Rpc}HH537c}munJTzXn3H_AHk>XV{GUp-|)R~GGXo*qJJ9AT}o)7p$ zJkgCOcGea#R1-?NqmXK3_eyY;w z$Y?&sXq~;Pyex7G)Wfi`%+{{;h>S9DpFf56kR;JpDwit6zx zeBnT-&U>f^N1E;+&tou?j(VKT$?Fm22gB)NF`uN#QPoYLK7$sZfdC`2qE{%oD zJ3sdxb&=Tv&gWu|QmgRZUc$MO&f?NJEBNL!5bl0yh1;ITL{d!^T;PZiT< z30QvRl(X^bh`NsB863v0Rm%ZdSGc(3P8FEor9B<>l5~9=P_b%M$0p>D>lshYC zVRk^XgV}i2X&(qh-7!lLLU-EEc`_B#qC0Asg|R&uywGFun5rr}X!E>t#c9ctHA7rS z5fGT9{R`+hTw@ka(QYP1s!eCofTqGg~6c=EvJ%9Z8`t{KJzeOS( zbA3qy`-)Xgph+U){dV6ZNHJ4iG$v*Y{=wdc$TjehEx7AmG6M5(+_g^a+JZD`3t6xK zbc^NP<^T6z`{}{ohnppw9J-|^d!X9bvB#Ue{yU%4SFWU}mBO#lTpoTPCSohdL9`t{dok(-o-Z3*9nU%2l+#Escu|HbZIv@+TMq-*j2 z`0sMV9N+&-yq!*SuH1fqOSvvq9J_57Hl!H5XX9!W-|S zFKTsu)0{T=L4sTTnQ4FR;D`3u1h6B4NO_98w~!#gWeGOzzo)p$QtHfp?X>koHApbT z`Qjrc2V1435bdu}$Ro-@^SYcZ!07^ed*|D%>OXx$Vc>F=O*27)KYgwQ((T(;WF{N- zn7Z#a66kz`%MzSjB>|s7^286^H9b6Pt!>VP;r_{+pS*DyLKp^Hw5XA1ou)utY6>6o zMmN{Sd3JyCoN_*LcZRqb2aVA9w$LIjoacAUMJ#jcUOzX#H%zqDOopJI!mxAMqcYi1 z#kzsi$nomyni6b#OMxoV0;AC$naT2WDMwmlHB@gXp>)^FA|1VtwoNE=zNggKMmuaJ z20yK6>HRwxEaS2uM6&RF9>`@UTEnC<(l!uITTgQN;LwN>htaXu^UF5;2JMTKm=00& zm<-Y}H&A_|Mt`==l6?0T(iM!PVOPZWS!EPut^^%=qdrxowQim@ zOX6mhFI$$2s)q{p1`Ni~1S~WhMn79%W9m(e|fK(Ir*wA1Sxg&NHaSEb0MuD0e#LTR{r{EI$ zbn1FHrlL?tey+7XR+SnHyz7ITKCkY%QkDS*vL%E72E=u5PcE}oV| zI_2Zt#@eG2)OOgOue?N~)k4p_)QY|nJZ^S-*xhjEl~+NOap-DnpY2G98!*$8Vf_SX ztpeR>fH5OyKvFs9%`~HuS)-j86>ldje8HxRI#KkSP^fhC2bo@_N$X|8s?}QnZ7Sjk z--`#Wlku`ildE=sl%8DWv07zL@hzCe>r|ZDkOH$s)`oV;gN1MV(P6S(@f7n4kg${L z_>}j-VWbO)hcRMKBRvWSXn{;7hU8MoIZAs+C?V)XiXXXhtNt18i#KHgHy~~*O}6x$ zGSyQtLE0AscwoHR+>sMk5r>K@xu!_TRLPLvX5-|3ozhzF%N>fQjAR3yYKHt9J3tB~3 zb)Wz>kug=n80{YgXK=+Fz(snM|Ay*`9RbeL^)X7>R6JM0Ow`NH@;{P0iyS1cR`J(R!lE5BmS zM+xz*|K->I%4;|eoWAnAS#q*K>00YYfBmnY*DE-W-97pIlh40)_S#wE@nWWF7oK-` zFK8+M_v+^YA0R)wB!N#_-+{X*fhXk27oL26J$%;d#RT|%Q$?R!63?*L>u;EfHhfW; z^8Kpf{1gA`$KQ}%uJ!wam($L9;UkwS;b8qEKZ5Ig^?2w07B56AE8#> zBXZyA-gBS(be42)^)_%H>N;TcS!28RxcOmUW7}o7gTim0gTx|7s$9EO$<~MJ?@P2d}?!o$PcSqXq zMuIC=cy}d0&PUdV9_~osm2$E3HFWu31b79WuezmKiL>tUf3q8>ZMQzL9$M>gy4{cd zD5YS)H{r})ufO)h9ClMoVb3$Qr30E)bZH;ETnRq+InIylVON5;)e-^j0GqzhSI1U@ zA>woG!g=v33r2sT#3dhZJ^7hu$eMhjAxsnsA3-rt;?@{cBP6MaxW@89F>Y8H9~XsD z7K93quaIrDkrbTIBmM-P^voUtgA4l&2n`DIxW#3g0AwJ&jrY8Ro?#Gs`<#Vr0%!)Z zgh*!G_4ICV%Tea>6+A|t2XXGSkUWnAVG;F#r#_q2LvN~a)lj#S&a1Shu|x-9(3Vq?8 zLW*Pn(#qtPUO@1MqO-y!zy^C_XsR;=8uSQ!QCq_1F+*Z9oSF9>+u~}6^T5{1EUL{W z%0(auz^Cn|eNn4`cVHtCIGZq1VX8Au-65=}@(^>#G6J^Ns1&c2yUhF`K*}E8VFc?o z(CH0IDf~M{PoTIPm>4==8x?H_RD(Sv2|CNaQyJwaDFo^25w{d}JRrbWNFrH0k__Bm zyrrWNBmAQgoXQj%R*HaSZWS_a`?_qvHN+}C4wuhcwSzfBOywBptUwyyLetHmX3)9| zi{8^k(b`Hm7-{4a+O#AU1TzN%=Bg{oAeHu9vwLXzEVX$BSzuz=1OX}g{A`9WoYQF(NW^MgIJ|)(O0-uVUc;tQh8iqsv`}(dxyMjxm$&0 ztsJT-<4)L>&IpNqsVQAaA|yqB#JSv(AX0vXaDc^3&cQs15iFNztiVJRhv2JhO$a0y z$HCPjcCBTk%4gl7D~h(S=W`WOGke2#J7IB%YPgC+q3YJhqtEa1y5uf|;a<;1gG*r> zMAb0SoN=d?>YqC1k>yh@#c_9~Nj6MEwIGiQUPl;8RZkYF`A>lqz?TDTyqZ0QN@yA{ zFwZ(RKxk5zR!Lf#1x+H53Mb&xYtSWm>z#8EA|ciS<2p=K5fh6;*g zlZ{T!W8=YO9(L;1paDNrNb;UHJ9uf(08oO@g6x$0PRKIp_)JnVQ==PCsvC=^e%jy) z{@9108{X#B^UM3u&!@yG=|VuC?jGku2j2tqdN@6(j51+4v0W)`cDxTM4fYWCzjdq2 z%X~xNx@`yNi`o0P(ifBe<$w2oym0oF*5n?!yYIRC9=BJV69W8GC3wWy?=P0}K(&7> zooHTu^fSLb;0Ak;Ab%H2b?4yWIp3~l{g*%Yxxs(t{@8T0!GGSq`}59o=+%GyzW1?Y z4t#R`$w%iYocpEN?Yp0F2cHWUPoa3Y+cM8Z`w}OM4T$GRK)O11>wWJ_`T69*Cx?g| z`eM}>N;m2bda z_-8jcyT-xacki|olfBbPj5ZlM68stP#3kkMYLX|TKlqWP)m>h9zB7?d9y_R^o94`kUtCeAFjRAw-%lyfo6hC z0*-TNxMLQ0xVS@l=c8Y^=%d058jh2m%$%~9v-I2)eA$e-H`-QP>>PZAYSgHim7dd5 zpc(tI9<>IZ))#!MB;gC6v z7Z~DMrc4lzS0MBNdWw&$dGzSKTGpFewBgw_<)Oa-YzaRC((kcCk(j$Kla`~R+i+t@2l?VS;T8jPQXmu+F{*K`%%kfI3MOD&XtR{PSaS0Dp6Z^NJNMqVOL8gN%AT37 zAE!=LojO(BU8jC^dYjSliZwr0lhY%F9e#?YeyDugOKSr>7xs8Tcnx9dt!gksT@}f> zmaHu#%7we6szEb?muex+_?4uU`Yi;wIL8;fD}0JXrGahuX-1sh3;h5Rt*4FFX{sB{ z%wVCp??8CPC51t>bmo{iwaKgjO!g3QM1BG~N>=NXWeaI^{uEq8Gisndr^~6CcOY1^ z=pOBasaFUfRZ#-)CKGX3K%bLgdnQ_v(NDBUxegwO4~cPH5pOg}GNq{IsiBO<3NJ=8 z=P|E>@6wm0tFjEP(t$}_Qp+A0Oh-~fS#4td3w7&bz%6aG=h#Y}?p7@{0G&cq>vAbB z5X~$Vn(*c~!xw5#VT({na=Xjsqk4#r>q*ErGIZ1VRfIr%nx2Rq8tC)b22KIQHyXB8 zuIea3>(skQp5Te2+J+S0n8ZMPkfj9ID#4!HRP{p84iuW1DL0U3UgJN)2^urWWNAsr zcS`Gt3({4_4OS3!u5>cX9n>Cd;&%9%WvN}>gDiRvRxMXRk_t=U)4_LO0~vXc6eTk3 zQ?`^pPmdE@{}C8Nqv@qPrOPd(M3T8pv^x+0DQKd-Kt{U9t6kz;UuC}mU~p0f-3bG3 zk6qX)6GFY8YmrJr$?3iLj=lQ`vei|37 zHy@o4z`c#RR18u5ynr!6$v2{N3V^5J%1qO3RooS*rH3&ZJ@oju5_gDHx! zX0+km?gbN~WZA(aFz~SCv)Y3xbMs6XM?F|r6;(|KCvB0RHG^@}E{pS2L3wl<$q@(A zkR{>Bng=)KS({)OrVLkYIhZwC=*DWlyj&tZV#E!%F2dDlzFJVhV;2yPaZp61%c77f zu&yRQnJ>cWSlWAJj8H$o3>zAE=+eh6!6n|3WZf3;gyaL)IZEIH7OzQpecHQb{EMzi>P>6UIR7ggFi(Eb(}{zY^fyypn}CadBIkk-aj+8s>_2`O75ENA zzxTVh-&XR`t8HBi`Iqu99Ugw*1NYbWH6dtPzHH%peIrFII3ry9s`r!0zvI>q|D%Wh z&)@u;Y=7SifAXJct?$#J=fHi=eQrGd^ruCw74pJA`|R#s@1obd_YzD`Z2BI>UL%0c z4%P1HhYz^1hLXA4u6iV=CcMcvflUzA0Hp`C2^*?Uzz>JFD0!p1+E1}V-TUQM%e(FV-<_LGD+4C(OFTdHRk-wZ zyiF_|2L~!st8FOX`K4dl?%)3Q-(LUo%N*Rj`;m`mgo{4{+D*gU9S2`Le2n*B?r`vd zJGbCqLcG6p`?=@*&+NzSIRKwMzW4ZEAFerQdr}ft?~kN&7q~;Da{|V9;XLPQ)>+gG zCB-5D2u9(VEfWwj^kDQ8m>oM0FB;`0DsML7WYt5|iwJ1!@?lBE@}74QKiTjTQ#qGm zJXnFf*NZxNu9{KrGw(7&uBY*RoJA~ZN*8;7?n2P9Y>JB57=*_)$Z;1gv0woh;9~E_ zZjn^f&-IX8o)`KquwsRj(kMx-fWpU;<(DV{=@(thB2KSBnT#7Gh=?$6mQZk>1dO=5G>RPb<~EfgU|Xg#@nn`gNbfkQu8FP< zbTBlp4NF$xgb_#+)uQ+gl4jnphWCtl^a0eBOS3fro5c$0nM(IolhwguHNAKTI1)_h zf5Bxzy2zj{W}zyf){og37plC{h{S9(9t|NKiZK=r6IrcQFz50RVwLhIphu|InsyF@ zN>llyl%_0wM~2l>@02v_Fsr)3r3@N~mm5Zj%8#z(Y$Jn>Y#?T`YMZ-a!+asDF^^p4 z2yzMf{BVw3aLzkcJ?N`H#G2vf-wj+bSwd8078uKFb|N}&d1~TvlZ$kt0kH!q<}_%+ z)GjcUW@0%|@lo3{4BNK`^YHQDCX!g76;Hi0xomEvI+StV4hU0E&_<%jZ9Z>EKq|YYMJYh%a@m$dvGd6S9yiTUs2*DMCe3E& zS%y?3WxGG9gQTkTbe+vDZc69|tyR9PbQw7BqLAlNjBqmd1VvYf1j~3%c#OS&Yx_79 zHcp2#&pFmbKfP!;h3tV2(vQM*@*GDoFzcz5NkekH2{w<-NMO{^L7-TdKp~{IV`Xd0~T| zedT!?vfMjzv^W^nxJa?fG-H-DWd=QCQgk*r;;Y@wPLv^|Pr4ke`c$@dF@uHdtRcb7 z?mm*y<9vZg@^B3YdP*=i-iwEi(`#?U%zLV5Mmgq13=^td71qOO%56BB+4BorI~wHU*y^Mkd|R@PJao3K z&7ErbjGC1mt3|P)uxa*Aa>^YXWD(zH1@qotG?i5Y(D>|9+SpOmZS zd7jzE_9kq|nECc2s$w66(J(*{kJ5bgEpCT26aFaa-jICNXsXM)cpneDqwHg{ew`Ga z*Hhb4s>?3LohV(3DW`pW=YO*PlRtUxn{Qq_t;YdiSNqWJ;ydc&D};W#ejTjeyf|`4 zcaD1h*1ov7u2;VazatLXcH_U~xOn}N*F0M7yIzau%N&S-@1BEu_rCVElY`^mehO-ZKr?zHQ6 zujPf%Cv(|Wtl{u~8{Yj)N{NZ&xt895^QqUVcf`;=ok=ov8cr+XnrfaZs3+ zF6i&+c#TU_}hV7w;n&f_o;iIyzTzkQ_Fr`TKDc5 z2ha6ka9}lJ>hqosy?x;J)LWZ<=GHU2Y1Vy9dD&$e5-4fKf{*yyTKmcC zoaZM%-W{lmr#Nk|^ho~zyxxG_yV;x53`pmeg}1i26VlUU=FnT>t>9@CS6*nliTNE* zv*TvMu5x;pc0Vmky*?q+UHX`l-hpjp>&zYW4bGkW5i!<|PJt_lt-+8qYHtyhXu4#E zKKZ0CFp&XLGFL3cOFs~}5)Imdyk@3Qm9#KQ3n1$RB`aZvi_<`bj_P9JY9^a`F?rMZ$3m`IrL6e}ohql3T! z#qiin8_}wzug{?nLPi3myn)oz)C4yjc3QRkraz0ZCSdd|!dB?rLIcx6;)rsR z-I)bZKFEEa^F=#H4SOW8|lz|`+!g)IC7GdG>so-1-(C_hQ0$9r2K`Fb{MaC3UV9x}eCK(ef@_VTY%2v9+o-#JEo}M>` z7m?cF^l)^6)B%$@WCAmu7ApSP>=~R#2A)Jbh{+Vw2JII3HZYaE z0>5h54Cm8^08;9pmD~5--yWN6ZNI8HJknrpS#$(}6xC|!r(>%EZT=cxx6&dp)KNdp z>SxSFV~MIz9Z0&@fp>+^8u;;Z+97sf5@gmS8DRScepAV?0na@hBq77{g2Lk54?P-vF zKUnkQUGg7)zBV2Dt3w?sM*rn=!twPX-qd4_vI9*d#ARFqu3gB=U0?rVH(boHGWt6} zR1d_vj8|fi-=OFnkh#J^$?o1Qko72=b^d{9E~!*Lg(wqJ)x&KIDP_z&3c1ulG3KhG z$sp+sBYLqCxd4@JWj#5_l4^w6GFDoMrPi*wcZf(8XHu~Tst#4URBA#65*#a+c;7R` zJ09gln2f!Jq*I+K5B;!Na19R6rDPq#DeTJNX2{MzsY9GoM(GqzDbxfK$8u}+A(4d^)v4MJzPB&S~wC603Gkrz`;c4V$X^sT7`=x~9>S#IQOZ@f7dyP%ELRfEnIJx#0@ffj7MwhJ#7VQM^{FHxh)M)!p$zoZ)|KTRU? z!BxYkLJ3a7BZ-{wz@;*jK4VTtcUIeS0!q2TuJ4zA>Y27Xu zCUR7+;9Nr&JE_?X5)rgqJp%=+1_Nc|`@pr*W^lw4tTvTl`!E_yz&?^%uq^4z6A}s3 zg*%r8KyeN986{Q|0qh#g3V691P10G+XyK*vo|4rFkgAQBBjjX;!#KVwU5ioQq@=prZ0DVTkbx<250PgQ9Tp!S)FXn8|Xd9Vj?K z)?iOpQ}5=BIZoX>KFdyvrK{)cOkFgL4CL)S5Qc7i;{%X+Yc^<0h43O7Sjn<1izNTQ2Ao`k%K zt%8GLtZ#`gMu!Z0z>^?yUYcg_SykJmX+dUmBxV&>Io$rFlGpK!la6@z1Yrx$DoENs z84?;%sps4s__ad;e}6$8Vje-nCK^9+A&ZlrS(2L09ZPtgf5h4Y9vYcYJPw&NtXdkN zM`$5rm4+4U>@j;1jvBi5fw3i5!;&3ehp@#dVwa#>Cb8Pdyl4?5D^*)=K2pvyrqdLs zWkVZJ6-TmHAj`x9=QeZ1FuTTsUvNqtmBz$ejcgQ{<(bcE5#!S{Doq@*Moj?9XEc~FyLeRr#$ZmVP zSHR?X9EF;6v>+&minXt2xm)IG{Vigj#2BV+Ah~JE0Iu+GrCUyRB?E{us^yY8qF8E( zV0diE#Iu1U$0qq(w>+6Noh3 z4&5BYz4foW`76vjfWNeg(ZZ+hC*bl~jGv%z&sy3xTd>VVGjc~4+W&R~kS_=C5KE^Q zn|()X_11&5zB{zy;3YVC{P;2lN>2)M;~fsNl<6JU-ps+hdw1@<@WT4y zOOr26G{N!Gb1yLgv%W_Oe_YXDJkYAul+b$dp7$h|Ru~@~IrlLd9GwaO%)%jnM>PIE zytDZ<@Njth_8#_L{7K5({m6+ZScSqHYdkP&Mi+yX8S(es@Kh&TsK$u;TFQCI}yq~xC>p8Fq$9C zhMFflaM}BR@i&g!_v*sm{L<{D z*=w)$a(2|hi!UC%_RVi93C*Z|>QkTmBvsPa!{*H3n|Dl8gPV1kCQ>D}1FS_qmRC88 z14*6v#|^yn+^t(jucg(eM@NYR*1dM1v%};(I=Xo!^qX+-X1}<%k9dv!wcB62{rc-` z7~;{jzPni|dwlfz>&M62m9yW@zMWlMG}p|4Uz4bza(Bxe+L>H8Q6#)-p=nshe1(JI z@aX9K;b6f0^ZqGRDNnjMGzcK3o?PwJzP7zOx#%wO^WGbc9_%%^V3c}t+wx1L0Tv`b zYorN7*P>2u1HBb!-09-o;^>8wR3y#}tRg3fy`!`BzB0%Xji(daVY-y##*4#V!bP@& z+I2h8a)}vzOQr`eMPUYOI(n98jKHq<^mmsdKroUz+VMja%mlh7R9Qly94!DL85)}CT{_>p8zX!p{ZS(NNop(-k( z7U@~*V4F^HOQ9`o&GXYaope4ULC?*U8Zn9x8B_I%N3&%>((luKYE@mX?&PlDM z#4Y)pBYeuh*LECTO`mxyv!$R~X-P&mw%*7IGFh7fQu1Nzo7N<$kU&vGq8{NPY5wXJ z+HF*i=BH&mb3T%dD;0qrr`EYO$fKer>G9FP)ZwotD7Ez8I2(L0QVN=SD$PwRroXDCq2+}u+pQpFi$>PNba zG>pqSIz$d-UK_`(%NxzBMiX@`SFPzMGF&of<}@TIl~>TnL`gyhQE4K=u1fM!ny(&I zEn^oP)l@W|iyE|F5s(C&s>!$jZRQKK19+2OCV}u?mo}ri7c`C`As8eL6(@>-m8Q$G zs4U#7S>(_j!Vq0m!6ml#S=rqC9e047N7Kn}jn zW+$hU6K>GZzy-FdtbQ7&?6edWbQpEqm*1V?uMeqalrEi?-Yq~Z=jFv8GqXF`%Yt$hE(s54b*%FPmK}7}p3iSM(CFoi$n~A2@Pz`{G zjf|d52Q3U2qc&8&Wm0Ix@<;+Z@W~D+Mrgl!ftwXWM4?({t436T4B&&f z`KoPr`$@X3^Naap+FHo%;mn}JvxUB|@Gq0fGO6D%`sICHA zYDesSc9RozG#W+ccGWkLS2P2f#?(g*QnIo*;ti1$5CjkAD$bb1R(sKn?Yp(pss=`c zxeGY9YDTThV?#oL3PC+hRe-5TPN~HhXSH#qXBeyJ22DNm!JWC2*&I9+7CJN2yJ_docI}m01`+JQlkD(vs^FyCgn7lb0cwoS6Q9qN)nB?c6V>d=G#W5Bj0sA zTBQkB_e~SN3qSHd@9y_Hw$X!dj|}ti=R3RCjm3w-%yPK<{G+5(yZaXNF7mM)NMQ@o zKiJRa?tJ#nkFMAM_TRp_Zr!&Q-&(Byz&*P@c#RE{y@rzFuqQcE+hG!Q{RFMxt$_GP zFWi6O{`$`@e?@3Fp6zRe1IPYVabWsl$APvr_MibJ&S1@GuQ?lrxEw4X!KVYOc0czI zKlcxN4ejZ~?^Nhb`(AhPU;p|V0Y6+vxBl$Gn+I?H^x;n*KJzW9lAr$ceSW5A9KE{P z@0xhKE#MY_zmz8BYU69gaLY0nvzN9UR@{Uf8ai=*gXfI-XxSxof^6S3Y~fgmVK!Vj0w_zWwd;RqzTu`P6X6jx! z0JOsPu6JI@ z9MH5BrZvRB{bzsYAKRjNcGOzK0-v}SWdcryKYGr1#ovuP^kK$98j5hQ@@Z^w@aCPC z;NAGD1vuc&<_FN~jDy>h>u-;?9C)wLYdD~6TMis&TJ?bP8EMz_O!T9}!@IFAQf2C8 z=#6k5)xj&G=LzneZogn59+&5_-*U-)W?^*dd7LY@X8AVpJ2;`EA%LFH$-+DY?Z6HLQtMDl za=p4!fuKuSdEKow0}Xc9x{+53feV~-WG7Pz)4Nf5`Nm`sInu?e9#N&BEJ#VcZ@C9E z=r}$~-#X0;{pyqtVdXr`u>h)$iK(&}1*Hgqc%>T}YbGH@U!e5>Emz=@@YGjQ|C-%H zI@BX8c(?0CsR?OP@m9GWi;DGB36OCG`-y#n4B}J{-gblr&P{QVAg>04L3H!mvMon* zBFqX_;m`pxnp;Cv^R;IZ8ZE~X=BtmR(lK?@`)$loQvCmi(E8k8{oF~Un+$Lq079n+kU%vIBwLgvzgne9mUZN$45{0+q(RNVk3i(b&0#ykfI&C`a)xR0w&&MS_V9?Vp!)cA&^hA30}R9z zDYP@OmT_>_h{_N1a=>?DX&@E}E!kO0=8MrgUozD@Ncj^K^5!&9jxFShxpakV+?mDd zg2*>I;#0MgWz3^aCy0qanJtG?Bd_Kp$07}4ohcM0m=4^cK6wie+VE9N^FgDi*F=Rp@3#&}?o%b*0swoO8pqidcY7EhN*OS!6u@ zU0`%&=uXrIy;D{A%rQhDddXofhoBXDlwP>^vXH-`9-2T!WiM1|>in#NP5O zeBiWj#7Pz8n37|Q8$SaykPWKkIC^HK1yO%OYfQZO^1*l*S@t0gBlia5H|WAARq_iL zMJIO7FnKI>pz|RBf2Q%k5n1RyG-@w1T75+*_%iq@a!^C0NW%0*i(@g$SM&xxvNX+Z zaUKxupr9``aGoEaky@`PIsm*CCIN$A%RJz=qEW5nL4{&BY^CAxv7|{i1q2yvQm@>R z4a6o_P^#c&o|evJB{!^424!=S&9>Dq+`^_SO>2LYh-Bgvo)yE=;_bUkMpq^LBcrcM zD3YE}`%EGC`Qluft{qhIVA_gW0cC71zl^5tS~9ayMK8tjk|Yo zF&h1w-EZ3j4`t%u=;-k)%+7D-Ic*y8BLCAqDO3{OO)m8NnEC+hk8tgBunBtN4%P>K zna~2>#CP$_5l4soCsz+ z0(qPymI5xD;^5u}^`<*`@vbzs1QMxstR@Z)4(>SPz;qSoy3gZu9C)ud;-CQJ{?%Vi zw%k{MZy33x(SFGNJWYKIcEXeEX2P-i8F0g+`TmvGR>u)y`&du6CpdppUqdD#qhtr| zU;7Fpc-ZNy$PdELwL{(bcH;B-Hbc|DI;n24_wM~&| zvS4rce|#0M?O#bf_X+f#tH@#j12~-vWY%D-Gm;?c zrrWxSTi`q8isb34h${i9+A9QK=15O*WLWK}#!KCTcq5 zs>;NA&Z}SRueGu1#I;C*XO|Bd7EpF2@kn7pAFx{kP${`UIBk09JXTN%2A+J11}`zW z27IDw?g=OYX#+)MOh+Q*l$S7y`k*hmPmm~t6A+9rtI!>V29}?Oh5*VPd>96$Anmxo zo4MAx$OTK>QPEdmBVOU%$V>6^j4l*~hD?|RCuShTIWK<7h=^%O8jM;9Yk2a(k;_Lp znC2MDN%rbQpSs%EkrA6g3CCoj_U#(d%RJ$UFQcN1u z#k`mnqxrd;36-6n(g-}Ph^Tfhib`2x(S$wYKm5pub*Iuu8n8h@H)8QPsVHyDCtSs7KbWYZ4d>OhrV%DvIf_*a(wX3 z@Rr12&D zd8>wOC;?X!Bc_WX=d3y!>2ek(GgcHVXM=jCo2>&T*pXdiP>hi_Kxj!WL6X3Ui+DqY zGBu6fT22b?B$PaZnB*EqXOJi*XwiikaxCG4x7s9}#Wv=gZ!||c)#47-QXVx1g`Bt@ zmT|R}aU@uk2}J#(k4#{O;i^#UGw>scUM3r<_ zbD5T?XsJrUh%k`EBsydh6DjIh0! zw8P=5WVwIo-D)sq_)USNo{z>MXOYF(nJ-S`NiLiMfSlyz3-a*tr$MP8vlH3u{THo3 z51RxO+~7o#YO_TiMvZH8wKq0BhpcMGJx8XfuAxE9&pJyL84YzWSlHWb*G~C|qBh6Cyqq^)g;9kqVl_;Oz#Jq1Q z_VL`UH1o~ed6)9s!bz`#H!n1=4d@jN{I|fjf92c1qH~wN@$4V}aZe}vNrcY?y<~d- zj;*!c2mE$WSDZ)f;c<_A^Wxz{RN;qkVDQ>&M@Or$3OZ|gp93R^iSA}o9IV$*=ip%A z4sK52&oku7ldpgM;iqBPAf45GhclVK@$5Ig@vUz?^Q~_jeq*ad_l!l|TQ>u@MVH=9 zG{5sZAN$ykjjJ^E$qY9f+($?D+WGNUu%Y-6 zG5?X-XxU)4}a!o+^xfVch=1Q{n}NUE#@)@2M2BIo`?g!@_pykR}T(QT=zKm z%x4AzF=CGt?4#-B? zSC|8s-C#<9l%bMBw{%p3I9UinVP0k*-d!&}b% zqz~?s=7od3c6NyacW}3={^>szDeI%`*=*6S7;^~?c_LASCaCEd7BT&J#QSg>e9<6D zui2U!3TWkFZo#>L+n%G^V92Y{da`t}$&yWF-g|;A^%1X$VO>}}VhK;;Q5GAIT;%8M z5(1a+esw&>bxK0KWQt3#A|q61D?!#f^de2^f!Sc?f~&1xVOpYt+O7m&R z(mTn;;{x=yDooO1&3;5zN0VBJgi$#o`WbBg6UMqgDV8*tLKOT0Y^Jx4Vu0nR*nJ<+ zPHDw!YU;WA76gq-dX6@Kor#w~3cmZ%RRvR6cC46#bI3VIWTt5LSC`gcArs0DMpY1> zFjX>(UIs&}2PI}uiZabo(x;)f462Tr*lC%uoVaGD-dpBQN0Nfb6KGg}!i2#VLpms+ zeW;Z2h8UJ!7Y8dMvh&kuXayOJ^&C#5bg{H%a!TEf;dXUW6cHK-=|}mIw-|XbgWij9 z92$b9@6yv_euy73f(pt)b&zC0QIo^724P-|Lsk<3h-N0ko7FVbCd|xO0UTW{Ja>l@ zG)Rq=a=|cKbY6LM@~-wF;I;!w8R!5JCJ8024Fu(wdj>e@7>gq{w9LcijOK{n7b6n! zuv?KtXDj!as)jOhHNoX%qUeFdnc-!URVyIyi=86J)q!Wl8ln(JaSW_&$Tg&n5gwWG z5uS)Ms-Wlbbkssa(rli{X;FdF3dDz+Nh=Sw{F#?4XGg#Budu?>QXqLY=fxS4FqVQ) z0yK>pUR`c8m8vys*?BSaVseQ<;I7soWrIw6d1u5KatnE6MEyeV7_qY~G%S`y5(5;i zo7p6UVO z37S*AU?sO64Wsl87=oN@a?k$nQQk7+y;vZ`1bonEghpuNLmpHV8e>TeE#yUOFZo#A z$#hvZ%%4itGLp$?xrnD|Smui|r^A-!5~ykOf`Zn93B*2~g~$^nqA2%R0#MQ7dBBcb zijB+OF(<5qrtV0Rl>=^d##od)8#X5(ppB71t+<*lPXqJUX;KsyD>tN>O?isXND4ZB zVtWCuj5Ezxvr1^rGiXLm9q>$^Qdr$2sKQhYs|X6$G#TM@KBVJw?vXL~irzY{)tA}% z3G<%Xu^pK1rBOO6pkh|JRwYg*XGMBKlK>TWPMghwh_Y->iP$H!sRindG1Zi^QfY@& zKvSHDz-N9It9HRxl!mP~;SaR(6FvZJ(gFbsmF_@W?nUYil}GX{8b|Bw+JOoc8rSMU zUS|WflK~q497+<)xY~I;&szMz$i>Ea4)a>{OU{?Wz> zf?+Xe1+&hrv3h_9v?1f6iqL5mmJ<{}OTv8CNvN;N|HyReyV|2orn6^0{)}eC zd;i?co_Al>lX*s2WxGdx$Kug+Gm{O)T}`^$oVkd8gllFGg<9T)MRV?dmnW^1uLw?{ zHK%vj=bguq*Y{YCUVHqwdPQf+n%<>8@uh41CF#b}G)&vDK6BA0=6vUR_3)p=k~``H zM&ko%Q3mW$ZM2Jg<7Z#DILJaV(f3&1f`bd*DZ&55*p8%j})QUr2hkg|AiB z0-_5h$#U-Vzx7+cW$xz17uUn}t36)3Ubp+kpM7+EJQ!$o_$2r!n#04{?1dK&_yEsU z4u)?Ghj%_m{P%4+fGlv)2KFG1`tzmRxEw#thl}AN=Ha`fqaAJ#a;v zL3sb}Gwwfh8Fcn_ z8$DCPx*qtN_WI?6FDS6DnzpOiU42pEdmMl{Jfw*{%JL8uo?zr2|K#I;)%y|$-|09| zQTIVhCm2uSuHp{t8p}-_q_{~2uMgpe`?KIP4f!bg6qZgAmmHG+5h8N#|M+|E)q8&= z*K?0w-5+g<1^A1vUVK&I@OzwWbK~9mwyvH7R^Y?IhzD|}&1D@N9{#uF1xagD9Q*#%Bc@lMU3(?26Kp9GU0~=v&FnbQ;c@OtCyE!`@C|YhJzrnYwm@x_dP}Y89pw5;YD$U1@Ng>rru-3vOT*3n>SU@@Ez z+m0y5?s%KkxTOuZZcZ;+_?oJ*Wbx@xq4aY@yfnlFnk{dvy8n6@y!JZ-8z8wfHUUUKSs$#a#xo4q6*=U2&3DKw81gyrdu(egq8 zYcpDN>>Bgl6L4%q;Jnqp`KyjOpD4Ryxszwl+~a2xs!~*)RJ^)J^f!HJWxFZLCho?u zE0%4`VhRZ{paTF#G2k?~aWiUl3-EbmhfMnM5h?Fl9Z=C$8d{Ql6DifS_WVmq)2^^M zJE>9cA>Ab&d8SGywkR`;7}@z^j3$JeIbamSrI&o+>4*#)6|XyC z9oM_?t_0qdz`GK7R|4-!;9Uv4D}i?<@b9Jsm_~Fi7BS<}&+)6UO)-(*d8ez+gY$V{ zHL7zVr*~@L6?(#j((dUP%kKvS=~~wKN*q2a+!Y1W9S|uGp%XA!Qb5xB!bg5Y>3-_K z8%E)REOPM!rm~fXf%bd$Ass4N7BR@JVfM@wWUV!Nf!_`gKwwuS)}O->ymq-Rh}#1p z#+^!|UkApLxpAe4E4+Q*TyYW*!=__npLmC7a$~DxlZ0lanF5a{S7>XavmC*x%1ov1 z@TgN>DwQ#W%c|7!gq@BHxkLa@XW5w9R;Ub8(hJ;kE(z(J>#Xy;yk%0L8dZftHX@P) zRjZbzw*hu)R8uG=+#<+AyTDaHB^MB8$#%0WaLP`vPD#nyO36sP306hzqV^=2^iQCX zVKBG2utoY}-h#2gFkb=^`J@HFAn}`w;9I*r8hLwY5gun!o7dykC=|Z{LL5@0?Ilzd zNE_vd`6EIS%u*H#vCJ(-O;|iPNm+{GiF_7;LPt{2DuV#S%0Lk$88Ht;`=L>B0NMuf zU4kO58X}N9zcf)}WY4W2LgGUVwVe zX`(=56B3v}%e7NUqs7c>B0^6{R)#=h4A7|VS=$K`ph-LDQ85)jTn(X3pUvXeVCbn}sb zw=i!10W_K&Y)P--k{o=OwP&y-=hy%eP%hNdJk}9c-;N3MR(eW0%*uJe>hy6&DKn-a zgLv0gn35$zP+Fl*veQ#NDPyLCzL?=}$4qppMemkV^KCttgeHh-CXCn5_hHFy%sRCu zWln~w>LRcNy~%5z>P5BUav2=?;F05IeMk^~>Lr*t!6Cpi)P?5B;3`ZIO=v89k{YVD z*036uxo>f6+He4A~*AyZ%sfi}ViBaq!V$34C6D$L* zG3g-6K3UEEVmjy3O`D}QV6Dv{?3P62*2M@#Ns%ZVwKZ~n8C@Ch#u(hG<)&F|=R7j@ z(-Ie9iokPolJXxQ4Q?CFSkEFM27D8FaW>JHthl#Fs}oXS^~NBSjulFFtQ-Q21yj6^ zX=sfq=?-Gkd31SXlqp8fqMnnpnca08F=iR5dzYW`7@IX&hKL&O)V9@hbVk`uC8&z4 zVbF^uG^5-iQXSK?ArT|V0!Rlg2R7e0g=HOCRWR- zm3a=^EglfH_)<`?suF50-X@dzysZeyd>40j=G(cCKicd8w|VP%?|(kcV-wDf?ODYP zxprvx@hwB_!4zx&Cb9R~OL#&m1f?DLrt1MeEvKKi7W$?%`Mdmd)88f8`U**#rH| zIaBTMb&qoggx$iQu#cnnabLW~eDoKdWlsL}*ZrS4_j7DJ=tHr^QwM9L&H4C;_uPN( z{w_FGWC}Vue);8|O7?pFQ46_=1MMW~>BRx_(O=*}@Th67;h-l}x*HBg2;njZ-tQ1{ ze!eaC=uoUa=s4|ZntyaB)d+|We(>JC^~Gab3;y_V^TkB-6VCD9!Yi%ZBx5NZzdwca ze!lzs#vNM?knpT!c?%APU$pf$M$X<8nsVY`%PWufohLjmsaC{&j>qok*72>aUuOl} zNo`GJk>9CIA2XS*aGqbTFhCvQoTXy4BY6q;x^UL=1Kuv5>upObm@Bk&K6f6!pX1Zz zn?#8@@uYCP z$WU=idtaS>rC}&PuySUC#^&M_?qdk4Lq1gey!j%jC6mv_POSxkv)y~o^qKB2%x>t|_ ztqh17%z8YkQX5b&G$((KKDJ;&DWgEj7o3n;#sX}x1NCbfuW_S&=wztQiqsU9QZmS8 zk$i%V$TXHLp-LoB2C@*VSv2MpQh*P#*txOfgE7;cETP&1l|)Mo*w-xK+O;$qZ|1Hc z+8~5R7OX*=4+NQcNxp|*>BtxIK%gLSrKx`T1c7U@p~N#~V9oRGGb{JNgE1P}`w$JG zvd&ME&bm)w{w!oeRG?t>ED5U>2s|+(b+-kDvIJJ>IMgCebTWvCD^%O2Zzzg9Odi#7 zJstRBJoI`{p;Oq)R5i~)0c~dPn3>lJg9VE@JuwX$l&^xg89eyXgVTN21IM6y+LL!x z$USRyTLKVw=0%d$5aAGvI68rwF)L|(<&AWj@GE2yK?4WF0h(+ zp|)STJU{|=hAAz>s#7!$W&Vt@mlbUxX0D7gf_R#R{s||N9)cXX`6`l!RoD?E9FDnp z;ntGg4Z%INXG=!`&Birv(JhKGhdyO6%61 zJsC}ch9MnHLvXD(MVDr8NCH$hLz>D;#a%LT>;YQ1WhrgkJwmsZ-GlscLt*%h z4xY4k1wEhi`bW@r91moV=3T6{;RD3?KM%7uHAkAb!AlP3y`e?9FDy-c_D zJ-%4KX1=x7wfAsv>(E(&Ks{hZrcw129r3|d ze@J96ypS-T_=I#>u^_Fs`@)z$H)S%pCP`3P9^&K2q9%=wgLd%zwHy?Mz8?4baE)$x zIW_bsLnOQ7;MWd+ZS7t({Mt?uzZ_@d3#fE6H;SA+?*0b%_5=66PA5(z(u^~lve_H% zdJR~bF&eOie3Ud4)4p!TI`(69W36NVYi(K)Z#r~$LR({Tc8n(+M=YK{#_jUT`ju3a z12@=^9NoP*I81uw8*lK@xQ#BBoE=@zxov8DN#4C?*{8zJh@BSGW0T!;ARb=n;=9Wn z;C<8La}M9vZs0(PecpYZdnzT{X_m=Dt6*&kE46N+l`8PO1O_cX;-`$*ozKwaki%$U>2R}h@p6e+6t8jF5e6+=0#{ql2 zUl#@Y4U7W^2j3m=@Z1Ao^2@kxi1aeD_c6P23HDR;wBc)^*aS2wd@t87Qc7<>)oWAy z!6GLlpZdGkJ}=%P^PLy$CCVf{nVOaEWywfH(Sp;og+N^-z2~>#!3BKvUR|}DK2VBh z@lCFCOGhatXwBN@m_nEz;61NI6knlzw>!nc)L?(B`AlV98d~yT;~IYb$U##)1}WTn zxaLo~Wa0sHk*JzvDUt1CC^4i@J*6^Pq|i~$v0K-;#y5u1ihX231<9}ekeC!kennMz zT1>HjEl}otxKj3g0^?~1*%iCkL46la1lV-sX7`GB(RSE09ouLim6eD5#; zPhHS7+Xif^weE$#L2HU8O;q;9y6oE!%CWFbotIGN2?@2mL#}Q29hK4cnQdBHYv@L1 zu&Oivu0e4C#tVjO1FFxOR8qa_PSG*e8cmW!xHL3jpov4do8-OG6wh7Dy^-d+fRv9U zEe3;n^Bokpm7+1;;S`!$n8pxyK-s5&WU_0x52Z94Xf3oE<{`BJ>Ux_Y{RZ{e7rJ+p zp50fiCFCV3=HO9}MKy!MAowr~`6W)ekaw+jCGf5U-j%?+5_nevKV%8`P5$Y1uh(n> zkEQX&1U6=#I+MI}3+IO+5)%C|y;B2YnLZ8DM@NXapW=EM$sdW>f$tsH*zp?NB~&IK z*=RLr*y(=2ne_-5RTo7Mv3H0Ki@Qb8pNV#PB}lkZ91>n&tA8V)ACg6LAs*Y@8akh_ z8qikU1lUFA++e&?-cSW{@uUuT8PW!IjSY`DXBk-e^%E}!g{M%`KQs%#`~@!kyaEX+ zx=)R0<}@)=6HqA>jMCP!t{_sEbwBixs6LjrpU&|B!&FJ22+WdTD@3*4O~zSl0xUf& zpyyAj2D5p!r(eb-O`#r|hUEE_FF%G>Nh(+j^#wK5D&0n)iHx2j@h0x&?`>RLu^eUrKAq zh?*=D#RIZ`Nr6+>gvu3hwoiQ6oU>B* zT=<>jwfBk*R*`ypoeeF;S&Y%g?X=sBcuX@h6@wXx zA~}+@p;<}0j7#qHl5a;722l^e9AnmcM%L#?q6}8kcnUfavUGK<(3hL#nF^z$p`z9^ zs}T{N>p`-hQJumk@io60q4TjIa#}D=8}^RC;#A39n z#rlMW&!h__#;kRodTUuA%USca=H)d>7gmHJ@`z6`Ry&A&1;^7U6nLF2WfX%rSaOYH zi21hl=+3j|q9$|}si4(xv1}sacG@*Vnj|@-Iy`kdjGj!PH_#-s4Imj+Bt$FXd3upU z8Sw*|na(x*9FiZhxLT)D&5JPag0@7h^L+I#Z)b~>cGB98ra;btHWN5UjzhT?tn*!% zyi;VQlusBdv*$K+*`$~-x!*d(S@>?n?DLXBKg;*kA1StHO>bC8UsJZZJ7xz(06lYm zIB*rv;Q&)TvXD(Ym;4{EgwZ_W#~oQY15y0{v89p*jn*y#ap{oyd=V_vm*6&j6dVVG`%Nf$faqXFt1j@29}0bl%(J4%b@Odztp= zch~C}TK6(6XiAOMzzFK?z%TrQ|5i`;G!B@{-EiP8;DCIX1w8)BfotshP1x>%ckX|5 z=iKs#n^Uat3^VtiD39iWPp4byRIH&e%qu?AzMuZV1OHL?iJi5&S_Mpg76ZKK@DH0` z@*iz~!Ru7(%Y%LIZ5wxfC94Nz#TyV2Ujb}7;s0lAKr&`Hi2;ub)z@4kbz5F^%>tteq%r+ zOa1oYDkf1}rQN0M-MyXSBs0al8j^(JH>8?7&@X`DIRK~%wv|O^#;|=zsJPeHwY23U6^iVPo55=~}0B(r46a{IEzeGYz5!d3D`F}=VcT;T$K zv6jj#2DY&E(IcWCRYaxQy39MLbZ&yD1Stwdi(~tW?pC5(ep*qTnSUkTqt~tV5Oh5=S%h*Qc`)f zAXBZq!R#Tk`$j%doVRY$G@24;Oap)5Qk;E=aUaw9XOlwv6ay+xB8hA`jIBy4eYDObwVlU7OaWsQT!To^1|qJMmY9?8GKf@*MHxSF&9sVmbxq?LW*>873)cgW z+BoJryRADPPTeWC6ve1u-3uEYCdjXLZ4EAyX0;D$x~YMnFlMM|4pxA+l9e9Ht=oNh zfHvSc6xjL97Lf%9J&*FVrJ+@orX&)Xyl{Y}28`w}^Ad<6u`pWX(mb0>u@nagS>^&7_(W8KoDm2F=14!f~toKrx6 zcJ8Y7PIXUD*w0NOA;mQ55xfr(p25Y)V+l(fDTW&7KG?Id)euRuAA~Togky0$tEa`q zD$CBsERhDbFS7b-%+^bX4^61qkjr83Mv?PgnMn(O-aYEpYh?E)8^gPmNL%~^H(IeoS0_l|z=NFh(293F0VrAhn*QuojyH!9v7>n1)I~S%_sje?!ci#-#R4a#yz-D|6 z8_!!fj@_|Qu$11UJib4^{}xfM1Zyq2>pA$s7Z@n`ZaC=2Jnnqwj(zj#5(g79({%-k zD;OcJVs{_JHR1l+cA&EeIaX&uk)wY=N<+?^Ut zbL86^?o;UP{X`7k>|@``-=+3;;x*}!kW$oByy5Y;HHHSG3Rh`>?NXqZ@`S<;%YBBeTC~QBw`8RDG>qC#sh4#;U%r!xplQ;7i5d zgRaKGh9(7-X@O5`zCJhecr(9CgEy@2j>&#nOlJN!`lIMNrt_!8c{l7`3H*B`f$V$Y zm08{IDc(C3sc_e8tlwMu-^=~1N5S>4tM846?=993w-&xPp8q1n;!PBP$mO~Hy|mtU zl;DV#*&T=m}T-Fd4AC zyUzdj!^i*p{Y1VdZWgaWJ{9E&O_%TNEqS{M6OGjQ7CT@nq{StlH>^V5`X}oCM-ty3 z$%3A2IRNH4w|?V zRNbAic|7gZnC_a!sFK0i+NHU6XtvXRJA`lP-12b~=~EPw=B7CN__vaY9*0+Ga^b+H zW2Cl8UOR`m4gL$_>c!sMSK3)$&MR{30){=oJ7-`2)>0d^M%}VK%W-~|)HJF8ptn2k#tN%| zWHR|Ns_aI8zJTs(xJ6U!ZZcil5L)>=@#|aA27O0vk-V49)n0qO(H~O0{cy=aOO=#s z+A*`W`9}C9eDVvo0c5+H#pIQsZuXaIv{%9{CaoySan-iq9encdnvqB=9b&JXSA*4e z>vuf;t^*1a_V72{=(Ga%xxfLn99EfH#dqc3%Vp#3YJcN@y5lEoCxmFUBf`1w&R>^` zDr#EkV4Z`XcDGyiuaF|GEb z4)l6^TlNox?Smito!|UlNx8R+_x{e`|6g|eJ-)YpAWZy_6J7j9V&LuCd+e&~;P-IJ ztB?e~cVLGdciXuGQ{cjDQT&9|!iLI&&B4?iHee?$drpY0w>CSPd9(49@J)~O?Zl3> z)~7&FSav-@ydK>p_!eB`H>cYtTbaW$_dU++(65=&?Ix&`)tf>1J)GT=J%MS+5nrDG zPWm#ciLO35!y%O#^NK!`LLlA16^!+aHo?iUi;LT7bPL3P6%uzlJZ?zB#??C#My+kz zN>d)Y{+=2eblmY?iq_%tHjk&Vs99GZMV!%DQb~6U!V*_79n7(fa-XIr*o)e+Fe=1j zs?-`+s(8hr|Lu!{HMFBsZE~5>vc*^I0@#pp$tCE_1AS8%ROf#=pb5ed|2r zUg;ISA)f~7wj)i)aI_n47vL>yKXDg=wuBDFZ;nCooBQbo?Jo(!_jEK#7o+ic(ag0xWR4~y zwqS})-F*IPu1enk=nX)$>oxop#D+RK=6n9j-er`8BTLZT1eI?*jnR29yP*51hp8Wo z2HH9R^pCJvA9#ZuZ@_QS+>z{XXZQYcrJXn)9o_=>+mVo-hYFk7E&S~n;$NF4d`BLW zEvs|V6tZ^V!eW&zSL_!LstST{0B@BQZV0^wea1U`k+qu;$|a8&QxWqJXa(~@Yxl0I zc+M#qZh`+MfGGR!+CodRJCLWPq6o8?py>+EbfI(3U_ByIU`g47DqXu(Z1dw5Q*;CCH_ zg!E@RsQ>?C@BLyVN$&g3$gHg0s^RQ*6)`&d0G${~>_KBow3^U~(g}T>$fXJMa5%FK z+`zy=#>@b|y)z(ywgCYIr1i#TSDV$s0jkym0Rg^4wgerFv8*KsJ{{oMEDiMH6EJ+^ z!vP`%K1dKB)Ti}I_HxL&&-WLZ^{2b1_YaqMFZ@+y{Q1Q%e({Sx85zHb$jIf%Y2a?> z)PZnP`>V(k&RT)nE!JmKTZmpJYM)K>y1l>PUQX{ZRPOcuJ%%pI{R@83Usq7IjR!Lm z@=6d#-fQS@U8CvWj4=D0uk8Gf2mk8e;P&mr;6{V~{_!iBeQ3y?w-O`)?ddecL@BU-HUPQVcaG0?z`vOn{){2-G93M8*a!KzpzI`=bE1W`p;R@5A>zH+FwEz0P&tV0T@qHo6x>c7xWwwFK=QOL-%~b@#iXzb6U4>x$K@=l^`2 z9F8ul+bH+s=5M_4xBvWlNp~Wcr*C(>{PFm5Xu{YV4RtSThr1%74_^Z5v;sP21CL+7 z9s*Bmg{k=!T&3A%AIx*fLoF)(e24FCc?-i$EL1cC56?bDo`r_%4LwBky9iPdBA4Ec zkiCZWV{?tU!r^ytTd{ZW@}wKBLx;}(pjOCzp(45fjRf%giei)(+b61M*s@lJMo?uJ zqS`~=C#1r!f(?G;G%PngWQ$OzlZ$Ug50b?(zYD2ko>0$khW&0(T-gt8WRS$WzUm5= zQ`6imfMWwk#W7A*1)bG4Y__x~Y+sWXy257D!);=3#JI>M(bT!m*R>xDvR_(VgpPUA z9y@;EYO<9E9DOm>1lCbz2P#AT0HfhK+jPazQ#B=l4Z&W0S?tNVaU!pv&cHJ|;+yS=%0J-USXEbxlU#0d4Am7zzwyYECnKU%laUts~wP zUKULzngr{*E+U9Q9$k~C5US%kXxD+6qb9>8pG0r?mM}8(~pcK6Q zSc~F;Y6rGq!1)4tZ=GeSMTHEzx;!egkeW)#wysC1Mi=u`4!bnE;XO+ti_(P&oN2+Q_0b?_!Ou^Z zNu?W#NhBVvjvABF!}ps?zRgUL49X@Bv|9cQAZDqUuE2%NfEjWKV)8SGv36G6FTeSEBXA9{tY-0Cx5Dgf$+7xA-<_>CGx2W_BYs=6&`V#R!sFE|J#^V5?){0f^Ge0VJ6 zGqlTKXG!c3sS&6E7gJ6$7|oZY&e06{DcwSd!)dT4k?x-qZ^W0UsfKtoE|Edi;3h{? zo+sBpj24K+d5no}LmyN|LN3AyVl3-&S`HLr=qhAUgOs_3Ij2QRNk1xLJ$B7Fy7@6D z`;}{gOOB}1Lj+TjisrgtOo9;0rffOpFD#E(%b%(0skBtKnLItTqaybqAeKKGQM-kk z)}EW=*T7Qe{xUy5|GvGge07}F7u@~x zSJJrum4og(Jy(!^?Q5&w`cMDq-@Wu+Bxq?yFTM1&ufgVP=k70QCok@_m0k9$S2&Mv zEy2!<`8$MP``Rn+{{4UV(hlt{?|;Gn#Q|R{UcGX#_w?!gFI)&VuXLz`1AV1inkR|4c0bY4@$G;lXd1`2 z%kJ}HzxUtz*56n7-n&V#@6arf;O5O|OW^qGHxf9s^YT?Z3tMt?u?mC-lnl4u2%z z`~=#`CqBVR8js(cyg8{KK6>~ble>a!#SwQx5Bc(3UGKl8Z+PE)nzZZHo%3|`-&VZy z!}G(Z`U2Tqw>SF8=p(EB)%l-2JpTbCaNkWT`Q5vmPI&%sB?(f=Qnpt}K&N$RMbJs` zgd+I?CFrIlItlcsTSMzSZf`#!=jKw^p1Sv>U?{wy3GG7vq@ZIbJY5|{YL}g-x4bz* z?_JxMG16z#PekIs?Z<16-3n|1-`Nfs!`hu3J78Ty zxa2b;UyG(X@}3~0x6FFa1zQ6lflR%luU`*RW%Wz2!Q3$O>pFj3)uUg6sf|m!q=y|+R^@bjTF=la0*%cRl7x?%7)l3Cfx8G2 zrUR=p^Z6bn?h;WjFrLY+R07VHq;z~@U^vns;Gzr0R|Qw`z2wHE(2nRjYS1!5uWCev z&mfMXB_UyBLXC>fPS(ckYh#1ia!K;(g4Lf?8XUF730y2(4Jn@vx>lJdZ{jAcG8iJm z^jzDiH2Ak+zU@hs(Ftn-%3lw4=Qwj`(Z!ZTQkWmqj7=Gta}?spBka1R^`&PKJ4S1M z(q;|J(aLExqpxM}fDVQPH&-~ci}Av{NhmGTlmz%4P_C7c**bxp;8Yxv0-mbwT0`L^PhA$7M8k#P5`t=zX9E|!>j1xY?KnhkLFx2% z)*`L%ON)G4l=De$p9X+<#C@j%(r1Vf!-UzQTsybxdX2o&(X+5TX5FsxqUEh|8e!d9 zoFzTavbIvGmxG`(2(35&QKB%i4`@`1hf%pmdE|o_8k{k@QLIKYa0D&6S(Zn`Do!Rx zOHOaApeLk?1!uX5;s=D##V3<2U=Ov+e0fAQj|1a1ikiL)40d&lJsA5X5-icn-2yxM zF8YUHm9+3I_sbf-M-9)1Y|S*21Wq61t}+)wy^sV2r{pp@Tw^9n_AXE!J;k7^x=zywKcEqa7cFk3zL-`J zBNEVc@U;d`g>}ni9L6KsL13n%nhe+lA5|-PrHD)qeHakLBxJC3;i%EBa)VmaG%hTu zaU?Jbo*Du0qR7C^FZM_l@dFs2>*9RQz5Y?@+-Y|?$aO>OF`s*RW)z1nrzk!C10?#R zDDSZZ{`cQ^?pJ>GI^sWu;=_ac9jlumIr8?4KjGXL|9H^h^G|-ObM+Li{Z%O56}ZX# znke-lnQpu-@VMvZpG7TRrC-qP*4=I1LbnWWoS+r7>l7M%feT2>BFVjFriuGv3vM3Zs(wbwyCGcF61_R)GG+V$T zKMqa`JKT*_3ISswGVtye2+;iHTzp#`h4sB;Zhf*F>#4%f0JmKGqKT+(BL*~XmM zEg>4X>GB#RUJa&pH+@D_PAP$oy1Y6TSm`Y5`oup_`VEc*NhsyYoTi7iGpS z+o>f+))^@wnNgTb#tsy5$FnjS(X&NrUpV7c~2186h;ld>@`Y~C zk`PP86jL9E20?HKTTZ^04N{TQFO@WylB=huK~>J59+B5rAR)ZiR_sOtJ_uDFxxtYL zQDg|QS(oWxf&x{BX{f-T#Vt;2mc4_F>Ra5}WEwE-YX7M*63lyt+1bX|icvlpg@6D#O7aF6EYKDj z5TmyBwD8f%gu~kD`^&NfivT)6AD19=9=3!Ro{I2|H*9BYawf#aSK*8LM@)0(vOA~- zelX3Wd{DTmJQ|JaIiEIfs$nHUVfE+Ut5}L7B%rAD3yly6iezn@(}<6%SkE!U;|MC| z(W_Q_sG_HNsUarZqMi>&(~)ncgOJB25F_V!`WR) zrKVnsm1QVs7mHAANEcyDaVin^hXgVj#%_MXV(F>(nmkgcSLEK~7<9LFSTtVWk8>U% zN=DfA(60(|#`w#$Xxmx49m7@yw-7zOY{&)u`eO2JI0*lGSK+hgHu#S2Tb*szjlCOt z`ZO4ae?R=4-)VlQ4?AC<27cJkBi(lG;4u^acW>T&`tP7RKD_zx zt!EwO-Lv1aZ`jexd+yew!*`nIDhY-+$nveV1n%joli=>d9SLqez4=tDG4A2s!~ORt z!Iy?#`qF0r38Y<|tIw>$mT>ONU*;X!+s>&Jv_3QdUcLRAXQ|>58q3?C9j@*^bZmZn zoMPQUYk&Os$=>HbKLir)dh<)r1>h%uJNNbv-Dh0bjv)!En&%3ofH_o3Ndh$kli*%+ zK?1ei5Z?I2m)>212Q(w?#qB!?P5^I{-;nMBx2*Q?B7t+i?vfv;;S)*MwLA!EOt}e3 zUCw5=?=Ql+>Eg(GUMpzt1_uXsR_D$6+dbWr&$r<_e|G!!U3d4+?N8kPM31+xYVA4p z(5?SNo-w?Cb;*S`=$ctd8RsAFN&p|NH{ZK=c*w%{R*V$)SrT;Jz4MzS zwp^)8?+5rZLaae45!wO(-{TH#Z6N7!Uy!gAEr+61&4 zNN1Njzl~9}DvW{)J+^NuCC_>xC7f10S@Y2+|+vx&4t_Kuxl~soC3s0p?p=<8@B7mtoT&jZBw|<*QV^|Zu zafAfljR;-0Vi>#LbYZ&1V!^E_qp$%u8bD48bV_Aj%-LH z0YL(m8b^^rV^`qZFd>zNFk%l7YR!>}EZPFQ=*q@1r$G5;YJ~Yjl*Gq9q8$~oYc$Wi zL`9tqR06^!W^!BxZv>a|U5=EDptq9`GxH|g+AorI+lai&hk`m@WTzLYwj%V-f%7;i z#(*&^YCKscUMy~QH{*cM{Ma%lYLwba>+5XXvYu*rr)c;9Gnvhnu*xX^He;hGuyo?B z^0^jH`VvCyR9d46I~+%BsvU&XTUBvU>5J0-XwtF_kCHi@gt$?1a4IG->ZxWrq!NJ% z%W}$ll1Df_*EZe9tlPS045q}2|WYOER8Hju-0#j1i^u6oK%b38uM7F^Nl^T|WH4&^}ol4{3O z7qu(qp{~a4`=oe}5+4~@6A$HMZiD%TmH+Vjh6|yxM6oaVA1RA8e zx9I(#8Wg?`d_(IfZ=3;gsdY}=QggL)^?rJzc}}H{N29@sy<(e4ds9!ZZRmF1MxXH1 z9jT8-ONzzQ#*v_m#9&u9dk(mBCbWRj(Ks9nr%R?_N#D5OmE35)m@jDsR3F4mN{0UXiC1GkjS#_G)JIl59OdB;t&MVrCR`oz3Pa^gC)C+?<$#Wn-n+ z`6-mJ8KESKjrlw;S}J;{5%>05Xa2ZI>s}MSpLF8aHyDMWV{JHmT@miP&wL%uY;;R+ z%HDtOGhhG6$M5r%+wF0%#@x!|1$caZ{?~t96gE=tf>wXp?;(8Um4ETFkgxpJE3a(F zTR|ef=yYksFI(v;!2H-3zjBKNmqmDd|NdY7)pO6Wiw`axywp2h0pxz=xm^kVW>3)B zz0Pq_f(v9Hg7-6w-gs31%MXFo4h!wTMK43QPjLVK7y4bedwh5cxC3;7$znHXsyEFD z*t?z3Svg^=By>IdozER!cx(^wwG!+DyRr1`>OPfcOAvP>;ak7|Dt?W<537w}58R9N zB)!(&uy6a5^aXDR}9_>*7#ZTZ{( z692!9pKL$=)m$vzAG})au@8A~j~%w`G2O(~=Fi9N!-rq_%7n@8r<(wC<@v$g%{Sci zovyvr!QEf{#SQL4gloL7Rvbrl(_Zu4k>FqdYkJFPN$}VtSaV&i&i``HNrG+ddma32 z$Dn=ep13D30tc(Rdj~7DXZPB@r&bcf;TxZ5{^U>oWH5N_YUYQI3#dM0-7{19DZro{7KV1Qv%1Gv?alC`08!fNie%M z*^7ZK2wm?7LL$}!Bt34E2A8nUH1&L%?1YxqZh0cM%fu2dv5Mzc4*-&e?`$J zH*-xNsFD0GknUW|U+``^@es0;j7Rncpa7D&!J4b9=Z1Hvx)6=H0iPCQJD;I=Gp}|H zw@Ks8k1=BPv)K|-X{2<5k<9omZ-Z+`91H0T5$_glmroK-_*t^0NG90rTYIqyqd;u4 zB%iXyX_xj~XtS-}Ru<)zWgxae#x=ki;6>O<*@D7FCLVOYz*LqzVqc;Fe+@J#jC5w^ zYLmY+(*e3`)Mfcn39Jd$jtgZ#!KR#eD4Im2!XFf%3zm=;DE@tUN^emq8V{x$TJp9i zXL$8qpTd+^n=Ya`r{#5K|JE28TGUn?9?=p}J`(zWv1^Ph(l_yjB^i79*%C`{n( zfu_(4kU23SysfJsT+%_4_={BoYIgn$nSO^wF$K`^I&fBXZCU}nR(MwHkm?r z!&?5#_1=uNoQb?P`GN%Fao#`5VIC$Ue>KNV&dqg+3YMEPmD|g(F+`BPi7#IV5!;&^ zmyM^Qi^sTu%1j0d(^Q)p(XjU^ZfAX#XtvCe3mPXf-kfMEa~I$sz)FLd~virZ;oE z{2G)d&~ZlFip3VQr~++`Ozarp&e^m!o6H=sM@5UM9+gC=EwZ8|I!--l3r4Wg*F;rt zgenwOZcIeL)h!(-30YF;!>+KI)vc1iW)gc)aORQ~RU#Kd1D~w%C>v-lzN)H$l0~l~ zua@j)Sei(w!dO`DM+MrEWxOrvE8NK2uK)$b20R1Xkhgt_SDO&tGyyclI}bG3qUoDo zaD^{MRlg&*6xkYB?hBnK+cc>tRJLwBMcis^3X7uU(tSsPSjuXSp%>M{6b__yuMNhi zQ;<(q+ziu$VPM1DP0i^+cG75ib8o$lSXQyOq7djfVrY!0N zwXSS&Tr2CknUda@BE_hTs56LZ9Xd5D?~i>uk4FktcxxuUYA`{L02a`E!?ZdI%kF+Q zB!*S5=l+p!ENJ$_FY%*G%Tmn>G*gsRlNeD<<;&dbKyjt-3dUbETs@Fx8|2es@Sp74+vsF9@pnQ2#vE8a(;_|YrHRp~~?@uI{ zY-d~DxzoI{vvR?jkk;egc?W`9cW>Rk{Zl{n)TI+(*Ad^dgR^4Z?pOcZ9k3>F zxPQ3s+~4>cJlLy$_z%BvcaQTxJ{YXd6;sT&Qs`JA!EYb|>o<~Mi~p7cj~~+|d5VL)40h4JIzLGYR@}cL8I-a=B!&=U^Z)TV@3lF ze)iTv*$l&t7lw37U=nON*m<~wkB6v0Kj#1Tc*v=??mAJv*xuf)Taw@wy~?%(GZzS-iKv6Q*#W%ArK{y(oUnKdv$lD7 z^|3v!6Ru+}+9dJ&INsWC0Z!lzPdD$pwQ4;bI!7XSw%I){A{0Ra3QPiXnW0orMJz{g zgErT$-;=IEU@ObKl*mA~GipgV%y@-sjgt6X_Zp#uw%CM4%b~Ri6;R%F~nYZZPEzMu<&M2mmt;=89PX%4i&gH z4CS$g7Fu~)3ZCI6E?rrqi)Ux2_DB}v07j+Q$kvAp0j~jx$~CgRO#{SfHq{WR>D!Ml zJwyqptH7NUvJ|PqnpKG?PZ(T4%Ac2q;jA!`3)R34y1Pa=QYzSdMb?6sFNe}|u8iCf zua$L7c@s`B=Yj=s5-?A|5~XtFg-Z#mw6#GDlTBtGyHu{YuxYLqM0pKCDLX|2c9xHh zed*QGz&FadS9q0sQ>Vl{6hlcY$kbfAsy76JZieV1Ui6fx3D)?eGaGXE8^chH+F?7& zqXOF!qnl5_wH#(bjIDj@d`$x~p?eFM@K8-CgaR1vS}}WT2Ot=|D*@G!Ht|;FTrVLC zqGPmOQU)GQ8TlR|L|fG@(;X^H)FItio*yt{c7P=M+ZRl)e>4gfcr(H80{3<&qm@e0 zRa8aQONgQvEIcu^Rk_6W#b7)N%A6F$wmm(~{Nfa^6OO8!P`VVrE@W-Hm=`VeqmRez zz{MT6()k)Fbh9VYJZp_(?ctj(=SL@tcA(a);3l4&PJKjzfV2rh@96xps@S?1qcYXy zP*?#v^Q7qB>75!Tn&~UDm{wk$cO7$r!ZPT59nXhH$`E*onk)~h=u>rLO9kI-ZR zs{}a&>TY_VAVE-C4Dcw`*6Mk;8ql6-hzf~Kc7K*yA3@tNupmb-95<>b(-zBo;O5?C;WN$IbQO0e>b7GOw)nyzYeFuz3iUrwd{~|>ax?B*Le9~{^zSC(E-)ZY z9k#))I4O2lGq*QyA=u*#+YQ~u^}qa+qhH&O@xkW?5>H96;V##^N#GtFe!A5i+kIK4 zdEkB?T|D{qRw`eVaYMQV`=X3Dtv)Jz4QoA~nC%M%c;*W6bHc&E2~N^BJiWw%_GkC$QzX{hiz2+46SIy9X-xD|ft}Z&Tm9aqq^fuO7a0 zm(8M2pWe{Clxv^1Pj#j(_hP4opMPsR&PDhB{##dQymxi(?atet>v;ck?ZWZR|7$G) zGc_i`M;ujx-@2GgAv5#K<++5?LPN&Y`^A^{ zn#`}(D!go&k*jwb%8ZB^`PHuVuB^QwJ3}#m;Pe!yoAB~=pb%ZLv-P+%>Mgv&1kuc0 zBbZn6Z(y=pis5}HxBmq?f5beQ< zuSkBwtFPS_O|%_7cf2SGjUARzz{vOEJr_t+e$dHOQStnCybXn$!B&C>OA(k;CtQ7r zjdMsU;H#i2`mEkxIzh(Sg@MbL+oU;tU2lSb4CWr`5FT@RK_Rw@2K#zh!II1?$# zUZ$L!)vT^l_?t@GB(EYDPdwiE~AH3*Vc zW}GMt;3d(LS#&na_oy5V@l}mbCUu6H(d4C(Eh2`fxeZNTDgMC14ZJy!vC1^oqAK~H zQC!gi6e&TJMOeb<{oi%jEfPgCEAXDOaB2sNV3+rt42wIR`$`T<4~aq>X2>Xmq|zC4 zhM*P_l$eJEc`|qAQ61K>RqDdw6=u%Wkd?ZU%NOu3t?bBd79D&=MMgVFrQnK4s~Zy> zI=mk8t?Yqto5YN6ar$)dOnX%%5p zeKD)x9Ef90%Q6ts42u|N(KLC9DPOWIe{y;gAotFzw&t^WCm+=t-BMjKVz_x1yBN-W zayEfo3y64>&6*_9Y=&d(g3ud@*6 zc+#Hc9NZ1$GSKa!+15PuWIB$^n8Y!>jM%w)yYqGy*)n>2|8;UqHd8z4w+AR(VBtgF zMjTd0X9ZD0=6yr+n1xpDAfrBlW;Tb#vcV^ut~poDCCHPtZH7(jI-(9{+;RQa6vpXh zShv1o@$+cTZhm@1I}fM%@!4GS7lT1pd_2*$G+NA;8Hp%q>XdstYvsHSQq?Cx2h1Y0 zA{G-}1~Zup-b)^~E#Gm?<8ZKCw7fiOZ|I&bj7TDC{}3njRte!;K%(Ka3-6Ja?Vdnc zq0rSy51pqetQlDxDm)JIrH5%GF(-d;QDHK=>ll~ji2|ti0E^-Xky9TRp^u57#Y}#4 zcK%4(%cGOI;xzQu+SWilOqj2ss`aL7n}>E7U8@3i>)y*BTXHV=OC5eL?^c#875UPl*d2fDA?RP=-5$oFfE zv)kBd4V~2|g}-y-op<=8#JRp-qOr4cw_kN{x&6oAX&Ui8eCO3yA71*h%ynh5TD@f> z_rF;Eh1vHyedx8-Yv%92`tjF)&1jx)aQyol|Nb35jDgDDO91|#xqnta>?OE;`yqpC zNz=omcRb6!sQ}L}L1Wg&zu)2Md6D4lKU}RiR_f_$_01JRu0DIWx=UrPL2Ik1WtT?& zYl2G-J4uIC%BN3nnk1`-DRO@T&#F_7S1ajjoH_M}eB=Wl=ZC*?_gC(Imi2Y5a`ue1 zwu)NzJ|&>w(G9PcV7-Uof%~u9jPxFapKVRk-G9Dit-I0pTJcZr`xo_H7rx`NcPw4{ z!HwsJzh$MQ!fbj;x9kTm{#U)u9L3SS_^DQ<@c`Xf_k7Z=Nz-&}w|cUAa)$y^$iqiX zBm0~j$X>&Rc0l|52kWp)j&Hhq7jSwXHKB%fi2rWzd3)aW65M8mS)uPif@^AJb&Uit zPhFLg>0tE@e!R>5@Zp7g_XOC1DVQzq`*z;FyJ=UKBR{Mk)=7dtzhZV`P5(obpi5(j zO)HT_o{QjEQi?7Pqx=GfY5aWDc*pZgfZdg9>V*|1*rrhLG24!DAzbtc3QF6XljT6f z$YCQ<5^%h)1Y7%id@q6gagDs>$hX5T^{9HkE5W2dVCnLgXnKlg+l7X***d&;>9Ndn zX<`%kE~^riHfBLlLau8K!((Gn+k^iG$Z4jnS!(gHmtCbKOYY1 z%7(=b$)cG7HcP_P6Jq_t1m^%9Xuz5}v;Bem2e+`E!=+|X@K{Z-RV#)_R4GjEM2};h zaF$Bj3z{}V_i?D`&46i8`!l>_-=PLgK649vc9aq!Llq?Kiil;<@1_o&{|d{FzHMEz z2~wNvpp!$jomdO+ZOnipxX98Y84po{Z9!`HRfj35UdxHvjw{41E7rxZ6%A+FFHouS zS{KL*s-NTI_M{W33oam;II=QG9*UB~P4I6<#&Sic#{qk(cCxz9!8h!}BXB4Zp^yWR=^_ z9$|BvwUs9W5<~dHktVJZ_oVhstgD>;C>%M(NkTb0I(;O>(K|Ux*5isA^h!#uvcX&0ju{WKud5}$WrJeQJ4zt><0W`$Y8 zt-*6=DYjEs3QwkRYA57WC0dSE0-m^;TE#RkTR0O4L^ClO8XpA{NPP=i3r$_m988k6c2$5ooR0)NlJ;IZG zFl3;A8fm4Dz$+nu6~!<*_&pP-Z^EmlB)hO`y0ZhgTy&AD_9R8(e9V{_AQOazuRLEt zT{iP0<_Knk@tMoh;&4IRnJhA&)#J7&-?lS6taNgByS!4-Qk7_`(v9>m2sD!k{)Q!T z!+Sa!@T078vqh^mWcz3WZL`iNXYFjkAq(YEksL-J!h!{8Y^TF8VapaB{XVqkUQkKV~VHv01)yD56hR$W) zEkhE(7IsDSn7Al@v&dPpD{R^c6%$7%)vy?`-mV~TLK6XCs)daVlWE%)C9_|{VMg_F zbyI+j7SNP+up3|W8;}GBZRYC`^5oIm8I&|>T0J96S_)@oS7Q|Lb?fT69qGK$k-wfI zYlRz)8aylFX7_k$x>tg9^RG)`e@znX?mm5z3U-Lxy8 zkn6CuC|T@VpJYsO_6#6eWDXH`z{{tBvE4uNT!ubjHf8FUC>3V|9g^(n^+1D2Qu=KG zcLlGo!Cc`Q^|;|=M(bwmC3u>Rz+%Xu^tOOJDc>eGRB~I4Srk$; z7&B0OepaR{;8~PKz-hY`3@3xup?p%L#PXB8m0Qe!uIVHWle+JXq;sfWAU|GIv6HP6 zML3{9Cn8N;UKwU{OtC0NWmH%X%idwObs!x^vNM}E%C;zGeI2fG!MTX1@EBVV&5d#@ zIR)EX5(cv&UmmMd9>~(2LNOPC^@NN!wapcW5(uzGj1AZUB!; z1W=*QoyUw!pRnlbLB($4Fj#{av2!Z`lQ}uFjWXbj?jQ zrJ8?Op!RoAXxl^RVaCJo)Tr(c1nCbT(Ua)B0e4S-8$(y?y)XZS!1f^efxXBKiiZ ziNgNkFD|U^eb#+e=|4*XoyB?^5XHN}{`CYM5AS!$+V=i^?N{zIZen)QCQ2}TjAu92 zMc>}uy?aldr1j>DVZHxVK<W+q_~cFZo9*D+gP*_oLf!U~PuwBxoSWv^koKF?0dzWEw|0(pp5E>Dee6Cw?IvHj z?-xDO)$jA;z}~&p@BLnn`|#{Xk8a(1`t|!F%JYo8AB)+7fw+UZ$cJg5|UE!owpK(L<#-ekC9$~g8NzOwiaN2-Kmoy86%bl91UdrphTX^q#rfr`X-3slR zWM3BRkln30Dt?3Y3&XQv1A@z9(&Uswt;qBcdxtW;4WaV{#n^$uNHHp*+Z47FU0Y@Q zBveDCXebn2&-s5K3se*yz?qz3_VGSpo^te5t5pZRJ;;j=}K9E_v^jf7gI_22dvP=@B3S@`QvS3N{ zC^g2m&VR^>i+wX4z(G0=q1hvRX0zZ5S!jik%`ja{fZI*}1w@R1Rk=66GMdF7qg>#>KIi>QsmtX&= zG~SK>|BKXrl*X_8>UCxC$G?AF=!X;b#Xs)5-u#o_>R8?koumELpXgjafVKTV7vs!$ z`Ofq_hv&Rqarr=1wAdv-E zJdb+OWY=8lA&CtaDwjo-ZyI?J42ZH{bV-QFWG=g-I5K3SiML{RoWmTmi}XT9yK7iA z^(ppt>}hOutDa~TCpX#F0p`#cHVa|_0iW>3_NOE>7j0p+TM%OJ@D`S@u9VB354OH+ zqCIVZsU3Yrw`mB|(K#xK4fNS5TvYlaMq>)hyz^L|9enclpMWj3Z&xBogiU4R*#*V9 z1RNFQRDv8rn!=Ni9`1aSW#VTe?|9g>z8yMTvu4!JG}+0+aLsE<^aKc+mntzAtZ_1s zY~|A%oh}b!4WomDV8H@bP?I5%AYyH#MF(hkg>#nUWz!Q=?rxb4Lz!7(BvNK&QL!pG zv?T0CViAgAnsFeO8V?X#HL$*AgO~{`@McN^0q-x>Se!MK6H+=4o$@c9qD_UFR75C_ zarxTUXy*+qTjG1@$epjrO2-sGF6Dvn1daTbE84Ok6|!6=03&_!&elFeSGwY^8tCGC zaV!GiKIW0nQnJ)>B5k$1>6EY~-uj78GRG9RMaM}@=FlVvz2n*bXmR42Fk{5IMCc+k zq|+$ZzP2Sem4e3T?Kq^*Job@t6UfApM0PyXyrEhq!`%9(CY0b|8f5Xxx$=mE*H2xD z%Ks4r&A2E6`v3{i&Xpt$`qGt~$CzSq_)2fEKYC*5ov9nLv&5#*sILF$L>$ay~ z+dIATVvSA?33mxvVTzzHeJ-ePFE4O%I$4ByoV#Un#2(!vcB90hi_u%FRasZe9DsUX zur9VPR>1Z#rRtYWNry=eKn!9GyaGNwj*;4<2BV81QVE0sGSRNfVY}RxKx>i!wCb@V zSMmv?U%>ApcU&YHxv_E_+MgT9TRZ}>L;`6YEz^BKaZ z)2Fx$=9v1j5WroP(P}wdUM;RQv$q3&z04{<5UAgx!Owe>Z91=Rd7J_=<_g?oF)Nl0 zPo{x8bM+Ywy6*085mm0?mDf^ECBKGDE;UrA=hn`%%L|)YDtNUg6WPqHB|syFC0z{# zQ@PlNHZG4(<76-zk17vSGRGEo4I|Xr+CfQatDD#blfY2wV*0b<)-+y)WCazlBFtn` z(p1f0o_o3ijt+>R+R36=x+Bjj-!ejD%@E2S;`oROmaYc^87%-a<5E>es;xBJZnU-E zk!XRByhX|4qPl0A&5{H9c&O&WX*G9I1=its%OT5)KosgT53~fpt063oMopPYR5&Qp z&t*ZIdR5g4o$MLGf`YiJncxO(R`5_+kb>~VXjzL(mT}Ua*4$pEENtzqdnibk}j{L*C8STXtIK(_TegeSG1x$FrBanlaQxuL-#LE4vnSX6Ej@&|<$fl<@X_bow;gZV{yKYjY4UnsR^M|~_XP|g zbMDjo=5d|n_Mv19xb3%hb$k5pKDcq`4_}!4AN$66p}Q$-w+_1gwV!@^^G;gzrbJSU z>uru&V<$A_b^H4DT<8Ad`FEdDH(m2fLYsN_?%v+x73U#$APFdWi+_H;&t1a3vY#Z8 z`-Qz1sI?DM0{bHD!A64B=iQ5w?@qpZ_ilPyU8!!v%Dw5_V>@+y8~tA09JGFsRHs$_ z-tVQa5-Us+9KLhN30{+nq~`A=ct#mt8D$%Jr38nEtnKb`2#GrGZo5}QN6s%FMs?}> z`Od~2i^k#Sm;0?Jv|(tP$?lV#yAycWV8=pcUHA-~uEbx5YF4rfV`>}mW!UOBH-R3fm%%t#CeO_ssPKuej>b%oIi!eAncjx9I3v=?LrqZVR_r0` z9i6@Ldoc3VqhZ8y#5dsa$Z&y&^dx6=l15y?Lc9|3L>fb~4X~*Y&VIt5@Yrtqmg0rk zf=%2wbJP_NUSnR%&d*sB=K(24Jl>wXmK%G4XB|OM?&#s#uokbfYm5g&ZmUPT%o#UM0wdxzCgZ*GOeq?+!YOU-V zzThE;IB{MJF63(&CN76rSV%rX8#auwh=MN(qC{O`uc@w2CuzNq%sYD&za_45t0Lib zHF1Dvx!|-WN?nkk+25_9hIA+fI2KzXIaW0wWY&hCyHXokhIbDcO zP;yCy(j5>`s+l@*MZOq}mYyZKyh2AT+2-bFbupdMNE>A=LGR+lU_VcV%{^1(luBJP z3vo8%78}K&f}YQgjy>o6DY^Na{Le>$mHf60RtjL3?%2-*D!iW`H4%ggRCeJ4OkGwE z(O~eaHFr>(EwhP~lzfrdAKr#dP{=x>Y!QLo$exo7bk-mzSIAY ztyIHiRAp?@)skk;mun_idAS%&aw23Uw?Q6!QDjzUC#mZ46F%UBrXc~{u_SCcS4Zs^ ze!f9|7HNkxD2k*;EU6$!9a1CUuBh6E*G7GN6p@yUpG=PzngN-fOizzTNE}aNP42jr zw0ZV8hR9TyGIj(`uuK-~fMqrJAVdtH8k=h}DF<`gV2}%MxLgGCVd~9X^J^|LL~i5- z?XRA+qh@%-c5+$?OYNs5&UEH!12qvRkwwQ7PBYe_(Xzq0Y0OXZY1%a~rF^0rm!}-C zMDZ{W$4j%s%Q=l4o1#yaiBZJ?IRn{bO-)sVvEyExkF(*77k)V$jAO$_r_{ISepsNK zPZmDY0;4po1;vk@4sz1->xfTe0jdnmtDc>y=8y-apKnKG-p&YjfhuSq0a}_rbA7Wm zgeF%}v|QC;s>B|<);>a_V$*cH~%(LQ1wvx%RY;pqqgahUbK#;D0H+s2` zxW`)j8Pf$qjvJkpMIyv+g#g+jghg8qTgoHS)+0wWFHVNj6ITz<+{tJ>*2!+sb0F1# zsgazc|^5=I7GV<9pCFjj1BHmO5PfgPQA&hTerHD7n6_u$bznlqQG7BDZQ zcNg5bCz`vlA;w}+*Srx8r%_uQQoBylkS5RPp7@hyG|7)#-f~aRc-xvg(nF7pp?QL$ z2HfX59hzn+?fkjUcCRNtS=3ol_iyz0`gI*kZD{P5aY2cz5?}25a@{wN`DtEe&meU7 zKa%Ja>|Qr*9`^1=1Ic~&*ty?DMUghBkKOe4_tEO_y>ai2gS~_EU;4dY`aQ)JDEA3; zx{_Qs`5D}Hw{L&vt+#I8Ogdp(!`sfklJ=2wblJ@td{;-uW4YlWjn&$;bfh;|6Vm^F z{$1=hCrOgS=CHY10;TysT$JF@9p3)V{{HCZ4RjpGaRwM`34}?SYx4$gO(MY`f%#po zRagZ(8wy91r21ihncuy8hlh9f9`60bf2SM>`)j|Z+DXuB(RrRiZ@T^c+rRF9ef6=A zeJtfz2Xg6)G&+qcr7!%wdrxl)?>Z4of=?lxsV1+He)gstt-kkV_hol@c<)}4Af@@{ zo4x+6`Qj}JOgU7!+@`gzZr!@IdXqD*cs=*vAkCEY5{M69(YYzSbmWMRJo+a`pS)TA zy+0T{7~Hw}!lae`zb5MaOrfTT6{qOl^}?x0K&yySGRqk`YfO6#@Rew?1e30B{nmKrDe9X*jySmY7x_5k`2&|x}!&%uEa*sIq{ST=?pk)IP51HES*)8TZwM32 z2ka$a2wV*2D&4`1)@Hh5B#;x?^nDue31Vih!l}5~8tx4p$S=uA@)A;SzqBJ=_i-w0 z7RfyKwM8f>$jS{peCh(#XHs*fjj7dxNmZOs=S4G{aT4vM@Hsax$?%Wv)E7(=Me02D zHK?J3)Iv#SXsj`aaWzEH2oo$+Qq9!);6)Bb!Z?Dfq&6lFmCGm>f+$z(LD-s}M`DbM ztVM=N+u-4E7ZzP$>%2-mWqD?}WLPhQ+Jq17Nwu7%<_Rt&Tr&CIRNp14K-pV*hJJP= zrvWW?2A3j^QB!CffzL)&q}o9nhhSA$7oj!WG*CxDnO46-J-}g5MrloY_gH;SzATqE zWz=rqfGwUW-6Kx3v!>JW%Bb>72@a*J4SBf?cONXa`T@ti3Tfz+rug?!Ac z(IP|yU`3nL=WEot<T^8!D_+>EUVlqPI&;8ht zj)y}JGfI3$)p3#%sY-&GWq7$5p+V7wgd(U*S(dYw*~yGUw`ge0?=>TT%zeIWjDy<# zM0!-)U@VJv0xMFN3f#d=g3oe^5||ildOS8XiLMY*g7>C76UGqcFubwEXv95h%$q_% zh!L{`ai~J2l?fnE>Q^RQy#u;QD=^few7H`s6x z$}na6$HE;)^5xSpZLNo5IvtkN;cPo(fwpt7^NBFfAE(%u~=MnxC&kQs@OTD$Ry1TFWN$fd{cb%D9rG z2fyFz&Kp}48z^^Aaz>c@&lCE3XB(j~H~J7TTNH=o;TGldZvLENh{NYx4&7|}(#xz6 zw!$XpQ~`G%89&}VKUVkOb*SA(zs@eM4*Z*BW@m+)1EvMfQQK}}_I*9Wraf0tVQo4cauq^B`8#&>HB?4!uLB=6goG_0$lNrIPO+70=w-{Jvw-=*`? zT+BWU33$Ev>dO2!-9ct6+}68A6UA1(S@8x>Lp4-q^y}Ezc{{XVy-5oghSsy6;duf3 zz8i=F{PUe{Yv?^Y@I?zfg1y7~@>|kB@ANl<7y{B*lWW&}`gF4%okBDpv|`fa&YR@( zZkkYf z=utYKTP3MkxYg?6qZ^;LGPt2HBW2lI>yEB5+0>7A@UcBGYab`2eIN-owRC5NotLre45uCJ3V<>u^>zg#)Wja8h1f@bW!G#sfyB+HdPTY46N*+6!j=F(DN$K zQJi@V<`U-&-lLqnMdk&dOm)G!5^i8L@?hI(Q!Pq2Zj`kkeCuj-5`H}leR65EV$Qh+ ziHsMrfXSCU(BaA)Wx;F3VTw{YWDI6vvwWi%w$t5L78x(OHDKp2`KXeIRq)OsVQ0Nt ztn62KgEjZlnRLClRbB64nVjf#axE zkP1aB@CaGY> zXjHHQz9?Jg-Mpkc20?m%K}7IGDhaUKrs0*oWVQUP&`mO9h|Rt03+`W^xTE?zYLLX0 zcia>)=~FqXuCh@!Iv5SA0wzPtOw4+;m!mMVX9|L4SkWz60;80~l?^Z4#Uh+rC1e?2 z3WBoA{F)cu%OFjT-eyxdX<$7wN||9WJPBuf=z7Thgd=j^LDqJTwv{4XTK5D6r13Y5&c7ZPaE6Mws88%b=K$T%Gzr8cH;QdF5LHd-3Rz_IZQ zYF_hVr-LHOrV|@1DWLh37h10bk{(4*79zn)T6HoOwwH;_p_Ls+-wtDOIy@~|15GqW z4=pER5pB7gQH(kgN}cpEi^o*bBy()+plXk^@hP!(z?-jiMOIg=g)Ta8hTvp#mdz4| zw$%_cF0w|XuFfW!+H9>`Y@uy!C7K4oC;eJJy`_meqDpn-hbKdzK>a~`HK^1q4yJ~8 zKu&+fh95bBEDTWC&x$i;ND6i;D8+%kfsVx3U(`lNxfP3Jsz=&;#hiXlXQHZ%$1V_j zHKc&r0_9c_W9!MTm1iCHH>D#AY+cBQgW*6OL!v8^O}D5CALb^sRv+Vd&`iq!qkLMF z%z%abWICgsHuoGUbP#37z>0P>C#{z$Ng;ROlpC&zHJ_lHaVrd&F-pPFBO$Of3H*RE z@_vR{W-1v~LLy`<1isFbP@S2p!X_EL7w;@2=wi)W(;={yr)S|fKg)*o(9K+-02}_b ziViH7Om!!?{~R{u$-1<`*X4hG<4@Sj=C?i~D#5oDgCb2fG3A}Vu9fhY-Rsk|Rt~X+ zzuvE@SC(SAzklb>9b=kakc<=BsmgUv8#?df7e+`}I(f2Q{a*1Yr zB?;X5Wp39?@Z?F`UXF9Xih7T28uyvk5aH90u9x7>op0QF^yuCV#WTIBXMnx>%ICLy z8|U4-=+s|5_-dzvYyzYMuFJr=-+t@WgI8Hue(g?AvU=j8D6|SLT|?{8gTXggp-vL$ z%ey_T>}N?Jt^V;>S6}_~nsXm(<=&wECiv~Qe)}yQYlS|#rb7aQZ3)~1zo+jnxd-lM ztCs)Z#+avEgMZYnxutkpCMQ7er?aONV{iC^J0r%YI2#(jdrsX$^MK>B^(1`o;?Ef; zg}>mOR>1#|74YF7xKFz54~!}0qW>N%+I%sK()qDJ=OC{)KemALvHpi^P|7;9vTs73ZIQ=R1nEk-)vmH@j|1f^+wk{%+kczS?z#1T6E`e{{2j zK1slCiz_5xBYY>pI|uL3I-vgU@24H|fBs{%D1E)mR`(V30pKBVCO__O-mM1oftPo@ zYd=AM8dWcW`v(PBz6xd%&|0@w_usb+|3WT^WAd?S1~DgB=v=$?OKhS)xM*aWg{!1Xs~3{ z@!-h372Hgz;v2_VoVjCuK@TtJ7<}O_Ae3$;oRuP`)ByeFTs-O`8|t7v?nNrJ*RI4b zE(vCE8Wm^);f(l0Y8(EcoSRk)t@uPtwE?YMM}9-&Pu`%O`$C6(XJMg}ddj)JfLsyJ z@&Rx4XDN4*oASh;+Lzjn7ArMG(O5Q%S{Q2L$mx7h0~U-Mb3h5?WO#yV84#v&tf6UC zO8hY~B`AYhehFH$LfIs5XP&`3LpYXv3b<*Q?wfwW5)LFTC?WyF#tB-mE;6`a8!TD| zqa4q#24}w-eCf)fz}|r&$tVd6fNcRwK}tcHZ+85hM|J233JkXzYB<5B++gQfyN(SE z)NUvZ5}F}IW~7TQMcAa24C`eMIvJxp9G2X{95IO%wUd_*&QP)faw=$4gRB=4RqR-B z#w->&1L27mjyF8o5&GkR!7V)j3TjEN(F5D0Q-)Lugct|*J>a0wQYwaSig}4v5bLPD z8_{qWA?tSIBHMTC2Xw;5=Ai6nNgIOvA?jI@%z*g;9(bH5*yIY_+ z(?;;3yNj3s%DHQ%9528pSr{FclrkE0pa^SPaXrk7hTRQruwX|(gLsV#!@L>{N7YEl zz>Fm|On#Gk>`Px-L-eiMIs(@$X<0=V3xt_#UP9SS!m2OahUDAE$(^BMH5Q{`h1=-K zw~Ou3cHC>+F{I>-g!Ds4TX~qb;}c&L%dBnZ!%^E3suIr{&EaK~04Gsr4en*$WUS0- zN?>qQ7rZVU!l(#tkY%vUEQM{!yEL{ec)L81;yhKQ{H_i~4X0*08bvLAMzyH)Ba~Md zat99Xv6xYuL*7_tMUh#lIF2FmqPD1buu*f=AhoA8?+w^aT6xv7T!cAS9?#^AGE^OP z7%*TbfB*va6Km(rwdd-OXs+`E)?exy!vL`|`~-o23DRSa9t0VQ0rHOk z{KJ5)Ab@R{e`saq(d5%oH=pmRuI`?>_wMd{dXy1_XQr!8ojO%@>PJ`C>H5*ws=g{B z4X<3Z{6w;rk#))^#Tix6(z@0~yK){nr7CsTu@qbd;x2gSgf73~On`D$ADtAMSq~+P z7bqUS`CZJ)mU_@5p~YNLX)QQm(Sqe-2{*l`3cn@WpvBhX25-^c+`5{&ZM$gJtPI-X ziI>yi4a?%ZTsM`y}y0_TOiUJfwc|AMnVRXO;RKg zWJ!eevZ^Mu@bd=Y?R7)nddg?nV|04?pn#DF!O1+Fv1njRTiEdGLlSU1>cOHe zXWR%FhR-(2pYb?_{&~lNj)1OtUCGG4aqjItdCG*&HBFYi+G| zYO_ISwf5BPBAyco*1R?MpL$ZOKdY+{xj>5xP|g#|{I};OM zsG1k#Nj0%NTeMBnQj^t1Af~opE5^2(Z`UX8w3?RfhUEzrJ$9km=DlHS!SoVHuyRVB zXw0U;yRtP2bsH-UwGe;~@G&Pk|By6XX1@7a(pYE8y7b64f7}mVCA8?+4+!}o#WvVZ zZZc?e#cb|QXI>K0xyK7w9^JyY37*|yEc++^mHX~@pMLk>&%bSjd=sxU4rznW2kD98 zlC2rI?7k_KG#5$0smqUEC_!=At>V2YHqn)0wzC9s+mgd-QNHNAOxb_mwn&|eKGpw= z?2i3qthBQp&FT2gvw!dpUR=g66Q?w0&8e$2vi5v)%*S6iKK`qJ^=E&U%6R77&;IPo zr0^o1>m=ZI(ASl@U-ez4_Lt~Vqg7S@t~_4k>({o%{Iih|N%$$eTS zo}x^qPDy^Ek8%Uoofy5t+qb?QDp9}n)>A3A2GU?j%Oubaip#@vTbX%{1UiG7mTcbr ziP5o(Y|qlJfjtsV-MDX!-Yx0l-hX&m^o&31Qm3TV0PntgcUY_5>ECZo-<&?><(mR< zCN*s&Nz8_De!GBA_T2SwUtz7lK=N)ZL8fc%!Gi}kN?>d)phVJ(&ELvFd;573^h_Y? zzy~N|5uGmQJ7TuY$%C{bPeybbqIGmxQ|lZmcEBt(<_G@4j2dP?DIE4))^iqUABX^KQ9 zqNQDDmU|CnuGX*yS#T+o^r0>_DN!bI3*D5%nn9=7qKq+=h^6vb9dWuE$tdMzchOzi z521-|g}K6Q5aEiavB`OZh+dHVFx$-MD2xn^qyrM0wDw-RLa=HG62&DU251cpm=!U~ z7L>`dV(3toWo!79!3r`vI(yP|NZZ-6HjEiJmPfg~j1eS}dyzuU1S6%z^6Kq^DmDrX z8X1u*(vt>dnE@_(KJUeBL)M+^?fNA;&WR~3=u1}(&S!LXmn5(ysZ$0`v#p&wsMzqpKVBi zSgoKHdwSWaxplpl<24YSbSx8VVV%PJ(%pI8_Sp$E=VrCp zsHfK3K)`d|ScYQgz<5&iGs6v0b=0mK3c5IG1CDDUteH_~Gj{fDX&3XgeX3D!02{}y zNoHtM#F;7EMNo~8z-RY`i6F_<&L*34CZ%tHxKt%AWA;u+=cG!UM=PHn)Y*SzYr)7- zKM}0@;td_uFy|BQRD*FUhBF2!OItlY3Ou0kfF1qFkbxjL%xwnrlzOUUoHqgM*AYN_ z9P|c8J)3~svAzSlyN5=%lKHDcx8ZBOJrh|nxg1>5=*{wu+q>bS(h80@7xE^(O4zau~y&vBj;O<-R zymS17$-WNWe6xAhxBQpT(Q~*RTO)HW(;isS`t<3;Cx7`@b~r|kyKgnkG0S{22??4( z0%_gv19l|%AO5?;!@J+SYcriFb$?+$KFx%__Sze-WV*hll)k5wDeu)*&ZVD7Z`E~q z`P$ED=k87M{FT3Q=x*O0NpSqe_bypjEV!&MhbC&2moc*DwkyHSA?J>r*gw^mJHrB$ z;kohOGc(O|H`m0FweIW{=)J%n{g&*%-#wUr%elXP^5^~;yUXz$BvKk4l1mg^X286H`an0Z7#P_bUWznbgaM*?W&`ffVtmP ze2GUA93MAV1N0PxdyafP+Y6DmI}VORpe&jl{(t;tzgi^jc$njZlz`Lc?p-HA^ACFo zt_tuUoZ=%LcGORLd=U|dJK;Gup?S6R{BQ~)nCD_g72#%W((Ss_sS-;v^&a5bzPTcx zbjZLNBEAaaFxTS5V}RfW+=KQ@F2jSKX4yZ{cg+f?#^O4qmgIqC8d`;_<_hK*8A-m< zLsIwzyzeKbCnT^j#rdh`lS-!A<8c>8Yv>Pby59>_)LKk#(44o zt#qr@%tvwu$3iztb0rSwEVd-~+KOmQvo)_#mabIH*ose&VLkVQB3iU)1S+oKmxiv$3k+k(beo8<3 z#`z`1CXLJYKGIy%2t}f4UtE*@;xdxtnx<>~9(q7W&)QVMtbSr$AvM}xa&%^hhHNmk zZGfYyQmxi18Y`0uD+up_5vI4Kddd#cBv&*mT4oaEimBpK#b&6;M@l|XG#wuJ;0SQS z)pD)4Y(KIjR<)b2;X8&R^+u^&fjuN*#C?NIme5SUR)$LgFEv|UdChkYkm6Y+f@I)I zcVZ+!+cA=Bw_xUq_jMHN6slrb=#>&!=v8sYDfe@aWDF13{7$oq5#a zX)+V3p_u8eX_N_1>%&4hApp9fWvub=aHZ1F9V1xb*BC}#T99DQmhpgG*T4mB7$9Mt z$d|y5favKSqFTFVfCYbzqnCYGK`8L)cZHhIstJ=ffwhCM1&}$*gy>oG37&}J- zN=8LdqI9wbskMT_XI`9$vq<6=E&12#=odk~@vRCZT6IT0ZyX(epsPWZ74I{kLXVV~ zFeewLz_5sJRq8DgE0^bU%4%*i`#qC!UT4HQvM}kOW><5Z#I+)eb(&)z*pH~nF+B!x z@S~(;tHyZK0K)4{PA|mi>Iu$T^bF#RRm@J=%3R6O>)sUwFSM(oJa0D?^_2NhMbd+w zG0;yn7w;(aa5Sf~^eCB?ssa`wV1qPN!75c=L0!xW4|fLLoT{=h5S@TC1BxQd+Q30% zY5{FUa^_>c)*fsjh0%*G<5AdU*BQZHby{qQFh2a_cEJ>XpYL^id|nV@0mne2Vt0 z4IFZ9)v-08hlGAHekSLUzr`BdWZbcaL;hXYjIw1hxV6 z6dl|}@+e9h&Y)wg50*;`wV>cw1(SR+Tf=yh($V2u7OPOvlg&wRP6$15%c1zW8Ht?R z+ZUDY)-mXvN`}X2cll#GM{V7mr?y_od-x)h3e`O_bBAuT@bF?go)Tt1>gL)B@pX{} zoY-bDCYS9#PZMjIV77EhHo-po_O0WmmtWZNdamP_+>bbxrQUI0aL0G9E{uI{*y}v+ z+>r#t_8veIWEikadB1j^Yu^t)&EmKk||1`FDN~-E=m4?>EJCY7#s*f!n}y8L}r5P_Jqi$E=-yNqFt{ zTW{TdS99vSU^}=o>80N4J>?Wby+C0T1;)$6Yq#&-z5VXhvfGv5%FdJ<6G(|S&2!6u z1{jU->%FRCt zf-*QgHj2*au{nOqYG3j<%DNSMdSick{W~UY{kJWAQ$%qG=i^dq#xwYg47SOD zv*<`E-t2Gr#34IQm0MR)pe=Sw-3S_^A{zLTH!&;OvM5_XYAKx5D;1ym54Ns{`t|qh)T%Q$)Q>ocpDsvfwahz2_*_ zm2aIXWxZ@}5<;=ruzfy>gQ*hHof&8d(&RcI6V@=YJKGT@Il36n&nFikWQ>aEiYz;k z8-tx1hLY8Y1nR1yD{IQx)Z&Pe1Sh;b4ETW2aqjSpm}9_UoJ3>2r?f9f6Nm^xKGre1 zDG7y0`n*Fs2=dqO9D7>(=6nI=3dCJXgh`fRxWjsLW&~_jRQzfL=G2;qpt>fF&D4DaOyg1v0 zvh>a-o|=^ou2 zdbV|*kUB_Fh-VifTPhTE!X`vb)>||%n++@edMkKDoM#XraFI10cC&-%L0gexmmuyN zf%cq(k9jD%iwy+{G_KJy+{QEAE}McU{vw>~RP?!9FV}j12u(m2vQD$>6zoQaY`z%Q zUf+QL#+9HiH9xxLR-KKnxv`h&YiN(VZ=6Aa&)`vdw3z>6BWIuFzhlCj;nhyNUvze+ zyUM-$?svW;=evM=`|YlJN=gXPt5-od(E4~*&=lZ#BeWZ+06BhVKzItcgO!XzXfgA!x zy;0bo2{+BPTWQXH!CiCj^4_nHQ)8FLuJc8%!X%*D*q^=wiv6ktb|hJw8BGGeuNS^Y z35e|G#(a2~TFp=rYE!w_O>%Tg>9bJp%YLXs-mZnK68uY(;O>a~k1oNegW>HAou_rv z8m;N=cgcfcP0uqQ($vw#E~HzD+Vh={pRe>>VxmJ&_&FSFF7G_Fb}HLAg%NW_N`4e+ z7s<$q;JVJZ)R{-K_Y8W7eR>UxX!~??mw05TGGew?tZmUWnYEW_JSsE|>YV2xT@?qK z%g=?t*hF_9lmd%qlxqybft*UBjKT%Gi9k?Dt>qs;BNUCUz5N!EH|FlZ7h!=6jL%#h z0s+LOf|uUM0^YdBU3ruzQbVI=Ux1BMEZQZbiU1b)Np20M)?I9>PU7-RzIbA+R-Gu~ z3k*9KgrTm=sb>Jj_QEA}#h^KBsTrJg!)Z<_ALzym(&fM4lBUchA>l{kutm(gZ!Z?+ zFM84fk`BEnYKv2|gDd$lsdHibp)E=XwJSWrI7a~k3=A4#C6Yx~z>|hC%F@eIJdHG6 z{wr|hrvo(M1{8Tjh%&79Qq;+r%q5EI95swg`;}+bWHaR+(BV=*>50})mve6srG*2~ zD-&MtQQ_=Fb&aKk(`#UrVFNO4Ru#ep%VbPGO)3ECMv9z|&~S`TVI#7j*rTgj1vw|y zxZ-TGO~h>=TYGCeh#6@SHUSB3622mS%3-x|MFf$wW~jXKU`I3q8YH)ATQ!b)qGbS@ zbwk4CY0DnllXFt7T?~j!qbeIrypb>nqueqm*PMUWwf#)BLNtf@BZ6`&SR_lPZmt5w z7_ZZEDoPbqbP~Nnz6P6f*&-GRDuO}}f5%5AEuw2WKilNEJQ zaDJdgBO};N)hcl-p6pQsS6FTav64I;Lsa{+l9ATz&bIX;vM*)nLKGa;7mKL1J?0A| z!KTh*!W@H~J;dZqs{rePQ^53!$b_=$!>8pUxMnpYXwWpe^RaeSjA+P<>G^i!;?^IW zUmW>3E6*dVjR1wQekZv?l-4GX3=u?^AexzsfQ!b86bB^dJ%}e+6{2ahv{UIy?FGBf z=jYsMi=*=kjstYQJ}>xq71JmxSF|ZEtKY&0N9YpIc3j{kspM={DRIm-7ZuUFLBNSnLDa+y zx_VEJaf(Vij0rAQln^^oxIH2h$ZYOjEGFmECT_^hCN|C=&G?qtLDbnNldb}l(SkaN zwB56u3QYx|m<2mahIBMRE-LIm6{s5Q&U8dVUkA`84&su#@BA#DxvE|sT{P$CC!9g6 zu3@Fb8g2#ndO(`OFvR6sNj@o^?Pd+1Bw8UpHx{yIogpST>tLizwzz zeSYd@3-5|hs_?u+Lcmt0K~(=w!^qH@RI2n{m>2pUo7z!G9wQc;w|0YWteI|Rs2}kK zvSqR#yT|xjz(vxj!SU|^Y!n?K^-Z#o)@^LWq_3eVCbL1m-i0^o+uy!_@4Mgq`ToT3 zPktAj@#!-@tkkyOd-}bnuZ}cR8?6)S&YyN4XPnRPD_^ZxIR;4{{ecR>>OJ7 z7;fFVfA7(wL4xDUJ9jRB|MKZ?ULwKoBf+Z!_vK~!igPCcvVG+%Ul_b^SZyrL)0jqi z=>v`CpI>$!yAS(;A>aP?z57}3?jq&U^_$3B;r!}XjYffgf$R5wKk3DnHZy*Cc>IOQ z{eS(hvnV%}a`wN;zI97yX76jYMqb*s z`;(dOL-&`s#bk4aT=RPPF;*h6+dTYv+3|H(wi+CFT0OSyMkkdnL{9IcuiyK$>GgUq za3?*8&F^*hiT1-5!-v{KPdN7>Tsxo>(lTLN*V|{j3;8??I*y;c@*T@qrye%H+Wbc5 zOSZi<*(I4vC-Y_a)K`a+%(VaQpA7F(MB9^~{xlK)Ni8Y)-Sj%3>fRYNK#n8XH%aj1 zNuMR!(u*Y+$NJhOUlzPfs{oYs-$MvqZVeHRnO_S3m;ciHeMY?l+oxssYwkYxM%tlo z68s(#eCzl3-f(2@m$9!+%NESgpPDXCcRMAf+>&_)t+@&wv?Wi&0B&9-HV;0A&7PsX z*WDVNXXO>B^Rr<6v*A!HE~o>>9#JUhjO)3diZ1^I;=T@Mg>w;)e2GW6)01Dn33wTJ z=4A*O!H!&kYk(@Fb_Zrhiqi4a+Qg2w=>56}z1#?6S2lZ|sin{8PL#5HboRa-B5^%G z4aWt^Eev-eX%v|-QRG_pZZT4VTs+Ag@tA+g2Qv}!24rX4@y4MWml}=N=P0Bc%@tiV zUUS2>^p`F+1Mr@ki4(QJZPk>E5&tM_k%XhERRe!}vWX=;5<>eRT5JJP)@W~rH(dg{1+RtZ@Zq1KT1QY_ zsj6yh8W>wcuzl@G2alGibYCe)!P6G1Mim@!B8|E54ei6A6}* zg_>uFYBi_yE{@J!!xE4pm2G}iMC6J|)v}WKbQO!(t-_2X%*A)TolZ7)v4LxiJf6Jk zP{I;q>Z%!+TJ*AZsUobcuJA9RtI<>oam=X+zDus192rc=Bmzw~S37a3Q&kBNFSB}X z8Wuhh$h(qq&Le*aks>0SlY0ZHPh-pe9&{^LkUxn}Y`RT~$CJeJ<1cbqWzu6wVW_&0)*H2go?C zQ&pfx1jZW2_JnEcX0@Ok)d)PfI^y%4 z8&)82l_&HAlcV`|>W`vUgxR^6soJ6ii`3=_B2}gKiOp}mD5q1tNKQ5vaUS`g8Q+Zu zqJ=mjpNOi_&n}#uEiQO_@?5OA2MBepLyo&9^3!!J5Oy6eoS*n@b8d7Bljmy^7ojK;ENBw$hw4H zf}JqE1EIeu$5;Z*mtLpga6;F$QmF>hmz^&sEDU%%N6va~_t{Gh*VAcZKa;6f?Ynos z^d+e!Lm6E*U-BboAH+`h?p?bG5|qdhO75f#I%Dj!P6~&xOucIV<+~vec61K=DS$lwYfE`1$V2&8_`XNMic&@Xu3&S#Jh*yb$@w>^11N2U=qBNB{)3%7GO*Wqx04-FW0cgmmp@a0lEmMRZ@W(&|CuWi z*uiz29Kon>^8ct$c>Adf2=LMp{FhmzOBSJg5l~m~>&S3;$c=U9(6ncG4s_S~^p-RQ zi44rR_<72mtLtHtubJx+ZYV8UkAYNEgXan~JsU|wKlnVfqPwtwd9nsGMODGtGhTUY ziF@aHPTFOF_yQoedLZeBB!tJ*giy_hOG(svz;aeBbb|mZpl1lbD109@(nJODRY>`l zzh=OFVvH{RlB+WdY(4@_IG+D5YR)6qfE7knk(_yBE|DSlmY?$st-2_n*$>^ILOY17 zh~7a`ttoV-FGR6gXoLx>Y6FYGuMXCyIJxqeU-Je6W^B-%64eG*u2xlK;DqSKI?Y~Z z(ySp)296ov0A<+eJ-MF}*cSma8bfTRjgWy~u7<1NQvR8IW)N;A5pW8knHavZaEO}i z?862)i$(4}?x<2!Z&>&fOui_KuT}zNo+U0_o+La}&?Jlo7s8g9g2v1otaA*O2aSWX z$7Vi&$Icx+i70W+Q;phv3@5Y%)jE>HJX>@Dvc+2gXk)76Om!LzBdjBG3A#yyY(^o8 zeXz4<{u-Rh#llxggx2Vpu3Y;$qq3QvC^T5LzIG(E0=y7dT`bUy$^gFhD^L0QOqx>p z5l1Tmw}d1hKT+5S;)?oDZtv4taH0+somgrY${?Jw1K>t z-k>^H3tu#@Tu2mLv-Y6TR~9LW&CL0HGbLfI;8H~UrW7zV$q`xYapB#`LB(DW1X!%s zG{^}LZUc|0D?`kP*?v@mT>$76WPvDNNDscpMo5wHio|1zuflG#A#xy0ih23K?r&!ee(cX9uL&bU; zxjwB8RErvO9V^$cr&gT$=}Tfq?zD;M#~cip3OtB^AB?~5OvKsoPyMWtPC&;zr9tsh z#`p*|@jiK>4bn%SY_%STS3kb6;+$=Gky@0C^x9S>cNK!8608m}cW1ym=DF&SOc*R- z1&T^5kSjK56Wr>UN;x?@FD^)hbf~COD<4?VJ}>6gnipTi(jvHGsM+{7F!Q{`ZqvP; zxEd@)r-3S^&*mdlfrwpJl#+A#F5|OeCwfPwCls5sc~N!FvEpZuWlD`ZZxOe0VS2SO z7LKB%?Clax3L~gVKjN;rWUr9qAWV-cVk7ONgUIjteMgD?lqxgF=+ zMmOAI;->CB?O@f?2Kb=4)w$?nP^TxL$PLV+@1Y*-+TPW z#~%k2?pN>qt$P;!_}1IE{ye{1zx>PWrAIxv^Ecjmyg7vSji-IwKi%t3+3)c1=kXmR zxYtRbI!hAVx|L3T|NcpE{PA83f8G7LR>Ns&^L%@AL{D0}z89|b?9T&+Rl2`AP)y8l zfAsYq{q*VQ{FmM9;gA*Y4KeE^ciEqCA9i1s4y=}V`Mt~U(AD;Yi3`T1gfbnUmt*F z5}@`!V@|!QXl06%_W++y67?y3_05k?FE5+p*B-vchwuIX^ija{TSb?`|`;{H< zuj3oh6t^^M3c=EG))UBWBN?OXt{*FWD$$BCR`R~8S^^L9rRo^o4lER9x|F=;G#S)H z!@+p8^P=8MehoQ@ihmxB=3pIn3gakYfOst*!c|uU+XWqrD@x_n)~vlz<;J#*O^QT0 z_p9A-y=&+ZyCi#`RaDzZwUY9iy;KDQcnaTJ*2GVetl($*2QdUmV!{`xC~Kp7Omv&Z z9A*SeT?P_LRVrw?(sa|>xrhUh&lIO1MQv!)1u;i9d`7G$dxsF#s_wY6BPE<%S&Yt4 zIn98EkaCj=BRi0ply#4Sz0`gKErBpqd&JhEh>_FGw)q6t&`LBf!!WdNp{Z1f*K^5f z4Ww(Aq5;{*9Oc|Q7ePrLpNLeul?T~ilx*9PWNRh+x^r6=z*`%|PojBaDC!KOXi|>y zdx)mv*mO2$BLqq$-vbi;ieS{N?noN7g<6mS(mLV0YXt3~2HQ(^)qCEAj04=na~-`9 zF>sv=8G$^^b3_}X_0hWI2i<{t_2~}DT8^k9p zqK-GQ?a|BUxp`;Fi-TN;6ih^ujyFaf>8r}~jf=s#W-7KZD`BDRf!YMkpa;3_9#W#x zWtDv0OR#kMfP|^+eULGtPF+if12+!~WxU6oU`gXj4~Q|p;Yxoj!-#c`IY6@|#H&5Wqi&r#NqoH{DyEl)+wcCwPdvHz{0+_I|IywmDOz?i9DnU=-?+Pz#0wl_ z30}bZ65f*F&Ykxr!CSm%(9E~WAnCj>kCf9=DwdihiS)45H?~>3ZAF>Yn8*e3N?C(T!VSGI$X6;2FYA59!Qs?dT{v{ay%k?|>OXt_M9K zL-Hy!hupe=-3Mq$WP8c)io|i9nb%ug=jZxluJzp`N&_CW8BGOflB3i|juB2uTNwFk zK$L(r;LtOePAmrst*kXyj(2S?k$3Z|xR+3qew|iEYFVl+V_aE{uNk*lgbVn_5IPmq z5<{;ZBpGw{_@>83NRltDT8BI&Au-3zG9{wCF|KS-+8TPf{S=ksn}IXM_>tSR(OjW- zyIvK9u522Lkqa@qM|Lor0h5W6>t)1XD7VWX>VRdoREbR&Nv7Ux=du`cSgfhBhD`5n z*iorwA8aahAC8(<`5-r%`O=Z_CQ?prc1@!mDr`TpYjczG-e%qfl_?mCq{FC}IcmT< zGhSy;m(!+iF^HrP)^jB6ycTs@RM z)*)92nL+p5B1OYIde`#Ivy$lz-7|srQ5;X#=2+xJ|eU>CZH+u!Yw$HT*v&ix;Lqvsk}ao}K4iVHZ4o}^hO@l}y1<25k` zJgzjB)G^^$D6V-9vSY#k*~qL8%Lh< ztdb%BM3+}t=4dQvkbD3-08pA~hSKjKTKUbD0%0{uXIN;{XdQ#dwwBQHJrGq^uA_yb zW>swh)_yi%I%*5SJ%+E;IC*c3c@2<1{sWkBmcKX<;UE{3k}at-I(4BksjASi<%P3d zIr)w(tJg_Kv>t8x;#kMjY~&?1-c_tyTc?e)C&nMiaWpI$!eb$G2bvw%*s;N3g*_%F z+{Qs0E9m;CnekTps2{-3#?&Iix(r}!E8*V^O&%VQ|XufWHF~)G~s$f%eT8Xg->R>hmr|}wHIUGgr7&g z{yt|)KOVKL9kuLI2jo(B>E`0hU4Wmh=B4mg9OYg!U z0Wl_+1+Uc*8yC(tr?tvnC0x4mWlgWvoXu7jM0-ZagLXstYpJ~2EQ~J$BerTu)U#Pb zFr7lx`_M_Tbe9#=C5H>Ezu|eX($)i|!Jc#Y-8S)46q_=nkfRvHVcgCeHW;~0QKv9O z^Pn~8HPcKdigj=>pPjJJJ<gx598Bitx)(h^wlL=ilC9#6 zTtljf&f`@bCR4BSRpk4?E4m={sb*X7xjRvZWl_~foEa78lR8d`Ld4lAw}LfHi^H2a zHikM*)IE3Qe7SPfIE3Mo`b?Xdi%F>0>BS0U!g4y87Ihaz%hutwSj)gwo)zv4$8|t7 z&xPf%3w6x*IM41q?oOk6oM!i!!+Ufq%?e_x$P?$%!SHAt1Nc9c8=kL^>F}9*h0XjT z;N9Vq$(6VKY$?6eUe?Kz$-95}?nlyG-r?Q<)PiVRTMwFT67+=kgFLx&MFOIE$l1v{ zJo(-JJe*#F-4lISx%b?ChR+vCZAk;SeYiY)$|l>xyBzlWt#s-$a~qdwcJImTi8XCI z+u8l_-5|XtmdtG;*`F^bZkog}n!oC=BK5`#warU4XwYE0T@@0?CJ^k<9t+wm!v)AQ4_rGWS z{wF^1iOb9Rum7o|KlNW_n(R+~O5dlZC30uB&%VhX&o|!qY<+q8%2WBi^;Y{#_RGV# zO;gYJ)J-P%36{^&4Ix1qaAx|H)?g<*&#J0@rqi`+W@DX85-gYRO@g`O2=5!<9nKv8 zxu5&FFS$Q()#xB`o9$E?uuB#375pux;fxdf*|Qhz0>2@-Ysk98z4t$g06VwZH@;D_ z<=4H%Qr|nRg?sbK)QM9LwvxPHAG!tEF*p*#6=5EAKUULxSIYKwc~rAi^vM;yr@(>5 zP+j)Wv7K7xlfvot_9J(|Rp8c@=%J4RRmJiCOi2!q22O)J`x1>eYBJRtc_yZ)GmmI4 zaM8>+!lR5$w5ON{4P4j2)M!5Yeq^Yf0Xx8w!I5r^;$g z=ngVsg(gGl>%s)(xXY!0X58H7T)TJ7ZB9P z5<(9uZGP66Ao{NO#G|CAo>veDDufo7D#U|J@RT*O6>#bdC1Ag>#wkU&+QdL4so=<= zDig4CSGtmbx}qtm6cQY`B}>}89Hk7@242h8g!I&TYzUB7A7!PKWlJ4AGo?ND#4_(#wHVosiB- zS+pw9%@1o0dbhJiC4V$=jC|CZ1XyRGTG0YPe`oJ|GwF*dj1FR#TI+$!n zzOlnm*|@(vKaQ6gDx+)Bft|6e!mN$if^dLAWW_GZ#yDP_uuM5A~My zWJjqJ3+mr`Q%;&~lgdpcPih^gi^x9^LApCYw(ZO_IXk8{eUDtblVZ7Yz6eLlstB$i z7IAi#A`&PwJTt1|ygu4)Y2xdRZtM|wg&Dyh8`(oxUa&N-Sb?mHvjTzm=GnP(;h>ra zw7LvRmuok!>`8NNCbOgE*%nGvE%rX8^Hf6JyT#Bu?7iFEpB|kOZ%s4uNAUZ}>4F(s zw~cMgLyRNm*{JF{>DlY~G|EKo8)=AZ7Od)})sO(J2WMS@D;)Xi%fD*}90LX!M_)>{ z*Ery)iY*PoxoMPM&VS1bsZ+NM${xSI9%)_<0#l2Vk}r~ZVhTIA&>8*%^y%~|Zqb|@ z7zQ766pR)1Au7%z0W#9Nx56c-^oTev~eowG~r@;Cly8n8w6 z^RIsETb%g(g{M!y{p}&{m&M+j4*vKtC2|FR{?&W;zVek{a+iYyla49dJ7Iou?rEV% ze|LxY0Xu@VIHyXFFN#{<1Z1-z@FGt6|LU*Gw1JY4>w(+ldGJf4^SKAUmyuxR{OM^FL1UD3*IP#i9@X$fa&gD{JXx(7|un%GN$<&$f&YHy6}Y$&q!@XqJQ3DOsyqMJ_Nc!d+@`n!ojK6X!g398-X zo%0Z+2x{&{z4;1K4DFVlk7q2TCIJ9OzL!E+qAHKGVE6@7|s1JfVm$ z+9)BCV(dLQ)Gg?{g#eRIjJHXkG~dQd89rh8zE7^fj03uZCHhrg>!XH_irK@WnXRla z4p4Y1h87BBo#Wbr0hTT6Gc<3#OhlPphJ05-!1S|;VayatCJKp6yHY+cJ6p0jfph480prEBFPj#cX#YW;9Q4rWK}6o{b?E=HTsQ2Zkt8i(10vOjV41 zH;dUhIu1p_M4%^`slAf3)j$k_V<^dt`~@~um0pio2KY`kt3a|Z?Sd?FCwsEuVCY3q zSU^GuYF}G$sTS4DuXD8}-#wGqfPzqCj}?we1lG}HXo*SRn$6IH_|2^L9Kk-@FwDoj z@gCElC_J&cLTCHYc9!H_?lF{ncv@4r(%?EjlIWqJD;bi99I3g^H^`1Mm{vVNgfqON zIeX(ML}_yYCHTajI<*1L*u~V9AW|U_v^sIY4*&5+^MUP^f|B8FzrrT!Do0i(1I zqI?!-M<=?Sd@mM`7N>V7C!ig~j7V5d{SeJ5xQ?PzQu`onhN;;;hTuxKq_7QiJ`?dP zoz`;`p6FSuxw+!u;2^5yO`Dii8L^d7>|*&>qow5O;@qPfhB8frl}Y8Rdc zI`DN(zSizmH%wo(Yd&yI;iwKx=jKR!zBs7QO;2X4M&-ElR7DTl;=Bk}q&>;e9h;+c zo{}z>CyraZsQ0)!{-Q7>nL@i6?zAg4F-fO>oM*nl$2#_BTsITm+mB!ED7QM!JXhXx zbTi*|@_*dv|0ylZr%i+Qbxe&tG8)>;CC-O$yz|Dd{o1d+rEmN8yf-4f>H^1I_txdT z|?0h9rW4D z1f4kd^lM+cbLYXk`P{4C%iU+r{E16n79XfrvFAu|c=w}s?|$rKL*sm&1X>@k3-=En zqOI>r;EhG);HQS4`e;K>b?~BU8mR-1OFx5|?8@73ha5Hix+k*7}VU8dZ#3u{Ed&kz0@qqMF)tD@0jYu1neSo(3MVxmqG z$F7+(m~tjRpSXF3cbu`aE!Rco2r(67u(3=crt~tmJXY(tTCIF0X-K`qkrT~!qR7{N z-RpZ*QwpI7#LHRFZpld4)|8(}K4!b4x`U*s5W&tkulOAUZ4Qq+~R z*B4W;Fon#JL#jFivuGA!d_+fnAX@*LIQo9h}+Mb zV{DKlWr_e(ojnxQcd{IK%&~(9T-jIm?g%1yBvt*+PkhPURj$d876#Pv96{`HDW)Yt zPFH?YSFNjTp5-~!pi z0L(9@#bRD>wnGxdD0#))ZR3%ZEz+#(DiRCQ0eRLjd;$^CWWd*Ku&&uUf z_>i#DtJ)lx~@MS(Y*mD=O;C9KRCWT(zdfN_6>mAyD66x>BXWv z2+ML>Y&NyOfq6`}(l1i+Vo~y)Z(Do(m;pcVq6kg4S!Vd2S~Mc4q;j%U{*H#Q#-%a=3f1 z-Fxlt{qo=YK^zwH%~R2!}xso+M9Q}N8Uak1x)^Szqz&l9V?yBbR}FTfpZ^!T$Ca1`|rDNKB)`= zzJvt3DZITKek=j!TPIK6D%|cZT`z%$$9GZrghhuPMB{1Vv2>}U@VvZNH(xQ%5e?uG zrd@48AMat96Dr2*ZPl#9v|&CS-7(C3h18R8)x`k$D$K8voQsKAX)?9L z6J-){M?D94XvbpV;v({FY8ey-XUUK#!{8#ZUuSC8pUlL{Mqko7G^!fF^N3M!i{eCd zmBSczUGibh(|Lwb4Y;lWl-3?-IG|yoMv>iJ8YyRJy1*ijQWXnxaOia!olp+K(~qzW zv+(z*Uj0+oyDHi`cS5Z2Oh8&um?$kN>Nn@YQB7O<%$G_vl81mTFew_tMmen$KB9;t zc9+)q@r@P4(md2cUd-mr8qE(bnAn`O`Gdr^q<>mSFFTR)$VN2=NK2$%AMu?|EngkY z$w3|<;d>=2C)Wh4=AuCTu>H0+QRF9}e1>7#(UN97oi-X^P-h!sV6T4BBK$44;KPBE; z!FMXKyggS$GUZCELCHm-`?pks>|qTS`Kh6#>b03J7XvNH5@uGH$Vd~)E>y8%VP}Q( zZB%fS8&JOS%B5aumM_O}vVGi8+Qan=M4E7L<(2k)<^a`yn)IMp;y)_q2`W znzsX_Xut~76St~PnEmD}vn1eGHB&TR33L!MVtMI4z-o95omc5rtW#Y%7rD%C8K_p^ z%8-_L6tM`bUgsrEfKtvy7cUt{g(ly^R%=3eA?$&FSsdaiqd+sU83cg%q#!L6Mpyybf zgvlbZmN&-e4r20>iE?$Jq6MN1+zZP_c0oUl4JIq;rIfK?GFa`LxAB6e3g41&1Y2!S z9Y+hOhMaDv4tQ@^O~ZwfvPdIcr6zge{S{!R`qM|@*XHrw= zY+)f=>W8QSEsjeE9UFIn#8NuVGS>QFa;mg6hX2&%TI4mNBkrVuFZ zi_p$PST=(Qq|~OjGE8tQpm(&Hv^YlM>l;rEZveKW&JUS+1K~mWhVgt`ro$D;0IjTW z)9VggI+z`>cY4DY$XBPU>NKj5;&6-5yAx^Yyll!j$l^9tHNYxUPoen~3G}%^R@P{7 z4R0YLli97ih%C0@aZ2-;A63)o`GgQVFk4M&g6egH3;0IGHwJB$5FEv1ha>Zk2Z&=p zZ8s4)4w~}Fr%#O;$A;{4Tn;Zp%HS!q?dcI8v_2yEl(ec87;_t{^;saNO|dK*%Crb4 zP3XNTy+vWJf|6Z>(I^D1^A}zSUl;R!RR-+_$1nL(Z0yTl5Hs7ur$*zWY7-W&oEyi$ zXHkr2w*R9?S({H@(#6rWUzK)lc5>r+E6<2$%HvKeuj^hrmzI81Ta2%|h zaUb&{SWzTRM-ohj$wbS1mS8t*l0ZAob@KDBpCowp3<>&t-APZeYfIh8k6AP2p6zE z;Tw0^wJ$tL@PFR@#rt2quQl@ZzZG?WN(Fhx-MdS#NbhoQ@X6SIiu-Qy9UuS1PpB1L zUOvddBt;L}kMI`0&b|Hi<$boTkD#DicRa6Nv1i@n&d94n_fy<=8ynw&zP$W{hwhzm zvO`>7e-PvMzW2^Mlj5obnakVl5VG?c+e71`$OZ@lYS@bGB$`y9^y85+gN#c4Xdu{c!9)g3hT(3-YagK#bA-nJ`L6;V=G4tgOE4wb1{ZJblPiE>3O!96s zk2RRyq1%Km%RqK^O_~L+u0(p9X{8xWs}cJ`jf{4iLgzSKpa4c<>{S2(=vz_*V1X-* z^xY9T8H1*>tSl8n82fb5hg6N-SU~r{9$4r-+K34u!{Do~JQKX(CFl=GI6|!8J?rSB z@v_BGprS7-X`cLiV)C(L7EwcfnImX>+!TP?%iYSj9wH;{Xc|iLk5c4%2#lcvGYyen zi#P@vn`gLYAf3H-?QTFFcB!Vb#2j&YGn`$_`|aheQ{VQZxW=7Q>(bQhw#&FNTMjZM zG6v5Hl~$bDXQkEF*(;~gf@EDG(|TJe;cNa1=zE`xXh-g~fI`6g@}x%Z#&5ISQjUBe zMkx;XOPj%YjgkbhgNUu`#ZY%F=@7IX)p6V$m;rckT;d2l+mMwZsPBDKQF>HBnTnR_*(zF9sQ%P$ z$^|pm%z#@$_PL9z5Mq#6rgC%AH1pi1 zRF{yL*`0+Yn{B}Z*K;7?w{%mF<@r4a6q&$kKG4XDy|`Cw<|rA?LUL6}foNWFxz-|lPu0N;q^dIX12MEl zWY&11Z79IhJLXD5eTN>dPiL=`)RYM?vs(GpDlCbG30fmvZ?sOk;@PFYEvkCcHg(&q zkL<|2^L9@DS7qIv`uS`_sk}Gv?!ukMNTBVNfD$xAq|LK5#x1v#$g$JYb)1q3vP_`C<#Ujiw<`o77Lpj>IJ+H=Vzb3qXR&oI)^cn6OcbInATr5)v_5OMr=kd= zc}ByZXRKW1LRh7;J9{1{el5xBa|82&nQtFh`#{>f)@jOFJF7~*oLz2Jm7a_D=_;dk z1^!&9PPO`AGVPd(o0yrD*Q>504!Gcz-e$X{y;nNvt=MumaVS_zGhA+t{8lk|87~Wj zciYv9Hq6$ds=g^$pzGtOlklkZxaFQJlPO*8-ss7@#hs1uy*BQGp(9!eH7~Hxo)7Mi=;({mjPQvzC6-=^{VH8G^{o^ z+9R94{>-b7YzEx9}Fy!H@jN`%UbR63%mv58;QC-ZGZ$f#=y+5B-g0sa#YW;pZwdsS?OKgci+8t$!2T!3TJ`I z=gH-G*Yc-6#VYD2m*3nC^RlkgiD~Bgc_COE^0g#E$Mx{*Umy5C`ALrde=@8F8GJri zOlv>x-5XXK?8bh4`Rl*FOZk4do+p8O`xk!Uy{4%LUIO0-RsV0)$is(UQt#w{;R3&f znSt(K%}qm6@i*>f%cw_}o-JOa8zIWaFU((0%>*7yQnc97(sPqw zUNJFrrte(?CQ>QGn<;E~h9%do*_x9lT`{ItIIKu0yDRtE4(B-3^>{RL?Cw|FBR*ox zdr>*ASC3n=tF#fv^pL_MMNon}Qjj;fSJtgaPPqn-L>bIr93Gx)A?GmVOD2kt&WL7x zCJq|IQX*(cA#$a$26mHiJO{9NL$SA^br9ECq>7#rAWtfXisS-IA%l18 zDcKc8AvhA3lZ%;^-)IHAffbvTT9pWU=F&MY;fqp&a2|5L9~58H{VbOzh$l%2bmUG5 zb)nWx81b&UGHxSLutZPLc&=$nqmw&uA&LE#mX9R25+1Y-FbNMT!v zFa|}{CDf6NG9k$ae8vj~&a^6mi{?WPoacn{nN%H1LDm4iLuD%$vKwyta-uS5Sr1vV z{;3VsqF5|LOLF+?qfKfLY#paOqhyt&_&6o2^2!i8WaE})={z5S5@FF%Dd1H`lkGdX ziKyB%tS4TT;X-K@98JBNN<>0WS@}6(=l;T-G%>`WWZ_ESGm`8$az^+Dx7G})r|MK% z@|LhFibkFm^VzcITyocNvn?jG3(hjr5rW9Go)^5sa9cRhrC1+p`9i$P1^hd}O=)Pc zw4*EqG+6Jwp*I^%jw8|@#Fm;lrq=5eUHKNagVsl4%`IEo)PHckWr=cOH$7gkva!{%wApdUn(mT~g73iuh6D;w&DY_i zxsGofEb`ijGwfZYDCRNZHQ7$;?U7s8+u33g5K*mSPBTqC61KX=bP(&ZJU|xX%iPJO_l=4>{Y(^M0aAgg zGEP-l^}+ekiFsUSXsLxjE$1|~91%FhE3ju`yIs+8IXsWz)J_|;bUYg_*{Tv9E2y@; zQ_3oQf!4tjPjHQN@SA|HlCATts{*}nigxox7*hx%(44FD$%rqrb#}y#rJ3#|M4kjk?)0dR%lTP74O@3Gnbos{THHEOWGaLZ zTqw8EI&Tp&ct@5euB3M~fFE}}xwu(^9JD-AHi8V zZJmcC?pJG-g4~aX_W)lP&tuc}g;}_TVK*3)$*=s1ydGYD{X4c^SK7p}4nw|oPv;kp z*9YrdH63G|H1H??YdWH8C*u4yvBPgBtt2wo==-Q0&fb9piTBA>3B>dq3A!_-`>2HE zJ=&a7mFd*kbK&m*Vz~U)8d&I%1 zo!P892W(Zkty}*~?#t-T<~I8deH+gWI6kbed3d?Be*J#?Sp{_W%;)BT^4*e zP2UAGY%lo3hiTpZ9{Gy1ZC`D#5U&t+C3yJo{=MbWeeL-8o$1r3`huXa{XkEiysQLm z>**oYUK!lb!RuF2g3@dS6}W!VrV}R98Lm!xz%wShgVZi%UI8}vm8Y8`o-R*!9^Z-a z+8|vVHMXu1bhq0cb;Qu4Em+qDMV%CS!2H0%kNS|F^N$aSPQL*dFgR?1^w(HZf@6^Yy5N> zjH)Y$kjIYZnGLF@?eJtbor`gSKnLJ3(b|9pF5C<=43ldYZmMR{W6!z*>&mU8p&NoJ zXBuS4ujNY5(!d=e7|I|uFcovkYuW?AP`1kmGou!tF$9@jhE<9oI|lKzR;d)YCQkq_ z10dm)Bb#f|$VedZRK(o4D$v>^bXQq81;NZ6BbqCYN@2&lcUbyeK6)aE$_z-EHW6@L zc^lG*2WZU9<;2cvf#gnbl9A5tA|F$|9HwMb+cZJmmXJ&7&3w(Q!R+=ZEMT)TQXKp7 zsFZf0?VMdc22Tlx26Ba-I0Dv+Vh!b{Y%9)j#$Cy)Z_(-I6Kxp~yw)wJ_A?`tuD*iO zJ1JOFp%CC&P(9SV9~yvMuDbU{ZBI2Jkfj=i-geW4vS;}Zi)LgIJ)m7Q9>jryLFPDp2uX}Jv zr?{cTeZZ0xlE4*p;c9f>?YeTU&TR|@pBgAW;IyubE}+bIcl}nM`{QTNqcQOR#p()GFj;FG%=#zQ|zC4^)t8J=7-$i{(h$VgSc}E{M_Hp*md^5_$Sv< zKUmrq{>3Du7|TEVyIs_GGNt|7U!XlY@8}?6FjFjnLC>n=mE{>Ltr-g%-~Y?r`^QRh zUH6^0x~oUsqmiatOUGVBfo}(lmTT+BB(xO8`$XQRqm_b0*;yb1BSH*v3}`kC3@b6# ze;5$O%YOYf{emFr_U$B0vV-fV&U^I`F~@L?C)= zO149d*!%gOTUA}%uiwm&lJf)N>8|_ZoO91T_eWLT-(`5u@;C;C-7%&&4ts$f^1O+y zpLh}NqL-^pk=wvyCW7-(7%$^2te~io5i3%%)x%;$uEJsYou4R~;pN&#Z6rdMB4NK9fttmXG)!&Oly;*d(L9;2#_1M#d|bT0W=W_JNewv~@GhTK9_4yU zxojPmR6}|T)~%`Jn?lsFSIIZVr%NE4=1@^<#K^e^HARzB4xr%TC6l1Tu_;I?(g>wu z(HE}x?BxKv1;2k$8d|nITn9+fMgWtIFJvkG>MPv}#E{RJa z#&wQa+B5JZH7dDnlt{0$8<8X-54)K!$lG0ibE}&K!%f)Q2KF7)G zaB?DPCw*VQ$wLB<^DFgS#+K%hY7f})G{>fz7tDN@Uh~Aom`Ho@tAJ!nhcN#K_N}fGtBo|JC3leqg zLW`rM)85Tj`D&H>tg}!t3z%cesbb6YdAH1PE7V*rvd*f=Wi!#h2}P{gExkJa)2y6z zGN!#dC5@y7Axa`*is87k^kH5UE}lf^_@hA^V$9AGR;qGfS3p_n<>kSlUk6bHm(cPLbxMb8Ivk<9aL#AKp~6|9gE zkK)49j=A%TVShaCLOr{gC_3%_D&hfbEuIJUYw2;)_$xf*4tI5q7?Q3S(G zxUsT{D+^IvRwB9MW`Ux>r=qdvU#H|=pOU913J%JqY8`i z#z%oBK3nwXtkLZtPLR#DVx3OOoWPR-N+}<^-4ca6zKaT-ejxhNRQ7z_H*h8d{xIj* zt4Ue%uwybd_9vWf7bhgNf)#f_>@5db7}&Q&+Z`h(3QAjFQOHql(KDm1zoj7+H^Qu z%9AfHV=oTw8alJADD%>4*tC0i$g>6LWMt~FD3-Zy|Ed&hFUg!|Z=R1m=#q4#>Do@$ zd9UoxKx5~u(2zYmWX4v&>ke~!?oIdvhNoX)_Dnd<;Z2kOlNVdzY6%xLyGJ4_|0m6U zWc*aJEBqs};j2%r(om$%s=0Mb_M3%|0O|Cm+qXHbO49&0oReE8-{{-14_|Rkt2AH3 zUY|Pmc~;nd_Az2?&9_;s-<1YLq|?{!tFHP?uze><8sL@&qDuoYoYCMx_ZcXAp)>T< zy6++&?e&q9^>ce&a5j|LXf8AZ+hpU3?d@h*KMfLUN-eXrULo5pK)7O}< zyC1*wuJ@GOdeiQov9qOryZg|kbhh+Em)>D#Oh5F(Ae}Y6IddBSRA*67OQ#vlKc{c{ zx+}UDn3g`B{KONVs}+zP%jvBL9=Le%)~&KUIFPAZhMC;$n#*Ut^mViQxyy74l|nvA z?#kZ#En^W{kTmFab&k|zvhy{i;3m>Qb$wfdmH@>{wdXG#Dh(dKM-A*zC1Ipa*1O*I zyL)RwSu(!|)bo?FPp@7X3Rl6c=~R!o>0#o$`FhHOntY!9#v5;>7^g9chcB}==d0CW z4h}9~Zfn5#aHa|Mqhb+Q&||sY;F7s)BitTRy!&JeZuHGe1@X z-jyy0o6o?(!QXDM*s$R6ZI8Ldy@C2`EyBbaoyo0V4Q)5L`fZ9ciUody+RiKgo3r9Q zv7g6v9)4Hoo%sAN^Im`0!djLaroV-kN5v@Yth!PGZE|MkcTF}N$M{A#)K;?kuqhh+o~sr&!D-LHu_{?=N6^|AT9=OWG$EM^dkm&qVxWt< zFhF(skDrQPA$WlHCk2rTECe`0ON}N8Y81<(3w}}6+fox~NZXZXk6l`iSkTrj@QIN| zC4BNJ?$S69o$5b#AeZ0-U*Uo;#23#_RZWqh*cx4MAuWOgJXhp0D4NWZcae~_8EXf5 zv~hzB!6-4a+@N2lRNWb(Bv^BI>au)lDN}mXH&5AA;+xW-6%H2xrqSafSFpwFqiC5m zxKrxNeu%>vxf-Xg6UYv4foZ(9Q=js(%8gQ4nP)D+tg^8*g+KBb&iD1B$J+1C`WzBGXASTo_v#DxJd*8^;nig}C^5BGSzQ?t!)3i}#v{y0 zTF0yrCI)jt2vbd)e=FwS_X-4L6&8j zyQ0^~AR=}r6y2iN4$)%9*((vpbVoF_ObrQ3<5b73?C=YtOJ*07Zn7317$i&PgljbE zyTjqAJ7f_jgHwZeNY6^2XF3P8JkrE&tTv~p6+~<_P(;0$JUCsz8O$H>d9DQ1F(MyL zCMy&uM<+)WK2~;ZMeEr+NhqmF^BNy2N3lWJeo7bMD$@6!R4EE-DFzVQBVr1X*lM$6aEs4dSBW31kZB$;KWopZnyax@5u$Qz0xF`8%yb)L z0fEQ5=ZNY4z;kF~Pm+!Xhr`2O2?bt{xeZZh#&WDy;c0;)5z$PHda-w^svXw@N1ZT+ zYa|b zL=_r;Q+>>jp`e+*fX-rVhJ;u*494Wu99uRO#r*Y3+m~vp^}#1;rLAyUaSOnAwdsSW zKDp3OL6Hq4N!DAx`kv&UR=stj*OJUuIEzUnYn)Y{BFY;4eXmo#NQ~@=Dw5rF5j4$9;U!IZx(lw;tsykxwVgBeR-#k)i8Ct>n@9f zFQ0Cm@7s_o$Haa7+GHY6Q4|$!zeTsuGa8Dk!Xl}jy;wy|@P;)QS z?sD#Ts~+q<34rPO=MPK+^`q}K%!cohQh4q1qnLK{Xm8$|)nFs-e3#vpd&%AI#A?+8 z>klz(wx)0w%8W~UnZFCqQ(k9JQ;qA#7wdT;H|h4@2fTO6hI&y@L6cpmqmCwOr7~1>PnbsB^Sh*0~{^ z>#Ac*A@{|w6|^v4GPelkse^A*>iQyV+ihI6#@j*Ogip=ZamBws{zh0L7mfcdI_g+9 z^-HcAn}p6=vNwD!<-qI=L)pS?XLpOxZE?|WcHJ@{3HE%H?DmtW6;4hTC?-hv zb24{(3eFqPqGC-}zUABFNwR9KwT0~NgBg3oe77i@KKgEjydC~~l>`2JCFm{7NiEH{ z9Q?ZqSlb9efOBljtz?VDW6iTz81-}`WrUW#9 zuMou^Nucf#?pE0qgVhS`-Qh%iVEdj2?ovc`lDB&BiI3a%jfY z=VQ7H`8%CN(clgM#fCUa^I4GO`a?8R(&_}h)ri{R%SK4;N<+SVCDveSxlrO(eYi99 zL?$1<7l5oJ$s(=mKyB}fA#+~nyH&S+LG_H$Q%10{lglXN88&Ag$~R^`KND+iQrZGA zHrNXKiD~6hVNBlY7B}b^WNt~cJObW2v&2AJv!eBM9>lS(p zKuitxent&NiCi@SSn)&-&z7$^H@RXr^`7wLemN)B;EveyO-*oA1vdV(?_|%m1?W*Oc?@R-kj7AHRAI;olMZskrGp z-6_h&FKtsd{@Qh(y&Z1H=eZ98SLzp+xYQ4jJ%`iVFPKmMy7#O_aVbpAS#H)Zzz>^bbDa`&ETgstFIlht7p;{ z&ggm8At||b;3`3usJNTBtZGMEh|2KT=w1olJfs77U0ZPeI77-snI>t|?(s>L6DV0E z2gX|h#V!V}*dmyWYR`QOjg$7~84-y?J9U*5)mDWcz$qAKK~`Ud5jtWT%in^$=*6*P z4}~j)rJNLAdlgFT8J7y9Ud`VJqrQ>p2tI*$!H1deI?s_alf0Oftn)XnXgsX|B-cO% zgkE{<&O)J+tBDM)vtBUUc+N|&5jTd^6d;nl1~OT*kMN)~ue;u8Ee#Sv`Q=?ys4i>k zJq*K=-bU_A*4xtSugfu5cr#i;@XxvQc`~`+A8X_w=jyQEb()hI&&Lk7kC6n zh>Dr@?@6&f9ylYfT?iQj+W>=m1Hx9Ia^8k*olJa6RaS^m=j-xet9}o@+$sWRDfIIw z8UPl$WRL^-ICm#yw;X2$85dXu-xCioPL|AF4dhoIXq?26`plPcMPj`3I=3Mo4yT<$ zO9Z_es5jB{s#`Kqn7|QD=GtLHbV1Zi6><+WNa=kRI&_0_e&ng8j)lw01Cw`_8_bN0 zzQoYGML8JE#iJ<7-~x41+iU`eCRWk!3>_*0=MJ!PJUbi*KC(Aoggp1G?&eEihtee@ z!VSP{Q549G#Yf<4PWx_XsieB83;(6|v}egvZ6v@ewtR<@<*^i(Hki z1zOszn7o^hmvMN^XYR%^uHcA8j8U94dXhsPbvspc&q6d*bcHLrp1`AcJS^3|#ZW!~{wSdM2RxeV9}AkSoWJX>VPv6u|X$#O~FSiOt^ zCHidnkK|E2!rZ`?XM@Hi3Y$jsbUBLs@wnd~h2!32JRVO~(7j%Fl(MQPo(dM6Tfu1p ztL5=%=}t}%QbMta#SuenR|7*~csb5M6eiB2$1yw{^>k7OC*ha#qh*gLe%bBC=|r2T z0AJMu`3{9xF6Vv}x&zz`J0BleNEXQ*7MFy?n`MF8L^e z@A%m=fN~DL{Ix#kz4;`xrKeEf;-f z+rL2H8T+yEWPKl0>{JjpG}spRQfO8X>~w>h!Am=><*E@eTRE)u-{`pug+r{B2w z<}^5E|9A+_J>b%5=KkmX&r9CH!LzrM+_R?Er~gfM??3T3U;YhIHT_!@zjgY0@9HzB z|L@b6ch|3@*H-U+BXRw%_50-up)A)2FJAia`orr-udew78$XtLuh)-iJ^L9@{yf8j zG+!UszJ&D~YdZzI_xdwelLiWX&v4+bDGy$|a?5>~-=kMqNPlq6G~mg!7ygV&Xu`?h zdWUJR|GS1SxqlTexDO0Ff!lNI-mCXH?3E+ZjhM0Pqn{7?TFHQ{>r z)A+T|q1h=B(~gM`MmFJ{p8loq(I5M$zUk$P_5WhE@ypLX@Fn@*3S7GM!{I<@GCVsq6JuzOr8bK-TBW62jm2;NSj}vcLT0x2^$Y z%0uMz^w*LGR}ZeP|9I!mPH(;Zla&URM#Un~$p-l_3;FQ}mY!S6+R!=B@3%?=Xb%fNc}HTMX;<@83m( zTNl^&U3=x)@YfE0jqNuz^OY+%E9X~$I_8Z%HfQP$>uH>tPcySUrgt-b2~?rfYffj8 zd#YLTdvG4N=(?zv5_CR{+oWOJL4 z%Jd3^y$e|jXYNHCV;NQN1KbU|&%cqD4fvWV_p!2D+9)xW3~(C&OUtK$PT-}}4V?zT zn;o|f#jZizB=B7D6-D8c;^4+yxvj6(2}J4~deb50b2<+>7`F`dEAqyd&V7?Nv~Wih zNv?TEmzS2BqA4?z?39O0z!0R%Ct=5~H=9(!t2O1BymZLmsj|Z`6%+1&Q@zWHTN#pR zrEH7~nrH8lxUrCp+o!wL~)pcu|Jl4uWTf(Hkeloh1&*OAxJ>N`MKe>r8Wcznzkz zP%m(5hXWRN&YVOlrz$zJa(v=SczRik8PFdnbJz8gJm^C;Ht*gqr3MH`e)$|rAUkw0 zCNwL(I(a%ddR)m+&)A?j%HS#2@1BAJzv7jb(RmSJAHNDT-xO)ygOY|5wXG>Sl5 zxcUrU%8MB(>%=@}Qf3;dDz5a?(NR1k>ZuC@(l;(u2|X03=>t5($6tH>=^cPayr5e+ zee2od^c+i&#hjz?vXhJncM8N)d+5+k>(s%e+)F`IJ?MHmZuSh*=aL1{Of$0DS%s** z#?w3=Xy_@_NGDA6&7lFoXu2n^$t$BRxF>NC(yN{vHC;;pS_kLz;1vP8D~1Imr)Qde_$%P3-%j8g*O0ur?xDoVYrrk|AOs%<7#m*|^$Z=%k5M~voC-*) zj$-#jk`8hCcK|=e&!>CoX&kr)c{AZuM@!8Km zdEtFGZm?r}?QR@={>In-o3Ax0P%P~p&65CJt=wKecktfl!|(t0@3WA>#zx_Tmxc~n9D-BXv-v%l{jg_Rc!=7Gyc?q<7?|;nfk8sL>;0lkp z0{lCY|BCMYf=hkOqEKJ_m#toK4uNre=1S+E_IP((cUNdFI`^1+A9fFaUS{|e0(`GJ zapLH@`&jI~hct!YG7ke#ov+}{^Jb0P9HY4Y_Imx~Pd?neawXk6e(l{K{iDJrY3ud3 zDi?Q`dm~@3)mN4ViGFqKgonFpPz9?PO#`aR6W0#hl`mgO-?}C~G-&SS+v{)c(SXw~ z31?#J+H%fl(XLLMLeU!KxqX}3c5v|_a*~wPskU-+w?B7tZ|V;IL8_rwYSFa@>DY@J zd#?S1KX~m2H^ig?$Aw&TAMV;oqR@P$!Rk@b~H%5|FHu#!Ogcde&>fyJMo^VbtnHGeWJ2`u2F#paG z6N@pK->b;=1h^CIqpK)3uZac- z-xkoY6V)mrx&ZSnI-c<^!u-M-MN?2b`UH}szPx(>DbxgPlQ82EMc4-P{2chk8?1h( zJZX?Sj&jGpAWQ(a8)^!!Du(T9yc1qt-@f_W&&Dz=-C|VR1)Io(Ab9sLIAXqc7p~S|O9KLh`SIS4v$gH30h}YhxeM`$!@=si^ zJMQ#4WAtWEOh)w8j57@8V63wu1gX_*XJHAg zTYxSqFx1`GSn=WE zi3K8WG6;f{)WX~;I`RroI%MXNMj|r_&~T|}5(P(6Lk@!tzQ&{$b#zjKKl+e`y5S0? zi+xC#7C-h5(7sIvO6L?{ROV@ZQ!XGk^KA3D!nar)>+t#=G-FEc#8c|CnR11>!=hV6 z>PWxSo$4HK{v}M_Bxknvc{=P*&^xmF%Q2@G_*{(@VoAEK0Gx|pm@=_IGAMQdM;>VmWP9i8-R@lxuz4_D^xQv;K|veC9Kx zI3GZ{p6BdxNt9rSn-8!5_$VW*_VvGTK&8HS`W%(Hpk zn^Q#=q-epnQWsMuKwK7Fs3J;Md9t7@T50I0RRX@v6~{beVl2w>*e^*UOD0*{%LL+B zb-Up511^{&7o4b(u9(ZioZfJN#tUz>h$*c4#ACA?BQB%DhXx@>SyoO77LJtJ78)O^$+9KY)1c%_W$3tC}67 zGINE?tfp8186DLN;vNpOk~ylm?cHQ=J2x|55e(jS%Gpu5bkr@y+;Le^D1IdgB=_rL z$!gIWV44+7yy^q?OwY#PkBht$TxUEv?!*bzqca+6fs5y-gUAJBa+ICNXtoj@mmD%b zkCAx59U)S79(z%NG?;-Gh#UhRm&ZtKKqbbS^(nbA8ig?LtzrlRV&}la?tDHvp-w1@ z1o}!~G-(vAZ2inN()_4=N2}p7R~Cv~{audQ29xD*!YJEDgCnu9^SM-wDYK*UWIm%P zT&Hj|^1r|8bUO38+K?6%V@R1x01Bg@cjh=;k+G&X*T)p5%(N){Qcnz{) zhFez!%qnv;X2kZAFf&_W>)c~DYY4(t+CJtUOS6e%cftLZ<{KwQe*{;vKlVa3LrLD~ z3t*4gnqBxRj;G9iOv5F%c2^>h^q!|uj2AS%UNRf-%=-Q7n>SBy@|7fob>Uxxe_@Ww zU;;u@2Jq;*b#Up@2QRJH|Ls*O{@-1%KexWRe&B&uUp+m&wZ6>yRwSLuzIAY`nK3Xy zTXSQ=te^OCG|(IeN2&kjKmNz3|9GRpfBpMar$nGW)sh9u&coOiS-kcPyG$cu8m+xEOx_0gIX_~ST>DtX}jfAznEw!0@G2I`2 zSf_;xuWvK;`%k3DSCs!5w|?g9UoXEdw?G=Cc~iskwh*6z9j z{C`$rfBbrUNNadMd3|>I`49Bpqj1oTQ>%P=R$RaMP>;2_AOD5f`=0;6=sn?jyx{%> zD`=SILxOXU`-fP?uMnPlLXzJX|6WFZ{*{A&_{^(h+)rIPz5V(d%9l@{{>+1Yx8~0M z=l@&*?hd~Oyz%Zg-o49tN{MW6EoX()e5f?|Qt^QMsY^d~$sOE$_7ZS<`GtcIx%G#f z`&L!gHd6Mx?tAvWXTO<$Q;&ij*l0lgc`whEEqc8oTdTpJeB#k-SH4V6{HpuaYd3qZ zv&8nw>2IAD!)rg^sJ>g1ldxC5^2%4X#I`+gXWH&v?@A?C@q~~Z7g;I)FrRhPJmuGa z;OlGu%FS!HuiU<(n&(iV7P()8_x9hrdD7Ob8~fJAiR)dLw>0?r^EanF6X_>-@{eX# z1;W+fafqO2Hy=!{(PqzOTDE!|-hM)Dz*kP$iEd#ktODCh#(7&Qc>alFkq`?P`E7?c zLDnK^M5>y<35eWm_Wy5DU^^*Ee}RMcyOpI$$;aJUr%z3o zp%Sg(ISknRWb?jDwXjrqvnZGrzmNrIjqn;mMr`Pzm=8E<+j;sb-b#MMp-UdxmY>8Io({yRgg z$hCcEZc*u2@g-uCy6R2m8G|PbZ_x8?N_1`tQ3@psqWep&++47VFyA9tWl`);^G>2H z@Nk}mMc@0#z*FE_E?2HMpv4(QHbV~bB`L!f-y$_2_UM$ZBF?=4uTk2?BgT9$ch}@l z|L8GU2P+>f55#hP1 zfqdFsSv?r8%0?Um6gndQ_ktBHT1?+G^q1(y~ThtOx$sQu`JyQomF;v zM$f%*NM(rP3o;TMll(a!)*i>h*c%+jfjf%5uCK{t-WD+WVgBA0*6y<%Oq`RQ$4ge# zat|Uly3tWLs#Z83V~aULif%$hoFIHbB{>!?B}5gFoL<)w9(yj*s1Pk|{%H+GTvm z$fMQ7-eP9Kxdj0YWEL(fJ0a^Vy=M3|IJ1d&<1+TU8+qnoB3rvfzu!O1K3Vl}ubNHN z7p-SIX-lF<{GO+vV?P2OJE_>_;fYd7npC}TQQyH;;~Q>ds2Bd2t<{}bO#k3#9((Mo zU%UVQFYu9Ux-RR*ANj>U((vQBefrvI+yC9U>u+tX4_L)m^=PEQ53#WG3#{){3;h@W z$S+=K$8!7h+dAQ?0d-&-&zp9z+|n=#)V&D&+Gc}%Rfjj*SWv{oxgAUUR5-;)Tf`mapUsSr?+Z<%eEDtE&shb z(SSv<4^s#~MD6&u3ikM?E^le@6YD1%4KA}z`t%$P>?`N@8n64k4E zo$%W+E6304abo*DD?#+;o$Ze;u3vrk^Zz3cWPLG=odbI!s=gT3`y1BZuNl-!e~0zn zYfQCPx47;;QvUZY(}>8GE3dtF`_k=8PduTo!k>lH(-&S4c36?vKilNVS?+tq=*#7* z_uCp&!&tjQE+0H7)DKtpz(H?&cxspZ-u&xzuUD>4PanN}#dfB@_#&mq=*+&*&ojy( ze6N?kA^YadP0f`5t%IIES1ALAe5l9gYtS0~vD|<9=Gykwzx9~wkRN+sf0}Fh#;$7s z7@Yf_$$rC(OTK-TwPq511Hqihbgs4yxkcGvc3qnnWkV?&*S$G(4}NcqUx`1B-PoZg5{F60hI1(jvq{K#(v1MC2GUfcGWeYXd`jY zTmB(CSrV|ra-YPo@3FKIuzmM#@Y}Ajd0XjeIgK>k=2sytMhox!HeS9|S8J`$((i<6 zMQgX|PPD=$RqrI^ZQ9#8@OBQooda*@!1q}Wc-PSjX`UMSRpRTH?3>Mt)~o0qf-(%u zz?92i)fLRVd$_Q}Qa3PcR6LeD)Vq1Jm^iF)X3m62ZGc33E9OQktzj~#ZRaaQYAea7 zRgD@kPrnWQyVatKs7_o{KBh{jsJ7xNdFrOBhqTpj%A-cN=QQ5}*A()(Z~`T*DC~MQ zK0HA}78-um-&yn=LC;jnSn;H(nXNWl!#=KDC%!?sxN+`tS+2Fz*IZUw+f{K7vy>wt zDN#f^%8 zrWR9B?fgrgmKhuO#wAoVX)%S)V-U`z7~}>&BS)O~5#RAKMgp{-K**cBC(rBqq~egs zR(&I?icmMba~6p*b&$>s?y&BdLxRSArX@0$GfAOni6zySP9B{nyzgKns2(P;)DUY& zWh-c?1dN6AGI}yvKs2aEKA>>FVAUWG;M{S%re~!^XEO7wj%N{IXTdZkGk0OS7@Zsy zuBc`f2rUU!cV(w8W+}>2bkkg}lmueiFn7w(Kc42ia0IOX2S`fPUaPh>Ul^-8R7?UDDix_c5Yv|Jwp_c5ki?_ipfrST98_TW`m_%2bTTewQo^C+F_Rov zC_5YtRWUSu7pQ-%{hyLa&c)-%ly9Kerg%UAvHB(7##C%7#{^L~Z<4`1$^zRuIOL_= zGp#u%wz5c)c^{)88`uDWh@+@e+ zEsgPO5{k21ZT9Vod#z!;xjsF(bo-fSgwX2O`(C~8)q{hd`I+^#^~=J&LBP}X>FXCS zN(12FhnQ#D(cqoG_3GdIt9un-WvVog4s8ugQf1t9(x52hJ>?pHL-Q9u^SJy1jq86C z4Q|~^BmCDMy>|7(*FJnrw8sJ22K$!;LRzzGSQ=;@=DzvIgUi=A%l6skLnqRg865)! zT;Jm7ZoA?coj%Ko-zO*G;9y$=TSa@01`>2ugDzltW1s=&r8XMGYxy-xH>butX?a&l z^zFb2%U3K+eJ)NdptgT#Ywpd}b8n#&srkQ19sl?=e$@HDWh-LcyIe*<&DIrm%I&A9 zV)E)0!${tr^WOd7bJl>CM+RRC#NNm7}(6yzFQ{HKJ-r zm}p*)$&F%QI%Soowvqz)yfBiYw?|T67%yWFY&fKX+lcjv8M^n}fwVJrAecXy7E#hK zbFuC@E34I7NxzNg#g?ROAn(j@p?V}?C@YCk=k*dB@rJ}?*pe3F3?Av7--!?e@wEiR4sgM=sZES+!E9=Z8U=F zE4_$Do6PL=)|ApJ6vv9XJjhcN7#YdNVzVA5YUjBNB^$)7wd>v3H&cC3OD-Voob0Ex z)v>er&3TAr)Q(eSY@%;f0UEz`LyHxSOR^(c--LiGqi`_hoP%&3E8-}U&M5ZvjKR&g6zarkec|Tu$Ol%rO+%nR0qyXF zq-+8;p^scnsm_#rV+6!H$~uJkl~2z`A)> zmOf*JF{&*lLwfL2v?xke_xX8NOv{Xp+qB;;N9ygidc09G%t*;nO1ew5O~v6jW;8;M z6Y&}2ux5wDG#K<(k=&ld7;|_z-!)P{V@T}OP3;F^acJyD7pD?4mQh1g(BnoZF-}$| z%UD83Dgtc>DZ)X}O~c?CM;~23j=8P6D%AxPMoai02zNjaZ-G$o;$`DLJ7>Em^7Udu zH#Z?jvV#SXCqtA@;7h;goiNs5Wwp?5UGC$4PPImE2|5slZix=_rZ_X!*)EojEbe#4 zS#T>lseG5m4cGvj(_8hVz8n;8RTTL86xCKGKpw|L^YEPqoIvJ6aK1OpCZ#;fWuKZp zEKiP30)>?H%HV@4kdqE_Hd*-WIP{%IP8m37xIZs5s$#}w5>z-b zGI!EcWNsXTfEt3_^8H9Fn%N`j=F8&=y~#LYjVaU^DVS^`mmX`L%;50YxELJ94kINI zG{0!I%<`L>I4l+=VMD*9%ZPqdojOwF2o%UbyJ`X7SrIAU7V1#i_<3Rvv~iiA01c3B z4+Cw_=NT}Hk_cfwq0*wBR(yb02*bxCVm_p%paBnw=&}OgC4mx@PG6R4ssXfV#@D8- zh=nECXvXsOl2e$=MTrJMKR{r=D;Ah-DW${Y!kV1gIPHpZnbHpRsy2a-K!f%AM=$@VjhRRT&I?5w>9bFR?(Hu1$i72j@-MG1uW#`C;unAUiz(Dj zw4ZUE@?GGn6|qAl7U*5)TQ$Nz{_a0rcD?8im7IkaS>NggIel@b6|~e4g)HA?92ZI+ zQ9tnu^wR(H|MGeFSm>2MzzrJQpDr&YK0@&AnyU|&zwCO&b^lQL=S%{`^P$^)Nh^8{ zZhz;ttzRzOGcTSEk#at*p}lzV^mngaOWzUt{rp?sdiB+Be8WOicSw^Lm#+QPSxMWN zZudWFX>jm_s9$pb@YXh$d>=b_=pd`^HZ(Zh*1&rUQE8yT9Qlj?`CH#P|BFW!!pi&U zPe1+XZOwD|bhNPBEV)m?*)WD_IrCW&eyWIQ#vtc+B`HHa&5 zqN0#ITZ3Z)&UoxFRFJ8d%-jS8k7ud|^9FEdC$)M@;rrv@hpw|yQ!v41+V~___9LYV zk)tRrU78(ZvOhRa>$QYqG4PnP6!#&wD?TK1!Jd2CSTudchQugr=cVhpajpv&=kzpC+g{8aliK7LRX20FlkWc1w(U?{hVuAx z-|@=9A|K{i#DCH={+!QYSRg+LqNgwN`l6IVvuzQ$RvaVo64m-EPKvpi&<4Z3RId-62%=z?|YdI zna=C>r=!uaTgKJ( zr;K2zfL^@sWP?)Y1Mv;5>K<@;P0!4s-m|A-Fh;Z(Ogr@KC-dVlUyR4Avf`Zcpyp>@ zy8iI<$(SR$;pA%O`>hTl0qST{Sg%S%FEJaPjFu+>WQW{pfVpS--aHEe@3ti)ax;4N zooWA&N{}rUnbMf}HDt0>FTDc>eJ0Mc>|!2D!p){lni|QqgH7*oGT|FF-NTdd@+5Z1 zGn)sl7$PHcT#l0H@p%~Tvg6_GaI(syI~>g7NOyF~VofUdx@Zu=Fg&9T%*UE+*Q2Bq zQlRHtOaaWFUMM&Ru{#>a@wmcntYBf}_b3q~St4g(NHLrsj3cYjSyHQ3o5brk83igT zh90V-Zh&6)#^ZD|dY(7KY@BtntVKEN4Ea2FS+EMhAX-)yg}ougDVa-|eJX)2dh+%R z4th58*l%E5&2(3Y$;FQP*pJL7>T5ss9--CeuHlo`_ofh>Gne6Cl9_tzbmpfi#3!qn zZb-i+x*Mn7`&H}R8|JP?eS*<0;doHNYrBSg(Jhw|;KDe*N@fgWovR^U)OkbI(2d>^HuV`aw$m0iQ|T za519sc9N!FSRtt(V(Mm$~Yyf>NDfI!nG-t$1i^5=<=T^ zp#RD8Ki%TPV}AP5dho{UfthS>mg`r0^o=w6&L4SU{E6oweH6MRJY4<-B-f`(T@N2& ziJ)p^w^Z-^F7Q8n=4np8dhi9Y{nE`}y4hymJ^@Pe+O$Oe5*%A)sSuaff9uP2$ltv6 z`j(U$zt2|dwXMO;J8E!nU}dNk4`^_en{5Nz8gR!>CRA3-g2xR6I$`FJQM&@}p%AY1 zTs6NQ_dIbs`)R^%x>6QE0vMb(_l^;hKcgesP<-Wz*t%Rd$UFl{>39Y&L`)$ons=UV znr0Q`s-bqJn=`eE6RjU$3mc6ptOGl#LpOFzF6EUsrzCNQM*HNgZe@YSE%*vX)XcXU zGwGL_6LRBmxH8A_%$*yYaq+>H_voHr^a zlM?1M!?XsOK)c`N>5)?tLNZS}8ym^^GSPG?H9eo+N=7e_c^c7U!t7c{*b|A~*j9Jc zSP9O0(!Q6qACgEaBrdvs;TV%okr@W@7O6ZbNJ$N)L&#u8x{V4Qs7dEY$-#}zsTzx4 z8dd;%uuN|JU<|M$4lZAWg7b*T8>0!k1K#`;t;^+#@)ulFCzp>H6hPrZXNozMHv#Nu z#@L5yrNP`=7`Y*OEUwrK3>b(PPhCYzXbDO<%q7!Y0SCESM@9Jyh&nO_KPhNKT6Fn{ z3K=aXh=l`!j0$}1=u@8Kh;LLz24Uz>qVzCtRTZhpuj4iRO>334h*gG930CxH5yfI@ z>jHA>L8ka~E}{K|23-Q0nb}yHrqa$TbP;hB#%~(JLT>mF$dcJa)v$CkBr)x-_<$MB zVdn{C6>&zj<-?eLx@tLY!WR8Q*}zx>O5U9FRe@~`G^-6rROVbXcb9mmtWvP9jMc!7 zFrtlAoUSvc*Uiup3mS&w5}Nuo3;Ng#R?wEriF>7f8ayVTt^m55+xcY4q|lTYs$K4C zyrs@EugcyHJ1+PtqY2=8DM1C4{#51$28UVgVM^1_7A5PtryBSvR#Xr?HJM4&((6cW z$9y$+*6?^VEVHS;=ei3LsqRV#iK=H=x$;!%Jan@8beem&$|rpJhYnehO{-f zu3xZpdk88a6NQ=8Rm3^r;v}lETaAuYfjpOhPBdmvcRI!;s~W1=eis9gMX?B$amFn4 zs)uuI2o*9A@e`v`LVqwaDNBd4JNA$o;PhjqDdQ?bU^R;-v*`ojFSraAEGZykS$RFA zo~jTilx$X%O>XD_>_x`di-0=;kejtYomu}_pKSFE5S3nmDTF2u0+Y|3<8E0hgo1R4 zV3CyyEHPARPh{n2G3|sIXGH}!FBZouldd2wP~m2@osdCI$`MDmFY`K8f3eUxV}6AM zmt`q)s*q8i!0jz5Ji&w|D*TQ|6lenS&VDPWd{nJq*eni~do&nK`s3k<6$pf|K;(XJ zG;v3cNA+|jtPXHyM2mY}Sezmlz5I9x<{0f}jSW>j=F5{4Mp@7x zAR)#|40U=iE<2HPnuk;+kqC(?7o{0#3QWT>S<2&rTdC_IBnXxoGDkNfw+iDDHcp@U zEV!JJE6-y{_b2rJqF)Y|)DtgLXs7i4)2<;XARGe#;9p+Rdx5-x|Fcc!zMTOomu z$<82Wbr`eLeDwvn-{~H!`pl15D)jYLf`MmN+j_#Ee8lFrQ*D20r&nz=+mF!iHvENI zngN&KG~=E6&X4F$Y4^s(aQ}~PPb9PKTj;&8UO&A4>iz$kp3L%#OU`!k z`>_d!%27S2?QjF?-McTJe~J?te0o;{no$PZlIoa#nmDdJn0yBZzy4f}mmMPG8wY=f zzU($!x`PJp@v9H1fkM#qoUBf8l7yZndaJXehRjzxR-+f!!^fDnCSAZ4E|?M&*WE`; zy&Rpl)25=%h?30fSM)ts_2z%PRIgnt<#mR13r=sJHhsz4w;z2}N0+t8Th0exc<_bY zJWC9({JwS*{P5O|wq6%iDII+4^w#OW+7i|BeDn8D(=0K#+qW-W`sQ2Gpzlp&>cLjh zFJ7!QaNj!pSB(aNJuTZca@}O5g?yksT&@E+b*iG zRwK~&X3*e6yZPALsyiRMg9Z;@K6qeTa_xKR4;WjyyneLy@7n9vQh}_WeEe}V_)tR+ z$yMmRJk`q)2xgu!QuX8UutO1hqNO)paK7RQCd&A!lf38$IoYi~$AahmxYO60yyWUs zaf;Q-CrPTrG?uSiQMR>zDg;(;?^{*@mMVe? zg`pN!+a=Gkc~@U8m6ZNTDTi-qjkgGHT&SNfASypTp7qCGJ~vwuGin`?Y%yr`B!ME& z)4ZmK1MM_b9r*#lnav_m`5cw^JeXI6nb|pJk9ah@(16E3Bp1ep$r`*Ijc=+5GkAg` zx9B~V8p1WSL>j791~p5v(W0oMGu4m4OU|x@nmx)v&Lx---s7Cu=m+_tRFOLd9zL%} zajq-r3Sna5=T5g>3JvK5XT_o356*eVI=hRI3lU~vfjG^EdeNhdRJUe!-_H_aa7YQx z_~k0Js9%QcEN=3raMQeis2dt!(=}#vn$;O+RK>DehN;tDW|S-#6sTYLrdvIB(Ip@wIMep0dxxSK(yIPuI5NIZr!A z`sz{GJf&nskBvTega86#G@3wnMvCL9Lh3$>S6V(s=DMJrcx z7evy$(%r*=fa?Z|kpBN@J~X9F0eb5Lif7O}j3=WbTUMXsPFR%EvD3(;th7Cu#Kno# zw8WwCBB>~p-w8ab&1;WpjcQ@~q><1Cz6qVMjLHt*6clsJsvub>XxT>Hx`m)_zjfjl z9$#68K{o84)LFs{Ss7A7Iv-Y$C@+d-tW43e5Jp}!X}ZkFj_G2yDl9a8a~5i7|Ms$* zFra55X`BYIG!3#M@7P-*2T?NXPBOIU`aBq03KMl6Ss==H%ZB=>R(F~&^BA3rvBY$P zad$8nt$4;qw>%am!C=&x^s1MPFlBcUCbsEZzG#=s1bVd8P$=X1_l1agBmvQpVLiN~ zBCa5yi4?#9j=NFwf*j$o3uGGb*ai2PIb@(+lKhDE(jS>wuYKkmVYWIGO^9tr zn#H0!^f0@&Z?2meLiHEL*}Zi8wS$9qH-W&4QeHW2P@1l7!RfPWrfpMWyp~eiEw;wF z(V!^W8kA*e8JRR_*yT{~wXMPbv8%x;8vIwm!Z6h*``cA|4A(NAm^?A5y`TCNJF`;* z-%#uB`X}UAe{}uPM=w9x>R&v=vBzzR&p!Ka{!J}K{qF}Jz!x?ctrAWr25)e+Yg+?- z@n|z6zV1G0Pc<}fue@0Is=wgA;G{uQ@ezdvuhtT44Q_sYgF%76h6a%y>^tl}t4Y`C z&vM^!-@mi>E_Xs-`7#(*kzaiGKee9kyFXH*Ls9cP_a_|hXHQ?4E6WR40W;zk$_!8c zqVKuhmxxK=oSiD*N7FzxaCXSAWvoy!4N1|9SQuH3$dm`>x%0t=!U}E~DL$(%{7x%d(D1)qt;V z{c7!R+pOAd)iqHL4qkrwMeE_V`4rcSFW$QK|Gk!Ka-zMruR7*mVbGveFGcHg9z1>U z=V}QbCiJI2{q)ndzisc+;J*9LYOsDKopitf@GXts+t)sBo(5=esk~HfBzH10tI^;J zgiiw-`d*ht@bwb}Gb>}*_Uv_gu;pF~NP>GTUAr&|BZgSDT#}(yr}-26?Xop~M73|Z z3O{M+dXTq$EkeuLsoYAJn$!Ai!>)guT1ByW4epTd(HnO8?h@-R`}zJel8v?B1lYK& zbi_^p@Y51bW=HTVZ}vl-d$X-v3u11|J+D55c`a5wK_FOsYMf9GK0TfSz6R%XXf5D&}FM8lrR+h8pzdbD4Pluwvy9undAJD-il&7&^`M!>vN|J=hhmOmYvGF zI{Q>e&Ki)(W!^g*=O<%txUd)7My8UH*uA3fE}$(;Zk_%OVxCQ#o^{ z5>>MdRr6bG7aAY1jj#no%ziyio_12j;Advx3{yU3b_;yzPHG2j0$sw{zg_9C$ki z{zJ-vfHE;DB&Rb&jyprPO^zCRs(=?|;})uOQd2ez=F+Qp5?Hpj81^S&5*Td{G)d|? zzqT$kB`iqG@X6h+P_$So42ZyzpB98pX!&r~8pQ@Z#f9R#5I}eaOs$oK4%WENK}DTKz03D?mMY$sHWPFZjEOcw2mPz&b*EAU1X*Wh+o<%5n$0qSAz_m6 zoF#CQ$iTgNA4ka5l$M5D>jq&XEQDA#9@Q9)2b=BLASmdy%p_keNx|66#$ah!HA_o; z0~d}R6Tn_6^2(9(Aggi#I?dxCwn#_@Q!{LXiJ5BtH!yBodmT|~XGE%e4M^)J$yx)o zfs|<^Ia-QHZ-3K9?HOm>aN}zEGLPT78?ptSYb*yK)n~33axWjC@~EIA8`T8SOpH*q zg(+*b)F+1b3nW%bf|zlsAQNy>XXR?Fh-;Skhn=9pn7KpaLac}z@N(wKPh@yi+MeHd z;W>H-pVpdYWXa(?u^ET5r~zf|exSAyrR$Y0FOfutCAxtJvrtrarBm7PB#5LHNclvx zbu!U0v+2IXb`Pc^7DO|#HL{JE7Ldg%AcTIrcm??Ly>9V*Ni zOa%ekT^A3N_AI?xl7jH!1W?_KUY9$yXQlgT^W?kRfmUqHNzkG5 ztob~i52}d*$vZC5E^q26YjlTN6v#wFId`F)9~Z~75SVDng4o{#WXup9GcQ37a6XZn zb+d`mkma1ngU-6bW9?iQODb$ZP=;Y|bD9ps6+=LYjxj!s~Ah#@>l#YhLFr8X{;Z^2LT{1s3 zIypg#28&`r>PBN7s&>qcwV9k*R8>e}1e)NUk5n{$=KM+?+svz-uuF9gV@6;=%NM9d zO@2s*>S_z-^tZW{m)Qxmo!DlSgwK4&j$`&Op7i@!lLzdb%^4Ud>(C6NFtgiPtmy5R zyuZQEie+adI>J=1?iDm&%$PdL0G-TvdgI#visaa51$Gbrrl$f;+Pw$oUk!M8S;O`!@2!F%CyO31EuR19Z)5ir4!@w zoCCSDncdV@05S@VZnR!5trwWyPORId;92TBrNZfcGz>Si!YI6E^`63RU}rAQX8Xj! zInFkcV)V`K)+SsvyN7>Ef`ufC6yiNsZM82pW6gx*jr%|Qe&$|I<(J$SID4ve4>tjB+&DPc z*5Lm89Xg6%aE=Cvcy}{*?#7MJ{%l(V_Q&qbPx-pdX!5H0Mr~jCD7QcRWm#eSDVhP- zFMgEy$f6bLb(d>qau;|hq%Xu?cRw0=gcXmw_r-zdOR*QnJQKg9+Hv#2YfbIk4ZrJ; z0HwhG>0QdfeOEN&buMV8j-#P%C-&8=wHoc%t$pH&$;5sA z2doA@ez3vci?q|By7Imp<{q!}K_>BY1^Mk~itLD~y%`)jg0fR1 zoT(Hy-aEMBEM`m5%T924$Kwi?jDX9V3x}Y{*`689*|j9fnfyLAQX0P~=K`F4A-HRqSs^%a+zQGX|SP*V$j{CWZdWp^ln$sCU|PTbt*Di7_gsGg`atj>`-G* zQ!rB=FErHs&<{fuT>G#qXbEiNyvWdkY(a}JAvWe2lFne(+9Lf>Y^KI=Na@ewCY-sN z6ih3E*>JV<$4KB3Aa5I3o@wbHgJu+f*zzC_WYbJk+j$G3`J{E13|4?m6_*^usaT!I zYD1Gh+p1aA7C^17f=juTWJEc269tePy*<84yg4@%5rAmsZXPrWm~>cXb-5>Y^$*85 zRgYN2hN;APu1ZJqWcc^OB%8XPQF=Nj8K>XyC8rfmRZT0JCzlP_WX8|OI<{UsDIEFX zibdgyL(>XIHtwpU+J2_cE5PeH-m5>3{q{9)W#>%+yDWm6IMPs^ zFzQ!g8X{$}>NkAMb_Y5JRaTOQW5?FKp&tC3^x;04k|2fZh*Co;y^N~8502YUKFgj% z37v2bdg_{6pk;K_mgs8zR+Gmi`m`HI2OP?dv zjQ(iU>oG)8K`fMUN3rpnixG=6HGvEVYCbBZGNG4Fr()~$q^7iN4#C*qC!Im}$cMbo ziB>&*PpX1Ah*acId);_B8u#LOT$N{Yk60bpD3JJL6RdW$Fd8!Gxo%SzRWHB|mSwio z8yYD1u`hPP+T2Y77yx6~j65yH(grR4O?RE%F+n7~YPW%`sey&oipQcdT!1_0X0+b> z%urRIo8Efz3whO6+|Hg7-{w&ZVcP1WKPFB<;{filWZQ!4b}#;uCP8coXeq=0NzT63 zTHHu`;I%ekLRE#LBN{xn?o;HWfcI4{0_=@LsRcQt70NyCWa zd!xap0Iixe$RzU=-& z?ZdwAdZp^GcB{7T#eVP#?v-kcXlV#_f z-?_JLwX4QG?kds34hZ|yBFx}OY&(`^%iC6;JF;UX#B2w)ArUsyo!A2lChII1w0I?- zuJ^u9y@4e41`=>^;J#XR5glV0WAaC^W^Sp`UlEarv(X<3kwL*))_MG|4NoUEccib> zuhi(b2HiUDF6=V9mH!alfFFf{i3_>p4|MS{oU8^`UB!a3Er80KpM8e z^Gk5Ru=R*9`e|QrCL<$Mve|0g<2AA})?-;S{>C5w`Q!H9nDTh|PC*5|f93Y?4)MQ+ zJhN}V@WOOjf9`Xi8}Jt;h%a>#3{>ylWmR1dBT@`zkZ;CRuV5v7h`~ayqVdjKxE{sr zbD4%IROME&aO1v`dVDz{T=4{3aSJZGV8Qv74?^%WyT;`fe%1EC!tl-~rorfGpSsA4 zE<~a@7tjG2KOU1Af!;ign|VCZ5L1%cn(GQ9eP!YxFgx&__bB-mq9_lkd6i8il}`ew z>#Tz?(o;RSK#*XR9ei{_D7U(3a9frpGWFfNBIynTIZ3F73+-ELq3Im0y-9Y2qLne4UG_1~MKEtfUcHln zHkP?NL%1!M1_FE}pYePpbd=a3u?QWb^9eJ2DcLv#hk8mNyO7hm{2sedatE*y=K-=B zQEmIuj0VVzVNkGiLS$C?j+x_B8>4A!aK+%Z^F=bmKXvrqiYYzi~1Ens?cgI zt^`r3rKP6c?sNHTu1SNKvogbZ01?3=7$mIvB$)aH23nglqCh*akQA?|45?%ijItA@ zbtkB?IO^Ag9#L2zXIbUZvfAL6Lsy{Qls#oqcI4^~ON+D{`5mmvMU_RqTEoG-!l2|< zX19j!zW||!R3M_Z6D^D?PFZ)k$3^UwTP2wlk^uF}T1?Bq+Js-$961I=U7_%!(&E!P z3hBG*xclOvGftOzC|MB->a%TfXb`cdM%tQs$c^3z`YK8{qhoqJTl3`|ZJ!q;)0~5m z3a0gYvglPL=YX@N5{4<_QBdUAALb87=?;O>B#m(rfdPHarHSk=)DL0h;A~xtu=z-i@rJF?PljaofHf>`(I9AZ&1ex6FS#@G#9+|4_Jd~ap<7`EWs@sM-&2Z~Fgn*Y>aN&*o-lVue8cHX{v_yTuoNfCh~(odC zws!~g%eFz)xtFz@)uHnydm!M{*PpxQ)-NzSE*<)P3Tc%%QW)TFIy-s==H~|NMb~3e zq;GG%_+H6q$@ER)i!nOPx4y+kcpmN_zVq47ezwD%w>gpdJnDkK381b}`;g!r=E8sd zLL@_c^MAYl>i%^9Cr{f&`#cg{&i!90cBDq_{~{g#b?43q`4nMhvtRk*uYB>dpS_y; z3+PV?1}*(OL+WX$svk%ZKHf_3TNLnl`jP*MbHDP%@I{EOr25;v1Zap4xM40l;o*1R zeZ0AjopkdI*DXusfz!8IQh9HLj3k3GduW#EZ#%Qp>?pgo#C8`Nqq408{zAa?ycY8!oNX6r3o6P45g8DJPJm`dtn>064+MTprJf5J86EFsAr=p@&S1qALIk zh+bBdAy5|RnOf=C&V%V8ODN;O!NTx&G#%%fjHyyJ82NiB*6x+jq8v=wu zl-bBwy4sFAoi(sgWI;O$5OD@BV@Ez^FE?#QniL;Yf`-_1`U49a7(U1txsf=v@)7vV zDejfh$HBLiq!Z+24pLk}%YwbRBq@69%4MFJ7c_4A?UGD&sWBTSi*TSCdMtq=dXKFk zGZpU&lZ3nol`=S=9GUs7_9ISRe7nr-;bsyNO`rHa^GmPWEb|_u^21kSEk*NUG+cFD zI9uiuRp28~;wCnBR2yU>a?!U$g|dJYAP1CMAC*Ze7^&5Jg$9l;pRWB1CvjUUO&&*M z&iIL_tlB8I?L5d+Pzp0Im%zR@URpjCt%JBVU&RVuMqcK#z!znxVYC*{7!}VC=~1n` z%_P>uL$Z90u_4E3j!*zv&<)*M^N3R+OfYhnMnUi^Jh);_wy09LqP+!~&f|d|En%y( z+fWa>8CU2mjMjo$$QOJWo^lgzMgny7TSmq=&?E@7lx()B7Y&D!FV-xwt!UBfwS_8) z4$29ur@2YW<>G`}NV@NeuJ3qgK#c?h_*x06Wawjh0+5{s-u&nf%~MOt9j1P3HoQ%t;MGnYohQ$7jX zE`zVo$f}c|kW*{3;EOHIpPBHipZT_!%%3)-#CLjZCcDXsT>-U0L4HYSOG?=>7&QSJ zz6K05fZI^9i^WoRm8tis0hpPo-ROSPnsXXLpkUDR8$H4>Q?rABHneEAlj3NNUFU_` zb8~u8OD~;A>>O=#GTAN{Rt-dtNI`MSE@y@AK(+snPm0BC#=Xn(a2CecsAg0VM~k7o zAsq+E6~F)htHKG^zBw0&fT9O^)Rg}Unl!+ zx9Z$IM0*$AL)UBXIIjs)_89ST4^4}I`Q>Eu_2so`XZ1AZS4-`|QL`VOY<3!Ff8 z16APzgI>@Dw)SB!UfS8GK2^V>K$Pv+5+%#DZ=D{i*;V3Qp^cB@3=-VD+1>@?-~;!U z>=>$dkO2Os)0|Hre%tLAoJIAyN5B7Q;a(@WxJ=DD;M#d$duMQuG&M@cHEBk5Z(lc3 z8FbpsjXO8`SWKV)hC;A^65Cq=;2*>OAG2Qe3K&aJ*H=ie*Y|o-zLged|9tj9f}j7b zy_W>{_TO;sx5ByP+mxs7h53|`$EnA5EQoL~{4~wCb`Lm>>c4_WUssyILz87?%Aa3w6 zr5Z>Q@Li)3-22SER7)f#ND?IX<>r$oPnUqEdqINzz1ue)-DYs?(T6|$)>|(fv-y*0#v0~85b%f8ctHB-6pm@&2~eQ@JP`7t zA@%bKwB&=;bWVFqgwBV}9hJx4Z#fCB>Jct_b0<@8ylDPpwEc~O^nF|hkdX_>Jg)SU z_u^2vVtNG$GH3BBi_y)R76i;Q#3Ocs20@RZN&e7c&7xPeMp785xCC^cJipNTFKHhT ziC$2V?;LyDOIqPm4_jFqX8L%^cN|k^k1$Q7L=nksNWb!qgt;U;3)$c*DWY)#l^|%6 zz$?yn1?HHaBsj`SIBM=#oZ&|AMf%4SHGqx@R4ZZ~up)YGacza0M{XTP8qox}I#B+7 ztf|=w>I!s?uZAC~EMaL}&%pbZxuh@=L=CQXH239UI+^=gGsT1y|e|@eazyPf|m^5qb(8SxlohPFzLxp)|1&}P1{@h^@_fx zXN0O)+h$U)+25jAQw17cpuZkB#Fi^-=c6`tp^ltQHETH~1+4^5>R3qxE8=|Nc@AWe zc#)W%xj^jHQdG*S7PWqjCkc+Z&PYa;hQ5@*ue|k?ed>#49Lo=Sh*RUYoEcJ&p$0-aiXf2&x&IN(k@!ofX*fh=xE|yFW4EV z&rMF9i{@=*MM>aBBv}DghLs_MrEbVJfRyKOz~`axqBEC_=!%L>$_UBlge)Ya(lNIv zN1)FGo%$-jg*;wj|oIC&(4=SEZ)5&@%9lF`^g&1v(1;*J~mfr<&ev%VH}V#FUzComouMNMF`P@G*~WjwStONrHciYYv(6Nt}=G1mZRKg zlc%RuMV#2UQt{(togXXdohzb}x8X5doIj1}c5$88&1%AtA;odnMISeDMbzS`I4hRH zSShDGlmj=So^Dnqd^u{qUZndGHUc$B>S{Zmp0fVJg}J`N#M$RZXUr4VZe6l+qJc)O z*>?Y`5>y|Y**KeUBclyZ=CxZK6*L4s?nM65)?US`;7>kgG$~YC4(Z-DR9aCJ1UZm# z1znWG@#*PVv&q&=zM|BWS$(uCkI{ZNd7bomjWecpz>`(GlNlXt=G6xKgp#J6PDxsS zhFitBZwU(?^M!OfK1;;Zczs%&Y$#<&Y}5xAI|-Wkd|I8diaNwPmXX7=-IRsf6`@c@ z1pv9ri=1(nA}6L}jRX}w=c~-~ zE#3rr-T?~YUsS}dcpH?U88W6Vy0rSM+hJD3BcsfYSXPbzxG`(w2XeE9jaSQb#6f|D9p?Ps2ftPy>p=o^O3*Cb5I4>3_xHxW{&t+}0?S^|(6^7Zk z#aw&Ad5}-@d@`G796&y0{Yi#4PWhK}2GO-RU$wB%!#2lHYak=*bxu3jl{u$5Ocnk$ zE&;mp%Z{f2cIECS-TCE&C&`Ct&-cTGH~%$@MtA!DHFy7g>xZUQzi(qYa@A_vcd4PI zo!>{dX4kmeKrHS1?!%mT>%?PZ(w!b3QS83OPUI%ttu2*liK!r4Ou&vP0`JU-{cX($ zsy~d|KyH@kK9O!ITYH;LhkR9^e^L>g1C)f@qfep!*{gr{YRJ#gv}y;p#0X3sg0hpK z3+g10-$|1Z~9gd%Vy4JO1yz{g~y!;|<%)-`zp^Z=T-u8UI|G|&l`SLH?yldLY?VNUj>#oOcu+i3Ux7zy0zLF)a{d&^kfBGxh z3(|g{ss7cwA8RH)NpZncBTg#=-kg5@>nPo=xEBaPsO7cwLJs%)KmY2VUkAI_$c4hX zIMeC$X6l_|+;+e58((GP!@mDk6Ayz&Y?gQ~c=YJ{^)J2k zv(rcD)yjXrH-p&w|JgbJ(uery6U_nm%$=8Ry>#m{cg!;~Zo7|sWc|9M47>88Q9M(E zU6b~p-@B)iynlB3`|j_#+yD6XhyJkJ9YP8p=JM`CqZ&&!m=gENy3F(q*dk(#fTuLi z_a35nhu$j(Zw%){)l+FzrbyP{1>P<%>>>Eh8#+g}k}D4wm%_w(2Bdj^9zuh+%+%)^ zK^8`y^w#e4akwzIqwAuFHY>{5m9QxMtk`&?>G_VRCr;g0gc=~U&d$~cV-hs2Eh`O; z97G(2j+_xqB~GJdEebFFM13=RCp**x`^mqdlDgce_d457DMUR}O8Zf)9y+>+JYM+r zsVf-Ie+HBYFP)#@wX2;cbZB-sJJD#04c-cB=nyIeL?-?^1_b-I#b&tC)99BoiwN{wJ(VRMa0ng2qsT*FC+U6l%Bg-DXZ= ztjMELH$fFGRaTw@_)bn#qp@}_m)>8WCvdAVKH zh4b?GOCqVbQ|TM|*ob3~xlIJ2b)n>4!jG_>3r8afG!e_=?+mTpMJocujxrKZ04SoJ zud{^^bc;-JDF_o^?4F;}hYt&*3dOy?r>(1ZW zRHXFU^Dr=O7*EMh^X5E-{2`!R4v=LUwj=5yY-?8!0j*0TIvGUgpgiYKUMobLQx}8V z#QKDyxD-YbW0$L$Chc5b_8oB87X+mH54PG2R$ zA!o^%D3Q4>!9$96kM()vmHzj?|Mk~zOM)v4;V|k@Qbe$+(l4~%yYA>NyBV1IX1~Sg z`JuhtFLF=YDQ9TD-<*8>`ioy`Iv!Ws?{_~op9Y%~x7FiN-s1XvA0y47clWB$3a{mO zHZ1(JY4(qP_=oq8p7LpMSUh=)oe$p;JdXtT+zg8%h@TI4_QU;F=_p5c%E!oME=gKxa@{eSE2RhYbw zWnKC8_&)df7g(F=e`rylJDk1fXAfg!fchf*=Rf#EKPT+$>5saL5f3r`(0jqxhhKJM z+3Ns`s4i#+Nm!YlpUf6g&-+q79m&`ZBhPB&Htla5NLZP#nr%;`z~BnZ$aMwSV7(DU zL~L-yj?#CI-nK`v&-oRh2z0MP=juu1NO_amGXSqs^CVNwt^}BIz$d(S89ja9Qi6zF zCD#B^I_o@2Q_mvmp-g_6C`>xF1pN|d{a*5!o^G7+PZN#iVNjS)+-gM#DYqOPGALoj zM_{TBsGw6uCHcxQoq_zm#4|Jgdg|#)X>?k8ClMi8ir4ZJsbAOWlb=~kVMZjD(?r~r zl{B`Cz`T>r*cAjBb_~3+w;_ljvcg250`CNpe*|&l9yya@JRsIMGbLGCB}puao2o3f z%-iA&7N9Ko!bevqb<1ugE;gCG#Q_WR2D4>!i#3H2MF&QyjQErZV`za2@ph$hJ2Px= z6ppr1lo=gMrrC&QiQDZf=?l|Bt%R)l(&S}ZsFxI&v{WV67iFb`hcu+-+tNrW_u(X= zbbuh7?vS>OigdS}C~Sabm$PcxR)Dni5j1kgu1Se!MtfS6joYmhG(b0&CF;ZGJ8%rt zO3aXc?J0`_LTTROx^lisxnPR2mARFiysDx^trDckGI-!EUK+8IGBp7|3oQZh&$w|N z_=vzOXcJfpv=QYe^RyPBQ+1TxN&%Ad8{yMUmRyOE;b3Zz?yeMBkE#x;)cHFweSZD6xh2VQY4+uSM z8!Bq;I24`wk~+9yKnhSv!;(&S~w7!rtWl3pdXB}}mx ze0mmaHF>|7G!WW#{2jDyR!EfEU1O3x8rTK7!`@be@;YVwhQ-J#*<*5?AcCAG`#nK7z%u4THy{)O? z4}NPhdG*z+_pgglzSnwl1LmZGu>@Mb&dt-!trMs@b=^Hb&4ZzK4|vZro-hK1G1cI8 zwj6F|>2GiXJEIWoNQ5}1XG)6nz==;vXKS;aNQ(!Xxl0(PG{^xV6Nnb{J!%fHO z4&g ze>qjcRy18T<=m-Tte?R`_d-Z+OwCmU_2@2VYjombB}&W6aR>Wb(8z%CB@oZ!ggB4% zyh>_BIgeL5xdfx9q(05XBvOwViVmPoT8W36EL+CftV0uE#X?29|5IO~0;f-_1nWFX z8x9>WqlbkhoBHx93KK^n*-yUiGK?2@{d6=iVSeW6G#gE2n4~*+rPHWjnT}-ZX^Be< z1KHKLnXLo)5Z%h+8GmMK^;2GE4g82Jm~4}MXoitjJR;W-DQ&o^Ha38&_6nx zl()P@=~=0rd+Y>_Syhxud5jwhmh>9Z3@sCtY63(Oifg$@ux^!b{Hx5ZSDFS1DPQCk z0!3LMm}C=Ag>kc}&I@o6`v8h5UY{K}4}q^cERlQ1Mq4^Z-$2#V^-bG{`Z51vqPlvWiy zxi9DLEKKrd;*Of7r_BdQNICbCt(J!tvT?d;PPqhUWfZ6B(;5m_PpbGFRa-dG%G7F+`;DL!p$^~sck1AVn zA(7kyb2o+R#0r@u%ZpgVLJOl?Zp17V17OE2$b!~)!k&UDI~Hc{I0Yp$CV5+w>Un^O zQ_rJ`c8whWY0uR!W`z4NHFEBr?#f|eAQM1b3R$$o-KZShTXdpxLmETXGUx3!vU zW(eJi43TX#i|S|fw#ZuiCaVcp)7rNy?rF8QtVZwa<4NV)vM8HHyKqXE#a*6cC(Cl? zvt5?gVK%8lwqX@^5y@17(-@VHNnq5WL4vG z*{mYXv}FT{e(1_@Qv0HIq@GW<<-%{8$Ws3>k9Ew08mI^(<|;B<>zDQE4qpPPWD80V z^>j6j16~9qu$-`qooyw^bb`(1=+ibLp=1=J%Ub9$J3XdBxicld z+0@RX({9~acD6jKp))w4FxBMMptIR&ov^yA^j@VFwj}GdR!9%bY$O4RoMww1YIxzQ zB1b>d5~Su-@hEjyFfHDkHOkvIuc6k+o%T!Ufx7f?Yk~UZp4{cE@2ShmZW^o3;PO*e z)O&*2NFbD5Z zTx#w;B3T+~f!`M-s^qkt%hA&GvW-3zUZ3b&u8wln=9400 z+Kbb2?orr7dOoH*7Y`0br_4^t)PNbgY-Kbm`t8T@^gPFHBzf+(c&%T`pF-^&6Z#0>(5I-^QeCFH~-WBChhSa(qXyll@k24 z)@JYDaX)=tf=5qC^Y(2?&=@P}PwhJ6{q^e-<{LnliZs!iH?Lp+5tfa2GwNN~qeuVU zL7A}f_cMdG{pj8!R!XP5k=I(WN=iibEx_G+$=$wpW8biVkx(Q`G`mYxom{srO<-{3rk7w>6C64 zSauGxqs(HAn;V{1+}i5BXep=xPnARPyg9fMG@T>Gj>bb~90IO(g;7w_lQGGO+}lOr zqn~-pHFT3=M10OU1kJLpOwc$hy|$%!ieCazYT`o63O5#dj@8Ip#5u2Ao1{zF*vX>C zA@>X(i$X5mf#zqH+v6ZaQy%n-Eba8x9~ZgRqfv@lZ?pka;_%#<=~x!s2Wd8tRI=Kq;dIbwq#? z;gZYn;40^36se$>n|!v)2y3|DNsCOJM`hrn?gkG*f;9|PF~Pibv=Sg(8&pwG5|PS{ z5KQ&%5=^!}(47rnhXn*}7#10&kCgG~HfWM1GkP(LavnfTz9QYS(cx&p3Rl^YM&>3a zh6S}Q-8oY4eM^s}$XrXh*;Q@m(o77*7I={ef|>Ny%&ra1=GL%I{Cu&pOjEFHn+>NW zL?fke`SUsBY^F}PI+T8iLc7GRYElWTXb01xF?uufxS3W~Lz9R$FbjFj>h%h=E`g#q zP@TEDq#ny%BqX}YjARm|`RNMEDmv%giDxgRM=)2PkaSTO^>Q6&(_`r^E-R`iJ@z65 zt2*lxDzI@g>x)(?9U_rz_n6|nU6xMD2$aydOigW*L6qg3W|`Z<`T0b0h(;|h9o(!@ zs$8YmLen`cVXfD@3RK-)o{dI`w#J&0AQD|r#VYMO5~8ctXRwZ`PQ>#_@3kO0n_3vb z2aty84p8zC(*U&>*&)(rt^t~!l&d6?nNrwxnuOgFOlZTt;%&3tO+wKuw@9YPotzeaS-!3DP~@$Eg~9 zl0Mhj3e*v_v$%*p#&Q<4Pu@|)I@@G;p7b%F&(ZKm4h6SzP|{qjWw)NO*zFp(3(aZE zk54l)cX6^Pmb;?f>She~ds=cYzwhz|<@Xio{?aL2fW~~ccYRWg_kQxaKfUIvYY*{% zAFU3+U#>rCx-ulK4lVj?ZG?AY?cdQHcc%+O_>y8%r zox*n!)wvh{vrdb=nhm?p|BJNy++A}?i%+sLpDq95f%|8Xd)dyKb39qlHnJX zW?Hp=a98sJQ|)8_^32uvzt8=*M0ntSqEWX&;{kexK;P>Bc&~td)xcr6>frW0)*kda zD+jWFv!h{j;$HmxKj(l0X|->g7Ap5EuS|7_%m?J|4mo`MxcTSizsh*31n$NY28L2p zB&f~x#JzB}1Z4c21jM79cShIKRtfqsg2AynY<=~83$ zE@CF&iPnSXi7F6IZy&((qerfOIM6>Fz?Pa#c=lKPGhvJU8tHUPH7(nVj6Y7J@3@amz3>3Ij0ac$;0Fwq{l#)g0Bh9iE zsH4gup?+QZnk#axMAABosz{F`uup+CGKqI19WA)H4T+dKM`y!55nouj+yYdkUakU{ z@~XO8S z9)nMwHunyuWT9>;Qt4>yBnj9!iON+=e@<~!xRJ8yAl9B5Q$95J68Lq(;{bC3)?f;* zjVnA>D!b}5=O$Pc*~T;Nv{}swParj0Q1vq4NrBlkvmo=P2i0m!HL7i+ z(;UA9HVASC1YllI(veq{OEE9bOj=5&K!?!y4Ls)^-x9(;Z@7%#+8%e9tzbBw4M8Qx zeeY{uckz^1bkP&izyTjn1hc%jH}+iFbU{p5YWOLEPN8}hLJ4!D~PQus?mYfG>IPfWll9UfcGr|~g z=mw9@8`aF6#wk3RfX1H_ygXg4B7+2s2T1Enb!D_>h*{$*7bAJ2o`~`Mu%&aRXG^(6 z9o$CTk_0%0U&OtNRYZ!WJUd-8f9<&|N`)H)={$Nu@KA!0M&=~lc?pg7QX_15)StTA z?Km&&^!VsR6aQL@0N+!$ZulBCEvzUww~kMRaUxveBDH%As+yWODKCd%W4cS-$3$ec zLBnkJ?37d1TiCK>f6MWLgf-2&Y3LK2`MHkk@7rFY46-z1G@r27P;G!XU8J?lim)rWxtwpCNKm2G7 z8>+zNKESXkc~)qZO4BlVm}a&)2fbT;{-@Hp@3%AHEmdzp{-?dCbC^C)h&a4ZIce=c z*$x5_a83SI($?=2Zhq+X@wH1J3a9zAp{INa)ukx<^l!iMCvUvLj=h;pXD!TTMu%_$fdWg8FWiPKQ_9xN&btcRxBK51mS9?|nBCMCV?6 z?dHu+f^Y9jN$~F}Fa4LZ7B`V#R0!@aWd=NOKN??Lx#I`__6_OIqm$VzxHlfS|Acw$ zF+5=PIx*ldX>_lkOE;Mjc$t$2+PD64Q?u(F9Xey?`-SHH-0LeII)|Jr(syUjo<`%jyG*l|m12s+<>|M&Qad*>V5Ox|(_d^hmu(QB_gL9PGvy-y$L#DBnc^MUX6 zR6+ydJ#y)sJFPVlySsP4{mWOeRbzbVrALo$IgTlGZ`4=Oy?gwj1pha!?{c_789n-! z8hO}1=KFHBo9acv!?XqO(hskYLx6SKoP~C@SJ~pHg68RX4q(T|(!kQLm0VppjaXxFMpFzh(o^!Qf)u(XfmED!h99y(D z>!qT!AgO93mOZec;|)$%4O%^{7RHHoxJ&HXI{FgBM8USwV67j{^E|Q`z#3@Xdo)*P zCfiWYZCD&1JrQ;tzc%u^wPks(pxhGI`Kz3zpnNJtl(ZF;hXCiBVk0a>t`K z{*7}Xoj_)I%0M#sty)cJd@u7*aGa%VqyxshZE??hL}!axoE(FOrYOinnMiy~DcT}R zkyC?nbp#J-g`w6ijX}EKP=h!-@S;2qjUlSSf})?Xm69{OJv=DVm~>z97kDmGAzkl$ z#ItfNC5aNGhZI9?F9(+ss>+f13s_cDwK|Oesp!!6F3u&I!%TsMha#{q|hl__Y zDk!+NEA}C6II2F*qp6k_l5P-HgKp)>>g*PMwbiGvWTVV`neHYEP)o@ug{>eiQm--%Z?z*inH^rOyuGf(h{mah%tv04OKTPY? z`MUD{RiXF4V^&M?-CBRLef&%ofBoc|yZ!2uS33#*2zX|s=O%a_38Ww0W`ldC`?Xln z01ly>zh>nG&G9hod*k~k@ZNBK{21Nu$?yC>&*JuLzxHeY;9z(8=uGuz#eI7CCmw$Y z?elju6a7@UfB*h}$ZCok=-NMn4$b}X1Gf~RoB7s!b))%e?#1VWX*v@QE&lG0H+m(Z z)uWKrqu3s|{4>}}+y7W&_vJmialpS9P~W=M{4eY~XnECdUcHn0O%7ncbxWfx@2vzR zP4YKg`rdi{W?FZCS>}=itgwIUowqIvfA=`<>ojWdg5sL-R3^W8{THu0X74#6@ySyO zpF0o%?uhv24?Fjz=J_Qcig$Whnu9k>G^eoXa``}@py2$*EDH~YfWqsgWDt0t_`dxX zieMc;NDaIvH2BUdoZcq`_|_?$;UhxD7HPPFS~1^U4@!Iz=YdPge^k&phzI^dpW|IR zWK`XnLojMDTtNaJm0s?YB{$BHAuCJ~sD~|g;+Fbs3;e>t#Y}~*&7~Q=As^bwm>}7? zJW;$@-_BJ<>#;1@6FC>>j=F+-?0t$fak27^qw?r7*DGiXTpc9+eeNPlbE6Wmz>b^x zwmfQ#U$O1toVTNEKzV+tLpELFQZ%P|ZLQk(A)7QtC2Uq&SSzI@R$2Lo(id^+x&+im z?8+)V1qY&HuGtUDZ`^>^%<42`yKd=7aox&nJ?z4ipCAcf8IqhS2Ik6Kwhy-p$&@9EIavA|@iIYbk(LeLNyD~k(CV4E zvf-}=9Q8k~ZND}Tk@+SMth06zIfptUDneWejbY97CzgTKZq5_KGw}$sfLf&BwYOTb z6&dEY8*YuWmbaDGTq*`-Etsv=FA)<~s7_HFFow02^)Z!}?FdqM$xq*0!*sRly=pp+|D3F%m;COV2dex=x`KkH+zMZg>8M0;`;=Ey1>-M#Zo} zAZ;b)YfKh$SuQ$BRnlT)Gz)G5#HlS729FntG^4#ulZ-qGJRG*2f@V?j$V!B0P8l#>$uuX17O8JmXNC|``G*EaG?!3^phG;|`jWnTmpcULL0o6cjT$b@dU z*#z@dj+c4P;cK;dWfVLE83RzHApaTj#Au{F6TXYbylMkZ@--s42=GmiqDg-8VvsSN zwk>psLm#!9y7|p*(ML>}s6Vl!1+T6X0==NNpjnUbyw`CRzikrWxYgFs-u8I|zRGsYs2w`#U&+8Jr7f8GaP?ocm&nC*MSg40`X{P~}cX>Z^D z2_-zhxiz{sZhYYq2?p}#ag8K!l3>tee)%)M{F%So=^C)o)cotOx4K8mdVk~1H@j4H z4%a=d+h|4i?sY4$yU@#Q&Ge7wT=g}${hpoafXZGH{J|)qBnV-Iz`5sZ;T>iyL5F*~ zeSWQh|xXflpx32qN_!2tw$J^E8`VyJ6T?0->yVLxZCP6!khDZVYD@L*jCx7tuh^2#q*_{ zGV*-11J!#e!T$Ht&W(<{R%Lf?=kDB^c2(3Pb${Prcm(Ln;I`fA33b@g`)F4>_z=R| z0M)oHd}Wg>v2GGAM}N-qhgz(dqO*0jFK#zt9xYY0Qc^}64Zq4 z7}QTzK{Q;IC8Xg^bjC$BZCpi1F)ONa$0k5+`n>{FM%zA2d9LLPGCIinF5J1YShWWt~cHS{p`&XG}m*3J}2vDB~+ZVUvrY zU7l+iYnR0aSHMrXq+Yk;&DK*ePfG!!9){M?qk4yx5NA(a1)WG;ct;s1&89tF9q3-wzTeyb*!h30#jbjy6U@T?v_T9&aace}|+IAhF^em^4^WsT!|4h%wS z1qI2UlIEn`)$BOl9;3{kX%waWo(n(%lsBU!6gj)Ivuu&mW*9Tc^(PIoS+h5WsS`+q$NDUJNc|Fli)dWUKL!L=48%cqX8HGS-+3!FYJLe;sM3xIg_>h%ExiFZ%T^q>yD=`Ts5tAdN&>`c|y!C^^)4WuRU#}LP!)_or5iRZ(Z@I34E(qCl-Z6VKAMyhG36)&aI zd@?8Ot(xWDicd&M18076dkRTMZWVN)(Q>bnjQi68Ap{qU2jQkdQHU`CWvLD4q}8`wgpQ%*g$H)yas)13DBSCKNkkCL zRF0F&yeaXP!Z7EJs3cBDSgq?Aw}yry%u~K_nozF524^-_#zos>f!{%eiVIif(}nL6 zV3{?hWG)M>&1;VDG`D;vJQ~*K2goRZrq|X2D0F4QO zmE0tsYdLQRl!@_(Ao#47ZdNu9TWE|in8P`b9n&*L@1GRjhRF)O9D&=O_39l(91)3y zsxY9@);(TJWyhfMPEf>X4tqvWy2(yB1r*3ShJfTic{1z1y=N=K$0VKG$IgXKKWOCZ zoiNKeE$|RRP-a`u;`*xcomaMT%1$!cRgk~QFW z-8$J16Wuim_iHIK+Sk{v;iMrX%)>-^AH2;65}4)%6TQsVpTY<96)xsOhOg?RU7!7& zfknCXv&9fyK(I=-# zK>E*=U^+cNtEc&u@f^vG$#wU_E0>+|qoUgH*-Ux!a86{9=21SluX0I(!?5uTk3z2V zyBtzwNrKD6IZOJ5Q3$hpUrxJKuW9a%*}jjab*^bvk29g{Yf1h7*Zc1KniRb|6T1B_ zb5H4h>|#E5u|J#sN13zXAFq(6dN~HM%hLZnvU>TH-38!7Os2qC#*4 zT=sj)n0X@Y%xUL1uz9CR`2~Ek@S{5fmmq@P4e3WvF7fvxdzflxbRE*;(XjO^d`B^;nGmjj;ibaOQsejmRp`*h!ar6|6 zbulYeg$5&dJhdrF(+=hqjrexZt+WmnTX?Cdi6R&gJ{*`$QYR<{1R{Yv^$R>DAylty zM;{R@f|{r~x#^l>meSUPL=#MyLLhRASmcYENE1nL$;lB8kJ7W-5zDjA)L|s5=KStzj1h z5~ZEX3TpcBLr2P64zeBs;^35P&50m~7<`M`d1S?INSsG5k^1WYSb)0Q zeqf!fKBu{_iNA;}5IZKQOSW$ziedLllme@2NK{#*qs($Ya@fUDh<0;RXQ{QSIRwfe zKq}TU(|ys3vB0YvSFnLVoDR5I$jC^2RyUh3VujEpU)$m4PnqpwrerMldXTV#o$GmE ztvpRtV)e9d3(SWCs+v?iQC^j<*H5joz*mO6VT0Y8!5N0f$WfnSmYs33z5IGiJq0Fi z=eL~T9GJUQpS89HqTl#Jw<34s1!yww!jA7@(;8G6&@-ezEgGkrF*HOoP4;1@ z`QpD;u#A;6qOKM99bkqWSHgXr;Ot;=?Q)X)-Rz!hrNkQCm)Euix3d218@ImotuAWQ zIykuH$&(vTS6I3@t$p9Qzj6rwE&`g-tLy+~)Iw_2t7H5#+}CehXC&c((=%dNFdI#8U%Pfu z0*=;t0>LwPtB%r0YP>DX9ATg7WG3LJUEn4RE^89?EnXF0`os?%{rFe>zxgHiOdXpY zvALb#e3_QMfaQP8E%G8M=p<;y1z7DnZ|I=72mWJbw=#b9`q#hy+G|a}8uaTozxvfT z-gwE@cHe&XP)p0@c`S`+XlzO2Flme_#ro>?n>W|%u>{wz-@4UFFdpi7o>ZPj(@7wj zu>^aT%YWeu*ZVTKGx_@0$NsB%2Cb%e4$y#?z@9NI#&o zyOkjD4)8t%b;$Hnc6Mr(!PCF<`~n!+jk&T}F0loEu===zdV^I=%&McF_!b7R~nm922tGG>J$+c`L-rdTGaN9;A1 z`epj5;PjI_Re>TK1?N{4Cz}Yeo)U+I5rGFgQ-}&URflXByK+~U0XjbHW_Ikz zly<6t6I8TDrgfcMO;t2T>!Vp>WAZnAZD;7LDQ}t!S8@%p9PU=5k17t61d8Q~AcNEf zE8HwdaMfz<>X-&G=#wXsE}DFh#~?>3k$b2WFhDJ*8p;T0OWHUUMOyIbR*m3neX&&U zS(n{_ZHz!FULsPKY@bp?BxL22B&&+>s?9ycwX(!1K&6I3q{gM3)~>*Jw429JxmXdp zUbVT>V_}>J7Tj(NH<@$B`;7C@)QAmCH&yIR;bX z4HqDSi5VYC)dahq6tzAC>@$Dl#W;}L3chRHP8CbSt!*L*&7o4B1UJhpY41WApvSSe zS~H!Q<+Md#v~&`acI>AY%Z6c@P)@dC-In{s2w9eKeiE%I-_iuPez}W_f^&D5<>`v; zr+q;jMHk5*3{oLpON90`E- zz9{D??Ww}_(rh9gf!U-K%{LgWKk7BGh%1VH>X$s$PVB(>f$q>XVexKB40cLlEEqna zhsY9IsxM=J5eFw!kvzXmLqQ4H7^>=+HSz>5&*h5tiBfFmRTHut8mJ^X=Lg7r1!OMs zS;%2h&%CWn$mYkQ&2mQ-sYL^M9vA>TX>fw$KZ20lEs}Rbd8&4>p~Y+%mCdtalCM^y z2p62>H48j{@`8`4&Apo+pU#;EpRk*uOvGxp6jR(r>T^k$yX04T0vZ?_cZApfWvQ6m}+bWgOa>ZDB6}EWc*RYvOc2?elwlaZXFKWUsw; z>x~NxE+C#f`G^1T$yc5{vA%z|oib7mR{+}RHx%@H|3r#ua$iQunXmixdXS(fu9~~O zRD#P`wm2m#=kNU!%}OWt-MeYmX&3F@yiqg{Nep0wpcTcagWoP2hDZN z;I0b$av;~K@$r3Res5DzDyOGSSo1Y&2)eHtNdnj>3HV6K6%yRNJo$tjB>2p)cM>?8 z^uIh-l3)nCbL-7Fo41>{HBbG8FC31g3{+2ZS!?cBb8PL&@k_34!k0v(g;NG~-34i% ztRzs&tLyJX0^@`Pyq5}d=Q6LBKy@^hz>7Spk5M?Dlkzzq)S1+8N|Q_A3H4JCP9R!O zha~rS+DElQ{agqD+cV+qnZ^-pOn;PU3}?@Zn9-Lgcr!bw3?Pp#{mh@4NrWneW2R)d z3k;%}yuva!Ntlaf&C6j$Z=)ngU9S}>c+#PxGg}nC2IaXV6o1Xe6@{l9lQ!d1m=Tb8 zkrkL879qu;k1ihvcrDVKUF zT4+0QbY}>qOIeUTSE~*dM+?4_>f01*@k=L}P6^|nNCZtB=Cf{+89kSol_F!^zW_q! z+#DLrq&7|DOjwGiU3##rB@v;p>P`%%lw9d8D(7KFsxdaAfm@A{AQ#nwzMscWR}MC* zD760c6zT%}#EOn{U-Fb)5)ni?rBRt`l(<9$XHz0_6{c+$joeX&6@8=?@q`Z7f_x@1u)^ z$t(y!PSr(Fb-6>cnpWdkv#=m#{GeAT;Q8&$j7_P zuYDD{@0QgnKii&$lCZUx7I#{Zb#$L)jqtkpa2wye7iLA~Nm~$|C&5K=l6)S5P0kbCny`;XA=5ZPo!qhuaQKML z55;Y{mFTWHn`+t(okmIFqR3>b$aJ0*)qRS;X-s9)7+I6ASJK=YCiu}c**&-5J+O1T zB4mqZ$pOWLHvY7!J?r;p^WB_>wV#F!)LV+NT;|*;#WEfp?Wp$wJ{Qk8-vF%p;kgj3Nz*YfZ?UPv3BxyOW(iiK{p(b}<(2WL`ijijo*Kw^;7# z%_3@MQ=mZp*h_y#vS)ZS`Fci6kJCu7o*|1V_niU33Q?lD=1LWxY0>EPS*Ix;k^xpQ zj6TfE^>RnMjO4`dD1rRqcCk6#iH`gUFZr9TJ2}GMX)QHHzoUp}49?JG@u6mdMGA&B zL`{LKU|nUqN0}dIz_MYaFchpDE+C-EZK<#cH{b4f;lh-ooYp+dr@=R~A}_15*wwU2 zK4wL6XV5DlDw;Lq7^uHlwj;?7tewyZW;sdfsUpOJ6gi!!!P(Rc?VV)|cD-dro8zhT zKLq4Avi4}R&LFBT1-{&vfHeX?8V$+g|^-U`m2kRg9G-*N6Yv*45Ea=weC zUrGjA$z)}(2uha9n@<-E%H?<{Ui`F7!8GQ9B0erp7!5hAxAW#Wx-g*{jup&g^A0oe zr=m8iNty7@E0mhz`EYtxP$Cm{p4RZx5+9)@fnXK`0>J?HsSq(l$bl@*dF83LL-bHZ zT0s!uZr;px4ft6k_h6Lg+Qr?31EIo^hIUc9qj(rJXWnJFnp!WxKr5&>GwL#>$IVLh zAR>*yO(l3^524xGCN2m}1WuFFsjRJbiLM*%mB6@C$yl zgGrD!UZ!)ItCe)+^}|iK*85@7jURTJE)HqLtxjz-<93FWyYJeQouP!{<@?47WYVQq z&SEk%z7~g1Uc-hi?Q`vPY@1+(yA(?48@g;57=H)b?cwAn3(0SP@PmqR8Qf|9*{he~ z&*5U{zf1y}Q5S5sBse_N=PC&@=$kvQ{`&{fukysJ0^SY&U?%(gH;tLu?q;|@vGBC$ zumBgynM z35MA2?wvdGeettj{Oo*Q6!u-S7buG!CTP{aaj*0K9FNwEpw;0VB8N%i_{$Wold8a| z0UZL5k|zi236cbFeD#g5&gZ}V+iy+Z(o*ZYKQS4V)4f~01nyE1&PmXg@D&nJ4~G)y zg<&sXUK1HjVGIQxKio3%@rvb%b5INPixzqgDO8uVlPabcNWjOl+*v1kMr?fERWzi) zPUC=2Gjzgv2WjE)rCTn5om!Ye#}KozkM07XGzF1Mssgrmq#?g-K>>xkLn$z$ z=c9pu6EIp>FvZpi_YuV8KE^_!Oi)y9B1002Bh|2q&n+8 z08OEI028NfH*Hpp`6QsHLL_Y9YFuUe>cq8(iV?V$){kPQ(&K?K7JaLhp->$lP+sb8 ziXDbqBu>o(OW$LQ&Q%9eh%{diRd;7mJWx|@UUZQHYijwG@st}$YE>DnB62oH=V7{@ zuel4Rt|}H~Lv3eOfQr&Bn1#bdPFCb-st#DgZxg9;{lIE8CQt)gkue`$VXfCkeoZi) z*JX&S30>H_jvx?=S)tlmvR4GhSQTVwAj~{Xt71}H#mquZSWO9>#^5VY9=Q@UE0XmK z_V!v9tXYHz51^t5c_c9f>5JpA4%ZH}55G#opmM?&E-&{;5DPMNsXsdxMgPY8+N zojWf_3n7z(G7^|OIjhxCH*Q&_FvVJ>z!6^Ch46bRAk?=+|M2XnW zc^M~J)=byCqB&YLi*=pVs}tVJ_3~t!Z`a%9bhFGin`V911jZ&BqST5dSvS-X>hP)a znI4|`E}PcJ3?bki!;VD|=xD$h#fYxe%x58!!eB_0)}W^kcNCij>NxS!BOgnKIZn?e zCr2^VZWoQML8Uo$j1yYHr)W$ZQz|cYD zi@`2GDiD0OJUb1P?S$!CqfBJGxQRTN^r&?#i9f-fZvrwE61bpb^4(EgPqMmV>p*_8 z<}1alvp=0IS4|!qAmu#geR4X_YRb;;EaHdY*E65<5Ib}0Gpfp!*{MccsiFiGv%*^% zZa(>c*?apKNs{YMEHW!=m^B=2WgUhf4U1%?Kp11{cx;F(9*-{WM2@JF4yTnz2e#oO zPS_b7G_VYUq|SGM4RCg1tE+)({o$(;AmZr5f!QZ7uo?*-fuI2yAcxs(%Ra!1Tgo!9 z24rIi>wH{mfZG;_E0VjjTmJoCWaLME^vCQjKTh?kGCtmW5%J zt-uVYFo6SQg2FuL;Il!3lp)vv--Qr32zo)BC!M{$$pLnl%kwYt*ipbvDS3k|wP=1?QL zy>6;`V+}$b7Ep3#yLP5BJM4vm zMC@&&9%Pxq+lGar!X6egphkomY$3K%$!1-M(hYZdBpZ~bg~KNMyR*TJZ;epdDhW$B zKkIbxO^=_oJ2n~dHHy*nF1Uma77DB~n;3j1k9vb1WH89-y^FMW7U007GHI8sUR=iv64*JIEGjDy^^_?I2n>Z!uY0%FV zSmT8`;*SssF*(ApyFbi{}|Zg2%JRGo@92 z=_8j!z<;?~0*UA~m;BdIVI^jkZ+h-S{oA*O4YA$?E4WKft-+UI+Nr z0N5IS>8?byU|3Zge)Askz>~Bu3i15%mxWu~x3=@&$$tm*&_^)8Ilugi)3yXm6Vt2W z=PuuWaJRa*w0d=ZA9voDzU0HU?c%UFd`Z3ZlDdko6oBn)ey`1;)MvLpyNxd*a#w*C zRCLxI*Q`lk$KtDv#?4g#8)3*{5z5;cXswEhIIdh86`;V=mr!LMydE+*jQ5D$_7Np7 z7NMnLE*iBAZHjxgHE|eGEWPW(G`Sn@T7(92g>yaMvM^13n?_u<+wk!O1=gH=5=yfQ zb{m$9hIG7OOSTE(VrUnaxa#sKU9L8ehw0)4>H^SR1D-sm1Xt>gnK6xVhw|tQcH@h> zwwRddaU{bCFTddza3v6jGBYUB93-6r^Pi{iIkCq0;AG%KaDoU=cM^{hK~iz1fT0i{ z8a1fSPIoy`tP6F0(#>kdbVUPY4j2)@;A-ZHxDt?V$s}A3YZ`9Pn0rTM`*03XhCzM> zHn)k6yPB%sA)P8*y{J|GOP6M@kh$0dT-!jxD?^S4=5 zIu7kjSA2ypxSC`*-W5{CXw9QUC+GvC6*!o5L+F5`LrlH^4c(WnGNFN&&|w&UT>%)H z?7NRqC>%E@Qenb6V|3m3NiQ;EzKB_DX4*sD7)V%Qtqp{5^`J(zTv5wM4-;c3r)vK+ zh&50S#>#l7P!WLq5MA(2SkEDLv()s&k&NmT{O&Wr_aC1K>%UjKv%6(%jmLNyvlHBz zj)|$5lDe@r8rW!Hqk)YEHX7JyV55PJ27WX(z)z&;%xh&~VP+h_(h!jT3tfTpuQzRA zNz@CR{5lt8{Fd5oKQ`lwB|%JYHjF`9lH#JTj?T3+RjvgsMmtI!fd%8+O%AZ7m@vst zzL9I#SD{Vi0tpb%0+Q)Z*a+?lngK0PXu_wo2u93ytKNhqva%}O0i!Ey!{Ib0hyZ2* zJ#cR?>^kN93zkGGPeidrF3J%cDQ$4kc@9UbeLE%dxEaWVPU=Xjyn#RwIaDK|UM5RF-3ls8?!qM}I8zv!TtOCtk zM;6Zl41nQzkd+}c($>&<{9i>s8MUYrD=!UA*G zbCg0P97G>LGrPC&>Z#$A{|7xG1u4B8!(jscmCxE`u|LjmORo zre=4SgQO0$g-8~o&I3q-fkThqQ_4oTrdfe03dm;`Mwa8U6)^|Z`AP0yBino~ivah;(ZeBZZR%sLz9wS)3qjAL3j#k~BF-FgQII&Yp ztBWe1i%TKYB3P?!Z1*UIgP!dT2K$_KDYtilPgg;x3WEV@wbR3VV$>ZxX|Qw`)(Dx( zQ6Oy|1$Jn2*C@KnPSncBi@WFx+Z}%;g zUj=tr{GqVMCJIf_Eyf*e@fF~qoMP(q4r;B3K(iji-2#skou>x~X*{iE%KefF~MI~PZ3VvxX$H^kIFx^ctm@VIv9YX+W zfvA;@)t;57og*xmNF22!w-x$8%`_IeXpbmZ2$N73Qp-W)`pGE9LxyoXArdmOe6?))m}N`?Qn1BzH5HG z`YAV<4eJ|aZRNOinu#CS3LFOB5$CVt{3Rbl#etFUNa!46Zw@ren0tdwoSn|=um8+r zZg7tX3@*ms$Efi4KJwtf3joeWoqw?&dxMrRQis8~qs5p`^uJw2dIb|DcIK;tkXY>YxR8eI)>xujaj*6fY02b z0~@x@L;M8Uk-=Et=JRU+!HMy$8{fM2c0i3eyK7kj7l+0G=eIucE!nI5$`yyB1oE1! zlKB?k?Qj3!nI*V(b*qp_t{d*N{!AHRo?pk!#}RZHF~`&5`qtYp_Ks)a7AM>0@SlS} z5DsT}4vymgB5vGzRKCHa5VL*^WAuCgJIJ)`V&T2Dov8bBH~YHA(KWpDFCkq2)&8sM zIs8__&6~r^D+w!*FWh=T_6}G@QFaE^lwY@T=`W59?bsUqwNJx{+7M3<>aP$g*3v=9jbV zxyNhD!@9nClt82Pj2SjWl)}q{Ee*~~?&4jBIh0yzmbBF&3`wg%uR{99iBh(4@6?=>Y~k4{$r|7>ORi=t zUG9x-m+%fjosE@&Y04j62ZCXnd<;r~s|lQY>vKvv+CCa@55Xl8lGrA&Kui+>H}gU8 zF`;kj5HLlr%2s}sIo6&Bs47|$i{@A%)g}cV{Akpm&S<*1ETH5kC+4ebq@~f-D8RhAU5Ti$8Rv_7u;_PkCAomaqjKj#G-$zKx1-3E z2&+BCD}l1W-5XmfA;`=i7?`k#Mwv=Yx08-AIkixEcf_7pipG$Oz$%x>F1&CPG_F8t z3#$R-=Q`P->yUYaw&0YY5!T>qOdRHxUsTnYEgu3~>;OxHH6wBk)RgKqW#L3|cuL8j z3>@f%X;|x^5CWUrV6;ZzY%XFl8rXx4YY%8@6brUnLkpjrFa=VG2vIe(R2EAP4?&dd zoY>gW3bh~sAoaj;z=xX5tST@?QG|5xL}cv%)Fq_~9ZazA8VbUIvp|QY35kWypc(X; zdTAKIO+U+CGy;$CcMYcJ0-OMJHNXh3mXbvNwRLs9pb$8X$YAe2)0t{$po8ImY2l+2 zJW}*hY0gwc={Y}^wgVKJcOGj? z{_U|WBnAQI60;|V{{(^pE&#f+` z>DU7~ORLrq*#P@?-%3Qzdz_hCWsSB8zU*mc8&kk-#gG0{ZxG2O<&NEPXz;@kqndN7 z2;}KNBs`uRwPEr`I~gGR2%DkrOt9b9WZXl{VVu_i2SunfKBX8ukt0lK=5%jPR-pvY z5VkOvPe1JSwSj(=Z3U)sEja>CZV!tce(&1fFIe2Yg!P#w$Ngl9PH}Z6un?ftVbW(AQ&!-PAfW|BV<*p zZgyam6oEvTK_7sfL5{r*7IlD`<{)D)0%2V;OofSbfeKilO!o(=k{S(xYQTmE2LoG# zRuhY>?x$nZQlL6EvOrtX97N8t@(6-?0$}MH=AiB2JI>(XU<}Pc*<*fMEMbbnv1j<+ z7zNsxC?|I0vX0gS+1QK6G85_YL+yqf0tLPRxEkXzYiu_koy2b_^;Ef&+qh!RfPcGs zDjU0b?4ycM_WwZ_j%z}Y4%Aa_zB;spkXQJWly&RE;0&bHfJ3E@`qDSlMe*PPTK=sm z!JUl#<@ua*#En5=0bCEx$3fqP2i=$Z{pVW!nLg&;_WS3X-wk|_CA*XWyKFpo@a5r` zhe3nm{=LJ)_?cIKZ}_o~eXJqD>ov>QAi;wNFE2?z4%tVoW?ku;_wl==VvZ_;1|Abud7J?2MBR} z>vHifJefKBT;{PWIm3+e*|EaA30NIo@Qs~rg;)^JN2G@>+#={Ro5!Rz?Rw+;p6FuM zl{YFw-ppRZ6VOOaJDR(d$n(p?2Y2ss{dAe=`vkw=bBlwkWJDggl5eC_DlTociT zIo5AiI%tvZjxZA(u-#e_(PBB3ryF&JkEz_p_p-%6Lwwa}_H=9d-O<&npZ)ADNZ`IS z)s!>1T;h0^t^z(6kkW^uG<#G=4hwT<3FjDarI3Lbizp$3WADfD4 z@$*fCHVU8QVz-EIx`(Rw_b^jl1!e_ub&*w!q6;5yg^AT+npEpv=$NO8D<)lR_KSfvX(XfnK2I8s_vTtF~ZiJ3a| zFZ2R#04sTST&2so&&Pvkf>IgqRn@t&|1R*n@g0wD2uqm+t#z4v$HlV~pYV1kFS0 zgjba}-kfn!7Q)2uUhV>^$UtYL>ClCMUo1|bR{QyL$0xHmh7+dR}Rk6CYz*A&tE z5F5%y0~-x&G_cXYMgu=48sG@PBCr|JhCmC>3KnnVMGmd_1DxgkDv>E=mtw;=w31d^ zp%c?JJ>XvC*aJpzj;{{rSxMvqOg3{%h@4#p^jO$g!@cOViwNg8u&U4U*5NACX~l@Y zsIYKY=P8xfq#Wa}n_rVAmxTvk1s%%3;^ft8Pv}?`%((#}oum^q?~r&`?V`0Msl)U@ zX+;BNTqPdKa_rAi!5Y_q!!kH;15GUtsdr%f%T{i-&ueHBm;f)QI7YkxcEOLnjKRlw zB)Jbtj_Ux^ix-)sLmFVD5>Sf-k0pi!Muc2U4!CIK3Lai3LIe(e&d*DJ43bIn6n+4y zoLj{yu|b)3K846kmXK)9%`LE>7Fkh18bvmnSl51UjuW`gx57ov8Z4X^KeXFRs*T_y zpolComLt7sfWjVO^o(g|m|2NdGzQq>d<4`!nPo4gvTe;Wj0Pq{AWPB$*abgw%rQHo z3gjjks}2f~vS2^}@x<7Q7`;^;VR>w-fw8y*W@55B7;)8xl$8Z%q`KOnv3|CO5?3R@ z8<1b2{B6of3>v~KNekMP8f7cYNNJRE>N>d?Hqk7F6tz5ZF=~{8VTWmee3Ur&xWB!38RD7BdXpCjbi!v>^EM3xT+E#AqYT2_0c@TeC*yNHWq$J}bub zv%KPBjK2N|E&?_XPN(~Y&b4@tHIgEqOs8brV?ZoQ2&dz{5n}d$t@z-~kxV{;Lni}^ z4cxFRm9ZTQ?M9FgveSTz1%N7wd9()@X|?p809JxpjI8W+@jO!4yn4^>+FA)cZoq|3 zAj+PsIjRPVKg6TY#I_5JH79Cx7;<2X?r58_x25VJF#?(OZ(_S9G%%my|b z6dZFz6!_s!9e1#&S&Yfq=^)1UnSDHVAqNIkv0pnLX+=_u3x%D6r^Psd7O+8DuA?v* zr~Bzbs?ma0M#CM7VFX%J4j5hfwPUtm0sOab!3n5v_m_+b4|&7F(}e2R9uQGQqO}x-vT^8p9h#TzORB!Yzq{ zfCDO+%rd<{O@k>^wD_G7aW??VXM%VF^%j^kF~*zGB;5luBZqt?3rgODWHd40h4&kL ziInh^ca-)B*}+)dReE7h1nnn7dV6^k54%;5TnJb)j@SrU?sMhn5+QbjovTM5{n*Fm zThDQP;TEw^t1I)L`l&zuW5399Je-`&& zs&sq2kSH=?xN=1u_OV&ed*Ah5*%tcBsHmQ*oS%CGW$-=#$9E~g2OAPx`^#ys^IWkd z5&-{&KmF(b{O$b@o<@Q><1Qu0It2~_n!op5W!@l>m)%Q%Q9t(-#2j3VD+X4^7ylY} zMF;#Q#vRpV%;n8thcfQyBXD*uPreqen_YdRi(RPkLgP3kcB590s&@(t?rOn*3{?f= zkm?cjHua2ppzaG}si@~)F z5zZx~M(D2uT91%0AC?4z-v~A@Y@rO_B7_yf2}({vAtr538>{`U%k8OTO7tE~gNsUt z(2rNj;#vk<$1>blSplbxxhz@q8I7eg+ix3_} zRx4gRy_q^}4n~DtyCaw>CN}1|PT^-EDy5|`l?E~5Hme97slY}y%X@i;i~1mzOhIG! zsRT663q+-%SRRt2J18RT!&*|Uv(Xe?1X89266n%JK!SmDS=bCgDJ{y`nsH`&g(@(i zua0R%W|`tgzDSK+jW_V5xbSg>riMWv57kkiTL&=2z?+V++z`$bKPsI?u2qx@`!vSt zHYy=QP#rP8HDH0q6$sgx;-q0qm$yqVtOjN=q`wCO+lUwZtiDFEgP`(g5+M=+trgDv z3KK*#%JJ=B1W}5*RnMF=cgAry%z!st2E@!sfM_vPYuGXH2*(`+`#}bzvGDU6K~=IS z8g77A*uYm=YgB3-gC@ELTRWW#9c*;P)PU8l0BXi9#WKaowH98BV|ZZIpiR!nub~x` zRah#H!;!`n18Q@pz|u(;B0fs)yJ&Q$1 z?iB}!F^mte!ZRO-z1b`TH;V$@WbEdA0Jj57lEqR2eq5s(_q&{(3lIZI018a9MkmgL zcg1ne>9l)$_?Ci@sFwtUn@%yTFUzXIin+bhVqkWH*^Wdu4vT1_4i1XlLil0eTg7Z< zk`RT5E603wWP1kzOQ0zDQ0KkNHo^rVZr7wD1*MCNMp0WJMu4fw4r_NTRfB_xt>?G1 zEX0?M0^B<)vLj>%uMm?vy1V(TNLn!Z@oc#s_LVo7cc#N-qLZ1UX_>rlTz*?I( zdI}YL0jNCU!2ZXod;Y!}j;()^`+NBPmqj?Z;Oiz{;e%_;g#E|fy;q6Ep2_j{WX zAWn%1*8Jli-`YBN?$V|1dAA!b`G&*o;rxNR@chN+FOINZF>z@0SFL{RaD0(pHHas) zb2^-V%*lBO3eWK^VQb6nf{jO5hbW%#^I3$QO#bmdo=*S9-?*B0pYXiH;m`i;{H{X! z&tK$@$;3C$Pv)%NrUX8mvxk`0EUuqxOHgcGyGs1wp_3pRUA&~^6X9>2Q-6jU^AqSR zd*GhZGDp{(Q||^6rzxv{q4v=eeuRBuOL~_T9I%D!8E|lSYThC)_3J8>7#v-xUsD$l zlIvg}0Y1*JpZ^K;vG*kg7>@&*J=2$8?slJk8kpf6Ul&{-t4a6aR@fg_-#t9cvR~QG zvL^KpzI^+(pGaDk%l4iAOV4>8N`NkGRb-R^y+8E6QIv82ZRC3iB6$8|><{y9UzXr5 zc7^s!bR`-bW_(-SL-Y>hWN5Sfj>^A1-#+?3oe$mdl_}r5ryF>uEg#+a^UwX$!;z28 z+m}9wK0Dys-^M9U!~W&AR{1T*@74{bZME%d`g#ePvvcReDm$otkf7i2mm)MJ*uMG1 zt-H6sCcSlC($`rh(bt9B;LoUmWCcHJ^fBPyf9qLJ;vjvD-#R!x{dJ+vj!zeZJVe69 zVjKm`B?=R9(ZG+U2KYVghYse4 zEP?%@rq3SyUv+rn1;7S8hhlz+5F%|}Klbq7|Cr{W5V>7fuE3Xn_fxPPa1P2uoCR!M z{uJy&x{1X6`vYcmR(^m_e7jnL4fcnm0soPMA1PKrz6yCf&PRDX*BUB6%^cS^eM6D2 zN%Jh|sSG!sCB%<6?{Q_ZK4;zaq=%-o<81pLI?_$RjRrOv*l1v*fgd#um>)HPew^gt zl&n>a`bGSD|02cb{;YT(Zeu^B1|F^fc8bK7KTAXyu{3C4yPAW8cO@1qjRT(80;a}g zV1g5_LTp_Ral+4DG&vk6nAU<_q7Y*PTtX4EBTm6Mtn)vtsT%d0_TYS>^? zg)IeYA}cqmZb z>%{~j;4rllcupL3@sg*mo0SW3f>P>aP})8Wg0w-my-U8Tw}*(>Gvq8>3PXHFlTfwK ziDCrn>oUZyM4>Z?s`9bm%)p$M0Th&FF=v%tTfi{*DW!1%EUppjO#nVdnU~6P+rTsJ zv87@{xCodJ51#sa6LE|!3?y25jIC#gcs(L`o`WXQSY_b`7lFG$cQ_f|fJGA{OkHFk z5+4jhhou3mRo&cWk?A_n-qiudAObfb7|{wx7jfc(yE6$3MF=Zn+%c&kBGkNNdVbnN zh}pDLaAC)CT%7n*U{*O0gK-$Ah%MGu7CZn&uwsfGlulZKEj_foyF^u%U7$EV&+YAs zy)1o%k}13myh74DlDtKk@?>p6T z21}YFxPuX_F*5D8EiQ4~1q7OHf<`651(Wlg0S1@Th3}fJovhD@iNB6j-bPd{*c4nyo-GLi$o z0w&34X*Lz*1p+C+W?@ZItLe9x+Esp`is)N~V zZ^k7~`5tGKhcm>~L1`p$+B?7*V#w)K&Q~<7VH82nRGMLOcxsUYtFRF?=AI5Lo<5v0 z4%9U6+3o<5cR|H(f0o)kSJM$m1wD(k+Jl`A&hJVGg9#GtSiJl8u?Ql?4heAYQ4y9~ zbzqwR9-{)FW^)U4>>^;Xkll8;r-xOY!656APOjYUB)O6;4>VAK&Mub2Xu41jD&Sgu zt}56oUcUyn!+hjey@^tC2wfmGe7Q zfyuxLc3$Z)B9s%v$D(vZSp{bl4kjq~PNCE!M(ARK^#>FIeuV5Cj1dS&$0=-TfPDh? zuzdn-{zwOfixrrJR(gW!GdbAv@#<-iV@b*cu?0rN4G_i&B$j7PEmjoFrdTkt*Nw4{ z1rC?Ykd{hOfOdv?{(~e*bpjP56|8Zw3|MXz07)!15GZA`1C+>Y&_Uh94;o2!b+^Y& z>`}10aTq4OPNrGR5V;;=J-s*5D<1t7*j2Wkz1 zg8QiBAPv+RJX(VQ>r?b(+zG&=QOpFe00O_j13O?q%PAw_eWN-D*tP(h$&Z2@2-KxP z8l)_{1$YXp35d$XhA(xi4xAs)GvKjG;VFcyRv)-1NRe=(dL0A!;d7i%$!-IP5x{j0 z$cflKW}*bRO4+^pFbg`*frHH%%Q9>Ewqrtu{|Bt2R+#<`&>rb*44l$&bo78Li^Wa< zM}PmLzkl?~gV$qOLtn;6!XnfXfZ`>nm=+{ZwxZOIQUaxJV(zv2o+k|R;g-5R#GG*l zm;+yUchla6hcmWmU%cqDK${owUqAex{}*7(oPC68;3>oB%%vBH59oX1i6{O6ZA|v2 z<>0f}%i-xyeiF+Wx?$O>mTBXj-mrZrF9Atj0=oaJ5B=Gn{aokyuUUF{idzMk@$Mw} z2c-nY$EDOKK5_MG5Pau5ANyEW)-^KFItk1_wA~{8t<{~wF5SE-3kkq?h~2bV7D1)#1TLR1 zYj59vHF~wG5lR`;-+t#dYa&w_Zh7rJ^*-@q!ar@o+s^mT$FIe&ZL43|zJ2@lN16VE z1qogOH)N99!2QQv$fg9(*xRF5qo}Gc;4lzxyHOJ_Jf~jRcIiW+h6Icat7GPm-F)=Y zg$EZNoSPE!;F&vjy4|DU(ePIPGBP^q^F)B{?ORXyyr5jMSv{5l$=#A8%&T)quzkC# z3^)JIqw3yp{^yL3;6(4^K3@TPertGhcuCFmEi8nB47CL6r!@Q{_1FK-f01D|$b3