From a971fb28bacffbb0c44ed7084922d972bc6d43c5 Mon Sep 17 00:00:00 2001 From: richardbarry Date: Tue, 30 Jun 2009 19:42:21 +0000 Subject: [PATCH] Added PPC440 demo that does not use any floating point hardware. git-svn-id: https://svn.code.sf.net/p/freertos/code/trunk@793 1d2547de-c912-0410-9cb9-b8ca96c0e9e2 --- .../RTOSDemo/FreeRTOSConfig.h | 115 + .../RTOSDemo/RTOSDemo_linker_script.ld | 226 + .../RTOSDemo/flop/flop-reg-test.c | 246 + .../RTOSDemo/flop/flop-reg-test.h | 58 + .../RTOSDemo/flop/flop.c | 402 ++ .../PPC440_Xilinx_Virtex5_GCC/RTOSDemo/main.c | 707 +++ .../RTOSDemo/partest/partest.c | 170 + .../RTOSDemo/serial/serial.c | 235 + .../Version_Changes.log | 15 + .../__xps/.dswkshop/MdtSvgBLKD_Dimensions.xsl | 173 + .../__xps/.dswkshop/MdtSvgDiag_Colors.xsl | 134 + .../__xps/.dswkshop/MdtSvgDiag_Globals.xsl | 46 + .../__xps/.dswkshop/MdtSvgDiag_StyleDefs.xsl | 580 +++ .../MdtTinySvgBLKD_BusLaneSpaces.xsl | 2757 +++++++++++ .../__xps/.dswkshop/MdtTinySvgBLKD_Busses.xsl | 534 +++ .../.dswkshop/MdtTinySvgBLKD_Functions.xsl | 1110 +++++ .../.dswkshop/MdtTinySvgBLKD_Globals.xsl | 115 + .../.dswkshop/MdtTinySvgBLKD_IOPorts.xsl | 490 ++ .../__xps/.dswkshop/MdtTinySvgBLKD_Main.xsl | 1549 ++++++ .../.dswkshop/MdtTinySvgBLKD_Peripherals.xsl | 1582 +++++++ .../.dswkshop/MdtTinySvgBLKD_Processors.xsl | 462 ++ .../.dswkshop/MdtTinySvgDiag_BifShapes.xsl | 241 + .../__xps/.dswkshop/svg10.dtd | 1704 +++++++ .../__xps/bitinit.opt | 1 + .../__xps/ise/_xmsgs/map.xmsgs | 236 + .../__xps/ise/_xmsgs/ngcbuild.xmsgs | 9 + .../__xps/ise/_xmsgs/ngdbuild.xmsgs | 1067 +++++ .../__xps/ise/_xmsgs/par.xmsgs | 52 + .../__xps/ise/_xmsgs/trce.xmsgs | 20 + .../__xps/ise/_xmsgs/xst.xmsgs | 1029 ++++ .../__xps/ise/system.gise | 26 + .../__xps/ise/system.ise | Bin 0 -> 51515 bytes .../__xps/ise/system.ntrc_log | 4 + .../__xps/ise/system.xise | 42 + .../__xps/ise/system_xdb/cst.xbcd | Bin 0 -> 899264 bytes .../__xps/ise/system_xdb/tmp/ise.lock | Bin 0 -> 216 bytes .../HierarchicalDesign/HDProject/HDProject | Bin 0 -> 321 bytes .../HDProject/HDProject_StrTbl | Bin 0 -> 17 bytes .../__stored_object_table__ | Bin 0 -> 60 bytes .../PnAutoRun/Scripts/RunOnce_tcl | Bin 0 -> 27 bytes .../PnAutoRun/Scripts/RunOnce_tcl_StrTbl | Bin 0 -> 3817 bytes .../dpm_project_main/dpm_project_main | Bin 0 -> 25 bytes .../dpm_project_main/dpm_project_main_StrTbl | Bin 0 -> 10 bytes .../xreport/Gc_RvReportViewer-Current-Module | Bin 0 -> 27 bytes .../Gc_RvReportViewer-Current-Module_StrTbl | Bin 0 -> 18 bytes .../Gc_RvReportViewer-Module-Data-system | Bin 0 -> 381 bytes ...c_RvReportViewer-Module-Data-system_StrTbl | Bin 0 -> 22889 bytes ..._RvReportViewer-Module-DataFactory-Default | Bin 0 -> 387 bytes ...rtViewer-Module-DataFactory-Default_StrTbl | Bin 0 -> 15712 bytes .../tmp/ise/__REGISTRY__/Autonym/regkeys | 0 .../HierarchicalDesign/HDProject/regkeys | 24 + .../__REGISTRY__/HierarchicalDesign/regkeys | 0 .../ise/__REGISTRY__/ProjectNavigator/regkeys | 3 + .../__REGISTRY__/ProjectNavigator11/regkeys | 6 + .../tmp/ise/__REGISTRY__/XSLTProcess/regkeys | 3 + .../__REGISTRY__/_ProjRepoInternal_/regkeys | 21 + .../tmp/ise/__REGISTRY__/bitgen/regkeys | 3 + .../tmp/ise/__REGISTRY__/bitinit/regkeys | 3 + .../tmp/ise/__REGISTRY__/common/regkeys | 12 + .../tmp/ise/__REGISTRY__/cpldfit/regkeys | 3 + .../tmp/ise/__REGISTRY__/dumpngdio/regkeys | 3 + .../tmp/ise/__REGISTRY__/fuse/regkeys | 3 + .../tmp/ise/__REGISTRY__/hprep6/regkeys | 3 + .../tmp/ise/__REGISTRY__/idem/regkeys | 3 + .../tmp/ise/__REGISTRY__/libgen/regkeys | 3 + .../tmp/ise/__REGISTRY__/map/regkeys | 3 + .../tmp/ise/__REGISTRY__/netgen/regkeys | 3 + .../tmp/ise/__REGISTRY__/ngc2edif/regkeys | 3 + .../tmp/ise/__REGISTRY__/ngcbuild/regkeys | 3 + .../tmp/ise/__REGISTRY__/ngdbuild/regkeys | 3 + .../tmp/ise/__REGISTRY__/par/regkeys | 3 + .../tmp/ise/__REGISTRY__/platgen/regkeys | 3 + .../tmp/ise/__REGISTRY__/runner/regkeys | 3 + .../tmp/ise/__REGISTRY__/simgen/regkeys | 3 + .../tmp/ise/__REGISTRY__/taengine/regkeys | 3 + .../tmp/ise/__REGISTRY__/trce/regkeys | 3 + .../tmp/ise/__REGISTRY__/tsim/regkeys | 3 + .../tmp/ise/__REGISTRY__/vhpcomp/regkeys | 3 + .../tmp/ise/__REGISTRY__/vlogcomp/regkeys | 3 + .../tmp/ise/__REGISTRY__/xpwr/regkeys | 3 + .../tmp/ise/__REGISTRY__/xreport/regkeys | 0 .../tmp/ise/__REGISTRY__/xst/regkeys | 3 + .../__xps/ise/system_xdb/tmp/ise/version | 10 + .../__xps/ise/xmsgprops.lst | 0 .../__xps/libgen.opt | 1 + .../__xps/platgen.opt | 2 + .../__xps/rtosdemo_compiler.opt | 20 + .../__xps/simgen.opt | 1 + .../__xps/system.filters | 121 + .../__xps/system.gui | 101 + .../__xps/system.xml | 4197 +++++++++++++++++ .../__xps/xplorer.opt | 1 + .../__xps/xpsxflow.opt | 1 + .../blockdiagram/svg10.dtd | 1704 +++++++ .../blockdiagram/system.css | 446 ++ .../blockdiagram/system.svg | 1078 +++++ .../PPC440_Xilinx_Virtex5_GCC/data/system.ucf | 495 ++ Demo/PPC440_Xilinx_Virtex5_GCC/etc/bitgen.ut | 14 + .../etc/download.cmd | 6 + .../etc/fast_runtime.opt | 83 + .../etc/xmd_ppc440_0.opt | 1 + Demo/PPC440_Xilinx_Virtex5_GCC/platgen.opt | 7 + Demo/PPC440_Xilinx_Virtex5_GCC/psf2Edward.log | 45 + .../revup/system_mhs.11.1 | 458 ++ .../revup/system_mss.11.1 | 125 + .../revup/system_xmp.11.1 | 69 + Demo/PPC440_Xilinx_Virtex5_GCC/system.bsb | 1 + Demo/PPC440_Xilinx_Virtex5_GCC/system.log | 235 + Demo/PPC440_Xilinx_Virtex5_GCC/system.make | 277 ++ Demo/PPC440_Xilinx_Virtex5_GCC/system.mhs | 458 ++ Demo/PPC440_Xilinx_Virtex5_GCC/system.mss | 125 + Demo/PPC440_Xilinx_Virtex5_GCC/system.xmp | 69 + .../system_incl.make | 151 + 113 files changed, 26580 insertions(+) create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/FreeRTOSConfig.h create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.h create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/main.c create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/Version_Changes.log create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtSvgBLKD_Dimensions.xsl create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtSvgDiag_Colors.xsl create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtSvgDiag_Globals.xsl create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtSvgDiag_StyleDefs.xsl create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtTinySvgBLKD_BusLaneSpaces.xsl create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtTinySvgBLKD_Busses.xsl create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtTinySvgBLKD_Functions.xsl create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtTinySvgBLKD_Globals.xsl create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtTinySvgBLKD_IOPorts.xsl create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtTinySvgBLKD_Main.xsl create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtTinySvgBLKD_Peripherals.xsl create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtTinySvgBLKD_Processors.xsl create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtTinySvgDiag_BifShapes.xsl create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/svg10.dtd create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/bitinit.opt create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/map.xmsgs create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/ngcbuild.xmsgs create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/ngdbuild.xmsgs create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/par.xmsgs create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/trce.xmsgs create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/xst.xmsgs create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system.gise create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system.ise create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system.ntrc_log create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system.xise create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/cst.xbcd create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise.lock create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__OBJSTORE__/HierarchicalDesign/HDProject/HDProject create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__OBJSTORE__/HierarchicalDesign/HDProject/HDProject_StrTbl create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__OBJSTORE__/HierarchicalDesign/__stored_object_table__ create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__OBJSTORE__/PnAutoRun/Scripts/RunOnce_tcl create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__OBJSTORE__/PnAutoRun/Scripts/RunOnce_tcl_StrTbl create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__OBJSTORE__/ProjectNavigator/dpm_project_main/dpm_project_main create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__OBJSTORE__/ProjectNavigator/dpm_project_main/dpm_project_main_StrTbl create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Current-Module create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Current-Module_StrTbl create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-system create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-system_StrTbl create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-DataFactory-Default create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-DataFactory-Default_StrTbl create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/Autonym/regkeys create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/HierarchicalDesign/HDProject/regkeys create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/HierarchicalDesign/regkeys create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/ProjectNavigator/regkeys create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/ProjectNavigator11/regkeys create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/XSLTProcess/regkeys create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/_ProjRepoInternal_/regkeys create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/bitgen/regkeys create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/bitinit/regkeys create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/common/regkeys create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/cpldfit/regkeys create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/dumpngdio/regkeys create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/fuse/regkeys create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/hprep6/regkeys create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/idem/regkeys create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/libgen/regkeys create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/map/regkeys create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/netgen/regkeys create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/ngc2edif/regkeys create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/ngcbuild/regkeys create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/ngdbuild/regkeys create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/par/regkeys create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/platgen/regkeys create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/runner/regkeys create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/simgen/regkeys create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/taengine/regkeys create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/trce/regkeys create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/tsim/regkeys create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/vhpcomp/regkeys create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/vlogcomp/regkeys create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/xpwr/regkeys create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/xreport/regkeys create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/xst/regkeys create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/version create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/xmsgprops.lst create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/libgen.opt create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/platgen.opt create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/rtosdemo_compiler.opt create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/simgen.opt create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/system.filters create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/system.gui create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/system.xml create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/xplorer.opt create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/__xps/xpsxflow.opt create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/blockdiagram/svg10.dtd create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/blockdiagram/system.css create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/blockdiagram/system.svg create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/data/system.ucf create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/etc/bitgen.ut create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/etc/download.cmd create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/etc/fast_runtime.opt create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/etc/xmd_ppc440_0.opt create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/platgen.opt create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/psf2Edward.log create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/revup/system_mhs.11.1 create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/revup/system_mss.11.1 create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/revup/system_xmp.11.1 create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/system.bsb create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/system.log create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/system.make create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/system.mhs create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/system.mss create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/system.xmp create mode 100644 Demo/PPC440_Xilinx_Virtex5_GCC/system_incl.make diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/FreeRTOSConfig.h b/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/FreeRTOSConfig.h new file mode 100644 index 000000000..248e2a695 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/FreeRTOSConfig.h @@ -0,0 +1,115 @@ +/* + FreeRTOS.org V5.3.1 - Copyright (C) 2003-2009 Richard Barry. + + This file is part of the FreeRTOS.org distribution. + + FreeRTOS.org is free software; you can redistribute it and/or modify it + under the terms of the GNU General Public License (version 2) as published + by the Free Software Foundation and modified by the FreeRTOS exception. + **NOTE** The exception to the GPL is included to allow you to distribute a + combined work that includes FreeRTOS.org without being obliged to provide + the source code for any proprietary components. Alternative commercial + license and support terms are also available upon request. See the + licensing section of http://www.FreeRTOS.org for full details. + + FreeRTOS.org is distributed in the hope that it will be useful, but WITHOUT + ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or + FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for + more details. + + You should have received a copy of the GNU General Public License along + with FreeRTOS.org; if not, write to the Free Software Foundation, Inc., 59 + Temple Place, Suite 330, Boston, MA 02111-1307 USA. + + + *************************************************************************** + * * + * Get the FreeRTOS eBook! See http://www.FreeRTOS.org/Documentation * + * * + * This is a concise, step by step, 'hands on' guide that describes both * + * general multitasking concepts and FreeRTOS specifics. It presents and * + * explains numerous examples that are written using the FreeRTOS API. * + * Full source code for all the examples is provided in an accompanying * + * .zip file. * + * * + *************************************************************************** + + 1 tab == 4 spaces! + + Please ensure to read the configuration and relevant port sections of the + online documentation. + + http://www.FreeRTOS.org - Documentation, latest information, license and + contact details. + + http://www.SafeRTOS.com - A version that is certified for use in safety + critical systems. + + http://www.OpenRTOS.com - Commercial support, development, porting, + licensing and training services. +*/ + +#ifndef FREERTOS_CONFIG_H +#define FREERTOS_CONFIG_H + +/*----------------------------------------------------------- + * Application specific definitions. + * + * These definitions should be adjusted for your particular hardware and + * application requirements. + * + * THESE PARAMETERS ARE DESCRIBED WITHIN THE 'CONFIGURATION' SECTION OF THE + * FreeRTOS API DOCUMENTATION AVAILABLE ON THE FreeRTOS.org WEB SITE. + * + * See http://www.freertos.org/a00110.html. + *----------------------------------------------------------*/ + +#define configUSE_PREEMPTION 1 +#define configUSE_IDLE_HOOK 0 +#define configUSE_TICK_HOOK 0 +#define configMINIMAL_STACK_SIZE ( ( unsigned portSHORT ) 250 ) +#define configCPU_CLOCK_HZ ( ( unsigned portLONG ) 200000000 ) /* Clock setup from start.asm in the demo application. */ +#define configTICK_RATE_HZ ( (portTickType) 1000 ) +#define configMAX_PRIORITIES ( ( unsigned portBASE_TYPE ) 6 ) +#define configTOTAL_HEAP_SIZE ( (size_t) (80 * 1024) ) +#define configMAX_TASK_NAME_LEN ( 20 ) +#define configUSE_16_BIT_TICKS 1 +#define configIDLE_SHOULD_YIELD 1 +#define configUSE_MUTEXES 1 +#define configUSE_TRACE_FACILITY 0 +#define configCHECK_FOR_STACK_OVERFLOW 2 +#define configUSE_COUNTING_SEMAPHORES 1 +#define configUSE_APPLICATION_TASK_TAG 1 +#define configUSE_FPU 0 + + +/* Co-routine definitions. */ +#define configUSE_CO_ROUTINES 0 +#define configMAX_CO_ROUTINE_PRIORITIES ( 4 ) + +/* Set the following definitions to 1 to include the API function, or zero +to exclude the API function. */ +#define INCLUDE_vTaskPrioritySet 1 +#define INCLUDE_uxTaskPriorityGet 1 +#define INCLUDE_vTaskDelete 1 +#define INCLUDE_vTaskCleanUpResources 1 +#define INCLUDE_vTaskSuspend 1 +#define INCLUDE_vResumeFromISR 1 +#define INCLUDE_vTaskDelayUntil 1 +#define INCLUDE_vTaskDelay 1 +#define INCLUDE_xTaskGetSchedulerState 1 +#define INCLUDE_xTaskGetCurrentTaskHandle 1 +#define INCLUDE_uxTaskGetStackHighWaterMark 1 +#define configUSE_RECURSIVE_MUTEXES 1 + + +#if configUSE_FPU == 1 + /* Include the header that define the traceTASK_SWITCHED_IN() and + traceTASK_SWITCHED_OUT() macros to save and restore the floating + point registers for tasks that have requested this behaviour. */ + #include "FPU_Macros.h" +#endif + +#endif /* FREERTOS_CONFIG_H */ + + diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld b/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld new file mode 100644 index 000000000..f4fc3bbc7 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld @@ -0,0 +1,226 @@ +/*******************************************************************/ +/* */ +/* This file is automatically generated by linker script generator.*/ +/* */ +/* Version: Xilinx EDK 11.1 EDK_L.29.1 */ +/* */ +/* Copyright (c) 2004 Xilinx, Inc. All rights reserved. */ +/* */ +/* Description : PowerPC440 Linker Script */ +/* */ +/*******************************************************************/ + +_STACK_SIZE = DEFINED(_STACK_SIZE) ? _STACK_SIZE : 0x400; +_HEAP_SIZE = DEFINED(_HEAP_SIZE) ? _HEAP_SIZE : 0x400; + +/* Define Memories in the system */ + +MEMORY +{ + DDR2_SDRAM_C_MEM_BASEADDR : ORIGIN = 0x00000000, LENGTH = 0x10000000 + SRAM_C_MEM0_BASEADDR : ORIGIN = 0xF8000000, LENGTH = 0x00100000 + xps_bram_if_cntlr_1 : ORIGIN = 0xFFFFE000, LENGTH = 0x00001F00 +} + +/* Specify the default entry point to the program */ + +ENTRY(_boot) +STARTUP(boot.o) + +/* Define the sections, and where they are mapped in memory */ + +SECTIONS +{ +.vectors : { + __vectors_start = .; + *(.vectors) + __vectors_end = .; +} > SRAM_C_MEM0_BASEADDR + +.text : { + *(.text) + *(.text.*) + *(.gnu.linkonce.t.*) +} > SRAM_C_MEM0_BASEADDR + +.init : { + KEEP (*(.init)) +} > SRAM_C_MEM0_BASEADDR + +.fini : { + KEEP (*(.fini)) +} > SRAM_C_MEM0_BASEADDR + +.rodata : { + __rodata_start = .; + *(.rodata) + *(.rodata.*) + *(.gnu.linkonce.r.*) + __rodata_end = .; +} > SRAM_C_MEM0_BASEADDR + +.rodata1 : { + __rodata1_start = .; + *(.rodata1) + *(.rodata1.*) + __rodata1_end = .; +} > SRAM_C_MEM0_BASEADDR + +.sdata2 : { + __sdata2_start = .; + *(.sdata2) + *(.sdata2.*) + *(.gnu.linkonce.s2.*) + __sdata2_end = .; +} > SRAM_C_MEM0_BASEADDR + +.sbss2 : { + __sbss2_start = .; + *(.sbss2) + *(.sbss2.*) + *(.gnu.linkonce.sb2.*) + __sbss2_end = .; +} > SRAM_C_MEM0_BASEADDR + +.data : { + __data_start = .; + *(.data) + *(.data.*) + *(.gnu.linkonce.d.*) + __data_end = .; +} > SRAM_C_MEM0_BASEADDR + +.data1 : { + __data1_start = .; + *(.data1) + *(.data1.*) + __data1_end = .; +} > SRAM_C_MEM0_BASEADDR + +.got : { + *(.got) +} > SRAM_C_MEM0_BASEADDR + +.got1 : { + *(.got1) +} > SRAM_C_MEM0_BASEADDR + +.got2 : { + *(.got2) +} > SRAM_C_MEM0_BASEADDR + +.ctors : { + __CTOR_LIST__ = .; + ___CTORS_LIST___ = .; + KEEP (*crtbegin.o(.ctors)) + KEEP (*(EXCLUDE_FILE(*crtend.o) .ctors)) + KEEP (*(SORT(.ctors.*))) + KEEP (*(.ctors)) + __CTOR_END__ = .; + ___CTORS_END___ = .; +} > SRAM_C_MEM0_BASEADDR + +.dtors : { + __DTOR_LIST__ = .; + ___DTORS_LIST___ = .; + KEEP (*crtbegin.o(.dtors)) + KEEP (*(EXCLUDE_FILE(*crtend.o) .dtors)) + KEEP (*(SORT(.dtors.*))) + KEEP (*(.dtors)) + __DTOR_END__ = .; + ___DTORS_END___ = .; +} > SRAM_C_MEM0_BASEADDR + +.fixup : { + __fixup_start = .; + *(.fixup) + __fixup_end = .; +} > SRAM_C_MEM0_BASEADDR + +.eh_frame : { + *(.eh_frame) +} > SRAM_C_MEM0_BASEADDR + +.jcr : { + *(.jcr) +} > SRAM_C_MEM0_BASEADDR + +.gcc_except_table : { + *(.gcc_except_table) +} > SRAM_C_MEM0_BASEADDR + +.sdata : { + __sdata_start = .; + *(.sdata) + *(.sdata.*) + *(.gnu.linkonce.s.*) + __sdata_end = .; +} > SRAM_C_MEM0_BASEADDR + +.sbss : { + __sbss_start = .; + *(.sbss) + *(.sbss.*) + *(.gnu.linkonce.sb.*) + *(.scommon) + __sbss_end = .; +} > SRAM_C_MEM0_BASEADDR + +.tdata : { + __tdata_start = .; + *(.tdata) + *(.tdata.*) + *(.gnu.linkonce.td.*) + __tdata_end = .; +} > SRAM_C_MEM0_BASEADDR + +.tbss : { + __tbss_start = .; + *(.tbss) + *(.tbss.*) + *(.gnu.linkonce.tb.*) + __tbss_end = .; +} > SRAM_C_MEM0_BASEADDR + +.bss : { + __bss_start = .; + *(.bss) + *(.bss.*) + *(.gnu.linkonce.b.*) + *(COMMON) + . = ALIGN(4); + __bss_end = .; +} > SRAM_C_MEM0_BASEADDR + +.boot0 0xFFFFFF00 : { + __boot0_start = .; + *(.boot0) + __boot0_end = .; +} + +.boot 0xFFFFFFFC : { + __boot_start = .; + *(.boot) + __boot_end = .; +} + +/* Generate Stack and Heap Sections */ + +.stack : { + _stack_end = .; + . += _STACK_SIZE; + . = ALIGN(16); + __stack = .; +} > SRAM_C_MEM0_BASEADDR + +.heap : { + . = ALIGN(16); + _heap_start = .; + . += _HEAP_SIZE; + . = ALIGN(16); + _heap_end = .; + _end = .; +} > SRAM_C_MEM0_BASEADDR + +} + diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c b/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c new file mode 100644 index 000000000..79a650de6 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c @@ -0,0 +1,246 @@ +/* + FreeRTOS.org V5.3.1 - Copyright (C) 2003-2009 Richard Barry. + + This file is part of the FreeRTOS.org distribution. + + FreeRTOS.org is free software; you can redistribute it and/or modify it + under the terms of the GNU General Public License (version 2) as published + by the Free Software Foundation and modified by the FreeRTOS exception. + **NOTE** The exception to the GPL is included to allow you to distribute a + combined work that includes FreeRTOS.org without being obliged to provide + the source code for any proprietary components. Alternative commercial + license and support terms are also available upon request. See the + licensing section of http://www.FreeRTOS.org for full details. + + FreeRTOS.org is distributed in the hope that it will be useful, but WITHOUT + ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or + FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for + more details. + + You should have received a copy of the GNU General Public License along + with FreeRTOS.org; if not, write to the Free Software Foundation, Inc., 59 + Temple Place, Suite 330, Boston, MA 02111-1307 USA. + + + *************************************************************************** + * * + * Get the FreeRTOS eBook! See http://www.FreeRTOS.org/Documentation * + * * + * This is a concise, step by step, 'hands on' guide that describes both * + * general multitasking concepts and FreeRTOS specifics. It presents and * + * explains numerous examples that are written using the FreeRTOS API. * + * Full source code for all the examples is provided in an accompanying * + * .zip file. * + * * + *************************************************************************** + + 1 tab == 4 spaces! + + Please ensure to read the configuration and relevant port sections of the + online documentation. + + http://www.FreeRTOS.org - Documentation, latest information, license and + contact details. + + http://www.SafeRTOS.com - A version that is certified for use in safety + critical systems. + + http://www.OpenRTOS.com - Commercial support, development, porting, + licensing and training services. +*/ + +/* + * Tests the floating point context save and restore mechanism. + * + * Two tasks are created - each of which is allocated a buffer of + * portNO_FLOP_REGISTERS_TO_SAVE 32bit variables into which the flop context + * of the task is saved when the task is switched out, and from which the + * flop context of the task is restored when the task is switch in. Prior to + * the tasks being created each position in the two buffers is filled with a + * unique value - this way the flop context of each task is different. + * + * The two test tasks never block so are always in either the Running or + * Ready state. They execute at the lowest priority so will get pre-empted + * regularly, although the yield frequently so will not get much execution + * time. The lack of execution time is not a problem as its only the + * switching in and out that is being tested. + * + * Whenever a task is moved from the Ready to the Running state its flop + * context will be loaded from the buffer, but while the task is in the + * Running state the buffer is not used and can contain any value - in this + * case and for test purposes the task itself clears the buffer to zero. + * The next time the task is moved out of the Running state into the + * Ready state the flop context will once more get saved to the buffer - + * overwriting the zeros. + * + * Therefore whenever the task is not in the Running state its buffer contains + * the most recent values of its floating point registers - the zeroing out + * of the buffer while the task was executing being used to ensure the values + * the buffer contains are not stale. + * + * When neither test task is in the Running state the buffers should contain + * the unique values allocated before the tasks were created. If so then + * the floating point context has been maintained. This check is performed + * by the 'check' task (defined in main.c) by calling + * xAreFlopRegisterTestsStillRunning(). + * + * The test tasks also increment a value each time they execute. + * xAreFlopRegisterTestsStillRunning() also checks that this value has changed + * since it last ran to ensure the test tasks are still getting processing time. + */ + +/* Standard includes files. */ +#include + +/* Scheduler include files. */ +#include "FreeRTOS.h" +#include "task.h" + +/*-----------------------------------------------------------*/ + +#define flopNUMBER_OF_TASKS 2 +#define flopSTART_VALUE ( 0x1 ) + +/*-----------------------------------------------------------*/ + +/* The two test tasks as described at the top of this file. */ +static void vFlopTest1( void *pvParameters ); +static void vFlopTest2( void *pvParameters ); + +/*-----------------------------------------------------------*/ + +/* Buffers into which the flop registers will be saved. There is a buffer for +both tasks. */ +static volatile unsigned portLONG ulFlopRegisters[ flopNUMBER_OF_TASKS ][ portNO_FLOP_REGISTERS_TO_SAVE ]; + +/* Variables that are incremented by the tasks to indicate that they are still +running. */ +static volatile unsigned portLONG ulFlop1CycleCount = 0, ulFlop2CycleCount = 0; + +/*-----------------------------------------------------------*/ + +void vStartFlopRegTests( void ) +{ +xTaskHandle xTaskJustCreated; +unsigned portBASE_TYPE x, y, z = flopSTART_VALUE; + + /* Fill the arrays into which the flop registers are to be saved with + known values. These are the values that will be written to the flop + registers when the tasks start, and as the tasks do not perform any + flop operations the values should never change. Each position in the + buffer contains a different value so the flop context of each task + will be different. */ + for( x = 0; x < flopNUMBER_OF_TASKS; x++ ) + { + for( y = 0; y < ( portNO_FLOP_REGISTERS_TO_SAVE - 1); y++ ) + { + ulFlopRegisters[ x ][ y ] = z; + z++; + } + } + + + /* Create the first task. */ + xTaskCreate( vFlopTest1, ( signed portCHAR * ) "flop1", configMINIMAL_STACK_SIZE, NULL, tskIDLE_PRIORITY, &xTaskJustCreated ); + + /* The task tag value is a value that can be associated with a task, but + is not used by the scheduler itself. Its use is down to the application so + it makes a convenient place in this case to store the pointer to the buffer + into which the flop context of the task will be stored. The first created + task uses ulFlopRegisters[ 0 ], the second ulFlopRegisters[ 1 ]. */ + vTaskSetApplicationTaskTag( xTaskJustCreated, ( void * ) &( ulFlopRegisters[ 0 ][ 0 ] ) ); + + /* Do the same for the second task. */ + xTaskCreate( vFlopTest2, ( signed portCHAR * ) "flop2", configMINIMAL_STACK_SIZE, NULL, tskIDLE_PRIORITY, &xTaskJustCreated ); + vTaskSetApplicationTaskTag( xTaskJustCreated, ( void * ) &( ulFlopRegisters[ 1 ][ 0 ] ) ); +} +/*-----------------------------------------------------------*/ + +static void vFlopTest1( void *pvParameters ) +{ + /* Just to remove compiler warning. */ + ( void ) pvParameters; + + for( ;; ) + { + /* The values from the buffer should have now been written to the flop + registers. Clear the buffer to ensure the same values then get written + back the next time the task runs. Being preempted during this memset + could cause the test to fail, hence the critical section. */ + portENTER_CRITICAL(); + memset( ( void * ) ulFlopRegisters[ 0 ], 0x00, ( portNO_FLOP_REGISTERS_TO_SAVE * sizeof( unsigned portBASE_TYPE ) ) ); + portEXIT_CRITICAL(); + + /* We don't have to do anything other than indicate that we are + still running. */ + ulFlop1CycleCount++; + taskYIELD(); + } +} +/*-----------------------------------------------------------*/ + +static void vFlopTest2( void *pvParameters ) +{ + /* Just to remove compiler warning. */ + ( void ) pvParameters; + + for( ;; ) + { + /* The values from the buffer should have now been written to the flop + registers. Clear the buffer to ensure the same values then get written + back the next time the task runs. */ + portENTER_CRITICAL(); + memset( ( void * ) ulFlopRegisters[ 1 ], 0x00, ( portNO_FLOP_REGISTERS_TO_SAVE * sizeof( unsigned portBASE_TYPE ) ) ); + portEXIT_CRITICAL(); + + /* We don't have to do anything other than indicate that we are + still running. */ + ulFlop2CycleCount++; + taskYIELD(); + } +} +/*-----------------------------------------------------------*/ + +portBASE_TYPE xAreFlopRegisterTestsStillRunning( void ) +{ +portBASE_TYPE xReturn = pdPASS; +unsigned portBASE_TYPE x, y, z = flopSTART_VALUE; +static unsigned portLONG ulLastFlop1CycleCount = 0, ulLastFlop2CycleCount = 0; + + /* Called from the 'check' task. + + The flop tasks cannot be currently running, check their saved registers + are as expected. The tests tasks do not perform any flop operations so + their registers should be as per their initial setting. */ + for( x = 0; x < flopNUMBER_OF_TASKS; x++ ) + { + for( y = 0; y < ( portNO_FLOP_REGISTERS_TO_SAVE - 1 ); y++ ) + { + if( ulFlopRegisters[ x ][ y ] != z ) + { + xReturn = pdFAIL; + break; + } + + z++; + } + } + + /* Check both tasks have actually been swapped in and out since this function + last executed. */ + if( ulFlop1CycleCount == ulLastFlop1CycleCount ) + { + xReturn = pdFAIL; + } + + if( ulFlop2CycleCount == ulLastFlop2CycleCount ) + { + xReturn = pdFAIL; + } + + ulLastFlop1CycleCount = ulFlop1CycleCount; + ulLastFlop2CycleCount = ulFlop2CycleCount; + + return xReturn; +} + diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.h b/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.h new file mode 100644 index 000000000..0bddeb218 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.h @@ -0,0 +1,58 @@ +/* + FreeRTOS.org V5.3.1 - Copyright (C) 2003-2009 Richard Barry. + + This file is part of the FreeRTOS.org distribution. + + FreeRTOS.org is free software; you can redistribute it and/or modify it + under the terms of the GNU General Public License (version 2) as published + by the Free Software Foundation and modified by the FreeRTOS exception. + **NOTE** The exception to the GPL is included to allow you to distribute a + combined work that includes FreeRTOS.org without being obliged to provide + the source code for any proprietary components. Alternative commercial + license and support terms are also available upon request. See the + licensing section of http://www.FreeRTOS.org for full details. + + FreeRTOS.org is distributed in the hope that it will be useful, but WITHOUT + ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or + FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for + more details. + + You should have received a copy of the GNU General Public License along + with FreeRTOS.org; if not, write to the Free Software Foundation, Inc., 59 + Temple Place, Suite 330, Boston, MA 02111-1307 USA. + + + *************************************************************************** + * * + * Get the FreeRTOS eBook! See http://www.FreeRTOS.org/Documentation * + * * + * This is a concise, step by step, 'hands on' guide that describes both * + * general multitasking concepts and FreeRTOS specifics. It presents and * + * explains numerous examples that are written using the FreeRTOS API. * + * Full source code for all the examples is provided in an accompanying * + * .zip file. * + * * + *************************************************************************** + + 1 tab == 4 spaces! + + Please ensure to read the configuration and relevant port sections of the + online documentation. + + http://www.FreeRTOS.org - Documentation, latest information, license and + contact details. + + http://www.SafeRTOS.com - A version that is certified for use in safety + critical systems. + + http://www.OpenRTOS.com - Commercial support, development, porting, + licensing and training services. +*/ + +#ifndef FLOP_REG_TEST_H +#define FLOP_REG_TEST_H + +void vStartFlopRegTests( void ); +portBASE_TYPE xAreFlopRegisterTestsStillRunning( void ); + +#endif diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c b/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c new file mode 100644 index 000000000..7e291b00f --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c @@ -0,0 +1,402 @@ +/* + FreeRTOS.org V5.3.1 - Copyright (C) 2003-2009 Richard Barry. + + This file is part of the FreeRTOS.org distribution. + + FreeRTOS.org is free software; you can redistribute it and/or modify it + under the terms of the GNU General Public License (version 2) as published + by the Free Software Foundation and modified by the FreeRTOS exception. + **NOTE** The exception to the GPL is included to allow you to distribute a + combined work that includes FreeRTOS.org without being obliged to provide + the source code for any proprietary components. Alternative commercial + license and support terms are also available upon request. See the + licensing section of http://www.FreeRTOS.org for full details. + + FreeRTOS.org is distributed in the hope that it will be useful, but WITHOUT + ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or + FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for + more details. + + You should have received a copy of the GNU General Public License along + with FreeRTOS.org; if not, write to the Free Software Foundation, Inc., 59 + Temple Place, Suite 330, Boston, MA 02111-1307 USA. + + + *************************************************************************** + * * + * Get the FreeRTOS eBook! See http://www.FreeRTOS.org/Documentation * + * * + * This is a concise, step by step, 'hands on' guide that describes both * + * general multitasking concepts and FreeRTOS specifics. It presents and * + * explains numerous examples that are written using the FreeRTOS API. * + * Full source code for all the examples is provided in an accompanying * + * .zip file. * + * * + *************************************************************************** + + 1 tab == 4 spaces! + + Please ensure to read the configuration and relevant port sections of the + online documentation. + + http://www.FreeRTOS.org - Documentation, latest information, license and + contact details. + + http://www.SafeRTOS.com - A version that is certified for use in safety + critical systems. + + http://www.OpenRTOS.com - Commercial support, development, porting, + licensing and training services. +*/ + +/* + * Creates eight tasks, each of which loops continuously performing a + * floating point calculation. + * + * All the tasks run at the idle priority and never block or yield. This causes + * all eight tasks to time slice with the idle task. Running at the idle priority + * means that these tasks will get pre-empted any time another task is ready to run + * or a time slice occurs. More often than not the pre-emption will occur mid + * calculation, creating a good test of the schedulers context switch mechanism - a + * calculation producing an unexpected result could be a symptom of a corruption in + * the context of a task. + * + * This file demonstrates the use of the task tag and traceTASK_SWITCHED_IN and + * traceTASK_SWITCHED_OUT macros to save and restore the floating point context. + */ + +#include +#include + +/* Scheduler include files. */ +#include "FreeRTOS.h" +#include "task.h" + +/* Demo program include files. */ +#include "flop.h" + +/* Misc. definitions. */ +#define mathSTACK_SIZE configMINIMAL_STACK_SIZE +#define mathNUMBER_OF_TASKS ( 8 ) + +/* Four tasks, each of which performs a different floating point calculation. +Each of the four is created twice. */ +static portTASK_FUNCTION_PROTO( vCompetingMathTask1, pvParameters ); +static portTASK_FUNCTION_PROTO( vCompetingMathTask2, pvParameters ); +static portTASK_FUNCTION_PROTO( vCompetingMathTask3, pvParameters ); +static portTASK_FUNCTION_PROTO( vCompetingMathTask4, pvParameters ); + +/* These variables are used to check that all the tasks are still running. If a +task gets a calculation wrong it will stop incrementing its check variable. */ +static volatile unsigned portSHORT usTaskCheck[ mathNUMBER_OF_TASKS ] = { ( unsigned portSHORT ) 0 }; + +/* Buffers into which the flop registers will be saved. There is a buffer for +each task created within this file. Zeroing out this array is the normal and +safe option as this will cause the task to start with all zeros in its flop +context. */ +static unsigned portLONG ulFlopRegisters[ mathNUMBER_OF_TASKS ][ portNO_FLOP_REGISTERS_TO_SAVE ]; + +/*-----------------------------------------------------------*/ + +void vStartMathTasks( unsigned portBASE_TYPE uxPriority ) +{ +xTaskHandle xTaskJustCreated; +portBASE_TYPE x, y; + + /* Place known values into the buffers into which the flop registers are + to be saved. This is for debug purposes only, it is not normally + required. The last position in each array is left at zero as the status + register will be loaded from there. + + It is intended that these values can be viewed being loaded into the + flop registers when a task is started - however the Insight debugger + does not seem to want to show the flop register values. */ + for( x = 0; x < mathNUMBER_OF_TASKS; x++ ) + { + for( y = 0; y < ( portNO_FLOP_REGISTERS_TO_SAVE - 1 ); y++ ) + { + ulFlopRegisters[ x ][ y ] = ( x + 1 ); + } + } + + /* Create the first task - passing it the address of the check variable + that it is going to increment. This check variable is used as an + indication that the task is still running. */ + xTaskCreate( vCompetingMathTask1, ( signed portCHAR * ) "Math1", mathSTACK_SIZE, ( void * ) &( usTaskCheck[ 0 ] ), uxPriority, &xTaskJustCreated ); + + /* The task tag value is a value that can be associated with a task, but + is not used by the scheduler itself. Its use is down to the application so + it makes a convenient place in this case to store the pointer to the buffer + into which the flop context of the task will be stored. The first created + task uses ulFlopRegisters[ 0 ], the second ulFlopRegisters[ 1 ], etc. */ + vTaskSetApplicationTaskTag( xTaskJustCreated, ( void * ) &( ulFlopRegisters[ 0 ][ 0 ] ) ); + + /* Create another 7 tasks, allocating a buffer for each. */ + xTaskCreate( vCompetingMathTask2, ( signed portCHAR * ) "Math2", mathSTACK_SIZE, ( void * ) &( usTaskCheck[ 1 ] ), uxPriority, &xTaskJustCreated ); + vTaskSetApplicationTaskTag( xTaskJustCreated, ( void * ) &( ulFlopRegisters[ 1 ][ 0 ] ) ); + + xTaskCreate( vCompetingMathTask3, ( signed portCHAR * ) "Math3", mathSTACK_SIZE, ( void * ) &( usTaskCheck[ 2 ] ), uxPriority, &xTaskJustCreated ); + vTaskSetApplicationTaskTag( xTaskJustCreated, ( void * ) &( ulFlopRegisters[ 2 ][ 0 ] ) ); + + xTaskCreate( vCompetingMathTask4, ( signed portCHAR * ) "Math4", mathSTACK_SIZE, ( void * ) &( usTaskCheck[ 3 ] ), uxPriority, &xTaskJustCreated ); + vTaskSetApplicationTaskTag( xTaskJustCreated, ( void * ) &( ulFlopRegisters[ 3 ][ 0 ] ) ); + + xTaskCreate( vCompetingMathTask1, ( signed portCHAR * ) "Math5", mathSTACK_SIZE, ( void * ) &( usTaskCheck[ 4 ] ), uxPriority, &xTaskJustCreated ); + vTaskSetApplicationTaskTag( xTaskJustCreated, ( void * ) &( ulFlopRegisters[ 4 ][ 0 ] ) ); + + xTaskCreate( vCompetingMathTask2, ( signed portCHAR * ) "Math6", mathSTACK_SIZE, ( void * ) &( usTaskCheck[ 5 ] ), uxPriority, &xTaskJustCreated ); + vTaskSetApplicationTaskTag( xTaskJustCreated, ( void * ) &( ulFlopRegisters[ 5 ][ 0 ] ) ); + + xTaskCreate( vCompetingMathTask3, ( signed portCHAR * ) "Math7", mathSTACK_SIZE, ( void * ) &( usTaskCheck[ 6 ] ), uxPriority, &xTaskJustCreated ); + vTaskSetApplicationTaskTag( xTaskJustCreated, ( void * ) &( ulFlopRegisters[ 6 ][ 0 ] ) ); + + xTaskCreate( vCompetingMathTask4, ( signed portCHAR * ) "Math8", mathSTACK_SIZE, ( void * ) &( usTaskCheck[ 7 ] ), uxPriority, &xTaskJustCreated ); + vTaskSetApplicationTaskTag( xTaskJustCreated, ( void * ) &( ulFlopRegisters[ 7 ][ 0 ] ) ); +} +/*-----------------------------------------------------------*/ + +static portTASK_FUNCTION( vCompetingMathTask1, pvParameters ) +{ +volatile portFLOAT ff1, ff2, ff3, ff4; +volatile unsigned portSHORT *pusTaskCheckVariable; +volatile portFLOAT fAnswer; +portSHORT sError = pdFALSE; + + ff1 = 123.4567F; + ff2 = 2345.6789F; + ff3 = -918.222F; + + fAnswer = ( ff1 + ff2 ) * ff3; + + /* The variable this task increments to show it is still running is passed in + as the parameter. */ + pusTaskCheckVariable = ( unsigned portSHORT * ) pvParameters; + + /* Keep performing a calculation and checking the result against a constant. */ + for(;;) + { + ff1 = 123.4567F; + ff2 = 2345.6789F; + ff3 = -918.222F; + + ff4 = ( ff1 + ff2 ) * ff3; + + #if configUSE_PREEMPTION == 0 + taskYIELD(); + #endif + + /* If the calculation does not match the expected constant, stop the + increment of the check variable. */ + if( fabs( ff4 - fAnswer ) > 0.001F ) + { + sError = pdTRUE; + } + + if( sError == pdFALSE ) + { + /* If the calculation has always been correct, increment the check + variable so we know this task is still running okay. */ + ( *pusTaskCheckVariable )++; + } + + #if configUSE_PREEMPTION == 0 + taskYIELD(); + #endif + + } +} +/*-----------------------------------------------------------*/ + +static portTASK_FUNCTION( vCompetingMathTask2, pvParameters ) +{ +volatile portFLOAT ff1, ff2, ff3, ff4; +volatile unsigned portSHORT *pusTaskCheckVariable; +volatile portFLOAT fAnswer; +portSHORT sError = pdFALSE; + + ff1 = -389.38F; + ff2 = 32498.2F; + ff3 = -2.0001F; + + fAnswer = ( ff1 / ff2 ) * ff3; + + + /* The variable this task increments to show it is still running is passed in + as the parameter. */ + pusTaskCheckVariable = ( unsigned portSHORT * ) pvParameters; + + /* Keep performing a calculation and checking the result against a constant. */ + for( ;; ) + { + ff1 = -389.38F; + ff2 = 32498.2F; + ff3 = -2.0001F; + + ff4 = ( ff1 / ff2 ) * ff3; + + #if configUSE_PREEMPTION == 0 + taskYIELD(); + #endif + + /* If the calculation does not match the expected constant, stop the + increment of the check variable. */ + if( fabs( ff4 - fAnswer ) > 0.001F ) + { + sError = pdTRUE; + } + + if( sError == pdFALSE ) + { + /* If the calculation has always been correct, increment the check + variable so we know + this task is still running okay. */ + ( *pusTaskCheckVariable )++; + } + + #if configUSE_PREEMPTION == 0 + taskYIELD(); + #endif + } +} +/*-----------------------------------------------------------*/ + +static portTASK_FUNCTION( vCompetingMathTask3, pvParameters ) +{ +volatile portFLOAT *pfArray, fTotal1, fTotal2, fDifference; +volatile unsigned portSHORT *pusTaskCheckVariable; +const size_t xArraySize = 10; +size_t xPosition; +portSHORT sError = pdFALSE; + + /* The variable this task increments to show it is still running is passed in + as the parameter. */ + pusTaskCheckVariable = ( unsigned portSHORT * ) pvParameters; + + pfArray = ( portFLOAT * ) pvPortMalloc( xArraySize * sizeof( portFLOAT ) ); + + /* Keep filling an array, keeping a running total of the values placed in the + array. Then run through the array adding up all the values. If the two totals + do not match, stop the check variable from incrementing. */ + for( ;; ) + { + fTotal1 = 0.0F; + fTotal2 = 0.0F; + + for( xPosition = 0; xPosition < xArraySize; xPosition++ ) + { + pfArray[ xPosition ] = ( portFLOAT ) xPosition + 5.5F; + fTotal1 += ( portFLOAT ) xPosition + 5.5F; + } + + #if configUSE_PREEMPTION == 0 + taskYIELD(); + #endif + + for( xPosition = 0; xPosition < xArraySize; xPosition++ ) + { + fTotal2 += pfArray[ xPosition ]; + } + + fDifference = fTotal1 - fTotal2; + if( fabs( fDifference ) > 0.001F ) + { + sError = pdTRUE; + } + + #if configUSE_PREEMPTION == 0 + taskYIELD(); + #endif + + if( sError == pdFALSE ) + { + /* If the calculation has always been correct, increment the check + variable so we know this task is still running okay. */ + ( *pusTaskCheckVariable )++; + } + } +} +/*-----------------------------------------------------------*/ + +static portTASK_FUNCTION( vCompetingMathTask4, pvParameters ) +{ +volatile portFLOAT *pfArray, fTotal1, fTotal2, fDifference; +volatile unsigned portSHORT *pusTaskCheckVariable; +const size_t xArraySize = 10; +size_t xPosition; +portSHORT sError = pdFALSE; + + /* The variable this task increments to show it is still running is passed in + as the parameter. */ + pusTaskCheckVariable = ( unsigned portSHORT * ) pvParameters; + + pfArray = ( portFLOAT * ) pvPortMalloc( xArraySize * sizeof( portFLOAT ) ); + + /* Keep filling an array, keeping a running total of the values placed in the + array. Then run through the array adding up all the values. If the two totals + do not match, stop the check variable from incrementing. */ + for( ;; ) + { + fTotal1 = 0.0F; + fTotal2 = 0.0F; + + for( xPosition = 0; xPosition < xArraySize; xPosition++ ) + { + pfArray[ xPosition ] = ( portFLOAT ) xPosition * 12.123F; + fTotal1 += ( portFLOAT ) xPosition * 12.123F; + } + + #if configUSE_PREEMPTION == 0 + taskYIELD(); + #endif + + for( xPosition = 0; xPosition < xArraySize; xPosition++ ) + { + fTotal2 += pfArray[ xPosition ]; + } + + fDifference = fTotal1 - fTotal2; + if( fabs( fDifference ) > 0.001F ) + { + sError = pdTRUE; + } + + #if configUSE_PREEMPTION == 0 + taskYIELD(); + #endif + + if( sError == pdFALSE ) + { + /* If the calculation has always been correct, increment the check + variable so we know this task is still running okay. */ + ( *pusTaskCheckVariable )++; + } + } +} +/*-----------------------------------------------------------*/ + +/* This is called to check that all the created tasks are still running. */ +portBASE_TYPE xAreMathsTaskStillRunning( void ) +{ +/* Keep a history of the check variables so we know if they have been incremented +since the last call. */ +static unsigned portSHORT usLastTaskCheck[ mathNUMBER_OF_TASKS ] = { ( unsigned portSHORT ) 0 }; +portBASE_TYPE xReturn = pdTRUE, xTask; + + /* Check the maths tasks are still running by ensuring their check variables + are still incrementing. */ + for( xTask = 0; xTask < mathNUMBER_OF_TASKS; xTask++ ) + { + if( usTaskCheck[ xTask ] == usLastTaskCheck[ xTask ] ) + { + /* The check has not incremented so an error exists. */ + xReturn = pdFALSE; + } + + usLastTaskCheck[ xTask ] = usTaskCheck[ xTask ]; + } + + return xReturn; +} + + + diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/main.c b/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/main.c new file mode 100644 index 000000000..93d15d027 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/main.c @@ -0,0 +1,707 @@ +/* + FreeRTOS.org V5.3.1 - Copyright (C) 2003-2009 Richard Barry. + + This file is part of the FreeRTOS.org distribution. + + FreeRTOS.org is free software; you can redistribute it and/or modify it + under the terms of the GNU General Public License (version 2) as published + by the Free Software Foundation and modified by the FreeRTOS exception. + **NOTE** The exception to the GPL is included to allow you to distribute a + combined work that includes FreeRTOS.org without being obliged to provide + the source code for any proprietary components. Alternative commercial + license and support terms are also available upon request. See the + licensing section of http://www.FreeRTOS.org for full details. + + FreeRTOS.org is distributed in the hope that it will be useful, but WITHOUT + ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or + FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for + more details. + + You should have received a copy of the GNU General Public License along + with FreeRTOS.org; if not, write to the Free Software Foundation, Inc., 59 + Temple Place, Suite 330, Boston, MA 02111-1307 USA. + + + *************************************************************************** + * * + * Get the FreeRTOS eBook! See http://www.FreeRTOS.org/Documentation * + * * + * This is a concise, step by step, 'hands on' guide that describes both * + * general multitasking concepts and FreeRTOS specifics. It presents and * + * explains numerous examples that are written using the FreeRTOS API. * + * Full source code for all the examples is provided in an accompanying * + * .zip file. * + * * + *************************************************************************** + + 1 tab == 4 spaces! + + Please ensure to read the configuration and relevant port sections of the + online documentation. + + http://www.FreeRTOS.org - Documentation, latest information, license and + contact details. + + http://www.SafeRTOS.com - A version that is certified for use in safety + critical systems. + + http://www.OpenRTOS.com - Commercial support, development, porting, + licensing and training services. +*/ + +/* + * Creates all the demo application tasks, then starts the scheduler. The WEB + * documentation provides more details of the demo application tasks. + * + * In addition to the standard demo tasks, the follow demo specific tasks are + * create: + * + * The "Check" task. This only executes every three seconds but has the highest + * priority so is guaranteed to get processor time. Its main function is to + * check that all the other tasks are still operational. Most tasks maintain + * a unique count that is incremented each time the task successfully completes + * its function. Should any error occur within such a task the count is + * permanently halted. The check task inspects the count of each task to ensure + * it has changed since the last time the check task executed. If all the count + * variables have changed all the tasks are still executing error free, and the + * check task toggles the onboard LED. Should any task contain an error at any time + * the LED toggle rate will change from 3 seconds to 500ms. + * + * The "Register Check" tasks. These tasks fill the CPU registers with known + * values, then check that each register still contains the expected value, the + * discovery of an unexpected value being indicative of an error in the RTOS + * context switch mechanism. The register check tasks operate at low priority + * so are switched in and out frequently. + * + */ + +/* Scheduler includes. */ +#include "FreeRTOS.h" +#include "task.h" + +/* Xilinx library includes. */ +#include "xcache_l.h" +#include "xintc.h" + +/* Demo application includes. */ +#include "flash.h" +#include "integer.h" +#include "comtest2.h" +#include "semtest.h" +#include "BlockQ.h" +#include "dynamic.h" +#include "GenQTest.h" +#include "QPeek.h" +#include "blocktim.h" +#include "death.h" +#include "partest.h" +#include "countsem.h" +#include "recmutex.h" +#include "flop.h" +#include "flop-reg-test.h" + +/* Priorities assigned to the demo tasks. */ +#define mainCHECK_TASK_PRIORITY ( tskIDLE_PRIORITY + 4 ) +#define mainSEM_TEST_PRIORITY ( tskIDLE_PRIORITY + 2 ) +#define mainCOM_TEST_PRIORITY ( tskIDLE_PRIORITY + 1 ) +#define mainQUEUE_BLOCK_PRIORITY ( tskIDLE_PRIORITY + 1 ) +#define mainDEATH_PRIORITY ( tskIDLE_PRIORITY + 1 ) +#define mainLED_TASK_PRIORITY ( tskIDLE_PRIORITY + 1 ) +#define mainGENERIC_QUEUE_PRIORITY ( tskIDLE_PRIORITY ) +#define mainQUEUE_POLL_PRIORITY ( tskIDLE_PRIORITY + 1 ) +#define mainFLOP_PRIORITY ( tskIDLE_PRIORITY ) + +/* The first LED used by the COM test and check tasks respectively. */ +#define mainCOM_TEST_LED ( 4 ) +#define mainCHECK_TEST_LED ( 3 ) + +/* The baud rate used by the comtest tasks is set by the hardware, so the +baud rate parameters passed into the comtest initialisation has no effect. */ +#define mainBAUD_SET_IN_HARDWARE ( 0 ) + +/* Delay periods used by the check task. If no errors have been found then +the check LED will toggle every mainNO_ERROR_CHECK_DELAY milliseconds. If an +error has been found at any time then the toggle rate will increase to +mainERROR_CHECK_DELAY milliseconds. */ +#define mainNO_ERROR_CHECK_DELAY ( ( portTickType ) 3000 / portTICK_RATE_MS ) +#define mainERROR_CHECK_DELAY ( ( portTickType ) 500 / portTICK_RATE_MS ) + + +/* + * The tasks defined within this file - described within the comments at the + * head of this page. + */ +static void prvRegTestTask1( void *pvParameters ); +static void prvRegTestTask2( void *pvParameters ); +static void prvErrorChecks( void *pvParameters ); + +/* + * Called by the 'check' task to inspect all the standard demo tasks within + * the system, as described within the comments at the head of this page. + */ +static portBASE_TYPE prvCheckOtherTasksAreStillRunning( void ); + +/* + * Perform any hardware initialisation required by the demo application. + */ +static void prvSetupHardware( void ); + +/*-----------------------------------------------------------*/ + +/* xRegTestStatus will get set to pdFAIL by the regtest tasks if they +discover an unexpected value. */ +static volatile unsigned portBASE_TYPE xRegTestStatus = pdPASS; + +/* Counters used to ensure the regtest tasks are still running. */ +static volatile unsigned portLONG ulRegTest1Counter = 0UL, ulRegTest2Counter = 0UL; + +/*-----------------------------------------------------------*/ + +int main( void ) +{ + + /* Must be called prior to installing any interrupt handlers! */ + vPortSetupInterruptController(); + + /* In this case prvSetupHardware() just enables the caches and and + configures the IO ports for the LED outputs. */ + prvSetupHardware(); + + /* Start the standard demo application tasks. Note that the baud rate used + by the comtest tasks is set by the hardware, so the baud rate parameter + passed has no effect. */ + vStartLEDFlashTasks( mainLED_TASK_PRIORITY ); + vStartIntegerMathTasks( tskIDLE_PRIORITY ); + vAltStartComTestTasks( mainCOM_TEST_PRIORITY, mainBAUD_SET_IN_HARDWARE, mainCOM_TEST_LED ); + vStartSemaphoreTasks( mainSEM_TEST_PRIORITY ); + vStartBlockingQueueTasks ( mainQUEUE_BLOCK_PRIORITY ); + vStartDynamicPriorityTasks(); + vStartGenericQueueTasks( mainGENERIC_QUEUE_PRIORITY ); + vStartQueuePeekTasks(); + vCreateBlockTimeTasks(); + vStartCountingSemaphoreTasks(); + vStartRecursiveMutexTasks(); + + #if ( configUSE_FPU == 1 ) + { + /* A different project is provided that has configUSE_FPU set to 1 + in order to demonstrate all the settings required to use the floating + point unit. If you wish to use the floating point unit do not start + with this project. */ + vStartMathTasks( mainFLOP_PRIORITY ); + vStartFlopRegTests(); + } + #endif + + /* Create the tasks defined within this file. */ + xTaskCreate( prvRegTestTask1, ( signed portCHAR * ) "Regtest1", configMINIMAL_STACK_SIZE, NULL, tskIDLE_PRIORITY, NULL ); + xTaskCreate( prvRegTestTask2, ( signed portCHAR * ) "Regtest2", configMINIMAL_STACK_SIZE, NULL, tskIDLE_PRIORITY, NULL ); + xTaskCreate( prvErrorChecks, ( signed portCHAR * ) "Check", configMINIMAL_STACK_SIZE, NULL, mainCHECK_TASK_PRIORITY, NULL ); + + /* The suicide tasks must be started last as they record the number of other + tasks that exist within the system. The value is then used to ensure at run + time the number of tasks that exists is within expected bounds. */ + vCreateSuicidalTasks( mainDEATH_PRIORITY ); + + /* Now start the scheduler. Following this call the created tasks should + be executing. */ + vTaskStartScheduler(); + + /* vTaskStartScheduler() will only return if an error occurs while the + idle task is being created. */ + for( ;; ); + + return 0; +} +/*-----------------------------------------------------------*/ + +static portBASE_TYPE prvCheckOtherTasksAreStillRunning( void ) +{ +portBASE_TYPE lReturn = pdPASS; +static unsigned portLONG ulLastRegTest1Counter= 0UL, ulLastRegTest2Counter = 0UL; + + /* The demo tasks maintain a count that increments every cycle of the task + provided that the task has never encountered an error. This function + checks the counts maintained by the tasks to ensure they are still being + incremented. A count remaining at the same value between calls therefore + indicates that an error has been detected. */ + + if( xAreIntegerMathsTaskStillRunning() != pdTRUE ) + { + lReturn = pdFAIL; + } + + if( xAreComTestTasksStillRunning() != pdTRUE ) + { + lReturn = pdFAIL; + } + + if( xAreSemaphoreTasksStillRunning() != pdTRUE ) + { + lReturn = pdFAIL; + } + + if( xAreBlockingQueuesStillRunning() != pdTRUE ) + { + lReturn = pdFAIL; + } + + if( xAreDynamicPriorityTasksStillRunning() != pdTRUE ) + { + lReturn = pdFAIL; + } + + if( xIsCreateTaskStillRunning() != pdTRUE ) + { + lReturn = pdFAIL; + } + + if( xAreBlockTimeTestTasksStillRunning() != pdTRUE ) + { + lReturn = pdFAIL; + } + + if( xAreGenericQueueTasksStillRunning() != pdTRUE ) + { + lReturn = pdFAIL; + } + + if( xAreQueuePeekTasksStillRunning() != pdTRUE ) + { + lReturn = pdFAIL; + } + + if( xAreCountingSemaphoreTasksStillRunning() != pdTRUE ) + { + lReturn = pdFAIL; + } + + if( xAreRecursiveMutexTasksStillRunning() != pdTRUE ) + { + lReturn = pdFAIL; + } + + #if ( configUSE_FPU == 1 ) + if( xAreMathsTaskStillRunning() != pdTRUE ) + { + lReturn = pdFAIL; + } + + if( xAreFlopRegisterTestsStillRunning() != pdTRUE ) + { + lReturn = pdFAIL; + } + #endif + + /* Have the register test tasks found any errors? */ + if( xRegTestStatus != pdPASS ) + { + lReturn = pdFAIL; + } + + /* Are the register test tasks still looping? */ + if( ulLastRegTest1Counter == ulRegTest1Counter ) + { + lReturn = pdFAIL; + } + else + { + ulLastRegTest1Counter = ulRegTest1Counter; + } + + if( ulLastRegTest2Counter == ulRegTest2Counter ) + { + lReturn = pdFAIL; + } + else + { + ulLastRegTest2Counter = ulRegTest2Counter; + } + + return lReturn; +} +/*-----------------------------------------------------------*/ + +static void prvErrorChecks( void *pvParameters ) +{ +portTickType xDelayPeriod = mainNO_ERROR_CHECK_DELAY, xLastExecutionTime; +volatile unsigned portBASE_TYPE uxFreeStack; + + /* Just to remove compiler warning. */ + ( void ) pvParameters; + + /* This call is just to demonstrate the use of the function - nothing is + done with the value. You would expect the stack high water mark to be + lower (the function to return a larger value) here at function entry than + later following calls to other functions. */ + uxFreeStack = uxTaskGetStackHighWaterMark( NULL ); + + /* Initialise xLastExecutionTime so the first call to vTaskDelayUntil() + works correctly. */ + xLastExecutionTime = xTaskGetTickCount(); + + /* Cycle for ever, delaying then checking all the other tasks are still + operating without error. */ + for( ;; ) + { + /* Again just for demo purposes - uxFreeStack should have a lower value + here than following the call to uxTaskGetStackHighWaterMark() on the + task entry. */ + uxFreeStack = uxTaskGetStackHighWaterMark( NULL ); + + /* Wait until it is time to check again. The time we wait here depends + on whether an error has been detected or not. When an error is + detected the time is shortened resulting in a faster LED flash rate. */ + vTaskDelayUntil( &xLastExecutionTime, xDelayPeriod ); + + /* See if the other tasks are all ok. */ + if( prvCheckOtherTasksAreStillRunning() != pdPASS ) + { + /* An error occurred in one of the tasks so shorten the delay + period - which has the effect of increasing the frequency of the + LED toggle. */ + xDelayPeriod = mainERROR_CHECK_DELAY; + } + + /* Flash! */ + vParTestToggleLED( mainCHECK_TEST_LED ); + } +} +/*-----------------------------------------------------------*/ + +static void prvSetupHardware( void ) +{ + XCache_EnableICache( 0x80000000 ); + XCache_EnableDCache( 0x80000000 ); + + /* Setup the IO port for use with the LED outputs. */ + vParTestInitialise(); +} +/*-----------------------------------------------------------*/ + +void prvRegTest1Pass( void ) +{ + /* Called from the inline assembler - this cannot be static + otherwise it can get optimised away. */ + ulRegTest1Counter++; +} +/*-----------------------------------------------------------*/ + +void prvRegTest2Pass( void ) +{ + /* Called from the inline assembler - this cannot be static + otherwise it can get optimised away. */ + ulRegTest2Counter++; +} +/*-----------------------------------------------------------*/ + +void prvRegTestFail( void ) +{ + /* Called from the inline assembler - this cannot be static + otherwise it can get optimised away. */ + xRegTestStatus = pdFAIL; +} +/*-----------------------------------------------------------*/ + +static void prvRegTestTask1( void *pvParameters ) +{ + /* Just to remove compiler warning. */ + ( void ) pvParameters; + + /* The first register test task as described at the top of this file. The + values used in the registers are different to those use in the second + register test task. Also, unlike the second register test task, this task + yields between setting the register values and subsequently checking the + register values. */ + asm volatile + ( + "RegTest1Start: \n\t" \ + " \n\t" \ + " li 0, 301 \n\t" \ + " mtspr 256, 0 #USPRG0 \n\t" \ + " li 0, 501 \n\t" \ + " mtspr 8, 0 #LR \n\t" \ + " li 0, 4 \n\t" \ + " mtspr 1, 0 #XER \n\t" \ + " \n\t" \ + " li 0, 1 \n\t" \ + " li 2, 2 \n\t" \ + " li 3, 3 \n\t" \ + " li 4, 4 \n\t" \ + " li 5, 5 \n\t" \ + " li 6, 6 \n\t" \ + " li 7, 7 \n\t" \ + " li 8, 8 \n\t" \ + " li 9, 9 \n\t" \ + " li 10, 10 \n\t" \ + " li 11, 11 \n\t" \ + " li 12, 12 \n\t" \ + " li 13, 13 \n\t" \ + " li 14, 14 \n\t" \ + " li 15, 15 \n\t" \ + " li 16, 16 \n\t" \ + " li 17, 17 \n\t" \ + " li 18, 18 \n\t" \ + " li 19, 19 \n\t" \ + " li 20, 20 \n\t" \ + " li 21, 21 \n\t" \ + " li 22, 22 \n\t" \ + " li 23, 23 \n\t" \ + " li 24, 24 \n\t" \ + " li 25, 25 \n\t" \ + " li 26, 26 \n\t" \ + " li 27, 27 \n\t" \ + " li 28, 28 \n\t" \ + " li 29, 29 \n\t" \ + " li 30, 30 \n\t" \ + " li 31, 31 \n\t" \ + " \n\t" \ + " sc \n\t" \ + " nop \n\t" \ + " \n\t" \ + " cmpwi 0, 1 \n\t" \ + " bne RegTest1Fail \n\t" \ + " cmpwi 2, 2 \n\t" \ + " bne RegTest1Fail \n\t" \ + " cmpwi 3, 3 \n\t" \ + " bne RegTest1Fail \n\t" \ + " cmpwi 4, 4 \n\t" \ + " bne RegTest1Fail \n\t" \ + " cmpwi 5, 5 \n\t" \ + " bne RegTest1Fail \n\t" \ + " cmpwi 6, 6 \n\t" \ + " bne RegTest1Fail \n\t" \ + " cmpwi 7, 7 \n\t" \ + " bne RegTest1Fail \n\t" \ + " cmpwi 8, 8 \n\t" \ + " bne RegTest1Fail \n\t" \ + " cmpwi 9, 9 \n\t" \ + " bne RegTest1Fail \n\t" \ + " cmpwi 10, 10 \n\t" \ + " bne RegTest1Fail \n\t" \ + " cmpwi 11, 11 \n\t" \ + " bne RegTest1Fail \n\t" \ + " cmpwi 12, 12 \n\t" \ + " bne RegTest1Fail \n\t" \ + " cmpwi 13, 13 \n\t" \ + " bne RegTest1Fail \n\t" \ + " cmpwi 14, 14 \n\t" \ + " bne RegTest1Fail \n\t" \ + " cmpwi 15, 15 \n\t" \ + " bne RegTest1Fail \n\t" \ + " cmpwi 16, 16 \n\t" \ + " bne RegTest1Fail \n\t" \ + " cmpwi 17, 17 \n\t" \ + " bne RegTest1Fail \n\t" \ + " cmpwi 18, 18 \n\t" \ + " bne RegTest1Fail \n\t" \ + " cmpwi 19, 19 \n\t" \ + " bne RegTest1Fail \n\t" \ + " cmpwi 20, 20 \n\t" \ + " bne RegTest1Fail \n\t" \ + " cmpwi 21, 21 \n\t" \ + " bne RegTest1Fail \n\t" \ + " cmpwi 22, 22 \n\t" \ + " bne RegTest1Fail \n\t" \ + " cmpwi 23, 23 \n\t" \ + " bne RegTest1Fail \n\t" \ + " cmpwi 24, 24 \n\t" \ + " bne RegTest1Fail \n\t" \ + " cmpwi 25, 25 \n\t" \ + " bne RegTest1Fail \n\t" \ + " cmpwi 26, 26 \n\t" \ + " bne RegTest1Fail \n\t" \ + " cmpwi 27, 27 \n\t" \ + " bne RegTest1Fail \n\t" \ + " cmpwi 28, 28 \n\t" \ + " bne RegTest1Fail \n\t" \ + " cmpwi 29, 29 \n\t" \ + " bne RegTest1Fail \n\t" \ + " cmpwi 30, 30 \n\t" \ + " bne RegTest1Fail \n\t" \ + " cmpwi 31, 31 \n\t" \ + " bne RegTest1Fail \n\t" \ + " \n\t" \ + " mfspr 0, 256 #USPRG0 \n\t" \ + " cmpwi 0, 301 \n\t" \ + " bne RegTest1Fail \n\t" \ + " mfspr 0, 8 #LR \n\t" \ + " cmpwi 0, 501 \n\t" \ + " bne RegTest1Fail \n\t" \ + " mfspr 0, 1 #XER \n\t" \ + " cmpwi 0, 4 \n\t" \ + " bne RegTest1Fail \n\t" \ + " \n\t" \ + " bl prvRegTest1Pass \n\t" \ + " b RegTest1Start \n\t" \ + " \n\t" \ + "RegTest1Fail: \n\t" \ + " \n\t" \ + " \n\t" \ + " bl prvRegTestFail \n\t" \ + " b RegTest1Start \n\t" \ + ); +} +/*-----------------------------------------------------------*/ + +static void prvRegTestTask2( void *pvParameters ) +{ + /* Just to remove compiler warning. */ + ( void ) pvParameters; + + /* The second register test task as described at the top of this file. + Note that this task fills the registers with different values to the + first register test task. */ + asm volatile + ( + "RegTest2Start: \n\t" \ + " \n\t" \ + " li 0, 300 \n\t" \ + " mtspr 256, 0 #USPRG0 \n\t" \ + " li 0, 500 \n\t" \ + " mtspr 8, 0 #LR \n\t" \ + " li 0, 4 \n\t" \ + " mtspr 1, 0 #XER \n\t" \ + " \n\t" \ + " li 0, 11 \n\t" \ + " li 2, 12 \n\t" \ + " li 3, 13 \n\t" \ + " li 4, 14 \n\t" \ + " li 5, 15 \n\t" \ + " li 6, 16 \n\t" \ + " li 7, 17 \n\t" \ + " li 8, 18 \n\t" \ + " li 9, 19 \n\t" \ + " li 10, 110 \n\t" \ + " li 11, 111 \n\t" \ + " li 12, 112 \n\t" \ + " li 13, 113 \n\t" \ + " li 14, 114 \n\t" \ + " li 15, 115 \n\t" \ + " li 16, 116 \n\t" \ + " li 17, 117 \n\t" \ + " li 18, 118 \n\t" \ + " li 19, 119 \n\t" \ + " li 20, 120 \n\t" \ + " li 21, 121 \n\t" \ + " li 22, 122 \n\t" \ + " li 23, 123 \n\t" \ + " li 24, 124 \n\t" \ + " li 25, 125 \n\t" \ + " li 26, 126 \n\t" \ + " li 27, 127 \n\t" \ + " li 28, 128 \n\t" \ + " li 29, 129 \n\t" \ + " li 30, 130 \n\t" \ + " li 31, 131 \n\t" \ + " \n\t" \ + " cmpwi 0, 11 \n\t" \ + " bne RegTest2Fail \n\t" \ + " cmpwi 2, 12 \n\t" \ + " bne RegTest2Fail \n\t" \ + " cmpwi 3, 13 \n\t" \ + " bne RegTest2Fail \n\t" \ + " cmpwi 4, 14 \n\t" \ + " bne RegTest2Fail \n\t" \ + " cmpwi 5, 15 \n\t" \ + " bne RegTest2Fail \n\t" \ + " cmpwi 6, 16 \n\t" \ + " bne RegTest2Fail \n\t" \ + " cmpwi 7, 17 \n\t" \ + " bne RegTest2Fail \n\t" \ + " cmpwi 8, 18 \n\t" \ + " bne RegTest2Fail \n\t" \ + " cmpwi 9, 19 \n\t" \ + " bne RegTest2Fail \n\t" \ + " cmpwi 10, 110 \n\t" \ + " bne RegTest2Fail \n\t" \ + " cmpwi 11, 111 \n\t" \ + " bne RegTest2Fail \n\t" \ + " cmpwi 12, 112 \n\t" \ + " bne RegTest2Fail \n\t" \ + " cmpwi 13, 113 \n\t" \ + " bne RegTest2Fail \n\t" \ + " cmpwi 14, 114 \n\t" \ + " bne RegTest2Fail \n\t" \ + " cmpwi 15, 115 \n\t" \ + " bne RegTest2Fail \n\t" \ + " cmpwi 16, 116 \n\t" \ + " bne RegTest2Fail \n\t" \ + " cmpwi 17, 117 \n\t" \ + " bne RegTest2Fail \n\t" \ + " cmpwi 18, 118 \n\t" \ + " bne RegTest2Fail \n\t" \ + " cmpwi 19, 119 \n\t" \ + " bne RegTest2Fail \n\t" \ + " cmpwi 20, 120 \n\t" \ + " bne RegTest2Fail \n\t" \ + " cmpwi 21, 121 \n\t" \ + " bne RegTest2Fail \n\t" \ + " cmpwi 22, 122 \n\t" \ + " bne RegTest2Fail \n\t" \ + " cmpwi 23, 123 \n\t" \ + " bne RegTest2Fail \n\t" \ + " cmpwi 24, 124 \n\t" \ + " bne RegTest2Fail \n\t" \ + " cmpwi 25, 125 \n\t" \ + " bne RegTest2Fail \n\t" \ + " cmpwi 26, 126 \n\t" \ + " bne RegTest2Fail \n\t" \ + " cmpwi 27, 127 \n\t" \ + " bne RegTest2Fail \n\t" \ + " cmpwi 28, 128 \n\t" \ + " bne RegTest2Fail \n\t" \ + " cmpwi 29, 129 \n\t" \ + " bne RegTest2Fail \n\t" \ + " cmpwi 30, 130 \n\t" \ + " bne RegTest2Fail \n\t" \ + " cmpwi 31, 131 \n\t" \ + " bne RegTest2Fail \n\t" \ + " \n\t" \ + " mfspr 0, 256 #USPRG0 \n\t" \ + " cmpwi 0, 300 \n\t" \ + " bne RegTest2Fail \n\t" \ + " mfspr 0, 8 #LR \n\t" \ + " cmpwi 0, 500 \n\t" \ + " bne RegTest2Fail \n\t" \ + " mfspr 0, 1 #XER \n\t" \ + " cmpwi 0, 4 \n\t" \ + " bne RegTest2Fail \n\t" \ + " \n\t" \ + " bl prvRegTest2Pass \n\t" \ + " b RegTest2Start \n\t" \ + " \n\t" \ + "RegTest2Fail: \n\t" \ + " \n\t" \ + " \n\t" \ + " bl prvRegTestFail \n\t" \ + " b RegTest2Start \n\t" \ + ); +} +/*-----------------------------------------------------------*/ + +/* This hook function will get called if there is a suspected stack overflow. +An overflow can cause the task name to be corrupted, in which case the task +handle needs to be used to determine the offending task. */ +void vApplicationStackOverflowHook( xTaskHandle xTask, signed portCHAR *pcTaskName ); +void vApplicationStackOverflowHook( xTaskHandle xTask, signed portCHAR *pcTaskName ) +{ +/* To prevent the optimiser removing the variables. */ +volatile xTaskHandle xTaskIn = xTask; +volatile signed portCHAR *pcTaskNameIn = pcTaskName; + + /* Remove compiler warnings. */ + ( void ) xTaskIn; + ( void ) pcTaskNameIn; + + /* The following three calls are simply to stop compiler warnings about the + functions not being used - they are called from the inline assembly. */ + prvRegTest1Pass(); + prvRegTest2Pass(); + prvRegTestFail(); + + for( ;; ); +} + + + diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c b/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c new file mode 100644 index 000000000..d4a98a309 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c @@ -0,0 +1,170 @@ +/* + FreeRTOS.org V5.3.1 - Copyright (C) 2003-2009 Richard Barry. + + This file is part of the FreeRTOS.org distribution. + + FreeRTOS.org is free software; you can redistribute it and/or modify it + under the terms of the GNU General Public License (version 2) as published + by the Free Software Foundation and modified by the FreeRTOS exception. + **NOTE** The exception to the GPL is included to allow you to distribute a + combined work that includes FreeRTOS.org without being obliged to provide + the source code for any proprietary components. Alternative commercial + license and support terms are also available upon request. See the + licensing section of http://www.FreeRTOS.org for full details. + + FreeRTOS.org is distributed in the hope that it will be useful, but WITHOUT + ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or + FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for + more details. + + You should have received a copy of the GNU General Public License along + with FreeRTOS.org; if not, write to the Free Software Foundation, Inc., 59 + Temple Place, Suite 330, Boston, MA 02111-1307 USA. + + + *************************************************************************** + * * + * Get the FreeRTOS eBook! See http://www.FreeRTOS.org/Documentation * + * * + * This is a concise, step by step, 'hands on' guide that describes both * + * general multitasking concepts and FreeRTOS specifics. It presents and * + * explains numerous examples that are written using the FreeRTOS API. * + * Full source code for all the examples is provided in an accompanying * + * .zip file. * + * * + *************************************************************************** + + 1 tab == 4 spaces! + + Please ensure to read the configuration and relevant port sections of the + online documentation. + + http://www.FreeRTOS.org - Documentation, latest information, license and + contact details. + + http://www.SafeRTOS.com - A version that is certified for use in safety + critical systems. + + http://www.OpenRTOS.com - Commercial support, development, porting, + licensing and training services. +*/ + + +/* Scheduler includes. */ +#include "FreeRTOS.h" + +/* Demo application includes. */ +#include "partest.h" + +/* Library includes. */ +#include "xparameters.h" +#include "xgpio_l.h" + +/* Misc hardware specific definitions. */ +#define partstALL_AS_OUTPUT 0x00 +#define partstCHANNEL_1 0x01 +#define partstMAX_8BIT_LED 0x07 + +/* The outputs are split into two IO sections, these variables maintain the +current value of either section. */ +static unsigned portBASE_TYPE uxCurrentOutput8Bit, uxCurrentOutput5Bit; + +/*-----------------------------------------------------------*/ +/* + * Setup the IO for the LED outputs. + */ +void vParTestInitialise( void ) +{ + /* Set both sets of LED's on the demo board to outputs. */ + XGpio_mSetDataDirection( XPAR_LEDS_8BIT_BASEADDR, partstCHANNEL_1, partstALL_AS_OUTPUT ); + XGpio_mSetDataDirection( XPAR_LEDS_POSITIONS_BASEADDR, partstCHANNEL_1, partstALL_AS_OUTPUT ); + + /* Start with all outputs off. */ + uxCurrentOutput8Bit = 0; + XGpio_mSetDataReg( XPAR_LEDS_8BIT_BASEADDR, partstCHANNEL_1, 0x00 ); + uxCurrentOutput5Bit = 0; + XGpio_mSetDataReg( XPAR_LEDS_POSITIONS_BASEADDR, partstCHANNEL_1, 0x00 ); +} +/*-----------------------------------------------------------*/ + +void vParTestSetLED( unsigned portBASE_TYPE uxLED, signed portBASE_TYPE xValue ) +{ +unsigned portBASE_TYPE uxBaseAddress, *puxCurrentValue; + + portENTER_CRITICAL(); + { + /* Which IO section does the LED being set/cleared belong to? The + 8 bit or 5 bit outputs? */ + if( uxLED <= partstMAX_8BIT_LED ) + { + uxBaseAddress = XPAR_LEDS_8BIT_BASEADDR; + puxCurrentValue = &uxCurrentOutput5Bit; + } + else + { + uxBaseAddress = XPAR_LEDS_POSITIONS_BASEADDR; + puxCurrentValue = &uxCurrentOutput8Bit; + uxLED -= partstMAX_8BIT_LED; + } + + /* Setup the bit mask accordingly. */ + uxLED = 0x01 << uxLED; + + /* Maintain the current output value. */ + if( xValue ) + { + *puxCurrentValue |= uxLED; + } + else + { + *puxCurrentValue &= ~uxLED; + } + + /* Write the value to the port. */ + XGpio_mSetDataReg( uxBaseAddress, partstCHANNEL_1, *puxCurrentValue ); + } + portEXIT_CRITICAL(); +} +/*-----------------------------------------------------------*/ + +void vParTestToggleLED( unsigned portBASE_TYPE uxLED ) +{ +unsigned portBASE_TYPE uxBaseAddress, *puxCurrentValue; + + portENTER_CRITICAL(); + { + /* Which IO section does the LED being toggled belong to? The + 8 bit or 5 bit outputs? */ + if( uxLED <= partstMAX_8BIT_LED ) + { + + uxBaseAddress = XPAR_LEDS_8BIT_BASEADDR; + puxCurrentValue = &uxCurrentOutput5Bit; + } + else + { + uxBaseAddress = XPAR_LEDS_POSITIONS_BASEADDR; + puxCurrentValue = &uxCurrentOutput8Bit; + uxLED -= partstMAX_8BIT_LED; + } + + /* Setup the bit mask accordingly. */ + uxLED = 0x01 << uxLED; + + /* Maintain the current output value. */ + if( *puxCurrentValue & uxLED ) + { + *puxCurrentValue &= ~uxLED; + } + else + { + *puxCurrentValue |= uxLED; + } + + /* Write the value to the port. */ + XGpio_mSetDataReg(uxBaseAddress, partstCHANNEL_1, *puxCurrentValue ); + } + portEXIT_CRITICAL(); +} + + diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c b/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c new file mode 100644 index 000000000..d5f005af3 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c @@ -0,0 +1,235 @@ +/* + FreeRTOS.org V5.3.1 - Copyright (C) 2003-2009 Richard Barry. + + This file is part of the FreeRTOS.org distribution. + + FreeRTOS.org is free software; you can redistribute it and/or modify it + under the terms of the GNU General Public License (version 2) as published + by the Free Software Foundation and modified by the FreeRTOS exception. + **NOTE** The exception to the GPL is included to allow you to distribute a + combined work that includes FreeRTOS.org without being obliged to provide + the source code for any proprietary components. Alternative commercial + license and support terms are also available upon request. See the + licensing section of http://www.FreeRTOS.org for full details. + + FreeRTOS.org is distributed in the hope that it will be useful, but WITHOUT + ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or + FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License for + more details. + + You should have received a copy of the GNU General Public License along + with FreeRTOS.org; if not, write to the Free Software Foundation, Inc., 59 + Temple Place, Suite 330, Boston, MA 02111-1307 USA. + + + *************************************************************************** + * * + * Get the FreeRTOS eBook! See http://www.FreeRTOS.org/Documentation * + * * + * This is a concise, step by step, 'hands on' guide that describes both * + * general multitasking concepts and FreeRTOS specifics. It presents and * + * explains numerous examples that are written using the FreeRTOS API. * + * Full source code for all the examples is provided in an accompanying * + * .zip file. * + * * + *************************************************************************** + + 1 tab == 4 spaces! + + Please ensure to read the configuration and relevant port sections of the + online documentation. + + http://www.FreeRTOS.org - Documentation, latest information, license and + contact details. + + http://www.SafeRTOS.com - A version that is certified for use in safety + critical systems. + + http://www.OpenRTOS.com - Commercial support, development, porting, + licensing and training services. +*/ + + +/* + BASIC INTERRUPT DRIVEN SERIAL PORT DRIVER FOR UART +*/ + +/* Scheduler includes. */ +#include "FreeRTOS.h" +#include "queue.h" +#include "task.h" + +/* Demo application includes. */ +#include "serial.h" + +/* Library includes. */ +#include "xparameters.h" +#include "xuartlite.h" +#include "xuartlite_l.h" + +/*-----------------------------------------------------------*/ + +/* Queues used to hold received characters, and characters waiting to be +transmitted. */ +static xQueueHandle xRxedChars; +static xQueueHandle xCharsForTx; + +/* Structure that maintains information on the UART being used. */ +static XUartLite xUART; + +/* + * Sample UART interrupt handler. Note this is used to demonstrate the kernel + * features and test the port - it is not intended to represent an efficient + * implementation. + */ +static void vSerialISR( XUartLite *pxUART ); + +/*-----------------------------------------------------------*/ + +xComPortHandle xSerialPortInitMinimal( unsigned portLONG ulWantedBaud, unsigned portBASE_TYPE uxQueueLength ) +{ + /* NOTE: The baud rate used by this driver is determined by the hardware + parameterization of the UART Lite peripheral, and the baud value passed to + this function has no effect. */ + ( void ) ulWantedBaud; + + /* Create the queues used to hold Rx and Tx characters. */ + xRxedChars = xQueueCreate( uxQueueLength, ( unsigned portBASE_TYPE ) sizeof( signed portCHAR ) ); + xCharsForTx = xQueueCreate( uxQueueLength + 1, ( unsigned portBASE_TYPE ) sizeof( signed portCHAR ) ); + + /* Only initialise the UART if the queues were created correctly. */ + if( ( xRxedChars != NULL ) && ( xCharsForTx != NULL ) ) + { + + XUartLite_Initialize( &xUART, XPAR_RS232_UART_1_DEVICE_ID ); + XUartLite_ResetFifos( &xUART ); + XUartLite_DisableInterrupt( &xUART ); + + if( xPortInstallInterruptHandler( XPAR_XPS_INTC_0_RS232_UART_1_INTERRUPT_INTR, ( XInterruptHandler )vSerialISR, (void *)&xUART ) == pdPASS ) + { + /* xPortInstallInterruptHandler() could fail if + vPortSetupInterruptController() has not been called prior to this + function. */ + XUartLite_EnableInterrupt( &xUART ); + } + } + + /* There is only one port so the handle is not used. */ + return ( xComPortHandle ) 0; +} +/*-----------------------------------------------------------*/ + +signed portBASE_TYPE xSerialGetChar( xComPortHandle pxPort, signed portCHAR *pcRxedChar, portTickType xBlockTime ) +{ + /* The port handle is not required as this driver only supports one UART. */ + ( void ) pxPort; + + /* Get the next character from the buffer. Return false if no characters + are available, or arrive before xBlockTime expires. */ + if( xQueueReceive( xRxedChars, pcRxedChar, xBlockTime ) ) + { + return pdTRUE; + } + else + { + return pdFALSE; + } +} +/*-----------------------------------------------------------*/ + +signed portBASE_TYPE xSerialPutChar( xComPortHandle pxPort, signed portCHAR cOutChar, portTickType xBlockTime ) +{ +portBASE_TYPE xReturn = pdTRUE; + + /* Just to remove compiler warning. */ + ( void ) pxPort; + + portENTER_CRITICAL(); + { + /* If the UART FIFO is full we can block posting the new data on the + Tx queue. */ + if( XUartLite_mIsTransmitFull( XPAR_RS232_UART_1_BASEADDR ) ) + { + if( xQueueSend( xCharsForTx, &cOutChar, xBlockTime ) != pdPASS ) + { + xReturn = pdFAIL; + } + } + /* Otherwise, if there is data already in the queue we should add the + new data to the back of the queue to ensure the sequencing is + maintained. */ + else if( uxQueueMessagesWaiting( xCharsForTx ) ) + { + if( xQueueSend( xCharsForTx, &cOutChar, xBlockTime ) != pdPASS ) + { + xReturn = pdFAIL; + } + } + /* If the UART FIFO is not full and there is no data already in the + queue we can write directly to the FIFO without disrupting the + sequence. */ + else + { + XIo_Out32( XPAR_RS232_UART_1_BASEADDR + XUL_TX_FIFO_OFFSET, cOutChar ); + } + } + portEXIT_CRITICAL(); + + return xReturn; +} +/*-----------------------------------------------------------*/ + +void vSerialClose( xComPortHandle xPort ) +{ + /* Not supported as not required by the demo application. */ + ( void ) xPort; +} +/*-----------------------------------------------------------*/ + +static void vSerialISR( XUartLite *pxUART ) +{ +unsigned portLONG ulISRStatus; +portBASE_TYPE xHigherPriorityTaskWoken = pdFALSE, lDidSomething; +portCHAR cChar; + + /* Just to remove compiler warning. */ + ( void ) pxUART; + + do + { + lDidSomething = pdFALSE; + + ulISRStatus = XIo_In32( XPAR_RS232_UART_1_BASEADDR + XUL_STATUS_REG_OFFSET ); + + if( ( ulISRStatus & XUL_SR_RX_FIFO_VALID_DATA ) != 0 ) + { + /* A character is available - place it in the queue of received + characters. This might wake a task that was blocked waiting for + data. */ + cChar = ( portCHAR ) XIo_In32( XPAR_RS232_UART_1_BASEADDR + XUL_RX_FIFO_OFFSET ); + xQueueSendFromISR( xRxedChars, &cChar, &xHigherPriorityTaskWoken ); + lDidSomething = pdTRUE; + } + + if( ( ulISRStatus & XUL_SR_TX_FIFO_EMPTY ) != 0 ) + { + /* There is space in the FIFO - if there are any characters queue for + transmission they can be sent to the UART now. This might unblock a + task that was waiting for space to become available on the Tx queue. */ + if( xQueueReceiveFromISR( xCharsForTx, &cChar, &xHigherPriorityTaskWoken ) == pdTRUE ) + { + XIo_Out32( XPAR_RS232_UART_1_BASEADDR + XUL_TX_FIFO_OFFSET, cChar ); + lDidSomething = pdTRUE; + } + } + } while( lDidSomething == pdTRUE ); + + /* If we woke any tasks we may require a context switch. */ + if( xHigherPriorityTaskWoken ) + { + portYIELD_FROM_ISR(); + } +} + + + diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/Version_Changes.log b/Demo/PPC440_Xilinx_Virtex5_GCC/Version_Changes.log new file mode 100644 index 000000000..bcb2b8bc6 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/Version_Changes.log @@ -0,0 +1,15 @@ + The following files will be modified: + system.mhs + system.mss + +-------------------------------------- + The following changes will be made: + Core ppc440mc_ddr2 2.00.a will be replaced by 2.00.b + Core clock_generator 3.00.a will be replaced by 3.01.a + + Driver cpu_ppc440 1.00.b will be replaced by 1.01.a + Driver iic 1.14.a will be replaced by 1.15.a +-------------------------------------- + The following changes need to be made manually by the user: + Core plbv46_pcie 3.00.b needs to be replaced by 4.01.a + Core xps_ethernetlite 2.01.a needs to be replaced by 3.00.a diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtSvgBLKD_Dimensions.xsl b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtSvgBLKD_Dimensions.xsl new file mode 100644 index 000000000..bf9bf9488 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtSvgBLKD_Dimensions.xsl @@ -0,0 +1,173 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtSvgDiag_Colors.xsl b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtSvgDiag_Colors.xsl new file mode 100644 index 000000000..8de3842e4 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtSvgDiag_Colors.xsl @@ -0,0 +1,134 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtSvgDiag_Globals.xsl b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtSvgDiag_Globals.xsl new file mode 100644 index 000000000..990af6c6b --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtSvgDiag_Globals.xsl @@ -0,0 +1,46 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtSvgDiag_StyleDefs.xsl b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtSvgDiag_StyleDefs.xsl new file mode 100644 index 000000000..db7f0f354 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtSvgDiag_StyleDefs.xsl @@ -0,0 +1,580 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + UNKNOWN Text style class + + + + + + + + + + \ No newline at end of file diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtTinySvgBLKD_BusLaneSpaces.xsl b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtTinySvgBLKD_BusLaneSpaces.xsl new file mode 100644 index 000000000..cf3864ebc --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtTinySvgBLKD_BusLaneSpaces.xsl @@ -0,0 +1,2757 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + NONE + + + + + + + + NONE + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + -4 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + _unknown_ + + + + + + + + + + + + + + + _unknown_ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + NONE + + + + + + + + NONE + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + \ No newline at end of file diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtTinySvgBLKD_Busses.xsl b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtTinySvgBLKD_Busses.xsl new file mode 100644 index 000000000..3fc2afe86 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtTinySvgBLKD_Busses.xsl @@ -0,0 +1,534 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + BusArrowInitiator + BusArrowSouth + + + + + + BusArrowInitiator + BusArrowNorth + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + BusArrowHInitiator + BusArrowWest + + + + + + BusArrowHInitiator + BusArrowEast + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + BusArrowHInitiator + BusArrowEast + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtTinySvgBLKD_Functions.xsl b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtTinySvgBLKD_Functions.xsl new file mode 100644 index 000000000..b91a5790e --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtTinySvgBLKD_Functions.xsl @@ -0,0 +1,1110 @@ + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 'NONE' + + + + + + + NONE + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 'NONE' + + + + + + + NONE + + + + + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + 0 + + + + + + + + + + + diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtTinySvgBLKD_Globals.xsl b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtTinySvgBLKD_Globals.xsl new file mode 100644 index 000000000..9d6fe70b1 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtTinySvgBLKD_Globals.xsl @@ -0,0 +1,115 @@ + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + 0 + + + + + + + 0 + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + Generating Blkdiagram in TestMode + + + + + + + + diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtTinySvgBLKD_IOPorts.xsl b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtTinySvgBLKD_IOPorts.xsl new file mode 100644 index 000000000..ec0c0e54e --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtTinySvgBLKD_IOPorts.xsl @@ -0,0 +1,490 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + I + O + B + I + + + + + + W + E + D + + + + + + + + 0 + + + + + + + + + + 0 + + + + + + + + + + 0 + + + + + + 0 + -90 + 180 + 90 + + 180 + 90 + 0 + -90 + + 0 + 0 + 0 + 0 + 0 + + + + + + + -10 + 6 + + 6 + 0 + + + + + + + + + -2 + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + I + O + B + I + + + + + + W + S + E + N + D + + + + + + + + + + 0 + + + + + + + + + + 0 + + + + + + + + + + 0 + + + + + + + 0 + -90 + 180 + 90 + + 180 + 90 + 0 + -90 + + 0 + 0 + 0 + 0 + 0 + + + + + + -14 + 8 + + 8 + 0 + + + + + + + + + -2 + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtTinySvgBLKD_Main.xsl b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtTinySvgBLKD_Main.xsl new file mode 100644 index 000000000..a2f9a4480 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtTinySvgBLKD_Main.xsl @@ -0,0 +1,1549 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + ERROT: Project is missing BLKDIAGRAM Element. Cannot generate. + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 0 + 0> + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + NONE + + + + + + + + NONE + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + \ No newline at end of file diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtTinySvgBLKD_Peripherals.xsl b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtTinySvgBLKD_Peripherals.xsl new file mode 100644 index 000000000..d4c458d2e --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtTinySvgBLKD_Peripherals.xsl @@ -0,0 +1,1582 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + symbol_ + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 'UNK' + + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 4.5 + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + +symbol_STACK_ + + + + +symbol_GROUP_ + + + +symbol_SPACE_WEST__EAST_ +symbol_STACK_ +symbol_STACK__SHAPE_ + + + + + diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtTinySvgBLKD_Processors.xsl b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtTinySvgBLKD_Processors.xsl new file mode 100644 index 000000000..9eb7a20bf --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtTinySvgBLKD_Processors.xsl @@ -0,0 +1,462 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + SLAVES OF + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + "_no_interrupt_cntlr_" + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtTinySvgDiag_BifShapes.xsl b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtTinySvgDiag_BifShapes.xsl new file mode 100644 index 000000000..7cbfab278 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/MdtTinySvgDiag_BifShapes.xsl @@ -0,0 +1,241 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/svg10.dtd b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/svg10.dtd new file mode 100644 index 000000000..110f5ced5 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/.dswkshop/svg10.dtd @@ -0,0 +1,1704 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/bitinit.opt b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/bitinit.opt new file mode 100644 index 000000000..a0575e42f --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/bitinit.opt @@ -0,0 +1 @@ + $(PPC440_0_BOOTLOOP) diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/map.xmsgs b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/map.xmsgs new file mode 100644 index 000000000..aacb5ac90 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/map.xmsgs @@ -0,0 +1,236 @@ + + + +The command line option -timing is automatically supported for this architecture. Therefore, it is not necessary to specify this option. + + +Logical network N194 has no load. + + +The above warning message base_net_load_rule is repeated 1200 more times for the following (max. 5 shown): +N195, +N196, +N197, +N198, +N199 +To see the details of these warning messages, please use the -detail switch. + + +No environment variables are currently set. + + +Net Timing constraints on signal fpga_0_SysACE_CompactFlash_SysACE_CLK_pin are pushed forward through input buffer. + + +PLL_ADV clock_generator_0/clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst CLKIN2 pin was disconnected because a constant 1 is driving the CLKINSEL pin. + + +Signal fpga_0_SysACE_CompactFlash_SysACE_MPIRQ_pin connected to top level port fpga_0_SysACE_CompactFlash_SysACE_MPIRQ_pin has been removed. + + +Signal fpga_0_Ethernet_MAC_PHY_col_pin connected to top level port fpga_0_Ethernet_MAC_PHY_col_pin has been removed. + + +All members of TNM group "ppc440_0_PPCS0PLBMBUSY" have been optimized out of the design. + + +trimming timing constraints from pin xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_0 of frag REGCLKAU connected to power/ground net xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_0_REGCLKAU_tiesig + + +trimming timing constraints from pin xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_0 of frag REGCLKAL connected to power/ground net xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_0_REGCLKAL_tiesig + + +trimming timing constraints from pin xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_1 of frag REGCLKAU connected to power/ground net xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_1_REGCLKAU_tiesig + + +trimming timing constraints from pin xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_1 of frag REGCLKAL connected to power/ground net xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_1_REGCLKAL_tiesig + + +trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapper_i/bram_tl_tx/generate_tdp2[0].ram_tdp2_inst of frag REGCLKAU connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapper_i/bram_tl_tx/generate_tdp2[0].ram_tdp2_inst_REGCLKAU_tiesig + + +trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapper_i/bram_tl_tx/generate_tdp2[0].ram_tdp2_inst of frag REGCLKAL connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapper_i/bram_tl_tx/generate_tdp2[0].ram_tdp2_inst_REGCLKAL_tiesig + + +trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapper_i/bram_tl_tx/generate_tdp2[1].ram_tdp2_inst of frag REGCLKAU connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapper_i/bram_tl_tx/generate_tdp2[1].ram_tdp2_inst_REGCLKAU_tiesig + + +trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapper_i/bram_tl_tx/generate_tdp2[1].ram_tdp2_inst of frag REGCLKAL connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapper_i/bram_tl_tx/generate_tdp2[1].ram_tdp2_inst_REGCLKAL_tiesig + + +trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk_if/ll_bridge/rx_bridge/fifo_inst/oq_fifo/Mram_regBank of frag RDRCLKU connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk_if/ll_bridge/rx_bridge/fifo_inst/oq_fifo/Mram_regBank_RDRCLKU_tiesig + + +trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk_if/ll_bridge/rx_bridge/fifo_inst/oq_fifo/Mram_regBank of frag RDRCLKL connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk_if/ll_bridge/rx_bridge/fifo_inst/oq_fifo/Mram_regBank_RDRCLKL_tiesig + + +trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_master_bridge/Comp_FIFO/CompFIFO_64.dpram/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36.noeccerr.SDP of frag RDRCLKU connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_master_bridge/Comp_FIFO/CompFIFO_64.dpram/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36.noeccerr.SDP_RDRCLKU_tiesig + + +trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_master_bridge/Comp_FIFO/CompFIFO_64.dpram/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36.noeccerr.SDP of frag RDRCLKL connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_master_bridge/Comp_FIFO/CompFIFO_64.dpram/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36.noeccerr.SDP_RDRCLKL_tiesig + + +trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_master_bridge/RxSFIFO_64.RxSFIFO/BU2/U0/grf.rf/mem/gbm.gbmg.gbmga.ngecc.bmg/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5.ram/SDP.WIDE_PRIM36.noeccerr.SDP of frag RDRCLKU connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_master_bridge/RxSFIFO_64.RxSFIFO/BU2/U0/grf.rf/mem/gbm.gbmg.gbmga.ngecc.bmg/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5.ram/SDP.WIDE_PRIM36.noeccerr.SDP_RDRCLKU_tiesig + + +trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_master_bridge/RxSFIFO_64.RxSFIFO/BU2/U0/grf.rf/mem/gbm.gbmg.gbmga.ngecc.bmg/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5.ram/SDP.WIDE_PRIM36.noeccerr.SDP of frag RDRCLKL connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_master_bridge/RxSFIFO_64.RxSFIFO/BU2/U0/grf.rf/mem/gbm.gbmg.gbmga.ngecc.bmg/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5.ram/SDP.WIDE_PRIM36.noeccerr.SDP_RDRCLKL_tiesig + + +trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/GEN_TX_64_FIFO.comp_tx_pkt_fifo/COMP_TX_RAM_70.dpram/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36.noeccerr.SDP of frag RDRCLKU connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/GEN_TX_64_FIFO.comp_tx_pkt_fifo/COMP_TX_RAM_70.dpram/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36.noeccerr.SDP_RDRCLKU_tiesig + + +trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/GEN_TX_64_FIFO.comp_tx_pkt_fifo/COMP_TX_RAM_70.dpram/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36.noeccerr.SDP of frag RDRCLKL connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/GEN_TX_64_FIFO.comp_tx_pkt_fifo/COMP_TX_RAM_70.dpram/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36.noeccerr.SDP_RDRCLKL_tiesig + + +trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/comp_rx_fifo/GEN_64.COMP_RX_RAM/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36.noeccerr.SDP of frag RDRCLKU connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/comp_rx_fifo/GEN_64.COMP_RX_RAM/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36.noeccerr.SDP_RDRCLKU_tiesig + + +trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/comp_rx_fifo/GEN_64.COMP_RX_RAM/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36.noeccerr.SDP of frag RDRCLKL connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/comp_rx_fifo/GEN_64.COMP_RX_RAM/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36.noeccerr.SDP_RDRCLKL_tiesig + + +Initializing temperature to 85.000 Celsius. (default - Range: 0.000 to 85.000 Celsius) + + +Initializing voltage to 0.950 Volts. (default - Range: 0.950 to 1.050 Volts) + + +Timing constraint TS_MC_RDEN_SEL_MUX = MAXDELAY FROM TIMEGRP "TNM_RDEN_SEL_MUX" TO TIMEGRP "TNM_CLK0" TS_MC_CLK * 4 ignored during timing analysis. + +Intersecting Constraints found and resolved. For more information, see the TSI report. Please consult the Xilinx Command Line Tools User Guide for information on generating a TSI report. + +The Interim Design Summary has been generated in the MAP Report (.mrp). + + +An IO Bus with more than one IO standard is found. +Components associated with this bus are as follows: + Comp: fpga_0_LEDs_8Bit_GPIO_IO_pin<7> IOSTANDARD = LVCMOS25 + Comp: fpga_0_LEDs_8Bit_GPIO_IO_pin<6> IOSTANDARD = LVCMOS25 + Comp: fpga_0_LEDs_8Bit_GPIO_IO_pin<5> IOSTANDARD = LVCMOS25 + Comp: fpga_0_LEDs_8Bit_GPIO_IO_pin<4> IOSTANDARD = LVCMOS18 + Comp: fpga_0_LEDs_8Bit_GPIO_IO_pin<3> IOSTANDARD = LVCMOS25 + Comp: fpga_0_LEDs_8Bit_GPIO_IO_pin<2> IOSTANDARD = LVCMOS18 + Comp: fpga_0_LEDs_8Bit_GPIO_IO_pin<1> IOSTANDARD = LVCMOS18 + Comp: fpga_0_LEDs_8Bit_GPIO_IO_pin<0> IOSTANDARD = LVCMOS18 + + + + +An IO Bus with more than one IO standard is found. +Components associated with this bus are as follows: + Comp: fpga_0_SRAM_Mem_DQ_pin<31> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<30> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<29> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<28> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<27> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<26> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<25> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<24> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<23> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<22> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<21> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<20> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<19> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<18> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<17> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<16> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<15> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<14> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<13> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<12> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<11> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<10> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<9> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<8> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<7> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<6> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<5> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<4> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<3> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<2> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<1> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<0> IOSTANDARD = LVCMOS33 + + + + +Map created a placed design. + + +One or more GTXs are being used in this design. Evaluate the SelectIO-To-GTX Crosstalk section of the Virtex-5 RocketIO GTX Transceiver User Guide to ensure that the design SelectIO usage meets the guidelines to minimize the impact on GTX performance. + + +Gated clock. Clock net PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/SIO/.pcie_gt_wrapper_i/icdrreset<0> is sourced by a combinatorial pin. This is not good design practice. Use the CE pin to control the loading of data into the flip-flop. + + +Gated clock. Clock net Ethernet_MAC/Ethernet_MAC/phy_tx_clk_i is sourced by a combinatorial pin. This is not good design practice. Use the CE pin to control the loading of data into the flip-flop. + + +The signal <PCIe_Bridge/PCIe_Bridge/sig_sb_txrem_n<0>> is incomplete. The signal does not drive any load pins in the design. + + +The signal <PCIe_Bridge/PCIe_Bridge/sig_MB_TxREMn<0>> is incomplete. The signal does not drive any load pins in the design. + + +The signal <xps_bram_if_cntlr_1_port_BRAM_Addr<31>> is incomplete. The signal does not drive any load pins in the design. + + +The signal <xps_bram_if_cntlr_1_port_BRAM_Addr<30>> is incomplete. The signal does not drive any load pins in the design. + + +The signal <PCIe_Bridge/PCIe_Bridge/sig_MB_RxFull> is incomplete. The signal does not drive any load pins in the design. + + +Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[1].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The Q1 output pin of IFF is not used. + + +Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[1].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The SR pin is used for the IFF Flip-flop but the SRVAL_Q1 set/reset value is not configured. + + +Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[4].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The Q1 output pin of IFF is not used. + + +Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[4].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The SR pin is used for the IFF Flip-flop but the SRVAL_Q1 set/reset value is not configured. + + +Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[7].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The Q1 output pin of IFF is not used. + + +Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[7].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The SR pin is used for the IFF Flip-flop but the SRVAL_Q1 set/reset value is not configured. + + +Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[2].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The Q1 output pin of IFF is not used. + + +Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[2].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The SR pin is used for the IFF Flip-flop but the SRVAL_Q1 set/reset value is not configured. + + +Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[5].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The Q1 output pin of IFF is not used. + + +Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[5].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The SR pin is used for the IFF Flip-flop but the SRVAL_Q1 set/reset value is not configured. + + +Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[0].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The Q1 output pin of IFF is not used. + + +Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[0].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The SR pin is used for the IFF Flip-flop but the SRVAL_Q1 set/reset value is not configured. + + +Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[3].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The Q1 output pin of IFF is not used. + + +Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[3].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The SR pin is used for the IFF Flip-flop but the SRVAL_Q1 set/reset value is not configured. + + +Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[6].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The Q1 output pin of IFF is not used. + + +Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[6].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The SR pin is used for the IFF Flip-flop but the SRVAL_Q1 set/reset value is not configured. + + + + diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/ngcbuild.xmsgs b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/ngcbuild.xmsgs new file mode 100644 index 000000000..f84336aac --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/ngcbuild.xmsgs @@ -0,0 +1,9 @@ + + + + + diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/ngdbuild.xmsgs b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/ngdbuild.xmsgs new file mode 100644 index 000000000..fb27f3325 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/ngdbuild.xmsgs @@ -0,0 +1,1067 @@ + + + +The value of SIM_DEVICE on instance 'clock_generator_0/clock_generator_0/Using_DCM0.DCM0_INST/DCM_INST/Using_DCM_ADV.DCM_ADV_INST' of type DCM_ADV has been changed from 'VIRTEX4' to 'VIRTEX5' to correct post-ngdbuild and timing simulation for this primitive. In order for functional simulation to be correct, the value of SIM_DEVICE should be changed in this same manner in the source netlist or constraint file. + + +TNM 'sys_clk_pin', used in period specification 'TS_sys_clk_pin', was traced into PLL_ADV instance clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst. The following new TNM groups and period specifications were generated at the PLL_ADV output(s): +CLKOUT0: <TIMESPEC TS_clock_generator_0_clock_generator_0_PLL0_CLK_OUT_0_ = PERIOD "clock_generator_0_clock_generator_0_PLL0_CLK_OUT_0_" TS_sys_clk_pin * 1.25 PHASE 2 ns HIGH 50%> + + +TNM 'sys_clk_pin', used in period specification 'TS_sys_clk_pin', was traced into PLL_ADV instance clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst. The following new TNM groups and period specifications were generated at the PLL_ADV output(s): +CLKOUT1: <TIMESPEC TS_clock_generator_0_clock_generator_0_PLL0_CLK_OUT_1_ = PERIOD "clock_generator_0_clock_generator_0_PLL0_CLK_OUT_1_" TS_sys_clk_pin * 1.25 HIGH 50%> + + +TNM 'sys_clk_pin', used in period specification 'TS_sys_clk_pin', was traced into PLL_ADV instance clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst. The following new TNM groups and period specifications were generated at the PLL_ADV output(s): +CLKOUT2: <TIMESPEC TS_clock_generator_0_clock_generator_0_PLL0_CLK_OUT_2_ = PERIOD "clock_generator_0_clock_generator_0_PLL0_CLK_OUT_2_" TS_sys_clk_pin * 1.25 HIGH 50%> + + +TNM 'sys_clk_pin', used in period specification 'TS_sys_clk_pin', was traced into PLL_ADV instance clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst. The following new TNM groups and period specifications were generated at the PLL_ADV output(s): +CLKOUT3: <TIMESPEC TS_clock_generator_0_clock_generator_0_PLL0_CLK_OUT_3_ = PERIOD "clock_generator_0_clock_generator_0_PLL0_CLK_OUT_3_" TS_sys_clk_pin * 2 HIGH 50%> + + +TNM 'sys_clk_pin', used in period specification 'TS_sys_clk_pin', was traced into PLL_ADV instance clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst. The following new TNM groups and period specifications were generated at the PLL_ADV output(s): +CLKOUT4: <TIMESPEC TS_clock_generator_0_clock_generator_0_PLL0_CLK_OUT_4_ = PERIOD "clock_generator_0_clock_generator_0_PLL0_CLK_OUT_4_" TS_sys_clk_pin * 0.625 HIGH 50%> + + +User specified non-default attribute value (8.0000000000000000) was detected for the CLKIN_PERIOD attribute on DCM "clock_generator_0/Using_DCM0.DCM0_INST/DCM_INST/Using_DCM_ADV.DCM_ADV_INST". This does not match the PERIOD constraint value (5 ns.). The uncertainty calculation will use the non-default attribute value. This could result in incorrect uncertainty calculated for DCM output clocks. + + +SFF primitive 'xps_bram_if_cntlr_1/xps_bram_if_cntlr_1/INCLUDE_BURST_SUPPORT.I_SLAVE_BURST_ATTACH/I_DBEAT_CONTROL/I_DBEAT_CNTR/STRUCTURAL_A_GEN.I_ADDSUB_GEN[4].FDRE_I' has unconnected output pin + + +SFF primitive 'SRAM/SRAM/EMC_CTRL_I/MEM_STEER_I/SYNC_MEM_DQT.REG_DQT_GEN[2].DQT_REG' has unconnected output pin + + +SFF primitive 'SRAM/SRAM/EMC_CTRL_I/MEM_STEER_I/GSYNC_MEM_RDACK_GEN.ADDR_ALIGN_PIPE_GEN[3].ALIGN_PIPE' has unconnected output pin + + +SFF primitive 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_FOR_SHARED.GEN_BKEND_CE_REGISTERS[0].I_BKEND_WRCE_REG' has unconnected output pin + + +SFF primitive 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_FOR_SHARED.GEN_BKEND_CE_REGISTERS[0].I_BKEND_RDCE_REG' has unconnected output pin + + +SFF primitive 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BURST_SUPPORT/RESPONSE_DBEAT_CNTR_I/STRUCTURAL_A_GEN.I_ADDSUB_GEN[7].FDRE_I' has unconnected output pin + + +SFF primitive 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BURST_SUPPORT/CONTROL_DBEAT_CNTR_I/STRUCTURAL_A_GEN.I_ADDSUB_GEN[7].FDRE_I' has unconnected output pin + + +SFF primitive 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[0].I_FDRSE_BE0to3' has unconnected output pin + + +SFF primitive 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[1].I_FDRSE_BE0to3' has unconnected output pin + + +SFF primitive 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[2].I_FDRSE_BE0to3' has unconnected output pin + + +SFF primitive 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[3].I_FDRSE_BE0to3' has unconnected output pin + + +SFF primitive 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[0].I_SIZE_S_H_REG' has unconnected output pin + + +SFF primitive 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[0].I_SIZE_S_H_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_STEER_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[0].I_SIZE_S_H_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[0].I_SIZE_S_H_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/GEN_DWIDTH_64_128.LDMUX_FDRSE_4to7[7].I_FDRSE_BE4to7' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/GEN_DWIDTH_64_128.LDMUX_FDRSE_4to7[6].I_FDRSE_BE4to7' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/GEN_DWIDTH_64_128.LDMUX_FDRSE_4to7[5].I_FDRSE_BE4to7' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/GEN_DWIDTH_64_128.LDMUX_FDRSE_4to7[4].I_FDRSE_BE4to7' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[3].I_FDRSE_BE0to3' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[2].I_FDRSE_BE0to3' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[1].I_FDRSE_BE0to3' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[0].I_FDRSE_BE0to3' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_S_H_ADDR_REG[6].I_ADDR_S_H_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_S_H_ADDR_REG[7].I_ADDR_S_H_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[0].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[0].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[0].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[1].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[1].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[1].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[2].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[2].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[2].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[3].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[3].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[3].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[4].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[4].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[4].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[5].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[5].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[5].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[6].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[6].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[6].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[7].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[7].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[7].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[8].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[8].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[8].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[9].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[9].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[9].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[10].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[10].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[10].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[11].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[11].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[11].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[12].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[12].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[12].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[13].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[13].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[13].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[14].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[14].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[14].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[15].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[15].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[15].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[16].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[16].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[16].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[17].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[17].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[17].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[18].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[18].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[18].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[19].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[19].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[19].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[20].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[20].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[20].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[21].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[21].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[21].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[22].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[22].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[22].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[23].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[23].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[23].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[24].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[24].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[24].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[25].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[25].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[25].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[26].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[26].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[26].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[27].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[27].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[27].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[28].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[28].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[28].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[29].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[29].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[29].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[30].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[30].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[30].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[31].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[31].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[31].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[32].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[33].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[34].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[35].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[36].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[37].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[38].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[39].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[40].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[41].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[42].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[43].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[44].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[44].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[44].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[45].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[45].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[45].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[46].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[46].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[46].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[47].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[47].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[47].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[48].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[48].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[48].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[49].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[49].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[49].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[50].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[50].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[50].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[51].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[51].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[51].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[52].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[52].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[52].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[53].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[53].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[53].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[54].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[54].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[54].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[55].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[55].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[55].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[56].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[57].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[58].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[59].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[60].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[61].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[61].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[61].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[62].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[62].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[62].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[63].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[63].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[63].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[1].GEN_USER_CE.GEN_ALL_CEs[64].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[1].GEN_USER_CE.GEN_ALL_CEs[64].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[1].GEN_USER_CE.GEN_ALL_CEs[64].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[65].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[66].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[66].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[67].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[68].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[68].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[68].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[69].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[69].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[69].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[70].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[70].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[70].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[71].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[71].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[72].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[73].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[74].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[74].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[74].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[75].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[76].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[76].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[76].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[77].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[77].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[77].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[78].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[78].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[78].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[79].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[79].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[79].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[80].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[80].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[80].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[3].GEN_RESET_CE.I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[3].GEN_RESET_CE.I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[4].GEN_USER_CE.GEN_ALL_CEs[82].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[4].GEN_USER_CE.GEN_ALL_CEs[82].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[4].GEN_USER_CE.GEN_ALL_CEs[82].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[5].GEN_USER_CE.GEN_ALL_CEs[83].I_BKend_CE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[5].GEN_USER_CE.GEN_ALL_CEs[83].I_BKend_RDCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[5].GEN_USER_CE.GEN_ALL_CEs[83].I_BKend_WRCE_REG' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/I_CS_SIZE2_REG0' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/I_CS_SIZE2_REG1' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/I_CS_SIZE2_REG2' has unconnected output pin + + +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_master/I_RD_CONTROL/I_RD_ABORT_REG' has unconnected output pin + + +SFF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/COLLISION_SYNC' has unconnected output pin + + +FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU10' has unconnected output pin + + +FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU15' has unconnected output pin + + +FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU20' has unconnected output pin + + +FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU25' has unconnected output pin + + +FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU30' has unconnected output pin + + +FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU35' has unconnected output pin + + +FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU130' has unconnected output pin + + +FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU237' has unconnected output pin + + +FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU10' has unconnected output pin + + +FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU15' has unconnected output pin + + +FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU20' has unconnected output pin + + +FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU25' has unconnected output pin + + +FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU30' has unconnected output pin + + +FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU35' has unconnected output pin + + +FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU130' has unconnected output pin + + +FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU237' has unconnected output pin + + +FF primitive 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rden[1].u_calib_rden_r' has unconnected output pin + + +FF primitive 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rden[2].u_calib_rden_r' has unconnected output pin + + +FF primitive 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rden[3].u_calib_rden_r' has unconnected output pin + + +FF primitive 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rden[4].u_calib_rden_r' has unconnected output pin + + +FF primitive 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rden[5].u_calib_rden_r' has unconnected output pin + + +FF primitive 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rden[6].u_calib_rden_r' has unconnected output pin + + +FF primitive 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rden[7].u_calib_rden_r' has unconnected output pin + + +Attribute "CLK_FEEDBACK" is not allowed on symbol "clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst" of type "PLL_ADV". This attribute will be ignored. + + +logical net 'N194' has no driver + + +logical net 'N195' has no driver + + +logical net 'N196' has no driver + + +logical net 'N197' has no driver + + +logical net 'N198' has no driver + + +logical net 'N199' has no driver + + +logical net 'N200' has no driver + + +logical net 'N201' has no driver + + +logical net 'N202' has no driver + + +logical net 'N203' has no driver + + +logical net 'N204' has no driver + + +logical net 'N205' has no driver + + +logical net 'N206' has no driver + + +logical net 'N207' has no driver + + +logical net 'N208' has no driver + + +logical net 'N209' has no driver + + +logical net 'N210' has no driver + + +logical net 'N211' has no driver + + +logical net 'N212' has no driver + + +logical net 'N213' has no driver + + +logical net 'N214' has no driver + + +logical net 'N215' has no driver + + +logical net 'N216' has no driver + + +logical net 'N217' has no driver + + +logical net 'N218' has no driver + + +logical net 'N219' has no driver + + +logical net 'N220' has no driver + + +logical net 'N221' has no driver + + +logical net 'N222' has no driver + + +logical net 'N223' has no driver + + +logical net 'N224' has no driver + + +logical net 'N225' has no driver + + +logical net 'N226' has no driver + + +logical net 'N227' has no driver + + +logical net 'N228' has no driver + + +logical net 'N229' has no driver + + +logical net 'N230' has no driver + + +logical net 'N231' has no driver + + +logical net 'N232' has no driver + + +logical net 'N233' has no driver + + +logical net 'N234' has no driver + + +logical net 'N235' has no driver + + +logical net 'N236' has no driver + + +logical net 'N237' has no driver + + +logical net 'N238' has no driver + + +logical net 'N239' has no driver + + +logical net 'N240' has no driver + + +logical net 'N241' has no driver + + +logical net 'N242' has no driver + + +logical net 'N243' has no driver + + +logical net 'N244' has no driver + + +logical net 'N245' has no driver + + +logical net 'N246' has no driver + + +logical net 'N247' has no driver + + +logical net 'N248' has no driver + + +logical net 'N249' has no driver + + +logical net 'N250' has no driver + + +logical net 'N251' has no driver + + +logical net 'N252' has no driver + + +logical net 'N253' has no driver + + +logical net 'N254' has no driver + + +logical net 'N255' has no driver + + +logical net 'N256' has no driver + + +logical net 'N257' has no driver + + +logical net 'N266' has no driver + + +logical net 'N267' has no driver + + +logical net 'N268' has no driver + + +logical net 'N269' has no driver + + +logical net 'N270' has no driver + + +logical net 'N271' has no driver + + +logical net 'N272' has no driver + + +logical net 'N273' has no driver + + +logical net 'N306' has no driver + + +logical net 'N307' has no driver + + +logical net 'N308' has no driver + + +logical net 'N309' has no driver + + +logical net 'N310' has no driver + + +logical net 'N311' has no driver + + +logical net 'N312' has no driver + + +logical net 'N313' has no driver + + +logical net 'PCIe_Bridge/PCIe_Bridge/sig_trn_terrfwd_n' has no driver + + +logical net 'PCIe_Bridge/PCIe_Bridge/sig_trn_rerrfwd_n' has no driver + + +logical net 'PCIe_Bridge/PCIe_Bridge/sig_trn_tsrc_dsc_n' has no driver + + +logical net 'PCIe_Bridge/PCIe_Bridge/sig_trn_tbuf_av<3>' has no driver + + +logical net 'PCIe_Bridge/PCIe_Bridge/sig_trn_trem_n<4>' has no driver + + + + diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/par.xmsgs b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/par.xmsgs new file mode 100644 index 000000000..bd1165beb --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/par.xmsgs @@ -0,0 +1,52 @@ + + + +Constraint <NET "PCIe_Bridge/Bridge_Clk" PERIOD = 8 ns HIGH 50%;> [system.pcf(66101)] overrides constraint <NET "PCIe_Bridge/Bridge_Clk" PERIOD = 8 ns HIGH 50%;> [system.pcf(66100)]. + + +Timing constraint TS_MC_RDEN_SEL_MUX = MAXDELAY FROM TIMEGRP "TNM_RDEN_SEL_MUX" TO TIMEGRP "TNM_CLK0" TS_MC_CLK * 4; ignored during timing analysis. + +Intersecting Constraints found and resolved. For more information, see the TSI report. Please consult the Xilinx Command Line Tools User Guide for information on generating a TSI report. + +The signal PCIe_Bridge/PCIe_Bridge/sig_sb_txrem_n<0> has no load. PAR will not attempt to route this signal. + + +The signal PCIe_Bridge/PCIe_Bridge/sig_MB_TxREMn<0> has no load. PAR will not attempt to route this signal. + + +The signal xps_bram_if_cntlr_1_port_BRAM_Addr<31> has no load. PAR will not attempt to route this signal. + + +The signal xps_bram_if_cntlr_1_port_BRAM_Addr<30> has no load. PAR will not attempt to route this signal. + + +The signal PCIe_Bridge/PCIe_Bridge/sig_MB_RxFull has no load. PAR will not attempt to route this signal. + + +One or more directed routing (DIRT) constraints generated for a specific device have been found. Note that DIRT strings are guaranteed to work only on the same device they were created for. If the DIRT constraints fail, verify that the same connectivity is available in the target device for this implementation. + + +N/A entries in the Constraints list may indicate that the constraint does not cover any paths or that it has no requested value. + +N/A entries in the Constraints list may indicate that the constraint does not cover any paths or that it has no requested value. + +There are 5 loadless signals in this design. This design will cause Bitgen to issue DRC warnings. + + + +There are 5 loadless signals in this design. This design will cause Bitgen to issue DRC warnings. + + + +Number of "Exact" mode Directed Routing Constraints: 128 + + +All "EXACT" mode Directed Routing constrained nets successfully routed. The number of constraints found: 128, number successful: 128 + + + + diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/trce.xmsgs b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/trce.xmsgs new file mode 100644 index 000000000..3c4f0421e --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/trce.xmsgs @@ -0,0 +1,20 @@ + + + +Constraint <NET "PCIe_Bridge/Bridge_Clk" PERIOD = 8 ns HIGH 50%;> [system.pcf(66101)] overrides constraint <NET "PCIe_Bridge/Bridge_Clk" PERIOD = 8 ns HIGH 50%;> [system.pcf(66100)]. + + +Timing constraint TS_MC_RDEN_SEL_MUX = MAXDELAY FROM TIMEGRP "TNM_RDEN_SEL_MUX" TO TIMEGRP "TNM_CLK0" TS_MC_CLK * 4; ignored during timing analysis. + +Intersecting Constraints found and resolved. For more information, see the TSI report. Please consult the Xilinx Command Line Tools User Guide for information on generating a TSI report. + +To get complete path coverage, use the unconstrained paths option. All paths that are not constrained will be reported in the unconstrained paths section(s) of the report. + +The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet. + + + diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/xst.xmsgs b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/xst.xmsgs new file mode 100644 index 000000000..6716d23b2 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/xst.xmsgs @@ -0,0 +1,1029 @@ + + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3103: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3111: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3119: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3127: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3135: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3143: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3151: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3159: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3167: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3175: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3183: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3191: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3199: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3207: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3215: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3223: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3231: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3239: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3247: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3255: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3263: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3271: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3279: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3287: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3295: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3303: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3311: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3319: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3327: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3335: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3343: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3351: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3359: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3367: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3375: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3383: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3391: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3399: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3407: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3415: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3423: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3431: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3439: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3447: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3455: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3463: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3471: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3479: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3487: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3495: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3503: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3511: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3519: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3527: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3535: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3543: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3551: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3559: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3567: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3575: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3583: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3591: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3599: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3607: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3615: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3623: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3631: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3639: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3647: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3655: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3663: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3671: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3679: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3687: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3695: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3703: Instantiating black box module <IOBUF>. + + +"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3711: Instantiating black box module <IBUFGDS>. + + +Signal <pgassign9> is assigned but never used. This unconnected signal will be trimmed during the optimization process. + + +Signal <pgassign11<0:6>> is assigned but never used. This unconnected signal will be trimmed during the optimization process. + + +Signal <pgassign11<31>> is assigned but never used. This unconnected signal will be trimmed during the optimization process. + + +Signal <pgassign10<0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process. + + +The FF/Latch <plb_v46_0/GEN_MPLB_RST[0].I_MPLB_RST> in Unit <plb_v46_0> is equivalent to the following 13 FFs/Latches : <plb_v46_0/GEN_SPLB_RST[11].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[10].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[9].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[8].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[7].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[6].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[5].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[4].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[3].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[2].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[1].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[0].I_SPLB_RST> <plb_v46_0/I_PLB_RST> + + +The FF/Latch <xps_bram_if_cntlr_1/INCLUDE_BURST_SUPPORT.I_SLAVE_BURST_ATTACH/I_FLOP_ADDRACK> in Unit <xps_bram_if_cntlr_1> is equivalent to the following FF/Latch : <xps_bram_if_cntlr_1/INCLUDE_BURST_SUPPORT.I_SLAVE_BURST_ATTACH/I_FLOP_SET_SLBUSY> + + +The FF/Latch <xps_bram_if_cntlr_1/INCLUDE_BURST_SUPPORT.I_SLAVE_BURST_ATTACH/I_FLOP_WRACK_2BUS> in Unit <xps_bram_if_cntlr_1> is equivalent to the following FF/Latch : <xps_bram_if_cntlr_1/INCLUDE_BURST_SUPPORT.I_SLAVE_BURST_ATTACH/I_FLOP_WRACK> + + +The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.I_BURST_S_H_REG> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.I_BURST_S_H_REG> + + +The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/sl_wrdack_i> in Unit <SRAM> is equivalent to the following 2 FFs/Latches : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/GEN_WRITE_BUFFER.GEN_WRBUF_WREN1> <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/GEN_WRITE_BUFFER.GEN_WRBUF_WREN2> + + +The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.I_SNGL_S_H_REG> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.I_SNGL_S_H_REG> + + +The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[1].I_SIZE_S_H_REG> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[1].I_SIZE_S_H_REG> + + +The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[2].I_SIZE_S_H_REG> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[2].I_SIZE_S_H_REG> + + +The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[3].I_SIZE_S_H_REG> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[3].I_SIZE_S_H_REG> + + +The FF/Latch <SRAM/EMC_CTRL_I/IO_REGISTERS_I/mem_wen_reg> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/EMC_CTRL_I/MEM_STEER_I/SYNC_MEM_DQT.REG_DQT_GEN[0].DQT_REG> + + +The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.I_CACHLN_S_H_REG> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.I_CACHLN_S_H_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_STEER_ADDRESS_COUNTER/I_SNGL_S_H_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_SNGL_S_H_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/I_CS_SIZE_REG1> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/I_CS_SIZE_REG2> + + +The FF/Latch <PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/SIO/.pcie_gt_wrapper_i/flop[0].tx_power_down0> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/SIO/.pcie_gt_wrapper_i/flop[0].rx_power_down0> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[29].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[29].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[28].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[28].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_STEER_ADDRESS_COUNTER/I_BURST_S_H_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_BURST_S_H_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[27].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[27].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[31].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[31].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[26].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[26].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_STEER_ADDRESS_COUNTER/I_CACHLN_S_H_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_CACHLN_S_H_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[30].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[30].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[25].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[25].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[19].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[19].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[24].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[24].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[23].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[23].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[18].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[18].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.GEN_FOR_64.DPHASE_REG2> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.GEN_FOR_64.DPHASE_REG1> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[22].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[22].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[17].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[17].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[21].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[21].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[16].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[16].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[20].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[20].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[15].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[15].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[14].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[14].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[13].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[13].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[12].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[12].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[11].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[11].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[10].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[10].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[9].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[9].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/SIO/.pcie_gt_wrapper_i/flop[0].tx_power_down1> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/SIO/.pcie_gt_wrapper_i/flop[0].rx_power_down1> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[8].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[8].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[7].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[7].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[6].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[6].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[5].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[5].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_STEER_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[3].I_SIZE_S_H_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[3].I_SIZE_S_H_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[4].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[4].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_STEER_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[2].I_SIZE_S_H_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[2].I_SIZE_S_H_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[3].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[3].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_STEER_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[1].I_SIZE_S_H_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[1].I_SIZE_S_H_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[2].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[2].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[1].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[1].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[0].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[0].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BURST_SUPPORT/BTERM_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BURST_SUPPORT/BTERM_CLNUP> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.GEN_WRITE_BUFFER.GEN_WRBUF_WREN2> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.GEN_WRITE_BUFFER.GEN_WRBUF_WREN1> + + +The FF/Latch <U0/grf.rf/gl0.wr/gwss.wsts/ram_full_fb_i> in Unit <BU3> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.wr/gwss.wsts/ram_full_i> + + +The FF/Latch <U0/grf.rf/gl0.rd/grss.rsts/ram_empty_fb_i> in Unit <BU3> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.rd/grss.rsts/ram_empty_i> + + +The FF/Latch <U0/grf.rf/gl0.wr/gwss.wsts/ram_full_fb_i> in Unit <BU3> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.wr/gwss.wsts/ram_full_i> + + +The FF/Latch <U0/grf.rf/gl0.rd/grss.rsts/ram_empty_fb_i> in Unit <BU3> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.rd/grss.rsts/ram_empty_i> + + +The FF/Latch <U0/grf.rf/gl0.rd/gr1.rfwft/aempty_fwft_i> in Unit <BU2> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.rd/gr1.rfwft/aempty_fwft_fb> + + +The FF/Latch <U0/grf.rf/gl0.wr/gwas.wsts/ram_full_i> in Unit <BU2> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.wr/gwas.wsts/ram_full_fb_i> + + +The FF/Latch <U0/grf.rf/rstblk/wr_rst_reg_1> in Unit <BU2> is equivalent to the following FF/Latch : <U0/grf.rf/rstblk/wr_rst_reg_0> + + +The FF/Latch <U0/grf.rf/gl0.rd/gr1.rfwft/empty_fwft_i> in Unit <BU2> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.rd/gr1.rfwft/empty_fwft_fb> + + +The FF/Latch <U0/grf.rf/rstblk/rd_rst_reg_2> in Unit <BU2> is equivalent to the following FF/Latch : <U0/grf.rf/rstblk/rd_rst_reg_1> + + +The FF/Latch <ppc440_0_SPLB0/GEN_MPLB_RST[0].I_MPLB_RST> in Unit <ppc440_0_SPLB0> is equivalent to the following 2 FFs/Latches : <ppc440_0_SPLB0/GEN_SPLB_RST[0].I_SPLB_RST> <ppc440_0_SPLB0/I_PLB_RST> + + +The FF/Latch <Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_state_machine/state21a> in Unit <Ethernet_MAC> is equivalent to the following 2 FFs/Latches : <Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_state_machine/state19a> <Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_state/state21a> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/clk_reset/rst0_sync_r_2> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/clk_reset/rst0_sync_r_2_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2> in Unit <DDR2_SDRAM> is equivalent to the following 6 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2_1> <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2_2> <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2_3> <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2_4> <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2_5> <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2_6> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_0> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[0].u_ff_rd_data_sel> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_1> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[1].u_ff_rd_data_sel> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_2> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[2].u_ff_rd_data_sel> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_3> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[3].u_ff_rd_data_sel> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_usr_top/usr_rd/gen_rden_sel_mux[0].u_ff_rden_sel_mux> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_usr_top/usr_rd/rden_sel_r_0> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_4> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[4].u_ff_rd_data_sel> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_26> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_26_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_26_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_31> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_31_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_31_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_5> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[5].u_ff_rd_data_sel> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_6> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[6].u_ff_rd_data_sel> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_7> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[7].u_ff_rd_data_sel> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_6> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_6_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_11> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_11_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_18> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_18_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_18_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_23> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_23_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_23_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_5> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_5_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_10> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_10_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_35> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_35_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_35_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_40> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_40_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_40_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_39> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_39_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_39_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_44> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_44_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_44_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_25> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_25_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_25_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_30> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_30_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_30_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_1> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_1_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_hit_r_1> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_hit_r_1_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_13> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_13_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_17> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_17_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_17_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_22> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_22_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_22_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0> in Unit <DDR2_SDRAM> is equivalent to the following 40 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_1> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_2> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_3> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_4> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_5> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_6> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_7> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_8> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_9> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_10> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_11> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_12> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_13> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_14> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_15> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_16> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_17> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_18> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_19> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_20> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_21> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_22> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_23> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_24> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_25> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_26> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_27> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_28> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_29> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_30> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_31> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_32> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_33> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_34> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_35> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_36> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_37> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_38> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_39> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_40> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_0> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_0_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_12> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_12_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_29> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_29_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_29_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_34> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_34_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_34_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_38> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_38_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_38_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_43> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_43_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_43_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_59> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_59_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_16> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_16_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_16_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_21> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_21_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_21_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_2> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_2_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_7> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_7_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_28> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_28_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_28_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_33> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_33_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_33_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_14> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_14_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[0].u_iob_dqs/dqs_rst_n_r> in Unit <DDR2_SDRAM> is equivalent to the following 7 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[1].u_iob_dqs/dqs_rst_n_r> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[2].u_iob_dqs/dqs_rst_n_r> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[3].u_iob_dqs/dqs_rst_n_r> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[4].u_iob_dqs/dqs_rst_n_r> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[5].u_iob_dqs/dqs_rst_n_r> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[6].u_iob_dqs/dqs_rst_n_r> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[7].u_iob_dqs/dqs_rst_n_r> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_37> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_37_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_37_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_42> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_42_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_42_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_58> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_58_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_hit_r_2> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_hit_r_2_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_15> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_15_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_15_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_20> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_20_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_20_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_27> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_27_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_27_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_32> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_32_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_32_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_4> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_4_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_9> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_9_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_19> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_19_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_19_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_24> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_24_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_24_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[0].u_iob_dm/u_dm_ce> in Unit <DDR2_SDRAM> is equivalent to the following 7 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[1].u_iob_dm/u_dm_ce> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[2].u_iob_dm/u_dm_ce> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[3].u_iob_dm/u_dm_ce> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[4].u_iob_dm/u_dm_ce> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[5].u_iob_dm/u_dm_ce> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[6].u_iob_dm/u_dm_ce> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[7].u_iob_dm/u_dm_ce> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_3> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_3_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_8> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_8_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_36> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_36_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_36_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_41> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_41_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_41_2> + + +The FF/Latch <plb_v46_0/GEN_MPLB_RST[0].I_MPLB_RST> in Unit <plb_v46_0> is equivalent to the following 13 FFs/Latches : <plb_v46_0/GEN_SPLB_RST[11].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[10].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[9].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[8].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[7].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[6].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[5].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[4].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[3].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[2].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[1].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[0].I_SPLB_RST> <plb_v46_0/I_PLB_RST> + + +The FF/Latch <xps_bram_if_cntlr_1/INCLUDE_BURST_SUPPORT.I_SLAVE_BURST_ATTACH/I_FLOP_ADDRACK> in Unit <xps_bram_if_cntlr_1> is equivalent to the following FF/Latch : <xps_bram_if_cntlr_1/INCLUDE_BURST_SUPPORT.I_SLAVE_BURST_ATTACH/I_FLOP_SET_SLBUSY> + + +The FF/Latch <xps_bram_if_cntlr_1/INCLUDE_BURST_SUPPORT.I_SLAVE_BURST_ATTACH/I_FLOP_WRACK_2BUS> in Unit <xps_bram_if_cntlr_1> is equivalent to the following FF/Latch : <xps_bram_if_cntlr_1/INCLUDE_BURST_SUPPORT.I_SLAVE_BURST_ATTACH/I_FLOP_WRACK> + + +The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.I_BURST_S_H_REG> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.I_BURST_S_H_REG> + + +The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/sl_wrdack_i> in Unit <SRAM> is equivalent to the following 2 FFs/Latches : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/GEN_WRITE_BUFFER.GEN_WRBUF_WREN1> <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/GEN_WRITE_BUFFER.GEN_WRBUF_WREN2> + + +The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.I_SNGL_S_H_REG> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.I_SNGL_S_H_REG> + + +The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[1].I_SIZE_S_H_REG> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[1].I_SIZE_S_H_REG> + + +The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[2].I_SIZE_S_H_REG> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[2].I_SIZE_S_H_REG> + + +The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[3].I_SIZE_S_H_REG> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[3].I_SIZE_S_H_REG> + + +The FF/Latch <SRAM/EMC_CTRL_I/IO_REGISTERS_I/mem_wen_reg> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/EMC_CTRL_I/MEM_STEER_I/SYNC_MEM_DQT.REG_DQT_GEN[0].DQT_REG> + + +The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.I_CACHLN_S_H_REG> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.I_CACHLN_S_H_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_STEER_ADDRESS_COUNTER/I_SNGL_S_H_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_SNGL_S_H_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/I_CS_SIZE_REG1> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/I_CS_SIZE_REG2> + + +The FF/Latch <PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/SIO/.pcie_gt_wrapper_i/flop[0].tx_power_down0> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/SIO/.pcie_gt_wrapper_i/flop[0].rx_power_down0> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[29].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[29].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[28].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[28].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_STEER_ADDRESS_COUNTER/I_BURST_S_H_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_BURST_S_H_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[27].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[27].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[31].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[31].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[26].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[26].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_STEER_ADDRESS_COUNTER/I_CACHLN_S_H_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_CACHLN_S_H_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[30].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[30].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[25].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[25].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[19].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[19].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[24].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[24].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[23].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[23].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[18].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[18].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.GEN_FOR_64.DPHASE_REG2> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.GEN_FOR_64.DPHASE_REG1> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[22].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[22].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[17].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[17].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[21].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[21].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[16].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[16].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[20].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[20].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[15].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[15].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[14].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[14].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[13].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[13].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[12].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[12].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[11].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[11].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[10].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[10].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[9].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[9].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/SIO/.pcie_gt_wrapper_i/flop[0].tx_power_down1> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/SIO/.pcie_gt_wrapper_i/flop[0].rx_power_down1> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[8].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[8].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[7].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[7].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[6].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[6].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[5].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[5].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_STEER_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[3].I_SIZE_S_H_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[3].I_SIZE_S_H_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[4].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[4].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_STEER_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[2].I_SIZE_S_H_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[2].I_SIZE_S_H_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[3].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[3].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_STEER_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[1].I_SIZE_S_H_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[1].I_SIZE_S_H_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[2].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[2].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[1].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[1].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[0].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[0].I_ADDR_REG> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BURST_SUPPORT/BTERM_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BURST_SUPPORT/BTERM_CLNUP> + + +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.GEN_WRITE_BUFFER.GEN_WRBUF_WREN2> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.GEN_WRITE_BUFFER.GEN_WRBUF_WREN1> + + +The FF/Latch <U0/grf.rf/gl0.wr/gwss.wsts/ram_full_fb_i> in Unit <BU3> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.wr/gwss.wsts/ram_full_i> + + +The FF/Latch <U0/grf.rf/gl0.rd/grss.rsts/ram_empty_fb_i> in Unit <BU3> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.rd/grss.rsts/ram_empty_i> + + +The FF/Latch <U0/grf.rf/gl0.wr/gwss.wsts/ram_full_fb_i> in Unit <BU3> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.wr/gwss.wsts/ram_full_i> + + +The FF/Latch <U0/grf.rf/gl0.rd/grss.rsts/ram_empty_fb_i> in Unit <BU3> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.rd/grss.rsts/ram_empty_i> + + +The FF/Latch <U0/grf.rf/gl0.rd/gr1.rfwft/aempty_fwft_i> in Unit <BU2> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.rd/gr1.rfwft/aempty_fwft_fb> + + +The FF/Latch <U0/grf.rf/gl0.wr/gwas.wsts/ram_full_i> in Unit <BU2> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.wr/gwas.wsts/ram_full_fb_i> + + +The FF/Latch <U0/grf.rf/rstblk/wr_rst_reg_1> in Unit <BU2> is equivalent to the following FF/Latch : <U0/grf.rf/rstblk/wr_rst_reg_0> + + +The FF/Latch <U0/grf.rf/gl0.rd/gr1.rfwft/empty_fwft_i> in Unit <BU2> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.rd/gr1.rfwft/empty_fwft_fb> + + +The FF/Latch <U0/grf.rf/rstblk/rd_rst_reg_2> in Unit <BU2> is equivalent to the following FF/Latch : <U0/grf.rf/rstblk/rd_rst_reg_1> + + +The FF/Latch <ppc440_0_SPLB0/GEN_MPLB_RST[0].I_MPLB_RST> in Unit <ppc440_0_SPLB0> is equivalent to the following 2 FFs/Latches : <ppc440_0_SPLB0/GEN_SPLB_RST[0].I_SPLB_RST> <ppc440_0_SPLB0/I_PLB_RST> + + +The FF/Latch <Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_state_machine/state21a> in Unit <Ethernet_MAC> is equivalent to the following 2 FFs/Latches : <Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_state_machine/state19a> <Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_state/state21a> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/clk_reset/rst0_sync_r_2> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/clk_reset/rst0_sync_r_2_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2> in Unit <DDR2_SDRAM> is equivalent to the following 6 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2_1> <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2_2> <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2_3> <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2_4> <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2_5> <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2_6> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_0> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[0].u_ff_rd_data_sel> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_1> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[1].u_ff_rd_data_sel> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_2> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[2].u_ff_rd_data_sel> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_3> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[3].u_ff_rd_data_sel> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_usr_top/usr_rd/gen_rden_sel_mux[0].u_ff_rden_sel_mux> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_usr_top/usr_rd/rden_sel_r_0> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_4> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[4].u_ff_rd_data_sel> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_26> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_26_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_26_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_31> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_31_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_31_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_5> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[5].u_ff_rd_data_sel> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_6> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[6].u_ff_rd_data_sel> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_7> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[7].u_ff_rd_data_sel> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_11> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_11_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_6> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_6_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_23> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_23_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_23_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_18> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_18_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_18_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_10> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_10_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_5> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_5_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_40> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_40_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_40_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_35> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_35_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_35_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_44> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_44_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_44_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_39> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_39_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_39_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_30> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_30_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_30_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_25> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_25_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_25_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_1> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_1_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_hit_r_1> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_hit_r_1_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_13> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_13_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_22> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_22_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_22_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_17> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_17_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_17_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0> in Unit <DDR2_SDRAM> is equivalent to the following 40 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_1> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_2> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_3> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_4> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_5> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_6> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_7> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_8> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_9> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_10> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_11> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_12> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_13> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_14> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_15> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_16> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_17> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_18> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_19> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_20> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_21> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_22> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_23> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_24> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_25> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_26> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_27> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_28> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_29> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_30> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_31> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_32> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_33> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_34> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_35> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_36> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_37> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_38> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_39> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_40> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_0> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_0_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_12> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_12_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_34> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_34_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_34_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_29> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_29_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_29_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_43> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_43_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_43_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_38> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_38_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_38_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_59> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_59_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_21> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_21_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_21_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_16> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_16_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_16_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_7> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_7_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_2> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_2_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_33> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_33_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_33_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_28> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_28_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_28_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_14> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_14_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[0].u_iob_dqs/dqs_rst_n_r> in Unit <DDR2_SDRAM> is equivalent to the following 7 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[1].u_iob_dqs/dqs_rst_n_r> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[2].u_iob_dqs/dqs_rst_n_r> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[3].u_iob_dqs/dqs_rst_n_r> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[4].u_iob_dqs/dqs_rst_n_r> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[5].u_iob_dqs/dqs_rst_n_r> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[6].u_iob_dqs/dqs_rst_n_r> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[7].u_iob_dqs/dqs_rst_n_r> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_42> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_42_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_42_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_37> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_37_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_37_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_58> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_58_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_hit_r_2> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_hit_r_2_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_15> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_15_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_15_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_20> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_20_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_20_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_32> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_32_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_32_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_27> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_27_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_27_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_9> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_9_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_4> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_4_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_24> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_24_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_24_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_19> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_19_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_19_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[0].u_iob_dm/u_dm_ce> in Unit <DDR2_SDRAM> is equivalent to the following 7 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[1].u_iob_dm/u_dm_ce> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[2].u_iob_dm/u_dm_ce> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[3].u_iob_dm/u_dm_ce> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[4].u_iob_dm/u_dm_ce> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[5].u_iob_dm/u_dm_ce> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[6].u_iob_dm/u_dm_ce> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[7].u_iob_dm/u_dm_ce> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_8> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_8_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_3> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_3_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_41> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_41_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_41_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_36> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_36_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_36_2> + + +HDL ADVISOR - Some clock signals were not automatically buffered by XST with BUFG/BUFR resources. Please use the buffer_type constraint in order to insert these buffers to the clock signals to help prevent skew problems. + + + + diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system.gise b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system.gise new file mode 100644 index 000000000..fb514ece4 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system.gise @@ -0,0 +1,26 @@ + + + + + + + + + + + + + + + + + + + + 11.1 + + + + + + diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system.ise b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system.ise new file mode 100644 index 0000000000000000000000000000000000000000..bf28fd80f446949af031d9bb0127cc33fb890b96 GIT binary patch literal 51515 zcmd^oYm6M(bzW(AC0mTvv1G~e#w+=Z)6huI?dcW}V(`PIuM4r@njcIp>~x?!BiQZ$JCoDJ$#sJTA6c>sQ~} zY_4xCwOX}CZqbjJMdt^R?;Z3CsxY(44c7_mp#8vY+ucPcba%Xh+OL+VePgi^_@8## zkwNG3!8c!N1Y&6$5Yl}|LuQe7HE?%5&-FCaK zx8Hil4I*d%wbu28g__&zcb%T&MRw%+UM)NTy&j(7?6$TBZa1oWI~{sF2bud13OuKp zHtO$KWuK*I(<>=TfA9zY=YM|?SLt2HJ0W!$a-sX7ra!edqoBFfMP+(@qxGD1-kP>* z#)H0G`I9%V;6A-y$9vR+TCFhh1EOks56biWK2H@+)n&1GgWAhV=i|+z`QvZ0EPBt3#us~p*^AFup51f8zTI}1vuk(RJ{NiR=#+7NvERc* z=u(?qccSIcdB+JtXaIZk7}ptNp%bxf{Idpntg`KP9ek-q`%xu+w_!&QScP9Y!>+kr zheZz@Mx~kS@y|l%nB(obf$x!~RfzzV4#MahYx_{)V9<_u=~yw=2W?)KpoC7JRqB;D zQE}1Pwg+95_u0+0<=ZTb4)8b>fH{Ql@ha{%dvq6cU2g|Z==JTuVN+el+X39y+1Yv> z-P7uK?P%K%dM~RFudvD<+TibnmHTXVmQ71uNOo`xZ*mL`S(UjVv)L_CiS62fYkO!^ z=(9mcn0oG1+wb}w2lZHSPILl<~pV0+B- zBi3;uC+NAJ!}+_sxdfTnj`m1ScZDWaxmE!{;6#JKlUf#gc$@f-cKUtD!|>q&9!(9( zhu{yo9S$N{vo46_KlTiJVDAdRoV8RPvff6MAOXA~5dk#jyw*QL>l9?!|v`l;GDQGJ0Ng&oPG4Ye9r94)mLAE1n}Zq9$6@#HSEAMtO=WB zZ~4I9@tx3n3Faj7`&`_~KuAkPZK|RysdN|)U`Ej-JAyF9X+itYN7PR}7$FzlgX%Ee zXx9nPaZYq?sGyHlfE?LG`Uu_GgMAnpgLc=4ncyv?XULvDtK0BcSm*^(m1};m3=7I0 zNe8G!7F|jiRVKrzWD3$r&ukBZ06oz?V8OuisHv)dz<2WX*6dxv=h#6P&LlP0V{h3V zNB5j6e>X8ma5bZD>iE%$4>_cPLz&xcvg@o;t+2DQwk|HeUxlZpiwCy10~V+UO|!e` z;D9|k8>ck9ct0m7`fGudD? zY$1PMQ_J(7+wCf`ndVw3?J|vydN511 zKF0||$CwSnANt({fdvQ+dXSor5xD1uJ3o9}016eq(KU?Rs5U7$uy0cF4G? z2w_?~Y|q6&YfDj8Iw6%6{xpNax;xy{tZyfK0;= zO1U2VL$_l?lG)Y)ia-eD6ZOz@hAj&(j=VGKCmr+ zpOm5RLq;$!P-0ZC3rC?|hgVv!H`kZy^{#Jst`FQ5cMEe|7*$D{xaux@uyfnw;!ejd zOB{-P_zvuGbgbs8O$zv4dA?!;dE~N}&Uu<$8U2RrKm& zcV~H#+awZ@M29O3chAP2!HFv1rKxgzXEg0}!ZQ_5Kf_jS53&Za^cluY2oaeYj5=h_ zeAba{vGK|7`a9Z3b)z9ylC5aE5qi9`>~WhFQIGLKgXHiXIa_#njg0Cm%4ZN*;-Y`h zci8#F6Hw+1JI~E1CMv$y;kLrY$QbY;O5npl-0t2A>^?EQwd_H$+Wo#Bzae^D^&D@| zgCwJYD6Zrwjl%6Yq@5S0>6JzU%hrQtxS<0%?_dCb4c|R7N{Oi2)#F3i zVCt4&qy9#=Kz||b%-}zLK?1F;zg>aDhyP;&_E>^>%(ysjI3XO6`{|(pt_fKc4CPJ` z_`x|c5?h#uA3*EdHqA@mhrod$KZtBPBNY`BFFid23$rZkJj@5o#2zf4VzxpRV~%2m z;&hhMs%q3G>GsT=dd&YDDjYWlap%W~PGG96UmwS(WH3O)iL}KuruDiaKGa6m?er-q z#Dilk9$!jM;Qv+sUshfK5qf`ed}1c`tiS<617;NHcQ1eGe^4Zn-q-LhZoc`Dnt8#D zB@{55je6S5OaZ%7wOb9acEjoW+_HOiw z^fa@}p0Pv#)9AYD|NXO{eGC-zzFhuUqD51Sc4SXUy15Z8%lfB0eETc{iSM=EXMF=g zitmSH{uS#3*7MdkS%1~~W<)DL#Q*&k>%-PZtZ%hGYJHpaW7c0oWbH-kW7Zd}AGiLt z^+kNHSbxX*3F{}Vzl+Z=SwCgHg1FmvTIZ}Os|r<}Mu_Z11S@~qx@f&-T|!v$4K%85 zy$LqX;u(L>`WfrHaBtqaYAxXVB0eucKdxIhtYzyh>uqbrTD8`!b*o{0x3z&1n<&w= zZle4<)-CHcuH3u*{u>r?n_TU%BefB!!2I@UHm?cmb`%f+V`txsDI ztuDTQ(CYDjJ> z*5~o}2dp2oeh7bm7PNoF`cYH)|MMUI(pTI&mPPOHI!vFMGV~?u$|v`GUF8eTzBE&v zehK44+wWldGyBrb=C!FeUi##mbwy~=)GqWuyN!zm za<^TlGk1P^`tsEDrKy>j=FH{#`8VnpE?%i6N>CLcd=!106S8-u7P~|xHV3_)9UL?< zW^P7yuRnLwd+7Ol-j!PFj>15G1l%9@vqdoCh0u(eZpW1^zjMIbLrvZZ`~hMJ_LkF~ zt%&s(whjlH?r{bHB7Mdf3vUGoBWJcEAy#Ni-U;m9Y$dq>QDK`8{JjRIUjXWRq7uFuQ%RrpM2w(|7XMefOU5ky6Z<<$pKuUk=V*Jy|}XmsSar(CR} zFtniy#3|lHb^s4G<3_ER!cD{<-Oq|>gMO$%EVE1=-3F!+i2rgwKSeO}W*(($HccRQ z*aDAcV*x4((P6TknK$z&A&3K7@Z-fG6C;zpBWPTyk(hDTO(Jk`d9fN6HSL{HNLOop zZRO6nrNy^f>({PT*aNrIalF|Ig7jJlZ!}j|j$ZmGD#6tvObVb@7s<0+?Q0^~6e4o( zbYcpKSTaPJqF8<~TUniNw3gSewwm)-SC%T6rDQQj=^_fkb3vdY!VLB3`2pnB{h%Ld zO#~jAp=m%;K2laFpAUKM%@P90dKCEG)+VB~C!p~X4g(xSEx2Bj39Yd4xsZ^C)%C@j zD@&~#%S#*c8w)q?j1melO0{B!=maoFfMP2MZ+2M%S{`>+?S4O@C(yo_o-C}dZ8kUN zm)Dx*R0X|*#S(59X;3?ImQ?%E-o928_zY=lEsX?|o3wa+dv&F{A9kT+6LP9C zztL(eudUx~wyxfxPp!3^t5=scM#)jb^$@c-7G|~3*GbDFz-aq=2v#httS`J>Qf8os z55p6}fdv9HAp^3l>Trk^o}CcN);#9F2Z+KSy^6#&g#1;v%><@=+0PtF+Wl^4+l9s? z+P%z%BM-$lcw8^O}0cKN(xqX*ewwNhA$EGW%WFX_Gs)l z7|s(y#l6(EY$sL5Vpp&if){WW{O+LVk(Y~&U0B6M_t3h@Y^Al|3wOerd_qY z%=4>Ntp!*lO6kCgzXJo^bxk2FK@W32^XOuyKK0stwE_of1HKx%i+J1#Qz)Jv-9A9tn00V8qryXPyfQ1oB`(KA=s32>AgmKFE2;)O_rurOe?lv}$<R~NLFsS874R#!+OMN8C`Wd9^&_3)kl zs=+ndn;{ueLPXQ7!=x8}IDEcId6wu*#eyMI3}r1D4&cScma8(nVJ{QySJY&cg5(LO zKfT-%^ZfO+ul&G$|mT|9;j$Dsa$D|GzkGO>{ zM)w%S+){GYiG=CC^h=jgVNMpXUOKX}P&K6xIK`gsSA=MR}5D^Oq*(5XW z7t(8}<-C-bp_+LUmx^QqM~`p&q1XRWcaeC>|m zh^xggce};6JCo5Aey{>?Wb<{U<3U2ld0R9$;!}0qV(fHpyR=i=XmVp?X<>PDd427~ zx0zbdiqFarfe}s0Y8%Wk z7voO%6yJ_mDX~wqU+o37xj+oQG-p&;a{3UV4jS6nOkD=Xhc;W^Vp9cUq$+j39t)={ zSwr3k$aK#kQ5{=8nQ`WtnIz3n)up=82D@kS{!m+NdEr&ic?z8#GWz))jzIexhm z>6z&|;~NL;Kxu0(#hK}HBq7aO{_qW>cIE6@Gh0x6GU` zM;L++!nCy|y_X~fwwx}^KpA4YF6g|Cc0bc{JQG)lv>luzs-$G>h;3lC&o_+-`)7uw z6s82s{(YNyesZt|| zlS%6qN)U21Q!H*sOBQ1gU-QtH^O%go$k<5VWxAlUuBjV>LJ8iA=(!P}NX5-ntB%?X zZtWm+BKdn&EV(EsISiJiWMFj2;Y~4kP`ou+LfMQKugAe5ahceA6si|RI8?9}p?G;dE|haHRL!H&qSa5?5)#y1m3QPiNAbuVvZm1X59 zF&s9`>v^4!@>xP0;fwbBT}&}CtHM~2as2CqYI7WVE8qzbVDMt?;R)*0u)Ik(Zi|L$ z%a+F`F@cC}B`BT`D?v@K0Uf`%Vr3+tjX%}Yv>A=enKqYZ%e%qaLsylZ$H%ly<7~(q z`bu*Gq~C4oBeI(kPx@gjqVnJ%q$IMj)#Xu^9URO!9X86A#I1V6?i{^yDD8RQ?j#Ha zuxR^`;|d?X!N+bFssoRk1^D}N9J?tmJe|g$K+{;=35LF~T7BUmSj~bw^-m3>JhVMZ z;!h$EI$i8@kPoa`Rekf2$_t#(lM+VQd&(Fi%N(1XsaVn z-#47{=}USCNIFE5VsGE|&JWrc_TE)`C=6D$+kU9Ws;hSU;gszmeI+t@$Q2qoqdRU7 zNQOuYpE%E!)e90T$N|v?*@9r+^w{@4T;LJQ$NUXIYYoW zlc`~r1T{9qXOUt}@#N=B81B0*b&Nfc@oVhU4}I|r<9rC*m4bso8epk&x`)Fj&(^!@!4y!>pt18HXlw z*Yu>+7=A(_Z;vsgam)wR6McBRY>?U%U-Fc?G+d&{^E`5eVSGJ1UM5JTjWa>2>>-#i zfjS>A7nE&?vq4#gY(8kyRpuO9)&M;A;G_>=YA12H96iGLPl{26a*le7NQsko!p+pZ zfN0zV=aAwK(XqAl$W9=ggw|q(ZPK$xsrh-)7P2gjJFXrcv7ziKO_<`neT>HS2~L%g zdCGtBr~lIzKaaHB^q!w6jq^49d<`~yPsyJ}%TGXVS^um=if&5O{UIx!qWcA%qWk04 zcUa6ijRf6ith3f9tiO)WFX7kw(h0gxBSH5Cq_s{b=$=D@e9BinkGqthd(oOhdhUw_ z3A#5?qKOhWWqR&ANXl5;=6Cl{Y6HTN8_ zT1e2{vpigxc6336Xh}=keD0xntKXDugG= z?Jbzkk51-2Ovx=Xa&z00*yAWS#~Asz<+FG`Ts`KRDI?+sMRmGvv6q)NpXz-$!kakV z<7xl-k8z!Dg@yUCb5ncsFo^(1yf}0MZAB`NQtV8P+9*E^GE3HFmXQItT>2TisfUC! zZ;qmvnLAsB^)hdcqL|L>pva}~#A(ISY>W0AzS|gb6i;oL6dmN4-Z{ z$IfB`W}wDikV+y$eLT!5q&_ptbrLqHB=g0ZCoFL&YMlJq;QRY!>_Y2l38aWIr!rEH z04l4bPx_VNZzUlgNwZP{t}ugHnW9I478SFyIVbpBI&*bFGx#otxJg`I>>3 z7kx78JZc-T;9|!zIA+7i+s#z~bA;cU7`2iRQPY5k%>+3qW}g^basDQ*W-4@^(c4M9 z!`z&DkxBTSPlK%@em@b;cydg+A=^wbo5N0Aj{PWCLbX&D+yY5}`Qf`LN`g)}SfZ{W z4zOLx?GZV!6F_yCqG+;K(rYgeUP-|~%$??VF5eu)1&kicbvL6!#M6_Km^Lm3Ixp-< zB`cF-HP4t%V7;(Q?J1|HEnoxh>H--n!wa2n_6bQ^ddN@5FJt@f^mHIL(kGV^6O-H# zX=4+LoEpqUxG@!d&e`61vXaWG*fxtNgFTt)WrtAlNd>X-anf9~ae4+h!o%2tSOj9zxBLc$rTWjWO(;fzv+R2wSTt`Ga5xOm0jnx%Mwd>+IT&MJ&)qVZC= ztH{OH7>udqaA$}x%!R63v=>7MbK+Z(6Y89u^;WnO1}fSIA+yMihE-zIgc@ygvE`(v z%><{M{*m*BB3_=1WUNwvhH5kv%Hzn&s>hNNGoJ$H7G_9Ih~&^!%o$n`PB9awYMy0$ zQ0lF#mC6j6NUEAaRbDcQmrFZ2Ot+d(8Fx(LJF=VDDf%p@MqLz%Yrih1e8tO=s( zi%ERqY^{o5{I5xT%nYt^Qt8ho@d@+0rU}y(llT+N`kE$w_`I*NMwrMaPXQYvsz0Wv zKdH2^{0S-Q<&<=$C^xKzp6b(?a#~uPC03J9VUMe+IY3kX)%e5)u_d0kp~P@qB6aI{ zMmn9fb+|bseNXL7DaeAx144(*=sFxqRYvon-VE=JIm{;4S4G#Ue!G6yWU)j}5tDJP zF%!meK#Ihutg(8u9~F@+80yohX!0|-jww_+d#m>1Rl}ZIVpq+PlF_Lm#*|E_syyRT zOUOA+QqKHaHlj$+RB}_LlS94zOOSh2_hy;p^`frClDdvJQ^Y8l>71#|xOxUQMqj4A zubj@z*kq^mLPdmAftid-!yeAKsYS&YZAOK{i#E&D1sg|G7Vf7*g z6eJW)aA4$INCxANG|wm(gv1V9y}&KSFK5YIWD<>gDC4b{mrD1kjAtyYkR36Qi9X|S zROBnR&ZwJaB(Rc)1Q4p>KLZ@r5)svwzMjmVLFvrZLkco-X0(CpfT4owKzh6hYMGNx zw+p{-L<`iWJI82&zMgJ@en$Q=@gr$LlH~+AC*jQyaDJ+r48)moQz8tK$MGay5GqJC zso-Rc8zYT^+|`|#xgzVH94k^og)FOg!N+}3^Ij&e;@KLLQoNF1nGcRct; zXZ2I~Ce@o18_OB1L=RU>Cf+*{SWD%`J1o*HYcv64X-j_2C~xjl8f&c2Qu4_mO9Kk5 zh5^O2@sJ7T#^{G9+optkx5}r6%K)4OES?!I?$AykBe~hz@}QKSaX*cOZhA_oGl3np z`Q;L+WiTVpGvfVKnn|)9axQpGIoF;7S4-qNdulvAzO-nXky+MIPprz$HnFp-tVP7G zy|LU%mXzMi9ea71%aW(Kad2C|p2w$FYh&s9@@8}6PODYRFZvO)==>n^y@Ossl^64> z1kTPw=OD~~7&B|C-x#Fi-ePiA@Z48R)V{IU2>efDt=*vWd)q(o?B|fdfZj7>(UFak zKT}J;T{{IY|J14QRQ%G~PG@W2b~~rko(?wUh7QAFiB@aBAJ*_irm(Bh^{GDFZ@;#? zz5n`jw7oqubK%kyRu8?1@u4=ddwn*w)nhWpQ)>&! zVqyf>>ON*^r%gr07W@f50#I)RIvQbZ&nK3Z_n^&T*zKL4& zPBF=BA8MCJd7D~tZFyzsl$6hXh;$+P7fkfGH&>d#fFH{X4cPzS&;RXbE}%HQSy45m zmtEKKBDwQ*eGv5r(KYN`J|$1v#U3Q~R~O<;J+MWLqL}zHeuE^yFSwhg^kaYVJzskn zHih0PE@#xv;PS%8(tOhpgc7o{VuukSTXcg{OZ9s(<8Uu#9PUZua1X}e9vO#w$~fF3 z<8Uw6INalQ>mGXc9$2=1^{vh3`i2(ZQ!~{I#L12Ix0V)~E#3tBN%rRQ>e6O&ezkE5 z={GJwBuPa89g_QvrN;W^G9GY8X^6a$uMi(LWkalO7}E5Q zfBDh}t0+nDZ_lYwPLKro5;Bw;P*Ia|E*i*w|L6YjehrA|of=S8mD14Y0VS}dnAXNm zviqI^?E_!`4`2L#z@m4GX|jfrW3L@JJt&~v6)K4AwM(A8)pa_jDAIzym8!X5_oG4J zWZ#6wLcO@&;@AN`R>hxTbx|TsJzqdl{UBG7jmG|q-`MA zTAe|!kI~h|Xq^}Wesk?V4#-`hcWRItRw{>2moI_vLngxQ0X&Q(xYL)u^4vL;qj$=} z^YZ0zQPC2RQo{QH0qXuG1Jqal)c)aIjh8jb!4ic_088--C$~-i`RgC}`LE{kikB}3 zii(zil;V{*5Nq)2zkK;uzLv`?S)&}R__1*)ZBkAk9{Tk`d`d_@qTdFpAN-TMKk=O? zM(=OSDqao}h1L04>_R*#M#&&pvIWjBT>4xtqhyV8s6^osz`oUNIo$H|PRHFgxV3)s zD?c}lvh@B~Zk>|g@#2%g6Z10z-sj)?%6OPN{MUb;EBv}nIdH0Y3BILGd$l~h&A0h6UmU`q+uF49C~fcyIW7k?pFz;vCG z=;R|xz)J}j?fNr%^TsphekHdzdHHg%h6m&ejaaHT_u;zYmthQ47{B!^H7Qh8B(UUg zp{wCTYIMPYTH`@4{Q6IRJ$H1W;w4)j=FK|4`~9u($AE?2-+=e76NE@&k)G&3Pc!}J tQ-J|_*^E2TBHY11|mg#P86@{|C+W)2ILd literal 0 HcmV?d00001 diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system.ntrc_log b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system.ntrc_log new file mode 100644 index 000000000..78f694640 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system.ntrc_log @@ -0,0 +1,4 @@ +-------------------- +Xst NTRC: "/" : OUT_OF_DATE +-------------------- +Map NTRC: "/" : OUT_OF_DATE diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system.xise b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system.xise new file mode 100644 index 000000000..510ac7ebf --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system.xise @@ -0,0 +1,42 @@ + + + +
+ + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + +
diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/cst.xbcd b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/cst.xbcd new file mode 100644 index 0000000000000000000000000000000000000000..66c5de1c805ca3c4d474a2a4e1caf82e8c209523 GIT binary patch literal 899264 zcmd42WmsIzx-E*k2lwFat|2%9g1ZNIcXxLQG!DVt-JRg>?(Q@}E}yKk*F8Jm+UK5M zx1VCXHO81#-St*=*X-F$HA`0&R!-5+#P1JdeI}+qA~Bafv+MF$#+uCsarFK;)3|UY z`QjL_EygZhhl`)qgi-CFSc6CwcxZ9vhG@_vB_P)>@l>NGQ;A7q ztyPdws>+3{nDcsaaf27zS~kTcB;f!{d!?xUvrW5;WAn-p)|xXfBZoOHQ0nmR zuF)5_$x@Yyp5hP>w}Y^^t??^Kmq{Ntqa$4w5CpIgvCT~w^r%l;Fc49nHeu*+{enoD zOd+76iG@hNBX2qxLX3sv_&j@20qk$|JJF19Jhb4j27JMDAczw|15tcYpiq)Q0*yfQ zVLo9)p}>NMJ{b7=s_{f@aiWBfgCXD$3XA!E?EfYK%jC)j_0_j~iL|uNI8Yz~Cf*`-NcpB*E>5n2DVoJ{PeRn25SB3@nO1sDY%f z8YXctM5sP!d@M*aF%nGdj~lx-&?_~P?l`;6Aqm@jd@cnv{7mo=%I+;LTP`&G5kFx` zTNr4hkKOv*wrXhj!QdeuyW?y(n}{QaxHK+04<_OEV-DFE!oi@0sr9I!5psM#;xo}f zB8-Ce%5^c}Gois@6N!NORkIQGTcAXc!=dAVhev{mj`))N__T#1=L&}&4H}g9DF=Zn z5iE!)8wCzM88qm}Cw*)=Y&djS@NfqqPt6xoJBuHl?yYOb^(`5bO=92Rt6O4Lm<eB3$8A06e(NSygc@OslOk8ow?3`vUg)!VTjT7 zUSAdD_dUJ=)fgR{{JC;>cUiPfxVxd0a*mXIQ0b-q0^>d9o;WDHpWHPhfcZ%Tf*Mx{ zPJD9`1|Q!q7nKUvFW1Ab$K!8wX9GhP_q7gzvk3|R6aB42z-&U|b5IR!AxuS4nn*%L zNvmujprX3EP{~XoU~&CK8-?L*LNMDIt1i9#L?6K5FCa13;OW}1uy4;NyIew`){qd} z2ykzC$BE#HNx=RV%VD4@V4%ujBEUieHc4L|7t|n*`%0uKpz}ls=zuP~s5;`ceF~S+?LLC% z@B%HgsXo%QeS!jXfEH%y&2+i~eZE^iA@30A)T(zYMQeo-;V#8m*^A z68nT=s|XOJ|BU!#d3?NW`1CW_P(f#HU4X|8cYrrxO7}Z*sSb+#T|s}E`d913m0V5Xf z-P~*TQ17*U%qd9X=Mc*G|jKLViox} zZ?zx++d4eCZ{?LYv>7w1EYI>5x6^+%!;Pu{q`-xh#Rpc#8=UO_ASDUtn@`9+F_tO> zn6a%%U$vx89~qAn0;n^r3p{^IqGdU?+14Cg*Bl*NjvgInrj81BW@N+*0nuMM2{NpC z1pYP@j~o^AjtaJ&{-^*Qe~<6h(LMmzs-yT3STH@tnPk&Z?06%y2e6@8^Hc=x1Ee@N zlsf+1isIN%diMOI)yTWm0xY{3IUn2ocvjT8;L+YYyaf6Oj$@I%KiA(D3(wlm+#}=Qg|SFkPF8`npNEdDJ2Sr{`8P9CY=Y&R zFLj>}3NZu(%O`^Hh=lrCCUS$q}=5py<$ zyQQ(81t+v?!0}w!h5e_62SBUKLS^&?Co&P^Mmvvp?TeMe>#vC^AK;VsL+{f0=pd2J z#rmyG>)MOE-PP9U(*E}C*@|FHbab@M#>To;Wpq~iI;UVdpU>0T z`d~=!_=L?aaRUF}uVlI^PHpFUnR z-~~1cFoQwxbL=Gn)6U^o{|hURHfph?->4}!XQ7loIkl@~$^O2hXcfiOy5!y}TQq=S zr(nThhRZr_P-dsejF2;Gr@-wGec$dcan-XnWsLLJ6VP~YjFZ=|UrDlx z43bfDZZ*9EW}a-Bw>TZZ#VBE<6FcuA)Z-?!?e>odhtHW838>Bl`0W^9HsNqtL&f~J z>XO~+snP1`Npsi=7TJ0S@zyX3PmLsCoyNrs+`&xv z!GFS%pM`VoSt?vvDty1kdmm+`nS6D(yOCxjCVO+WPkbYLvt5spH zLeaAK?M^ht66|G4){NSn>_drYJvA3t|yb*4jAF4!5257GuiE8ow zDf#x+;e#?;P1J;(?@P5j67a9X{&_tE0|&;A!jblkD>nFf@2Y;_Wc>9C>e2y<7eW-8-FFJDpg! z|A?b)#FY>JkvIN7Z~hZ%?L)e?V;QDn8D{<`++|@LI%y}~X(PUA`zOrqI)e|d@e-=` z)|K15hlI5G&w(r&pgu6BH8Iv_$Lh8!jnA1d_}{AkCm&Y)iBzp2XeSpr5a)zam*>9^ zo>WIRM+MmOzuka1xmo`B=B)WuQye{r^e!jng|ge@{uJjIGh9(g;uldysYDTRC~5y5 z^6mm^<>iq3<}=+2L{qSXDLKoNOWKr5LgMu1Kw?A7PWx4n zdP4H};enZ$LtJI9HoP;&35(K0oWy}pLr5hB(k018el!?At;$Sio4=4Rp$Dm%@OuTd8FKx{*bi4l_1Kp?{E9Z{!esk(xQ|evQZxP6iQ*Wl=BPsE+ zRw6!1aXXWuQ2PdJYJTTk09CvmF+4N3%N=5yfoWDew5R0QO_2j_RaB4*&mvi0R32V} zOJhN+q=KKMjF_a1^pBVmJYpI&(j|{$UWCA{6pTwFjFj}Q#R?l0<*@9^{UsZ-EA6Wk z{p6yl#igmm|2^J~(`X|mt-~cn=S|Pa9oZK-vMaUV|DiF2NT~|{7|r=hmNqYvE|*KS zRia>5qTv2LG5{vK%{A-zmjp+T>5i{#R|(E5>%fTyEYvrhcfc5T>S->=ig-PjDmv7g zI+qhUJw&3NDNH(De{JteUMvB3SlVA}CFeMeggAQ1(wI~F8PC$HU+f)$Cf{|k*h=5p zy9yD%WOis6=P(+4z3{eDy%Yb&N#n`&Qa+kFVZF{)`YT|ve3YZY5`0uvYYCQarMZTH zj@QA+r0R5Y1gYw@CrP`jhE~-Xa`fE6=%Kp?T5IVh=c^{8>bfqid^G^eT5n4XGqbDb z;M><_j1Km;TL{hfbF#F`AS=xZ<~^OD7jxYE_1;zwU9G$IaZ?{Ic*iy$!u{ufr587s z%5z;$v*Ik&6M$LS#XRTvnw#gt;F!l+-uh0>vPQXnxwB%qS$%y$aD4&5yuPxKh~L%K z=iALnlwd(h2vI%@(W4JnZl|-DU?yMV`Jth`Gw#eClJ}b$qXq>?gP!xUf5Rq;A11v7 zxEf@{2N2Ck+4o>N?JrfDy-ib82S-!k|G6}KlZL7e4z9vKTN$MZ&R<1nlO|g=1Xh=T z!rkfn<($536&xldNJDnUdzj3J6f5nr#lS8H>s6l2Q=a?_^OQI1#BEnexz14WA7ErX zAO`vUX9&CPVm4D%ga(vj%`gl#Acc7QyD|(WWtnXYvFj8OR;i)R(7|28|3Pm4dVzI& z_2Mtkt~)uX{HYR&wS(v9?eXP4gvy$~=*7;}%AAXyzGnsKfe&Z}DAtuGA4JeSG~@&H ze@3BS0bMUbldr_KJ}9?t2Ac0ZaA*}^dCGcC zaFHs0TQrALG>3m;6SJRkqaKJ&8sec$Eohq=M>d9>9wfR3D5T1#91OiGut*i(Zn&-m zuwvv&$UjrJrlDsCCZq939<(6;Ov$I#-oVygk=EY64}Q)eur48C?nJ3yZi z0q+)$mnni6$~AqLdz-qdO&KZ98b{$T7jZv)SX>h>NM|UAAO(dOZe38|UkUoMW$ZQ! zxJ(uCo2ZeN(V?xw133C4J~Tn~zJc76CffdXr#9w(zs7y0y=1>KgmI_TFsvY{On4m| zl4wqYEJ-Ng$jRZ(mu~B4IIwNti~EH=-BF&5s=9AXZ;UUOJZIx zZlf)xlVYS-JVMX9lf#DbS*!A5O&-O@x&rHC>9yH3o~hI)Rj4=1hvE%|TD1aeJQOgrU=^y-^dgEtjVRYsP%+GSLHADYrD?OP|yS_)z5*-gy0R-S9T*6j+ID zEV43gNhsp~i5X*iKV)k36&h<8eb}U%$-LHXcBI1;`DsnsWaN?K?NE;|voCoYkou?{ z5k2rCpViN+v6Q_!#8;ZgzkErnY+xd`Q#AvdN7cLWxPmLWULpO8I8XhmT$L@jp+#Yf zdB-AOnY1T)iB;H(@aB^Iyf7X)&XtO=fj)9`4)F##r%XL|vBKB+*;av8euMY%vyn}v zQw2*#cRz;7`i}(Eh(q;|hC+E)v8WANB`<=QIv9Ti5*VonVlHz3%{rLR??f_!*jNgJ zd4?o32~DW14#uER1cjI0pNkr`@7=PNg0N$Uy*5jX4q5{6O~1DdBc`JF-%Nz@pLuT^ zModQU|NZ??=?G#KDTwN6lF$q^q0>5;?R*gwN_zjMck4y^2k}&51`v{OYu?vHv|5QO-mGAOcTUM}+MZyb z@)v!Ux1i&+?>;x*3uCpuj+15SsXE$s@5550vM2m3`bO0@@Jy8bDrFPAaeyOm)duCI zb@lA8>+Y$5a-qU;c!OHJRCfBNpw@K4kstO5BC^e)aRKuo6+u?_`@QE+3!@mYnDGkN zl%p;EANOR6sV|W(=vl7y_SYO2HQ*YhoNgg*eySG6;o_iow9kk4C7yzaNGw+>s~XJC z(oW5-J%S2U6BnaJKMndB4Zu69SwS6A;s{pZd@ILHJM;?nPQmzeK22QNbJr`p@_ zhCFOG&hA7X?qwp{9`2J`P*2u7-1m~2WUkI8Ri8Qf8%aCypC502>Qa2SoHodDB<=h@ z!RjMP0#y2Oq&?&AF;B?wxOx4Sx7L0|rh@n|@L}P6-4*TTrTwa}{?|!B11 zbISH~7Sccld}i;SV+Sd|6J=YTIxX+ftuQX$kBw0zs8M@U$NJUC(X2Ybv}@#;tci#m z<#S}*Ad~+4!)@fA-1EeFESfz7)lv>!gqGXpJ{jLCzK%gRh>)as@fd;=h^34SIJONq z%EDG@9~|=60yG=H1LJgTACaNYkvC`s%wy-+XuvbdL&W=pn;_V`z(2)Y#4|T~p70F!1VXCpr$cEeeI0kb0v?Q$y$!BqG zDY1_cI_t!|XR>Iy&n7)LUt~zu`%fCY_ZNVp#(SG{(6mIi_7SV)STnCU8CpmMACb8b zaR~S|k}fbGYeIEw>f*gLR#@UN7N&MoczYSFTw13{Np;s4YFqIF3qrH0No>Qh(@3BS zC99i( zXOoP;HJ*V>5-XGJF_P5=H9jAC%Xyl04DJL2D1}K7w-O9s(lqbr*$9hvk87s9cvQ|1eeX^>BL0= z?=Q(O0TEei!&^_acTNj#{*U+Z#LXD}rOBqnM?}+7&w*=?saZ+cM?j%xo{pHJU+K|i z*G15+V34Ppuk1`)zcG~an!E0=i(f^$jGEhp%O-TdWc=6GSTH|G+a`DYHOoRAbqF8CU z@Ul~W1&6+hbve|_o9OhW;h0>aAJTGRW#c|6l5L_hvxy?eq6#UBY@#EYhQ}VTBD7%+ zZ(79t|1q>+4tKr3vj6+QZNsD{er3+`V_5j(6aO+!wUCJX^;7A_=9_GoOk8Jhp=)XD zM~l4KQVtMG;QN0HBuITBWP0<5jHLIpZ zHhw1eW0fE4)!_xV>B|ZpZ1>uS+K1HvKGWY0^x5EW$}y$tt{%x=MR78^Av12p>s;%6 zCWp!m8mJ#N@wMpjp})472&PI(Sm@j+-!wTs1`<9-Y0^JCMnQ23Vp%>m|8d^%jnP;= z3_59PV&9IIm)i#?0ZF{>0-OWDH_2f3KrL75kXzlN?Fc8q?u{wCYVXsRt3w%?rMk!` zo2lYjKql4T8d%jL7hNN#rmgZ`ME)!dIdq8uvq1`!*+BUtV46$+O~iSt-j1q>#LPXxx3l z1P6kT)X1fB>7Xe)vV55TYob(N8 z)~%`&8ArlB2cw0B*N>npY10R(WleYrdv_Q8kIjpT#&>5vUQcDSoo16wny#QGc>N{wa3`H z%o3Q&|GpdJ5^FjQvD8o=iwzp3jeMgSo0*F(41VpyefnT=__ZuYusZma4EHHP>%l~8 zQ%l>js-Yy%QJ2mlcArBu7LQ9a+m$t7MN|GvWX4F^0HRuxjLaOrAc#E9#>C^j62G7B#5-b&l8b!ew2?yZY-K zJgyEkkX-QjB?0f(eVWisllN?!sLe${yH}^qnum>3`LFhNW3NhiDrk?PO&^wl?TK|V z*EhYjwNH(fcnmrSD;awij2f4@_0!&-zocsg5s-6-7mWL>9{obc#4hb;!)`R{2q~W; z5Z$x2?wJust}c#bIpA+dvS{H%S=7k<`rWo1CBuQ1(+3}0Rex>62FgKj!}C<0MCA6fc#~Az;?p|rChvcS52RP^r=F;m z0E-~65&^T2(xZnhqxW;VzJS-uf*Rcsf{WhWR6~;8-7LUgjSpC{x24Sb5HR7c4HjwG zr3NK!*j0eA7!SI_q9<-@=}I#*76DlSfj#V7jqrWQR|cnw9K6A(D;i-j3G{$$m3jx2 zZbz05Lwz7a?RSM`P1{qIGwpimmeC5`2r&1lt3YVW-(#es~1NM4zL ziPWSG9-5$ zx|Tr!Qb+?S=ztWKK#KIX`n|g&^JWDL>%)FdM#~szW~IYXaYZq4Je_s*8$>wN+q+)8 zYlA~PUA#CeP{~H$ADWA%^tB~HOh=y_Ihz!y`mX3;{Hx8*2-*NYUB1Fp*^FIT2d8V4g=ab$#Fw!_HQf9|wiae9wZ+{Gkd z^vxFqgOpICmvTqk*$OK)Z=CdZva&S`MI;|Y(CRyW>%CLnv3Hk^NQvy{H-Ig#od2&8 zanuwM>G>bY^BSP{KhRkl12l__{YhN%whJq!%(?P|uElSwv{r1TT7u~r(qMgKVPGPB zLt%!{LDfl!H6eCGeYH^KWI+N&@%{d}2t~G4vk#$mHGzF!rP#SfQ@q9GL=DT1MS+9Q zkq~HwEZMcRJhi#fF2;sX_^d$&vn;NFG=dBo~b`g7MjkQ;p6h9t9>S2qCE7 z02T)h{x`+E^!$m)(WR&HcVE<*-yg2aa~Ya3c*AbNSk<7s)d>KuXk@HR7$N6&7L^y} zyD&L2-w;A1^2~;%ys2dibYlrAl4J+9_*xA&PCPtW6fy~vja`yXQXi(dHD#G-5$Eb5 z2Jd!wp)U<3>ks+_>lGE&<5dHLr^fboF|+Q{>mC>F&^Men{g2l|muzgp=%I0{Zw$110OWIRaPe4m`b=T98u?A;ufTgEuQf-AWg_aEI5K(?GNPpEzsXj6h*ub?6RBT!<^j5UJ7wuMGH*+SOd0;E1TwEiHwETukSTN&_l-pYq{Y1^ z|G-=RfsP~^3LxRxK(eOTKPjNLShlB+z`L#Ds_S52bRS&Mdri=)5wE@W99c!@#z1h_ z2KsFCbSis|_rn~n{)zf!DBtQaxbVFn-invr;ug5@lV5YMinL+S@KSKtX_p9D!Z)j4 z5pu;3t5&gxND{YKF0KUDRnBT;GS&E)eM=?uXGsmKaI5Q}tI1YJ)^o|@HS~?gIwsI$ z;&pq@?I%*X&Md%0k#i&w>(70N4J6lOQ^U?8>qsIx?{o+^_w~-R=DU{e*uw5k9Yg7!-SvkB#^6trjm?5O0AsBT>{H}QYsZa zBFsMW_s27@H*GNFnlqR8K$hb*n^N3pA6+uNTiU!cWcFlVZ&LAbOrwibVN$NYW>R+A zx!(=WM4~jDIy&<0AoQ^OaW_O zZ+swr|HS^GbHR&KtODTih~;K0rp)lLx~v>SPIl)SgDMs`qJrzfBUR==qleDlu|>znUG-kXOIB!gar zYT0s>R9%uz!CXmZpwF087HB%jSZgR7`L!7KFk{HvVeo3*%y;ARWzYnfp=Fw5a8Bq} zWuvwsXq}IZ{OdUARq;nEC zS#;8Xlo>mh{va;-rieiATzi+g)tT~mN+}mR3J)pt^M>TsjA))*`@(7MsSBmi3^*6% z&JWx>r=Bjkd$N6zwHW6HkV`7f0m;0fh}21~J2b1xd9sGuyv-OXJMUe_8WUhCH;tAlM*U&N zIYD@*URWC~d;%8YOv3RFoj+2KvnKr%AiNV?GSzi^9z9#5oz`ML^fE&N?sr5tGyYUA zg=b_fY~Ig|Zl)YN60~H(`uY+U8w35kQBjTgLtt@0Jy8aH-TmO)HE-QuY3=HEjG|#3 zPLuv9!O4;zv0*(v3cjPW`@yom(OsH@eIzxwnu?Y~uB=n5c~w$iu|Qq@%gOLrCMAeV z7LuCcdL1JL||LhG$ z>z)!u2^(?}lp_w6@XQ6Xy#ra@b$^c7MHq#XpEvk#2jSE37vDj8ga5v>2^6GJI3C<*vVI0BhFj>I&AWhsl? zIxJG+2RTOY{`SpJUNRI!Fe*mGbEBW^YWd`hi7{{%m7_pPQvBG)y3U`X;u<^L(t|f! zyuupcXdcMdX^4>h3*PjRgr1_znwhJuao6eHd^G**nikVsJqYhl%9%^YDDqOiVG6uo zG4hPilj-L3XYcP!s0e%89~U!!&VQ{P@I^h?dYI0beRN_l^nu0M{d|8%{0ql0c0p>& zoEFlM^;7iQ^>KxC_%6)-RT;%03X!D_`|eq%2wRi}#4GDY2F1zn@#=~jsKu%=s* z9RZi9UQJpN(W0CRM!j{xa!%08R-pBJ)WHrUz*D2nQOl8|B~^yOWGBTE4Wpch2(Hz{ zM*6U!MSe&lO8`bQK&5fmUyN0+ZC(7?6(zXvB{Zn}irJ!_&Fs~^u1QOpVQ`@lp2J^% zN`>BeJ`^oQmQ1T+ad$cv@hzfXmosVt(dh~_L=|2P*-8S*3MPc34znNc8vKs%;jn%w zJE3pDmKjh3B*zm7XTw6V!FO&)UW7u{s!y%VMR0OLHOzl_AE}0GZ+M0iYmKp~WPfCtjo3UZ=}B6g z)6b!0W5Z$`bpx^apLC?g#yHym@PLNm8|b3nK1XX{-1>50>+rZi8=al9`bFp(b1{J8n*) zgI2$4hp&M%!u*@GM78|SdHok$y)XC&j5hnH2F~8Y6pV|P=FAz)k5ZM7E#L4fG;Ojt zz*KnmWRdr`!wRV9xa)w2 z?)G=_h!Y`|12d(MJ3elv{t+wOsjGcQtU#!|BVDbD;BWWt&ehlx4Dti(C#m=zZ-Fx} z4zCN2_~67*omh%jlYBjo5gvL1QJrSjP^AyzR|_cG;TB(Q&p;Q}Ki9j^G~EkSw1-$+ zY%lXR-E&m%TOV^b6(4LCWCj4-IjoPDnu<+!3NoX9OT_IIW3~$j`(^mU0q*FWcU0EL z@~g9XO~rp3-7@_C0Cxz^I~eO@)YVzicf(dOX0w3sFT)q${!c~k1!<RRV{Lm)mZ?yA36H6S``XL0Se|3 zSD)^uSfw~@H^f>N@voW6xXiT=5dz3d8>06vu`gDJKBrgWu`i%Y8$EX+a5bGVr!nb1 zzP~G&_OI$gK$DLLSwZPO|BcM6et%7Zt7wn0xY$~5ZMsLQXpge^YIg=(!N0lup0^l) zyMXmE@I9lyCvNY~RzYS6z@5j}=z6u^`8_4+` zLN_-RTDz( z!eG;Eq`Hy6A4_*EOZOy8_o9)%-3Y`^GVB2DhsgJzq(*!asc*{^ral#$N)(%#7Mq?b zOmY3lwfiEvlN3I{h#^y4^F5I!Lh^qI$utqv&zv-4wmi+awZn6$b;k#VULm za(v7ZcMmahzT+YM{N~~sDn?%*nh|QM4hRrGsL$-vfRhgERL5_lwkvlvNhy%M}$z`<1Ohhj@UQ<@Dq9;+xmq z@to{E>FCau>`j@npg9{}W2Fs6>QTYeBpFr#=LmGMyr9R0=fS4w#by2!TPvl0>$hdf z@_D;OH!r>Akh_P*i+SFRr}dTX+JfqpGMAt0SMrp60(=`sE<03=_0nwE#?41q9B(b% z%8T<29F+2Dcynvl4i=2#E*c@N7X%adiPrms2j}bTF+2yn2|^26g)ir9fRs?-YHW1v zJUKA9{z5rExc(n<_yO4>FWx*SkOe6?C#+y`h(9lRqGo2v2A=$B=E!JpzfCh*K;nrm z>qhqY!kGCuwTw=C&lOM86agN%(;YFJstOvo*Yf%4eTK;gQ*XX%ButHnk5cW00W<( zin-PWM;K$>i#{XfeJ0KDK{hUPK&K$kPJsyZ#ylt}QdXxKvO5%aGrTs$jwuA<9DYjK z|Ja{Oq^pRpcd`NVvZ5P+dndbAw?4ljL(l5VUNNdCBWDM0sl1VbdIO6*8 zQ^Y2!mXKx1X<1btfo)=n{I|_&S{U$?gM<3A$NC4C*)w!4mWy;|E;f$iBPqZrvx9z< z3Fd38;r_)yyIH~RLzi)Z-s5R1hND)=Og|WPJ8=v|<3t!9s2P0GH6a9^p5CLV;cdSu zJuk@l#!t{&+Rn=B><!$drFH1frfs`H@302;v$NMrjhfeLMmL7ZBYU##A8DA?O@T?pzbEQ`pr|P`J3g*7B zS$Ip>j2_nZk)!P zY4<-mT!-MBkNQQ^)AnuS-yw(FG#UT*|K%rqfX zW6+nLO4Q_LG#Aar++LkRn<8MQ$Y#a1`|{ z3V-Yh45{^NmVI9@lEjkdbvEt+Tv9e4`Y@;|uE%9T>*=!_g7aG=0xA-Q>9RAQjlegn zmWHIO0K02e0(H*|;M>0KmN<^j{sm+JA4Lz`Z~T}qjQZofqz4y91Z9E8!s>gXSWi@? zEnI^pTl3eb*lNHi+QGq5mmL-{FPhT!mc|`)1CM#Nz=_Tz0>++#(RQA-{sh+b(Jzb* zpkDE+IvazIGy=32&IVrSDLN~X_d*?PqwTg5c0uS1AXj@jQu$4v!-Yo;Nc#!$nofx_cCJJ+DfbiI}Xa<`$LISbdG8vfe-9q2~66@3GIN=Y>^v;deK6hurnV zUe;mdcQ>~#e8)&Rym&icruB->=V7QWA&=E=AO>>l%twPMo_coSb7@t}Qh~O%J=#Xr{{GuQ& z86M^=r>P)a6cnDko`CLP1Xc8U<(S$}ty@r<#lQQFzZLxM6zR5>w<>GR@8%R~)x>4< zUDI_X@DwckrSt4jz4HC)Dq(rsKy#($8&PCt%O|yk_{Ia+<(u8JX8(IFH;?FSe)088 zdee#I#zM$5GdB;Z$;|jh6WHb2*L&LX6>&17fO0{%i#^iXOI^3NJ~dJ>D}JIkBJ8Uz zNgyK?BW)_s0sWhu4NBha#lfL^wgwhLn#l<%x)Hk(kzWYQ=t}SScG51tC2=XvGo!>1 zNt92RVcEeo>E_SQFNcGlhBQ<L+UN2LX!^SH1TrYw;Lw)C`+26ps3 zktjCx%)Q2M>m=|+w@uLoDeUmk2FdOC&;}{(z|cZwk=crgOcewt^S!erUp^%~`BB_~ z%N#?e{b?NH-f|c=zxf5o<45l6*_H<0A%fydcXiKFVXtiKRi!j0(L+>imDx~)#`UVN zsdRx+V@lM1;(*`Ps**aGkpPWS`W9yyA*QgkBZ-$gc@d~|!E!e3-%ytgPEEFZd59}A z7g7XeH4I+=6aI~YtQ9k6z_p&K2AA|i&#<4e%r!=VK(agO)-H$yT_vck2+nN^y#L4BIHgZ-nwY0UoV>h4sn%;K-f!$so?}NCo6<9zTHfr+tCL&YE~4lWR&b9db4H%F{DrMP#nhZ} z>MvsfhEr(Nk>x|dcc!tx9952!Vj~JVy&iLs?^ewEkr9Vd1vq?lcZN5d&yy-QV_NCk z+iX}S4tC?dn$lT6;fLCAQ%p1s*9SUD+A(Z|Ia=sTyi#HZhL*9_q1E&@yH}nMe6otp z!0S0*ee7pfSQQEnDBvr)uzKbdj7iiU)=_2n^iBECol2Wq z+<6b5JIP^JWjs!mTU_A%W>>*#FVMG=ZH`7Ok(I}3~#QG49w4z8n47*r(p4y|3w8XSJ^hQ!l!&8CvJH zuUTMWnFLH0vdREr((E~>+L(Pe=wSnGcs~&LJ(K1*Run(=d$cHie(Ul4Bb^-kZ2kXt z6J+RcsT}&Re*c^yi(%6cScg=m7}%TCDqq-ARQ-njK<0DNhRAXF$%dG5ctop}!!|xy zJG-=q+Gehh2#02_n241oMi5(L9>dHp`)-DWzaAkCb)rUB+A6`j6weB;y*nPZ1KLit z@jZ4Ld_y8Ct>A5$?yCHfwbSqS%eiA1L%``mpy{AZ8$e>R@0pYRl-JvS9EL4}7T~`Y z7oE=Id1o$5(f_%DR5EcW;M;`(1q(f30)KnLZ^*{=$IV77&MO?4!M}(d*pcNN0w~?e zo8!o73g*)}aC#C_YIBHR=oGYfa%>$*;nPm` z@4XimEdC(SUfL`U>+;R>k!|ymU*LXAEOG6Yk}z!L4POdbobm!c2Dvgin2*qD1G0@5 z;N)R5*ljqE54aUOc=3H`tz|&oaNhCNy*1fDauZCKakFt3NQIo5lh0(h2v1`K7}rHc zz(hub;$X#DX^BMJp*9bQ?!J?^4^|>Z12fGMQaWS6JMBAHVcLbFvQfuowX5{JReteLGpIR25HY1Cse@1v3)`_kzakpcrDMz-5jRZ4r zgbe4EHQR9wk|Ia67*axPYWV}!InB-;Sh7PObMr%+esZ8zUUrSyaZiSi_o}lVroG=C zT_kTYK(<%1pDR&u;QvMO5tof0F|7hhMm(UPTqwb8a&`;jBwCBLbF1;@1XwuDU0;>B zGB-xV+`oOKH;_k+l*XIa?W-%YwtnOEBAg=$y=DO8_*C(ZN& zt!%!n?ATaO*-(bTo+j1VvG;_f^M$fNgo|fM78U_ID~nKVtP-!#$X;u1-eR)M=4j4j zFzt>v?apiLDbv_XwYDQqePh0C!h5R3hQB1~Yfag%nf!#ChTVx!xhwlYgcJ2h{K4vz zx>svu{4c{ylbS8|bhlKi39WYL)Oy$y+OM2T$I7oa_v_MyTr<>2JpE2w^lrEtM@lAx z8gslFb6(}gnJP}Ir7d~NYx5=JbtNa|92&IrrsYoA_49u78qgXR1pkff$Qo37mnpX8 zDXuP1pC6&SIEC}_^jl?Yzdy+#`hxt{@nBNNQF!}1Y4nyC+fd)$F0_G_3|&e7`Hn2_H|vU*Ktf7NhG9)@09`Ee2cwI$9F zSR!LLXGET0!F=NRG1=uVAi37M!g<^(YFRpu!*o_<&Cj}ig)(9cBSgUsnx?$GsmSt4 zX5BG;-e->H4Tu%V(@7m2`w*~R$C#&D5cF^fg9 zz?|9;FG8_{jpd#pk(QqjZ?OyZvICL3OnJ80Ea#x3kr7~>sgtLniwem~0>^4R)Xkb_^KdIuQWw)@gpKjmMK8P!BD}2^+^7FmGC|+35zOT6 z1R{TFTgnky_UANBv+}ltBN->Zr}{r)j&&o z6WG>*Y3=Pvq>wq9MkAh0=MYTaTuL5!hc14S4UgZ>JP1{yvGPE&B-RDPVV~@US$zDq5k`T{+3$_1j+TG*et@xgw4zxQnFH>ytt>Z{3=0{n;w*qyI0kPFiM# zhSwJ^%ogc`7s`|gz;H zcUH=oIa&oskFu=~q2c<$5MDpN@Jh5^FSyaUj9CbKg{&3YFT6C#nF~$U08Zg~?hl6B zll8dkM@usl;a{DY;{IVirJbudswl8)ysN00 zc&cIVuX1Dr$|eRzH+oR-8frYuwe4gb(u|X?ubm7pUM#{bnh9Sy3b$L0DMH)Wm}}}4 zqHb?(_?4raGY=afTRWrSg1)d=MZ(%E%O~U@=_Y14wK9s1C0T#pE#Z^01B!kT<^Ex= zmF%qd7R}lc&QlBp%?XWtg@Miu!ZmumOkeAPSqpv2QEqXyVyHrX3|}{!e4K!*6phPH z4A@4x2Ktw5UuM{1Sr8}cYphYC)?r4&bV7Wkq$I&zmaqMtXFr;B};G%u%2rg~Hv};gw6%l2D zYTI2@Gb!{0+0KfTo@^Tw1#7~FsuxGqZQ8xyJVEOwM z;WTe_GUSM96+1#=v6UBYnM}a#TT~E1&H76A3KA(HgpD(YZVecPO11!0l4duFO4Tq1 zb~9)Ut*S~W>=|KvR+C@y1qwh*ze8lS@%v*$GE$%C$t{Zn)|4&gWw$NkUc1_?`4H3q zuj^20%l2#cCQpH7`&raq_-Pn^TxN|(II<(x;E=3P8uA2MCdHhg)VxyN zk-$x~zA`A4UDy@tP^*$V5*M(1edi7?y@* zPPtIo*V@L=zLTF6{w)4jVk~!H6q<-l6}rpKtFN8)}prVlf)b$LNi$VYLPs+XNZg+-Om5-2GY^+lb3N0#ef-T6J>D zm4EK2t}egZJD~^jJ!*K*!VQjH>`*?eAKvT|OIlh{`#i!@M78Wp5xum(9vo9+-`~l< zhbT6GHKHzcU+qAm!}O#H8?)W#!Pjm!cT=s?kCc@8GT|r!_6=90V5yiDDq%l&n)h33 zwDd{#bdmRn;% zUjB4;YtvU2{?$*ggOH%?F?wKDme~Oaj@5pI?306#&Q*ScXs3M*liz*i3=c)|G7huR zv>bCo-Xitk5+t(W$jB&#z6CZwVT4y6>N3QaN$1{zt zvMz0qZF&N<*)i^4mGQtmG_82>q+52-i&rFF$PR8%o4z3<_WE|hP}2rp zYEmITub9v`*Zo7-F$i@DRQ7*6ZDmk23FFncDN(pMI*}1Y;sZ)#JHm?Abs281@r@p?E-BIIfcYZ!D2pNA1|t=qDhdU|i+@IJZz8+fdT|7gT)o z(Ki#u8`9bUhXv5WhyZ{&KEq;pCyuj9Y z+YnT1#U31r^y!?4=^VkMFWHXNU%1h!31H(9A*4e<3q<_>(5_pPGMW!eB&{MtcQ8wY zBr6oZ|5>HQj6p964tZ~lL_^hVoJzbQ)VO?vST2%p;oIlrCu*t68Cgs)HNzTz zqoo3L9=RHt7V0XisjM&PXU|>DP=n-W;-ZhTli{GQ9iFp z^_3@ruK!N5ny+w?P{ndW*>Xa`aze?nvS3kLqnfWD5I~bj^!e&VH|zjPkyjvj`>`8+ z0;$l|oAJM;O`xQruJU8KBsx%^yKo*~#bR99VqC#uT*;!MU|v(BoU5QV5naVAzv-B) zUx|pO;z`nOP9m(7|EuQhkL{A^{}+^Q}Zhm&HZ@Q8*@%k>ZDRKtEp_+!xnT zNtbiPFE7WdFUM!^JJM{Are)q)8y%7A7;cwPUlV<-QZy{c0K>?1Q&W!bXn$bT0DBjV$g7|}`z9Vt zwnYR_X7=???=dghQic4-y#n#Ec9Qf7ns%!DTQ_mcjxHC2*U+zXQYJLRqr}eStkCe` zJwyJHU23<&jpx#B!vri7{a`CMcSO_2y;C=BSvf={lP^w|BN~f(gVookIKtk1TRP_R znd1N{l8>MY>|JaPM^QYi9K2u?u2?60dV5Rt#*gL|SR)BT<5*k`2O6r6 z> zJlney%C}nOB^_Q-mM%kd`BF668$-lpyz?IO-M@gt&~#;O;R!BP*X+u`G&stHc(>T> z$0+~ae%#{IZ1|Nl<{Z-%x4Z#*MjHqS zr)}CPH~BCqKR@!pZyCN=R?x~a!c1hYULcpY=IPlY?&#+^y-sCl5{dDhbZZ0rkr7+7 zD*ref*Qq1gteH~0+bwfe#Wyxcj^SzHf3I?An^XI z4%eC|bcKmF8XJQ>F6B(UJs4V>_CC?)k4r;Ag1TN+?`2@~HUYO9e+K7$Z>_5Mp-xz; z_&ML0Y+uO!z0JJ0pL5*u0ADZVj-UjWKO_AEzZ}YVdw-!RuTQ@kO?>HV=X~fmyx%5& z2r{;N^!~oX`FXGM_F1S+C5syAS7!hTTSUmwMf%P|p#wh@tGEw3-1wdQ4j2QBDE?{@ zkwsxK;fXv}Y4d7)Q5L*tXBN|1G-$~%S9|8T0~4Q5iz*D9oS-eJCxb1g9|Dza$K+?m z)?~iKjpfq)U3&@@539nxi4W2FCj|T(K{=G&RavCU!Mky%E4cd;%WGE47*B@*M&2-J%?5d^8+4jk0#PSf*uez%$620!y@){zC;j@H1qD~A zdoG;l%``S%RZAW64cXt+I1US!UPNQP=S-(#nNXC%-@uoeuP$ACuWTGMJn_RbliW{w zpRi(C`LAd47Q^peVm;CcL#Z)lmrp`oe^C1^ipXW1QrL-F6AK%7RgK>JIXQQQ+#x

4%3B3VLtF8c9~ITOpzAnuwi`|-;1#1xs)%D<0D5)sB4big${ z(%S;GboW~Y_gkwHa^B4f;Rts4Oz|#+MqH6Jclc~0?z`C6!K~Y$MqQDHT#*{=uYDq} z1v}CuAFgrSv_F+DSPdtM;+%o6Kv0=trB|`bc^br{&DlUA^^nVoR&Kn9+zloHXOQGw zxuPdgZ4=`}2TKvVuXUBKUn{rQ-?DXHe*Atc1oa0Va48I zz}{iO?rjn9?!ww3@X=3V>K*GYGM_5%C}rwq)zp2()P2y@eZll8&GjAXgV$F&euP-j zGM!#}`TM+0p>}E|_b)nSvlidtD*6z_Ab459nyheb57;gj#6QFt;WOb#j7E^{51_0J zpzsW!v<{#&Mvz(KNmy!*8w~968vo~F2Y5(F0>FFe+cxf`6=&9rE&p?LB+-vscDkPW z1%uf4b>v+(28##e>|lVJkHtrwSv-8c;(G?v`D5U6s-jQVO?X2r``K~LhohQ~Z|qMx zseTJX5{x{Xv4VIBf-K?d+(va zc)xTw;+b*QquC>#N=L{M{2$n(O=N|v(?Z&uj^0Z5Nh=vX;mIGeW=_7vfq(%rMn)RX8iR||T^Z-T%?5%a&qXZ(Du^)QmcO=6`&J^NiWx$xI`+N0><>kWr&D)2oJfPSg z2^RBts>}+)EUoX_uufdE*-gT2fYUAKPZ3)t-?-d!eD+M7JBruq5Q=E7_xcgW?$ve ztME(u`=t#577hNzHHeap2-8-6j#@Dl0aFySP20p;vo91e6%e>8FVaQUO{y5bTfQhb z8+Z4gYkQ^o%zPL)LSIo|B}+@nmOh%P4aduBQ=Z;;k{==>oBMh)aiyqW1Z=v3!pU}V z+xoMoXG2igZh<4FcLk%_a)Jb)bs3Nb42t^yd#m9GLvx(`V8tp3hp?Fog3sz33At(d zAsCXF^#diU^;*MWHpp_e2FImd(;Ot7CmwPOf~F^47gb)s@53Ix)lGf4X})v2H1uZn z@nF`?)apy&;1j#|EqLFO`Z0$juboq*10^>Zj8-=ZjxT_y>58X%$! z3Irc^ag;NyY2S71v_9SaZ8w#J8Mx-yT86C6&NuYn11z@YgLDfj87gOWxC4;}mU7dn zIpB2MDbG4-mH<`KK1dR0#wrn(UeIcP5cbe4-^Hq}ok3k57+H;OXfw$R4`szxBJcHQ z?hXlgp0lf?UKW?T%`+<0CIFO6=4h1qQE`^+PT!u?k9eM=Yn=t6=wF`c1oep_0hYWp zh$}W{yZy(TQ^2Lk)DJE)8H|xMhP%me`=#3WGjC>6PR1@WRx_+Ryk@!IE|Rh`CNQmi z2njZPnlfm|(`f1U@6Xpa#vwLRHn=)2Ve~%Q_O%CdLWdo^PDArZChT zdF&L_#9!O>m_J6Vw+;^>Q$p++Le_6+ThPN{^hk&3c4b@SIFNv&dRJmM?A&yBT}(UMTO8NMJ!8ve7iyV*X&+H0gUy2yMuIF-FC%Xy_(;D!dKwH07)KIy)Pbe=hhnBxV+bygn!&Q_?&*pHk9%sQm}=y*OAi2kub&l;C+Jq`eJmj7Of| zDjve_y6In1YGnHr9`-RksUsAMyMe*WLvVJQ&AB{PoSpW3R(kZ^!Uo4Ha9JYl`iS1t zeKH@@rzKNvw{x(G<4&pOrX*1#hk)jrVyBCTo2$Ga9Mn(ik?lqOU(au?kQt>tT+vrWk&hsa|&ev;X)%7ocm3GT9#HFu*v! z^Pd!p$?E(ML^}m@x6ev7k)1tLFUDz|@7OX9bx&5z91!}RAS611i&)545PeUes1Km1 z+7MhTh(iTBg176=6waQ6o0dmgGV2cqkViRS%Z zRN?OQ=oX{vk%{JofzC;<`eLcYm8D0TX{Wo5LVj!BQ@hwJcIAXzICh z0hK2p{Zq-a&$TKkCaUfnG9?Fq2E5{yB8- zDhg0QcaXsTBjhCW^f3Mr`d3kc{|LYc#!&*G@H;~992zM6Z7S^ERi?0J19XQ$U@C=C zg1ls&0Y;Yy{d4U8Ri@xS0x%WRA3>bSB|`6y0E~cl7z7Hy2!mvv-vTh=UsH86y7=p# zgZHn(1UI9hJ5W*Y%Q22-WGC|oF}e8b{Sm^N(cu3GUq&-@{}O-^y{j-l0T_Y3F9#HW z5&xQMTtAs7T>t!2SaU7*{&?qeQw=Jh03;XXo zW-b02;0d?P#EBL0il^g3IbMx*7fM@K?wa3jdwrR$Tsiy>6+Yh1VOi~IfK<>EP#l@Bux zpMbMKMjPz3re6rXm*5&2Q+fXa7(Yd@m6?#XN> zF!(KagKIydy3)~H-r(fqq<0A@7~lK(@;mvu??7T9?p^WgEjkbRKdlmhSKEFUAPs=6Zpja31>WVN6*3DMRV@`Dw%{O8U(N-&J=uF-h1>m za;l!ucyPQ{oY@XRrL_c=Ac9MrJ$Z7zQhn=B;pi`Es!IDcj(IXYf8v<25-|LOk8_B- zXF3&S~&*zyU2(Aq+-G1 z$e}2u5I@J_C;uh5?{*0|(-u-m%8*KiqvTUy=2M{NQ=sRI!A<9an~?~qlb3l)eN_r3 zS2B|P|EKt(6bueb_U{UQr34zB`q`hUSW5L}mI?LI_Zah<%-46;=4{;c0gf5$#UDaQ z@uj!E;D*536+?%F*-`6iQ*eH}fKBQFi4hY_1g&9>!2nf5iNOFRf)g^ zik|E161Cj9z^R6ys>YCF(*#Fm`rXp(k|*?&HZDzj(b&MuQz3*af|FJAhiye&s~23% z)C^fB==5-7^w7gVoWup+()j*>W_RaA)qi$WK3n^CyNsE>64|1vnb@qQa+KRKL~9CK zdtQEVAB0dw(AQY@Da4gC7=p|yalGQ%UaxT$a=?v#?=E6MR=uouq#%P1ORbtd5iW$T z`QUBo+PHeX;zLEEQ6kI5dFiLhRgsqNh-c5K{((rM`*csABbL17c;I#^Tlkw_0!6Cn zKWLWCHBeU)oat zYC^lOaCU-OAtvYih7`ig`EArq*TW@{icoZw?g!cTID_S8?1O)2K&X?b(^`&bG zh2sFNLIpg%()U?=H4y0|KC*MT;1k`6fmqUAOR^RN1HENKi}VTpLiHwxi)Cx5)2I8K zuxF~lnXBQP*2tT4%F2b#hxl*Qk=d+Xoy8m#ZELewDsx$el)pgGvk6v1Ce zp(z5t5Uc$}4+I_df*AJl-{lvw&mwM?k6ERXJVztHhlza#|3gF2_)d!mqJsAk6ElIL zk`R-C=As}6`3lDVu%{ntNj{hhh=hYh_Z2J&0i~dh5%SYVrxx}TMwiSJgoP013J~T3 z%Sre9GA>vgNb#))_16jX9({c)xypQSkwFO>a=xtME2|rHoZScwz zPKkz27sc8}`O!1l{W~^?XA*~J6o+Rfhv(qt*TCl2b-eu-*d~xZgfFWf#t@*JK~Ok| z_aU*Z1$z)S#|`^4hQoN`FkC2U&p#`#rj#|YsM(p+Z2yW-@Q(n8-24evppxb?+k8YqmsPy9ROTrh;KCrd>b$_2JTuKSXRz*&bC6P;NR)fd^a&bl;*>QvpnoL z*|8w7x`9)_!38l9GPn}b!Vnf-z=QNuQNqfAdH&PF&R;T>m_jxtk&-%|k~)@>I+1cn z5-na5ZT9)6hLDPx)kV~URid8HiRkFIaR)~2uz6aCeE2lG#Zebz>@KUr;sp=fT%mMh zYd+Ff`VGMdRMb4t?+Us7)G+0*rO(4l8(owP>+cH5)msI5vv-3N+vEvDlT;)pFyowptL^9 zbC!YXLf-i%W5Oc;YzGT)pNWtJE|PM=jj|1ytBQU?)Djh}0rRq`_URmParj!Tp3hYg zW?I<44%`PLuV7&q6+O?J|atnlOdK?;w+%up=aNG#fLEZVQwJfmTSn%$UBT-`+6 zaV#<;DbF9i;Js9@pbB?rI<;DDU@~8eJ?ojCb*t~uIjPh+( zXfAgAHmimiZmjTJa8dPwbQ(19?QeUN`b@yyjA!4z)x zLNLP+GMTzw@mP%ZzM*k?W50fDmnjjCWBq4)x-iTY4F)lWS3)t5L+58U>1j7SM|Zrp zTP&~S?{qwF-biBn`Q%~x{ca|obw&>_9l5hIfzYf<>ppv*OVn0c{FU23PI53fw! z*J&P8uhT!B#0J}GPUdS)`;)$u_0V8vXBNN5Mc=`mv$Bo zGEC$4S>+QKD&%Lrc;1U_EjHPfG2nG`l>&ITXhupHa~{Q1nay8wQDV#Fj>0P09r40* zn-SfF!;!B> z2GkHTW}~p)WBS$MrdSByql5cIM7DED>?UK7S;<}1t8bMI$d?LpKb5M`;)W+0x}UUcg;nZe7L8$vbz$>n7*?(7DGMLfJC*9zl&9|0#XKGcm2VN1 zTW!yrw_-O~184;fp}J2o=}N-=jama}loRX5!7T^$%}EYwe*pA0IuY&pvAD8A(kCRw z7sSRFM8;hnGu)i10o~O|>6<-aQEHa|@wJ?-d!vzUOEP49 zx=W!1!;>R((Tpx*-uy<@OfW{LF>A~Wtu(iR*$@lY@TZ@PbFXWtK2|@!qh-z&thsx) z?!Dyiy^OmIJwloDZ-6z&-im6!HfulTk%fIM4)oi`vfEwFF)3e=bHRH;i? zHby1C6cp@m*g=ztzta^q7AG9$FetXr<(5gCss&->NtjRpR~~B6(&d9vodBD!We~bW z)Z25fve#1OB2pd?NN~{G!&l`3r8TL%9f~}fdN}-c^HH(7S-_K0n?Rx^zpwT2!O*cK zStjL6-e(_MZbj0{o9_|EEI+$$Xy7qxYq>IRCgKwoT7m)xq#Fm8hHZ1h=;jO1d3~0# z$cnnRPYY(JX04rFTK0z#wz&3|Aat%j&L%=rl$!P{Qc_)8ph=--YE3sqXrIv5)Ri>^ zQ8$&sdEu@I(~aa?AAiGg{7`QxNC#TH_rbi`SDi3B5vh0%V)husoWQ=T!BQw}DMlas z>!=^P14%!xBooosGB}#~0Q7**$e=02;LJkMhW^My;3P3H!o+BSf|SsH|0UoLf!h(I z^#Oe-W}&}xf<7Z-F$-w|4c3|0Qg8q%tC+XgnULOVixiQ9#$`FhpcZI zC&0^YsBu}MuU2v;tgEGLA1tCUMOR60I$Z12!#c*hsO1zf%^5*&Bt?Jw7}i6c+bWa( zV?9GjbirK7(n}@7O-`wL@B6;Y%8GC~d&fK_DSwgFC&%;UuVs%P-LaKkxXTs`_A&cv z9_*f{3}yOb${eaAa%8pI=QTZfcM^c(p8V$gv|h|o!iN3f94Rfejs@kD%meyY8_I=p zW513A)@&;Zi96E~3pNdzGx>S2FSMtHd>$-W5oU`HG7Q+fK97;(jXqHwYwSFRuG{>W zw0B;bnUNBVj(Uqg{qjoEEat88=4q1mw0v&`aRHAhXQG$kGT(okt@=IdyVIAx>(`(~ zTapHJJ@@;-PtQc+kE^gTx5^Kz(pmQIo0m&SkmtiSysCp!LiiajyPYdg1gDXT9yaN* z?RLk&nu|@kyT{J#242xxyWR40k)2#PZ)q5hPqpm#M@%{Z-SWPM<7neys?8M3My^dq zf5juFhY!a}?$KLI@G}7Z{s8xUf@3wyph-Z#Nnpg*XMl(a1u&tF41mh*d+CMIe-k2M zdY{Zp_VEu+_Nj?K73nlxeAMOI(X+Tyd%ag%4QMw_vzcPr$hGJYoA3KlOAtqTW)mdpycb!glRd2+&OdqYNEn$kqYY8UTu z@>}`mtd^N}lMYm>y)?jlpaj{ip>oTJKeaM2vW>oUV^I=fjhrhC#f}^a!@L?BjMQUQ z#!6_>beCFuud8lxUBTuzD+AvZ*18(I>!llIfg=tkjH+GO_0_`jr9dlP*Fj-7C=;b>y6|64xUCD%~~3nv!7Z z_lMMC?t3<>nFYXhDzly~+s11oNk$c`NkT1Igwky#rb*s&g8ub1EvOEX;~5j7HXn`zT(tSNmZBxUE8mSSW7*pb!5~h$WLi3B>A8Tbd4=nW0)IKadZFRd zzA@?UemFn-^3ubTXZ!fck=_DFof(e~M@VKro1%9Gl(){1U%iH2y@pV|rq^bYvOEZD zY#>~`V>+7huhx*P1kMRkJt{^`ZJHZR7bpB@P-wq+pT8LqSE#C{=qEx_Od=E+EEE|e zl##84(3l4M={&y4hx*hpv*(J+PKFohv7kcyyapJ6^TQZRPMcY*({Qx!nt zNAQfm`B@DEL+iXkf!&3Vg0p>vnN#aCnDjW$OdggOcgl*FVE|^|=;OAil zE-dBokOZf;>Z*T!^*s^3+D0q{9wPWFRe28qnZlH=-D6XZmhD_PxU_WQ| z=rZx7%}Bj}R&y)YkTflGv`Ei@rz%;bXJt!mHG8s1T+4a_ovi_>ht5s}E8YV&ck@-} z&sKIRmoL?Ivlnrdb93%aYJ2)l_>*+KT%MR;+Kn=Jc}ZPuW`2bdW`f>KQ=G^wF2x!Co9`!8gi z*{=*>1ZTXNrfNroIyhqy`$#A5mdvYAlz!J>(+KsYj(W`b5Q9T8BWIj?Qp{`m-UtAHI{*}lM~A?M(E7p>>3F4u<{)6_z@uQJq_98#<#OU6 z;L6_ntkML_#_IUM?b)Uj(48r;bT<)=sFQ(zmW8xz^W>(qyTj4(kxEAZxM34t-5}|$ z<94a%-pQU5h*I*iSTpced?otSyC0qald^G3cCEInnf|(~&ylS~`DG>NFe&;-2E3&& zWv}~CDUm7qfR>-I0-2pQH8K^$#w=&kw29%dWwUG=YO79~cq_7M91x4JN(!2*vuit7 zQrX<7#ZizY2B34*e#cFMPSb);HG)pTe#a5N1T!gfV5N$MaZ6%gl!k&o?h0c_i(vc` z#=s5!h;GhoT0EScLm3d93Xl8u4h$R4=i*^qVOdBtbLQkPaovHb@RYNLjKH9ONFuV3 zc;?KDKna+Ieb%rFC?T-nSOTN|lA3^0P%1qAtf4JXf@8zcEFRtjCd4vl{)c2%JRAcI z{#~T{+$bHMIu2%a@O!S$t!!-Ycs5tNyw|T(-P#}6KA*1~1k7N)T^=R~@Go(#ae3BP zJ2@ZA-$lI+j|;V~WpykbzRgZG$gv~6bjzo$NYvCepSj#SN4JF3KfV;ak4<^E>fL9( zmqu?@DBmYx@q}bqw>?i}`P{ufFG6aV7~3YMBT>%ni90QMXHeiNO})OBtl7?4|GaMY zNt-APV%V*93fG^jaH=uSQ*~1Cbeq0?!8%z&a%yLy8Nlm*@SJ=lWU#@El-I{b(vyVW zA%Tz7;|PxJZZTft$%1xe;%=oEM_I%c!X;y50*yo= zXYzM_Xv<96B9tK^{8V=EJ2I3CWgfu1YCzK$7k=V~b6yR6xSlnmCY|!LXh>xz#f^

P!w=vpm&T^!~juOXmfw&IrBLkZSK>-dIs@ zqW+D5ET81D7NiU{Ru&x&H^ry58L-Y)jl&6JitAdn?~f!8(#y`vyqq1KMGJ4<&ua@C zP&&P@P!DzA9f>voNkz0e7-y9$M48qgNh9W(z%7y=cV^1B-W=k8wXB_#4a#SVwYR;T z%#`QQr&W-7dtRfL*xg(5%A#8FJeA-l%`_TaHBzYwt$@FMtb7$yqhCHSoZ^+$U{_I6 z(|IgRm7_GeZGCq~xc%g#@f7Zo#(iD#@tX3U9wd7n1-NrZ0ZsK+5@l}k>?NV-Ny@B; ze4FeWgxL@E*gk&>dP3= z=nol+`WHRT0RF*6*>aD;v!oL1yOI9<=4+bKq6Hhh4!=iO0K`6;Y!>QseAMEz?DyB4 z_{B#Toa(QJ6IQOvWH+Rh>pt^LxG) zOWe6?KbTj$&lQ2KwcXv`v`!>zA84ZMDP~@TV9N9NWu5rm;BfpC)M{* z6sewH0TcdvkyULdi5xw_uJrK?B%UyyjRRM*Cl7^Ao-^DXW&|5`Q8wxaaU1ul+a<-G z+DeaBjRr@IHT^@7WVGU#5fQh+QH5p%kj?@b zMc3u_H3KOGNrq*F(50Mw1yxq!_jXu*M`Ch0o6uE+Ji#tAstWG@7=Qtp=82$taWSzC zxGNaN>!#g|7LsrcBGD%4rOkJR2vMnWg$Pjj(gu27w=D;EUbp!-fXwmqSlkmz&zKKq z=;#+uzj+9nNUsb0DGdv`MethUJW(sib!1yB>i{IxhM_1)W;5x4>F)s4ohCc!U!i>+WXs?#R0N63 zaUe$#@r<)>Z!%bfHUtwfBmM4We8j~D%%E!2+^J7D1fJcXiYCAbex6yiS2v-XInnGQ zvHkflU)u+MYvp6sa|tz8mt|R+k&=6y-C?{4526)U-PYuHMLcIzN(e&sW!`dj7+pD!5LRK@iI^zc5 zH|k3D2tGG{+8uizIq7Cgt|!mBXA761tYQ&uj+xfMv!;I6d+hLuL4XwGxS|bHg zgL|7LP-EI;t8PmuV-H5248=ErB=Zcnovrz*D(O24AZ>DODu3>&8wKczamXb3>U zVU&HVD)1-3IQ_XP2x0QgcHNlhXIARcjdy45(zmkl4VHZUy2~8FG?rg1=Fn+4={;_{*1W&U}2RgXJ&(uj)ts@-X?*?U|B?y1%O8`eeKC zo{N|&UE8p#njqn{x^@tsDt!B~EJNf=dyD3_W{xk-oF3}9J=F>L%L%iTqvcBni{^G_ zj<3vaAL`tVJxDI~pfvq_X_0LqKq~~hFrb@2{S*YZddF=cm^J<2Rfu~rhW@H5bnOSK zgqHO=fvi{nP&XE8qQtbYR$gn%L1gY!YkFhp63bL;bcYMacHP)?=2ryhKd}scnle_k z?~3J1JgqVer=U&zq*{{`TOUGkpvt_Tt&a%n%nr_j*OP%@DTew_7OSkY`e0xm4LkmG zGXmE7w!lBcWe(j*({g_by}Torf!-P7%v*)rxVq%Th+tu*UyC(sCFq5UxZj23#)YJD zv6R-JS@Rpi!Le6qd9^w@*Rk@33gB9<>EhN=L0R685oe$~+tMnCmmsr$c<;(6OaAWn zNjiL5(i<-4+t%qIDv-9eI+lwXd`|^FrT$o!PfG^FATsA#3d8zMrh{T97j$BsXFj1x z3rtO%oJ5*0dzTnvikf`vcJJ8^4mU3cP55lLD-W+8r%vMIJY*&rKSWFnH*l7m#2Yex zv;x$)$yUjUNk08_B6OJcT#+)0gOIy1s`3J=m=K#6h5f_CU)4B3U_jEEZy?hxi z*T^^iW3AoBYq}yFsv8LNP6736y7hQvjsa{Vb&K7`>>35$Rgte^8Y<6-M9VrBe4<1Z zjENKX(g5C`E9en2bq|@YEYj3%5)dI<|1D^-$NRCC@B8na2Age*UJ9>a*qMD-Ga(AR zmN)7$1W84JYqyIB*_dyytJ^qDB`)1@TVqqh4L{dASGQvzp%J>|e-wa3LAv51n+l49 z+2dZK*?>M1iLr13lfOwLBfeuNtyqwHy4thh3}iL!tosb}ub+vR+;Xe4uH_sN$|9M% z2Nxcgx?iBB&<96iv1>26jtuayuh(ArMNTX}q(_>RE6uAL{Vmj&)hl;-*_BQ(r2Dw? zoXb-_-_+*Ph|ehXg9SoTBUG_=O?{9D14S4z6_f*0_X6FK|LeTA!Nbw;XZKE^FB)@t z%ub+oUnC2!uxsEo@MXo1zT_pn42_W3<@ImWaBEHYTUfbv)>yY5S+R0!rL$?=J8NiL zOKkj$99%hbZ6&a2+&Ob@TZ?L3xpL-NxBf%&*)$%U-L)fAM`5a<$p4Y%hms2RF|v& z-gwC`|6yOSCiv;+)8@?8IFw-4v@fq;54F@*vxA! z!?IzHEapE06UV1)sDlGrZ^#2E&W+wj#PLSkj-E%*gWBjm-15l}Pdt-^2t#JTj~6Jy zE$x>k4C+-_bZZFMM$jM)zn*{4$SoMqYp{XV z5WwHuu^VB`a`c3`T>zi|MqzRLeeK5DQxxA{429m?FEY4aR&rt)OUzD1k~T@68_q@1{YY9~_v7Za{>kI3fCHyM001ogV#^cip!e+_ zARyZFjz8Lqb>`^vhd6r%Io{wzcDqts@=&Tp%9`XrADyN6hUTLkxx50TGjb9&nIZMT z=fwf*lfpL3#5q|$A~vE*WhJ-vw)IhoL8`$@?X|`N$zznt}%O3ED zwxj!*-nbUt#rJ1{H+TM)<+Af%bGgql?|t`-I(i*lo`cs~AOMz8j^r#|@4!dfPQ>$t z?pN+6orCq5mt5VelzzN$`A_^c4_(`Q;VPI6pEAqYYv+s`mPeJ-mdsf+tc**?EbCML zBGnB_*&6;1miv^`7R}K#tn^D|E$hFRvsceiHvC1BX;>MSMq1YYC}*#k6K_}^QpQ^{ z|3e~J*87#SSIt2-EO#m6Et-RASm~DjA?Iu7+#A#^JMgg)z0Ps#J?S-)|cb5f)?I?d0Lv6h_(yOo`TF}bNHeok0 zACWDpuq9V!t@Sx09|ne3aGyF;ERT+W$D1qK(R)ULt`}$k8uQnoohDGCR=+`SSR#+$ z$NuQcmd$lWs&_N({AiuU9f5*q9W%co2tQ0w^%zsXDgV!Khfb0Nbja$0No9`1(*F~H zvt(x|JX#FplTMFf`Lt?^WbPb?|Bz5EyMjshhp}K<_SrIsj>CTRT$2=kkw>v)TK4%e zQI5ku=((mTBwBVQlkoqLxiWH&!{6z-gebCn+Dx5enG3R#O@5{sX~>^A)oX5yasju` zR-K!r;TFj7R%Gk=Tt__ZF9^p=_eGt!uB#e!4?-9&9@AAF*;FVW;yWfb9kq8ZPnXQd zLnCpMkX^ta0tg5Bs%{g>He?5s=hT-Fyvsri2Dz(kbe$yh3!y6|k>6Ixr=1SmooP`) z$e%ep(^F^rQY)a>#64u<265w&({tPTp`&l>88rkL-(g|~B3i-dC7B>v{ zg@83$kinDwJ*!9`sL2vX<;qe!;F_hdLpX@7osJrfY&Y}xx6d=~YX{HuuQ(loM>qv| z((=@Nxi`Mke9|iM^wb3IX=yq-tbC<}$pyww6=(A`b2UbY?|a6}vkOihx6X0|Z)F|j zSSwFZfdX9ES+dd+JwbuAF!$uVv6rGfVE+$kZvkA#lC+76nVA_aIuuiTI+cGPA3?>vTbO<;iSV4zwIe&f9yRL~EY1 zk;1hPVm)T?4U30gk+RCpf%>Cf=+q})SJ%RS*W1<{oPFfc*oh1vhTx<9`58B%V(~FJ zU~KHhE?wHJh}5Y}oA9d2#_xQ{$J;S=me1xn5O2x{wn{%urj~g)ZYTw`t~PizA9Xeq-`+20>>Di%fym|o8z9rn)a5Dp3l<%zOXXB*rVeI6CCL?o_J(C~#5 zLgM;JDGXu4iG>S9B%s2l-=d^Pj6lSRx(vZNXFy^&{m2nEFTpvTKtd5V4=;q4CJA09 zG0qnfh%I_4shTvL^z|4rT=zIexlG-?@)=x3bXZ^TTe}c(IdE(wfI}n151-dY;WtSW zsE!V@y3=eY9$Y@O(($dVIgXpYJT@xEpsE+?Wtw-IH~9 z@0|boP;!F&aRkqWE8n>*uJ;~#f4k~1%^DdB3?POVnEE+cPlD5ZS1ayPrYAax8jVQO zjbtka*mtPmRcjSU;W7W_kj&&JxgyX5AD1oE;C~8HOFo1|vKnXwxeQj@>?ucH;_zPr zyu{((!I(JgfkH>3=^x!XmZFVhORUGyR=%+UXk|O~4YQkpzBVa^epq!-GaAIv&i^zm9oR-2iZ;$;a326}?!9xIdZx4L!pS0EXWFKX_C|+p!(M}~Uc2X^ zV}7f7OYnJHRqq?(vr*lPlI?G#QtH_lp0bV9$T8U0o>;R%M5nKMz0cPpc)jt1f3$CmVDoDW_do>6-Hx?eXwSp#xv@KaN7!L&WUG3=PX6#fKEE+B z@~&t2G<=g7D?jrNk^?Q?!v~9vR!PAdcPRnvE+u;S$LzXwrMN};GCiG}#ALf(RQYUDiixE}z0BCQl7W7Rh;qbqy45Rksh zSKe4G8*ZC@@tWaVIXmNT7g%1--959^(v$OfdM;<_NM~|nYtCXPE<3K1<7@Zw$($5d zB~qO~Kvon~v$5%P4JykuH-1agboRoXT4{}(-_ zUH=ZXrCk;pnDwBCs1rs@;~je$?V z6Y4&6+Jzxd#lhOgPpdNI_oP&-|D^C=qWY7TS#QczzUlJhk6M`|A?y94a}>$ru0DDSC*#Bq6z=Y zq-|xX8(7P~OMq7ZKf{Drp@XScM=`G=nbH%=YY!0gg!I3M1N*-afOtZJ0!y4?LM+k2 zRI8&HRFQP)3Hh}L{1K=@hX2=qRfGHwh*yLBFM+BC`EQ}8E_k87dH4oL(5~-a_&{fO z>jN3HUez`Ig30O82`2u3FYE@Ebn66z2A13eR@I%Te0Sc_`|4nFmGxZB}R*XBPr{0;ku<7n8eaJ<4)vt{ni(YyT z0pp(Fb%OzbkuX33=v`4DEFdz-fVCQoIz8FJ#fNeI58fnU8-XO#POH3xVE3lk@qqeu z$pxd?X|Ie`fk~<|$@G(HFWS@t3xQEN8;>voXG!~U!H8C0qm1tKR#`;n!Npw4>dD1s z4>m2?>-9~*#aS|*N#?oq67r2WpXT0`(Y#I+1&I`h5ge>Uf}bd?M4X=+Y*?b725eYg z7#kY-f<%-C!)K#kePQ!xbxL*OX-p+ey3`E5bqsQDQ};Ar{fcX-3@ z6n~i-Z z%5S~s zaKCu*+A|Wen~C1hqAyoV z1T#}L@<|?hZIH6fe{qRPmG8|yVZKcM3U4iIt1vroc1>?x0Pp_!Z8p)+Q7u>h z;4E+_dn4Dmc=YH?j@sz_^TcC=Uapa<^!GSu=(P*aW*!0;*ABi@Lc~e#Z;1tpkadHe zSN3mSJRRxP_i)Ib0y(nR{ih8bT7ESvLae$vvXhI*HLHOY*X8uXVRgR_Sn>1^j>4jE zzOw4>9r>~|zwxQg2wfcRT&})e)vs*p5_uj4uScLE z+<-8@e7!L8idO}WYmv2)Nl`JMANWrJtb%uK+o^p-TB$h~zQ~P^7sj0&I0#7ie2x!4 zJ({G$J|H|F`R`+{^;`jCp@XgMZQd)y2m%*(&)P4@RX3ZrySq&rUUqBJs_XPC$vmkS zWQCSWOt~y0!g#w|DjRzX1Kw6_z8)wOp$d+dp1K0$ZinvH>K|_a+^Sml6TkZk@RmlT z$Nd9^-kVr9ClG09J0}o%=rAWgQD_(^kZ0~w3_`z36LIz0Liq9N;Qhnqp=syM!=F9V z%E5(n%d^4zN5VI^I=e^Qm$xcgM?ZUJlTU#hxBO{G#k>=eDdjJDB@u$^4sQKSx9|F( ztYaJi{cbP#o1>c(L!Uni7B(O^r-+SD@|#D(!YxI`k)+maqjpBe+RW`)%D{H_bp)iY6KCTBNvlAtLO9Go+}+j{f%l6-RqHfzo-(;bpYnV;%sVI8`*ubNRvyI`ix9)<0ov?<>P(%6HrHLpDBPobD?FGUbJU#N9)5u^rb$VM}(E zboT6vBxOggO3#aAe`l`BZ(stX#$F`90I9hR_5>+Mmggj?6*nmR9o(R3vrUd1|5Z0A zmmS=+XtO*Z@w_P3_tR$Gw^@U&Xti|YY_zJu{E4gL1FYOu`}uDbc|&8PKZ?BdA2;#f zn{Usbx3h6O4z<6wbAr)p(hcKZR3?B@DlvbPR15JgI@+gft<>7K(Y>VnYX9!dGp*V~D%o%RCnPH4S+bK%8R8Pv7hHFM+86?tehBA?|;I0dDcX zhgdZNxkd;oeJ@OkA;c&k;44lybCdz@ANB>FXk}p+DjY#U7bx6mVHXtS0!_8BKP=?^ zwupb`UTuOa$CClsa+6!Kl;DWV`yTF;DGSR$T@E3lTuhGj!_LO5sf!Yi&BNKDtnrdf z`}vAdyfs2>%g+5&P8N}CW}?<eCmxqZblFYrimV&kAk?-)C?FZz^ks)u5AN!O} zFFW~XSgW?Kb7#b>E+>)<2~!csE5B^D zclfF}Iu0tVU3!t|-)nYks=Phe8^&M6CP%vAIqQ4!VMBdfiuxj_`vdkZgn0OB3fK8F zOq;b=&PFC0atEK+V7SejEa+D6LykfX@55=!}JS4mw4p(T#cEx+zUww#|R91f0 zKdaL3Fzfg~pLkv@-%jF*FKU;CXLqebshUxXo(9Ebqg11Nxda$eo4W)UQCBb48&z5S z2VAdzMnC@T7lx1G01js3H;D-NJj%5n`%#6bjsK|_y z&<%7gl+g`B3s(?El_C+o`a&ZXRPcpHDoB_N;{uy~M6q?L^>PGX&wZS(%55)Pef=d} zxnrV~sHQZu{1~*XWwO*pW1g+7#YmM}&3T{@^0_{Eq}`F5zQt(@7%V)b z-yzm@m@cS~J@=Jgyj?QCt^1@6n!iRqRQmCbwJSK?O57TrSNZDQRz3woz2>{$NiKA2 zFMd*UygG3CI4lQvr|}kCnB?jbHJy$IwrUTH-(L`CxgHhVAT(s_7ujU*H!Pa-XQa(RmU+gP&X<<(_Ext*`{#RwA!HiA{-x@yK^JSpl$1zd12KsvF4Q`XPIf0 z=yH=OLZ0B1!;Q^!c3MiJ%W#2hcGDkn`p!3>xKc8w%O?S4jTCfliryi8pnnVyEW*UmD z-v2of$_EpH4EcQXi2n8M5-CFYLXN%D&Jvc!c{vQGf zMuvZfp~wT_A1yevXyn00MS@Ygk?~PDl9BOAIN^N~dRfQx=5-EwuUDCmj2PM& zZD+Rp!+HY_&llCMPX(VYRAr?HZPa5@Wk*h)VEI1C{J{_DOuf(F-S2g$H_ATd+c6t6 z=OTVyQ`%n5SSEB2)r-x41;iszC3QnE4a;Bt+*2HRNH$TQ%fACSt=LQAq{JHpL%b zVR~byUSZykseoYenT+~Thfd}qJR}FR1k2CUU5(DlBUFPPmc7}3@d(rV=3SG9tU!>j zt4npn+@X6VFH8yi~qUS508 z2_h23YJH)L?_5J+?(?_^U-lF)AJt zWUAitmxP<{As6U1IW9gp<1neFLDDQe-*LBQ z5Zp8**2OVekjTXW4lv}qZ*AviHAoaNE}p_kdmWp4cxVsM|Up|gu`SNo$6 z=6iT;x>~8Hp4@dAf;z~(s?I1JVD=&?93b}ID2skgczvoQj5JjkG*vK3>JTGzV0)PU z*Aas5U-H_>6!cL4V((g${^o|lH>@Uw!Z)bqhx!K4i0=M`kOI)?E-bxv^33#>x?tS* zYl7>eL{Wcqkb2!C1;yYL_7}n66!iDTSjy|dgH)pdal?(brEu4&= zKN22%VlH z39{QeINjO#GGmZ(TTf=#zMAFcWcl20S>8UEe|(*MiHuCWf8Bnq>FjX3K6{|LQa`xg zOH90&JRRQK8$NQCpUlzKi(a@JGp&>TrrTU?@o+d@h?fPH?mmIw=;;d)-7Oks_ptT{qT)FH) zkF;|C(U`hI7hSfRQ(SsiS>1)DYNBh}YN=VtzQ*IESyy1^t*nOr`C20?Dt zyO9Zln3|CZ8o9WVNd|qqrXO*J-Od)^an)$IOZ zcGPy_>~{OQna}(EczJI*vP?0#ie1+1WotCXcL36?#H?hpgx{}VO#O>RRoMhJt#3Sx z;mNf_iC`{P1jJ^9JZKv>Y~EysmZz>S<;&$u|4D}-o3(!7h4t#4WCzoBy;W;sN25TQ zsf#6#=QnadY!@%&z6D^?&;4^+s-HV;8VA%(heydatyY7Ar0ttL%Blq(X53TDQb8 z7FXHDfqmKDidxeb1ofphMiy6%^AOk}az5jH5-O4Sx&=?pb`S zrjZ32qk?K06O8`&mendQh*hftjN@ZRFDrCp)q>||5aa3Ka2OR7yGTO zaI|cC04rFzLA&DZu2yHo(&w?>V$JSog|YU0Z*h&U%C?+twRUx*s{Nb8N%?j&!_{y0 z=bc`uwvG;-j^8aKRpQu<6=y#inuBMo1YjFtK4Cbit`v)PHB409GL0-8v(?&p3Uz-~ zF(6uoZXnEbtvr8ObNCjis=Tr=&xKxa__5tcc2nG_UzQHgz9?LZ2wqvP-+fNGqUrR zU|&C>zKn(BqSQ=gqqzu=$xWR?ymOTsQeQSw8s&!4xq$iNlAZs8*oG2~>xV-KCXpt? zfm?jFNnzvY?LF{Xezg3Ss=CTd79%C}3L~idgA2JpbNLtD&B+*pRO`44{k@j@E6ldI zr~osQM1@WHi@z;Yubbzf*NczWU1MV#EteVWGw;a8JHyH087yTX+urEgi{*0?yO_Tc zhIteiB?)jz6bwqR3HTH*=8phZq(Gu^BgQ2Xb=`uq&^CxmeyFSVg6*v}o&%5;mA?1JUN4UTCo@a-CpZjhn6Y3M&Yze(aNAKr1apUyKX&63V*K!U zVUzLEK5pxnZYKW}`6!;MFwYkdg%X z(KLAPt)<@F;&cuSHOgc(HW>0g*XvWBnf0pkf_%)j6j-e@ult^i=oM)b^)NS%T5h~W z@MP{iwbb>t8fVK==7mGBruaGVQQH6ZOpj`1QqgDi?V;3XbIhzGti}<1x92=@kPBiG z*WL4OALIJm8x}e>CQxj;7KJ|Dc#@yozJ*U_in}vC?QEVzD zTYUuY-OEDUyx^;_F> z0T`HqLG=HL#o>M9A&4#G-Mn>GeKH_uY2Jcz``UP-8m?dq(kDTORLpZ2HTD zkT+-mCa4>Pw32S%WQ&@H4UFZkzuX~kqpV`hUiLPeWe%B#RN{2L_+0?t9U0JR*FSH* z6?=m57TZwXUN2B&+p)a#^jas-6DT1JLxw~OgD#B19Ymr6BcuQ$B-)AA$L5G~W7&b{ z7vooMK0f9Uyp}CchQ~8`yuw6i4qA+6kN~2b$G;N};z-{m1DO^M!h&Uh2U_fh+z-Z& z0sp8#zbPWt6&mG-j0;N4490H);WY&F7>9aEO8zf_1t{?h7=IC@mjwJ{9M&Z%!={K< zS7^N-@;?O-UJ|g6acGyMl$#<_U7<;S$h4ruO286-2~c1k|1J1#)h@6B)NZ>tu`*Xf z5V28L5`p5Dyh>Z~LE>a}BC?WRc0 zzuNJC-0|Nu<^QzzK_okW$&bA-pY(4Mv8F1{B>c#KMK>3;6KhhPh{7me%j4p2}E4Qsy=3F zXq&%0Ygl`*0srGeBSc(nXmwf@ekE^(61pgdh~84@*9Vrb0H&A^Q6K`_7lbB3AVnfc z<6qQ?uuIO5Vp|*-i-235J^8_a-M_UmQMhMy*AkJlG(WWq$5QRCrl2fMUELH z_Q^cj2?g&ckBwQ#+$3Ou21&S((>ywXgjEViAPN`WMzTIjy3{@hIb={1LIk4(!I8oc z3Zqa3k!+&`{22q7NZYfl=LV_76bF(sLO)OfrbrRUx5H~{ zras1WIPBa#@6b~*BPM^V$(nE)|M4qd`{P$WxYpVsWXsoaOu<6og8iByQ{2lm8YLM) z^;1Lc(25o$3FT@Oekfr^ufF}*d83TwjHTW?_B^0Sv_G7R`To`4z6e*F1m?~6AZv}! z6C^+b_gOxNmsV_1k$^tpY)7bl!?Mw(O1pwIwQ!+&eRVYpgQ@6(j&Wyx#fm8)7{RPn z{iN>XZCo02pu4E)dZ*Ea3wtJV-b*QJ+k!x%pCUI7%p_Q&Q>I*>Y{51B4zh;dSG=(E za+fSg**j0={a2R9I(N$Yu@NqQNv)shHti_b@e~!|FejUzA~?aep(^=;S>JPv_?)fC z&(_NG#u*lo+JNq)&uM5-J4OJoz%3kvUIV~R$UGqJ6I9?Z7(yZ>4i!8=2FoCgz6+q~ zXIKm3D2I}U7o-%d@CiiK>`{gypH12tqsySy<*0$OZ_!4Zy(ixXptE=B~FV5C1^N&-VX ze}uCNh);i^l(Py5OCS;gi|KS4_K?tmk1+{2SS&Hd=nNV+Ijih$+QqutdXlm5AaCH* z`|Wfvd+k*b230>3{7)iK`m{%=K%rfDvlPd_%m|_~0=4Or7zhu~KQjLZ_wR}B?}Cee zYUt@#{mfVyVQ;S*6A1IMDy6LiJzzr-wEEx3+wE#0__PR(KWJO2;CnZUx|7Sxl1`# zYn!#oOY~m7_@gJ*`A#o$S2$>qTB57gYENY%2)T|II&DUu-E?d_4u8EE*Hz8i5H+my zN-8oieWjROH_q?C9-99`FLGosoO+z%1xfkh-*_E3UZK~0Bmi>iQ|CEnIjZKZlNyM9 zr>Naw6Aiyo)cvTyjvV`JY^>h&Bo!YndFSa-hNWClY;q`VCMF-bf4xiAqBot$8WOtt z#E{e$B%X3L1V^OVPyWrW$nH{tJ(N@)EYYW%x89dvthLdmw(q9oN@ZY+Y^tuGYm861 zAjt10Eu2wvHUMOz;()q%Yiu|ou>UiCjIsT;C&I+7)VOD8zV!%|E?fR{BoPce3z|%^ zo{-(Aw9-U?+P*g778v@%qenYofH{c>I2R1(d^;503_dWwMT%*j7YUUz)y0gbAp~A# zZeSfw^oW!-v?+>24aYehj4o|XHi$lIX9Ou%-V=UFPra!rm-nfZ<`q;sWCLW2$bnU1 z?6a9|hiwbue#<(4tiLGY677DRC~yqF1Af`1864`s*|7MT`jA7-ESjivn} zhui7bnRBF>0=%p&blOzj$Hn#BD0<1ch-fb*uw&`B;=l6N28gR&4Xxni!et?((SuV> z`q45QwBQ1yaRH;a06JVi87_cjNQ5_#x9|TWAq(WCSjx2qupN!lEPF2zBO|x!-pE!= zXZk2RET0mw>&Ha#jZ}Fa3_CV9L!}+qhmPV5b*cz-f6&Eje0wlCNj@D4botOe0GgB7 zCIeB)=*TsQ$hxmlU~@txE_p?TcS+I6kmK!cP+&Qb<7xIt(Z2JF7G#A=B;BBdOA(PN z4vSEfx`&CfA;KuV?%V z{coMVf}}`|-#%SkTsxWazK@LuVKaI7%LncEmUb!)ktkL6gqDAyH(C| zpsx9L_sgaCjs)Ti8GH4HzpK)xs$J=5QBIuKjAT}|IlAM~gR@1eOK}sQr(?tx*tvhw z9?ww*d<@I^7BNg`u2!5Ca;Rd2QqR$YH@p5);YlKKr&73{lBI|uH+*2s{Z>)qX4pyZ z>*LkW@;s~cvtO-;4!83RDNovJlS%Kv{L- zV-3Ex9^X1F?X4u6ji4pVHW6QQ3cWgO=2vs~qgc{%dZR$V+1W5sn**i!`H`n%lgK1J|#g4=9E z3F6R2l|{>4jBZkL*LIP*FxjmBy006x{+hAED_pHZhE6{}xoT!)k*dCTg!Io+pQWLX za?;xOOlkDST;l`O9Hh$Sd40I99{2ioQqg1e<^G0XOE`LS31k=N8#f%e1A49Xd}O^eTVBPgqg?|H%vg+c-sn+^ZlJP?45>( zCYS7_6e-FsT2EP?t+abObGo>&gl5EUl(KR+j|t&4Ov91Z*^eBb5jXx; zw!Psq!UEQ_^o;Z3SOD8aGGY8}H=>mWng%?he6BGXseYr<&X(|226dvJz+D0~$hYiR z^=6gEPx?j5Ph{D2T#sXX$hj|d1WR3x27yEVh&D)8G<#hk4oFtjz9)mRx!g`NcEWX@ z11M+dMK_^Ivx?&Ftrwr=&mQR&MoCiIJ#Ho>@&h;M$J|%?Xb8N}{$el-c9n0f$hdLW(F_+H~^1Mb^ zQ8t;%*>2$Ld>x-;fgYD5!T)qCANXc{6V1w(Tz)`(aaUj6Ol_vbJHujjEi<$zvV7+9 z%ydVPVKpMw{#3hWOL-v|0e#l7Zi^6)Xf5oZV)i?Q!;^h7b57VamTTp;qmG4ZtVxk~ z=4S9`2IkyY))>=mWa1a04<6a~Ostd={{zh1{USGJfz;=?)dO|eh+)jW0PKDbZCUJ_ zc}westEo)Ln@YOWGCSM>)4?K6OGLOrxsSZnlt9B0^{J}J@$=DVV~|MNYCR#1~z zJ{0_}L88Y(kn2IBFhql$*adJ-t2GF~leG)$JsBr=+*@x=Ljm7RiGA?Ev;-0lIG5GK ztq&JBO&UX?X%B@bM=Q^B9PpD`cKg0S-{Q}2I`25f&qwc{2W~ODjiZhtLk4kX7coCP zuWLTX?9V><^Ddt==fuQ*U6$3Mrx5Q`ckm_})#TJp7q`5F+J`}Jicr2>T%mUqqJ-_~ zHOriVUT;6IXYUAiFl?Ujhfk9F?Zr12L-eLaaP~f$P&mx!{OfL{N!JBF+_AThD@wjM z#iC7qs^q*=;B#&Q(4x#e|28Sk^XrZ1Wuk0ro+cfno@sR)UtnnDdWMO}q!Wpw_2cb! zO(tZ!s&DdK!1~EzL1S6%o2lagOPkWu9KkWs_t!b8l2?C5-9+&`1iW&3T}^2@lpjI( z7QurmSRYw-I~NZyjanj%;tVX->o#%`*fS59saKZP4YI^AK;0iZe#Kg^)C4Prsz2bI z{CXxgeWIGnV z?47+O)$P36JC4=fO-N3HzzJl@5KgmuF zwf|-&Klqk&+BhiR>Gf=7`+(g!>-)&!%kp;U7?)^**md_xwsH=W@~)N3)R$;fp5oBK zn~MFdnN=U*6&=!KXX^Dg{kLD&dt^F?*9V`%WbOziIpiB(1Wcod(Ej!vjl<-RwP8)t zc2x{#;L3~Kaeb@Uc$GpBi5Bd3CeR;LHpYZhXLn1+3i}YuX;{h3I#KUMQCg`1oy%sH z$nsrYtzJ~1jUv~Tvq)kVql5SLe4#?y^UdPIy3Y0B1UlE-{bk}T(pTVdJBKZ|)%jN~ zG5`7oU8|`v(s8AiIlJ@WWNx+fucL*Ejg8f$Z#-@9c5b^ZBdjL_^o!ID91r&oUhSX1 zsB*D*zg_0rnqd*V0a!Ne+PPnSOhwiR1>OZ>ayHB2V-~LxDlH&NS$e!=t5lgk8qOtAY1T=9xS)Mr=eE^yyiHMS5^uQ8 zWaTIQUZ}y*C1P{X;A^aL-hYcs zc7E}ksFdl{`}M`T?2JRsHcfNa%3?9rY7f4#wuAF9D>N*_=uu1@MRfsL$e>JiYvJ9= zqZd8IT=TKOhr5ar=(%YX^4+A&5az>8PiEQ8@pNpfdKS~3CPD-i>5C)H=g+UHjSh^#+n_S#J)g|0c|Y-?geHT zlXG->3aqIWV9L2al?_;x3k5A8zAMsLe-K$X^9VO8#4-E-0MPv=5Jw$9F2*hbY=anhz#wGW4%nFeKiHs- zI{yw|nCy!oW0P(qaAT9MC17JQZX`0qflgmn5_LJtwK{LJ=?u2{wVKeo#62|7K_3H2 zpt7`6Ng%SHr;7ZG@!uq0)n=Dm4(?sYE!!;DpZEchi8Y*Dk#&OhqI_TNiZji{DG*AT zg?r4=sHhCl(5T+rUB-&bPPXb|aaHY;t#c>kfI+?|oVqgum2GYBk5xaQoC>jVJBj9q zD^o=Rk9F>%YPJaocvja>k*g{fWj@aw&)lsNGa`+Fxmta^-m%@WQFOOvX75kYt%ukpN#jbUk zDgBooTxu4r2y`c*-_1d54d)%Gi)p2lt5!p?dBG1ku-TYHEzYgFH6waGezjuw4$ey@ zmwdJU08uFiM)j<+Kdt8DBVtsWJr_XSc+y}TDz%$hD5oepoH{=Gbv4E8YcqGdMt1Apr~To zYAj+F36t6yvjmz}u8&rsZB!I%P9tl)D31bO&pv0?B`qSbB%%Hw(5JRh=SANNtlz!g7>p32!nrT zRS*N;V?|;38JsdFENz)j`D-dc%UqJ7z6eW83I1PfS!v4(fTxh5=h3&cP&HzIch)iV zUS8O-#2#MUvBX~9EF>)RI$?iyI*k85IUt#^&|O`vujQ;hhKVfH%u4H*)W}NeAJ)jq z>etMwF#-etXDi^;7UFJ{2Awc|-ba8TM^l&sA7f-6)IUuxH@4l?Q2x*J{i8e>je!M7 z3UfL^x>rE({&uD3Wch2Q*L4K$#tztflN(N+2h4q4?PF;-r)FtC3O1V%>SAotw+^Beoo)?{q#iGAzrtWWu*^P>KQt7}pIa`ajp zG4aJQBSWXaVkKXtHedTooi&?EX1%P)!k(dpWtqo^$(dM*XKbiD*ciHD4%)tEH}AK! zgP^^5uzh;~GJP&&$|TsRng3p0*R`g>6MeN8mIl8k5Dx^qwDkt|28HDGat8Kfk;=84 zbXaEN+j%Q}2|SQvI|jg&fOibtAP43q2`~w_ui4A{S6TSKuM)`SqtDeGrH}bFwv7SY z@!odBqORtQ@2Azw!i=mlwO7y`?N;+9u$mwI?-Q(8Pm6O4B{^rg=$zyeTGeRw4U&N$ z&6OFZGrX$3<;pAOpw3)Br1Kb&ogshF3G411>{p(Yj&dJFx3QO;4s>)97kUgOvKK%m z?xoQmirvbnxeXg*3MPVQK$u?(DnH)xW!&>sX2=l}%O7nsk85UrQpZ~$*2a;ub(ra~ z08|jkmZgTw!!sb1MIO0e&z^NKubtEB;;J#pKC~!hTR4BNIF~IGpFIwFX>)AxFje(d zqs|a_Rt)yLgb*bmAp=!JLkU~1p(2sw+t3~z zl6|n7Wfb-O0CdOh6&;i@$nVW08StlOg&f#vW=7Sy(fir7zziTay1R`9@-rzUvFbst}=AHQMunwr@612Zes~|r;2#8!nm2B z_}@6(FU!EfeZ1(|PgYL**~95(1$BQe^}jOB@==g<-@3xt46G4h7W`)LP%1$8XQ#Bm zcUgcRjhFc)Hy(|&u%p1s0x4rr7Ko*e6*jJdxV)>A?y+FA;F0BHsNwyjy?UKuEKuKW zc*p)AZ#@ybewf7Qy`Or*YT09mHM2Md)1L~}; zox{zK6a<0Jj}#1nvdivzY<&|BtOpgv-wy>W03{`;cx(Nn`{eou{~oKw;%_}l1*=i~ zkB5tg9;^?&_rCh)mHOwAdJhXNz6>?w;`#Q!p0i9DHpfMcN9XUvZ)=^Rt-Ly^H`&Av zw{NT4FDEzxcJv&`2jUjSkrItz8yRGkr9R8VSRoxR$`9{MyLN;;5j~_B0SazGWF#1< z`822zn8Gz;G;;hRMQYs!oKPY-2$Kd7P~^-wl!3sxZUF&`{7Z0rL63x@VbKqOk_ry( zrzi|eu?V<-u_q-I|2?L=B>oeIB&PoZ650^QD752PB!1A4)0o>)l{p(q?=azo1#pt>Aupdbz8fjKmVlv@&j2X^H(h8_Rb=wDHlJ)2X&i6XWv+ z3#CwWOnrM%b#G&$IT4S92lVnx=gJ1jhso!Jkc*mt)bIxX5C_FPekRtxKBc*yh@mHrC}<`67$D&QV#b!zu=^H6f(BC zzBp z?+g@l6&$Pq8o~-0W{C);nvcZ5^Pd9LRdBKfXi+QVFrc7@kHpARus72l4)w!A=m}P-+{Uy9m=0F+zP~g`?7ATlvB{_(8+*(8?w^0m97 z)$#lLo#2+$0Oc@kUNvwi5;5*A8=QK7>Y7XY-6#1E9_T;ODlCi6E@zqkh&0 z(d@J(+$WC%S`8J?E;>EGnzJ)*-gBr|N(>VxS!>%99_XinSc-A;Y40d64RSIyiK;)SiZow0*^pMBjRHWlYE2YujblHcSLZ>hY$mzHzy6 zu+u{1uwDt!d~4i^>(hJ`>br_@N9H2?qev(C+RLk^A;tOSV0ai7 zq2Z(mHhS(rRGW6EY5w3MZYPCtHLpmAK0Vagt5{;J*TdSgx9)pOayRzRdbw>+dh3tt zEAYw1+fimUq363=ICzrk$kzIG`n`$E0K+R(&Vbw;3*imyQ4szSXAP{qy$zksPsLmF zshgy2{K)=Y{Vj5aX|*~$`Zs>PP9*rI9$j@+F<&UZ;J4JD1X$@`1$pZXsew+m*p>W1 zc1$7Sa`5MN7!>>&l24~j+}vdhZl>?2=nX3NmctwVvv(i-QDoJJ>J7Qw`|AtZawnSq zS*zusVdAGE1*-5lr0Fi?4%Hu#(zNK@iY-%ZUwr_?8}(@Zi~fv89J~?<{?>3-vj&-< ztMp6zUF^QLma8bWwf&sGcVoQ2M*0fcS(VyL5Xs3NwJNn7quIONFS7LU<*q2k=C*&i zlPrDnbpOU>7Uj+Uq$t%3U1?vyTFDjzPfxFCPRTzICN>T~_lRLugTLR{DYFiHBDK96 z@2G}AT}=~4{^D#Y&Q&>!%;lI0vRoev6J>92)`sKX6;;JL#@6@%lSV3!Vs;u=t1b5h zf5=PwZGh8|NtpVJs&m4$;4v(ylS_Kf`wDDSA|I9gKFv77_hCi6*cr~GfdW>j@6$BV z!WOj~q&Iw;!3B8Fj?)OxRv{{T63ODF1WI4yKLx5-6iJb)4q>P|7Qv;T{VhIuf~t&% z|G-5}Mpw)Ua}Z6UEI>g09!~Q`^eZyW58a0};bo=`V<@Wntgjs2Uz!aTrR&aL-gF5C z6TvcYq-6(RSRIlE3R#i9*U&@@S+GhKDrB7UZ%3;2D>+2<8B-vU*WiSAOR$O+0MG_r zzr$;5suvJQ87txi&Y1t%I#?!;GnMNZlSs=7y82@PqlYz?z>)2tK?|`5y?>4gHG>#2 zQeWI*OhHpm*a6yEYdPb)!)r@u;u|~jd1cLWs-eRAYv^MP?O7uWH3-Byv1y$PIFn8p zcTxsI!-mzD=x3!!&)H=uci#_{SRo5&PzL5RPG&J3e(n3E#{;-vcO-Gddo<7n;s6;z ziWCUO>j|IM?`3DJzD2FJ61c8p_?%?F(qVp=yYM`BGRPAz2uH5+U)G=#9;`jG>+&U7Ug*K#4J7E!_q$vRbYQfc&ocfFUCk<7A~?QQ zNDXYEpZl7}i0DlFgU+~RjNmv!NO!7@YN+vZGc z+nN{?+qP{xnRw#6=bZE14+r<}&3abVtFG?d*{iF&x^}&7|A6XL+uyQ`C$^X|oJOzC z9>1STHE5(xGD@eOHmo4Ea2viLx}Z-A+x4Ivou{%xG|P0j3eW7g)csQc50RIMSq; zPBM_4VoXt=9hD`&=b)+tC|%zeigH#hwR?n0<=|IGxUaShL)q){W#Av_cKPxT^t*id z2LO%#1A{JK{u&bNDCrfg_U)lkLR5u;b&|-peifX9? zBV)Qa%dW4b(2^xV0!=b-!(A;f6^dq={HIhJ$@$K36*p$S@_ zER!|vQR6pOIui%uQ(Um{Xf7q@E|z94hZeuee}m!ny-PB39V=+PIp|mUZ@_V>ekd3= z1{u3n8UxD1EaT*~UjXF)01)tt!J1#VRsv*y2R!8nuX0HE)Q;n;2?w5r# z$@QtbKdi1Qsq*XEi3jWuJ^d7-$PMcUODq+vyeP;7z@^0Z_u|^#&m6&dCalPE=w_}XByX*I_!t=SGe}#D1 z^nLjePJ8pAMGvjy}-p?LI<2h`w}uuZng@k*N6ROQzZ{rSaLH^$e4pHYTs zZx)#=4z5ph4R_TPgV*p;Rh$v8`VqZqIty1$5FJ4~3>0JXfbmdrR1>9?q8?yWz&I%w zYQs||))EY*qgtw-aabaz%0YF_7r+-^{cEB=E8ll){3Td~GjK>35YSd2zx5B@HedAq zFw32RlevJPv;u{#f9SXQV!+B*jh(Xu`@0#Y6)0%^L$A#jeb%n;*m_H_bZ6igE+D^~ zqt`$DJzu`8{|(gEQPkEKe5QGeC2diIv#CcB!+sl@Jx1j5#!^Y9HNf$SjOzW^aF#x) zLTgUJE*ZL0h>SGj_U1j`$dgU9(hg^Ulan0HxArPG9L&6%n@fvE-wsxvxFG6)q{pD& z``UiU{#C_W3uSkLYUQYx{>2)|it+onzcu@kN}HgL@q?;id5a-7W7A}}H}C`bnyFoO z@H6mQaW>OtfgkT=d=+=SS?GH zSk9d`xrEIiqo0W&KWBlGS;7p z8ln_60p|Rd+x9TZh=MLLhX`UlVq7Bn2qAjFZ$EHE;@Sz@l8n4(-*=ZxOKB0SsCf!a z%4Mr4ACoT>vjp+p7slaNns}@XAAi2wry~UO;bh>Ccos}-d3j?cB}~*miTX-P7^tWs!h!Pg|0_C2gmH)nf6C8`l9wMW zE(Yv?p5g=p6<}^T;{-MgltY0Oj*Uo!tY@b zlZ91K1pa4{qM!)sxJ1CCG$!j$BA*9EOcqo@5%6)z|B4)9vY!fy;ARvdj!XP+=lDHN z@VT91vH<4wnP7@b97^zfJvs+)B07w$ZaQ#5Oc;GS9RKRu+>z8PH`UkgJNWEfok#{Kr9#V$fh1Egk<@#8bDFax47RyowC`8x-8y@+k^UyS&V9jqBr`$u!fpn{HA3i5Wdt>&+-i zR*T+fGCVGq+AaF4oHcs1kJrmMJUkwE+w0qv>!#}VYA^54=S9)*rd5~S_quRdfyInF zR^H;3x$_PU1p{KRUoS#%iR>{#^@)4L7{Y`oBS7P;dyLBIFe#-5p#CjVv<8T&pbW(U zlat5z0S}WS$o|SdO@<(kzZ||y@M^;-ao}3O6I8^~X{c+B7-iw1b2fh#W#g4gaaI<; zSgUBTxTc1Y9~;=CPaG5r3HW!!c?HH&(Z?YXk<-QD5M5z>Br$Z98aPOa7^lZP{b%Sf zPyZgvln7?20W1yMx{sb$;>b zWVtgz4?srTSPf60Y$n{7s01PQgy8&k$P`ob6$jRcDaH)HOVjRf+-d)y=n;Ob19qJx z=!)0_%K&+z1tsn^$Wc1F__-FxpE{V{xVWebghAAF zv-V<(L8~s+kN3Z%oDPQ01{c-j4PyZP< z^V7e_GNX=JW(AAXIx@atKwNXTpu&D;fzAAPb69-CPC*5mIi<|Xe~SiI4OwKA5k{ea zAL@&Ljorft9Rzgx1{k4qah~H#SEHvh1Np-13xe%7J?8Z?^E_vRyc-il%UM>zQ}Cy` zosaTIWh5AYVO7AO$sU>Xl^3qG?)l`YTHv<&T0KD)A%?aAPUIt|bUW-ixqmksR;)df zfroTxv{NT+;=4ZLkO6);h&tC$oIe%Vkb)nTWQ_40c!D$LM@H3amt!>gER54!iP>>4 zlbXI(D%Aph-u27zqW9pzZZ6z|Vf`%6_Mk`I1v7$IF8IAClC{RhD-8PAWtSJ}Nj02m zwt*csv_V~l4Liu=xT_=(P$cr0sOz~tb;pO%^1-3?RyG6s2*99pl&QS~T9KZ;1e)pa zPI;voeM&dilXFMtC7dkxdUK>GFz)BwSe)oR_ks*j3b%qdQH^V{vWK?fu`eXAO!4NM zK{m)miJn#hL2`6afdnXUxj%m0K>%(e9u0H=PvWP2U)VtHG5WUg;o$A}5JZ9O6C8`w z1jevG(%TaEwZ$Ubi2Pe&bFUU6Q<+38Nu4A4=lcT{GB@ znzn!gRX*Q=%-pG~)E7L}cDg zBkozSLToSz|IVx#P_ayCHICM4Fn*8zjVy-uue()dK$^#KKvMKIC6u7&@D$HW_C-9& z9Bn6{6Qs~Q%IHDH>XR!e>mp+OK2`KOa+Kl^AK$24(uAzh6V+mF%6C%7_P9J8t2PI( z#F{q?qJvy&Emh!oI()rf?3D5D2kS22?(&_^WCqJf(|jDc>RxZ{oXb~D-rn-(Y*e+A z7gTN20pHH$x*N*ES|!^`+{h9Sg?jD!i?oFHCdb1G3og7Olg^fhWq)|ycF)U;yIsI4 z$Kj;GS15E@*QRLEu1xsvbKpmA((x@Wn=<1AA^ASZyM8~QOW|MLT5MT{tL?a;Nx$0# z1m;s^4D}4Fv9UuJ>1`*_sul4=>|SkR)SJ$Kv#7<4s|BZ%#a9CvvMpv_#ydHuVJA!= z6#yedagZiQq(I7LAXEbUU_V4||FSQ>NKipNbCxrCphV+~Ntk)@ll`X5?3nUHy!vxH zI#j9>zQ9LBiBP#%$V9|k2SO$OfEtU=hitA-aU;N%&{Huu zu#m}eIY1v_#dMcHL<%OmNWV8f@A&WAJ%WrXoxk+X7p9f&5g|jTCDBy_BabpxmrGo} zs(p?tT%ap;_gWW zT#^bIpUEfUP)xz`spb~dWBIc4r%J}?oA`aA4 z+^?3nTRm=zO584qnC%ZU@MPToG7$&-H&lkM>52#yo!-LDU(ab~x9#UO^Ol%>PAcz; z+gGcBkwmLD3j2&qrQQ|iPK8;;PSp4t4eUgJPvUCEh_y^VOOZjkV)b~*(t(ndBSix{ z>S{*VzsybJ|m=Ie%3PGEJb?hir>e{m;Nw=G_wDq;cv0!A-J8Sj)OI2 z4L0QUt;IWByePBr#7KI_*2-qO%eQwwpmLV(OqWr(pTn|^$9*n%d}th^&un*Jf)RXj z5F4kz>wMcpxVtyG=DMdV@Say~HA$&8ce(L*pZQLeZpd6W`?xRJQE!NK$Xc+1o4e_< z0J&Q7Jn@8Xe@615_qd;$p)IS!_Q{+_bIj9FbAA+l^SpFs-Qx+GeNy-Qcb_JW zY*}ds8u!`bZa}hk8P(b2X(ta8HtjgZj4W)~hr(I^V<-B|%EDRLhgR1l%Z+rG4Ayft z+ZFqby4^NLotxC-+qEB7iYYEy`YZN<=7Xq(pHzP-Cv|}(vuK6S?M&;J-;R9%^AkMH zTQ((UUv<(h9%h_6larp;Jr%Pb3VAN2y*JXI+F0-0ZBK4^jCD1oss`txMzf=W4_O|X zO~T5awUm9cN=cPhl}{_(&825qO%>Bl7KM%r)-$!_V$f3d-oAIxHdh8=8uK)@S*8Wu z9k<1>9RGjtr|`RQ9D;^Du~PMr0qL1BEI|9U8k4x>c&6;XmOa0fHj zO^GZDF^nh>Nm7WcS}0I~PJmo4cP~QDL5U2LC;)llxtx=$m!qFzI5X(UOg$|7Hg1 zH3Jzj`+t;z8^979z`vKfE2My(0ROfbcdr@uh}nOaid-X#T!J^;5;xqxmwyps*6s-o z_3h|TKwgAg$$d0a>caU7w%5V1s<5JD^@dEA!};uylKcY-)y zApLW^m%r?B_30RYrS+3nMHa^jdKH#bomW-Plti(^FxEpf)p9Oki3{4sKssXr*|=DL zJC*+M>F$i(Gpa-O4i*C0YeV9OM>p+4W+rj)wg0Tmv(>q!t&QUHV089j-@>QeqbXN& ztTG8beEWQ1{#dxN8|0RI@C)EVp%S z#oM{=b#&rlqR1DId-)PKuJc8wHOzk=&)ZdpCEWat``+L}#r|czOl`c#*Tq+#c4Ac9 zZL^|fJ2GZv6YeIwmO*zz%Cy!;IW11j%jjxg2PFJ~YNPsRP#{tqrHjixIy2{1lFZEqwES)q z(H<`@!*piOuQZvPk7!AgS7ki002sfyf7`6$(bl028h2NYIp=GDSOzk}9*V-}{CWmc zqZz~f2yrAM*z@V*;hfV}lLLUjHIn~|7>}eBjrs%lC`tgjL)J)qfGiY{HONB~_^n{e zYfaaCe*m@B^ou_?QpOkcJCq=UiC=d|pqizDhgf1h@w)&oV;VK$r(>&%L{SnACdTek z`27Z&Lpo@TH9n^wAl(JykPSZzYb7E39}Lw-VdAJZ$E*^6u>x5}G$IA$#c+YHX|_)s z{uf>Li2(j$H=#JH04$q$7doED);%Z8c#Y2)6V)yGii6~KdG8jt?$(1(ZI~102m4E& zW^FHkgN`31pgU|Y1?R~C`Hr(z2BLrsDdA9-$m|NbLV-;^OvD~=I(<&v&a=i?0Vf64 z2qNa3pJf^O3T}|pQ%5ozn5ODr-L6_IVSA@WQwJFj@(YcH5}=pc`!}D)?R}H4th& z7&^Y&S7>jr%T~=IV}(Jw5RH5aFu#>!Kkr@BG+d4v)$O^|?Qv;1U{SM2C0XTv4xWyC zH5<06+dJ^c*kiz?Z2CmW7LA%Q02O5jwyM^xU#`ci@*SJ9sksEF4e*DdqcdJ8*oZ~c za;xfW=t^4^6rGrz+HjaWI&YWkrR-VMaYj>^H`bc|v>N$nQHlTrCPprvq-B$q{}|m`3Un$BEYd|rdm8*DVMLE zE7B?u=@N)_4#m8(Z&^3GXqH?xO{1Hy-67KI73re>Cz`R&9RQ&gb))lU$z{_ts`=W| zkuJ>4EAw+^s*1lW-yWY`gSBK9dFOm(nmem-s)DY!Q@;4H%_;h-5@cK1tm0_D-1`x7 z<@O^pWX1dl2qDGDLihn1P0Gf#4Ut9ruG@p2yg3JHZW*wLMNlFlR*q=EEFchxpi9&@ z4{81u7@Qy;7||dgQW+CVVblkOsX{=cH1q?NK`Sug;8XHd|F=(_z2x6~{Xeg1vviNI z(N>PTfm{qGuJ_AsMsBtddcd+TZ?3MeQ+O`kJTiiv4(~d6J-a>s6xA_)?X;5#zd>4? za7w||)^g5+c*Z=eW4rQ))yOcnmk*cJ&|28hptiZz(%cmpb2P|8zwrZ12h~BjiAzcD zZ&MjVe%|i)ajVADISWSrv&erYitvos=L1@e_rIdP0k70qaOf*tH6F=*Z=X-f5@cd2OzBOS6BfJ zsJWou+!MYtff+VoJ&&07kCh;?3U~$dwmJeGi}vJ}tzXSuexq^w)tqMuw9Ei2*S4?yQ|B~=mj~;)eyq3QGm|R4 zPosl1Y%v7rs>Y8UR6cbkq^_E_n$t_2ax%ddJ_$oY#lqwyd6=ydsuI!CcViMd?VDsRUYnHHPe)xOT{|lM}6gF13OXuVD+Q zPfEmBEoXvK=D^}L@akZvTkrwr@EHwoT1)QuR}o6oH$5qCJI8G=zyH;(Aw9h@U~QEB z%ypYxF_%Ho<@RCFA!8Qw;mQVxrLu^=C*r^jX+p`G)rPry2?tmB1#E#Nv-+tgjnFI? zkZ@QBM5EYnE13t)P&+i(*d()W9Cdn^O9>SA)3@|%G~xE1broFA&T?J zMRy_@7GHmvGzn}Hg=Id8+-QTBwZfn^!3=1F$J6+KOzzG|0(ZoWXn{G%Y=vA<+hj_1 z4PSC*b2uYhyV4Tou#c;AEhD>K3v>_@UJo?55U?k`i%~k+XA*B;wd=EHJ7K|b#GbfP zf^6I_Shed|wfnkicNewFaE~)c6tycqc$Kp2wr0<@&6eeaGwF&$Ylkyg%~NdXEjRd- z6LrV`>C~TN0m8W){zMIL?q3eQsJm{QQ-Aga2*+~x12w#{YMvBBZ@R&!KOCG35U%C$ z7ixHG)jUmx-gbjeTYxP9P5tiJR>SM5<_R$Lh8%oCh`J-dITd7E2(~MS|J_kw=q)z* z6a#R4Iu+zt2zJt%oO%D|+~&-bTH3pie!619@$qn$?(v=~EdQ!Yf6Dnbz10jkIDOk| zAI^m#dU5v*2s$DMS3pi<5E4rd^p4(7A|eNvh-?sYgw9VPA_pTZ4j$q(XL}Orbl_w0pJ?DPrvebL!Rxu%hXaEjL?;VhW zL{P{VGK^@z5RigGKq4~kNp|uA?ul`UDJCJ_(VZ`X7pCfpO=E{W;fgKO0!z9Uv}@B# z-?&}8YFA*6OoO;cp%GCOF5cwch|vsX_;6%IaW}p|qJk9G0&V<|V2*V{5^~Kq> zHGaYJgk8#@DvA2k!lG5dbhOjM@n*vE!U1L5Fl{<*XW!_tO}95s*rF?(&xXZ14@5&$ zb-CE<{!>LaC|CG?nh?S)_LR1o5E-79sT+Fyhk#<+6fiB5D6}*pW#3@F2o+DLXr!7K z5H52b)>TF{p#-?>5sYjkN>wFh78Yif5m_Ghc1bLNosw9BUrrp^NPr(lKGH32+fCrm zElwX$hB!wSi*Ugsx>@YGS)c$2iQ`A%$T9-WnqoK5-u|z({E=H+teZfx zTO1;w42kPTZV^%<($SXeYY{1r@qj0As%vsUsehn2gU9lBaa+3#8XPqALsioQgZmD= z=)1oIXY6{T(GGoQ{o=+Eo#a|w(>m1)EBgeO&Epm#=@W_I(tJPXyM1tX%OZ05#lW@e zJLlHYC&)86ViyP=7YHC1h`Y{yw6x$25dO=rc@JGvo?A!Ukhi$R9`HOK@IW5$M`?xs zh{;jfKX7oA_79*PrTqg*M`?dh9_&!H-MAos*h_rSo0<9ic6AI`72I99v;33x^h%f^LYVkQnD{RYe4xR> zIs0FJjD8>hF!G^$^h5W^2ma9y{39Q>U$F;ltE7D=FUHTL+1e{2Z5$`e+I1>XUkunn zkwV;YI&jPM*T}nUuFrxCOtvae2swz94oIAB^S?a4dIea-P zeF@3*rDZm#@{S|9*5qF%pLg=yuM(?zK|S`Sq?n=97aqU|vCvAyyNW@@6kIQ|zpKch zQWf_2cg(e6LgqXvVBo3Vq{?&N_CYDRT@^jMZL+&8{ITfbM)!Lafl2KPmVtM6XIth5 z%`9d|CABXy$>ptaA4eJvEV`{ldy-!(1+#Whxf89Y@r1U-;^X4XgjHIb!>;q&;502Q zmpLyyM3umBuTg$w8ZP2ya2ad$MVtF{yHu2%>P_yY@*pKx{N>?i!Bo*3InSRC-rCO| zZ0S*D`O-C2MR1wa$&}V7^MG0MTE$9IyL;G+^**OHPuF$xaI~I9+9YM+#!Ohkr_Rc+ zeArzN#yOequRJhJi3<-V1)1*-OfzRhRSzC&!%d&73iD6D7n(a$7*Qx6zk12IH+h4$-QF=3XCzrWwL%}Nl!V-p- zDDQCnyzj=Yx>>nKb&0mS?SW^s}X2ZX(MQQ1PV*IR-o zmp0-zL0i1&N`Jt>wL6NcjcUC9QQ-Mc=Q-scXd8PO@B zOlmv@gDHh)d-^5{Fk>jn@p^h4G+;e?PHd>mf+{#S!V?eUN8kGq-^UOKa;?C}nZ%5@ zY?%8H?c z*PBas`O0Zh$+&`Hzeb=`8i&TAl(8qgcq45PXkZ!hHnf_Dk<++2iI%5 z=!7>^D>Q9XWt1iQ7iR_!F@fC(H!$@f>?!W#;c{h$hJs{xs`!cn^Pwe0b*YyQVC+eS zvuy4tYs&8R!s8E0z6S787IdecatfX!W8+G$&wC10(2*W0w| zJ-27{?J$aW56RJbE}BJ?5b%dKOeKSzKOwbztWZZ18pS4;id8pfF|Y6IM@3G#b*<_> zn9dsG+S_i8Pm^2SO@`$t-~}I#uJ&T5z3SwXG!I^nym^lvAU+`l6cx$7i@lQhLin@R zdrd$>W-WD{J}G;5<(Os3W1!T3%_GU@BiqfP-eO|JCOc0ng~vcylr!ZcvjOR6EM_E2 zpqmvv#V6AT`Fwjj%>g5kb_^mQj!jll1P`^Jo(KxLzsmRMarZ?4%mlWO2a@9ZSE$=# zpgVoJyS74L1f_NGQX2~je7c+4YbCH&Qhz*KKI*es#$i7POjTRH<`C56`#bQIQH*DC z4jd}k!>yD2H*Ee23ryGqs*U}w1&Ukx|*ZNvtQC0P>_d%LZntg%p)Eak6vEPAD zVm%(YdYXz8Hx(zxh!Re_FWDnTM=s4T9&m<)Z@jus!ZjYbzme#6J>^kNqDw{3ja-s5 zJ_0to){1tLu`K2GvBP8D<{dbV zin3O<0*PY&qaB=MRj`4&J2$fqe3sz(9c}JsTjRS@Wscw}OZ>vjH?XOul*H-UvxYh7 zBVhz05>-A5%5+nqLnsMh=}JAup>nA#`Ao_q7>nq4s5DB-aMR_?!m6(3oggR|;O=r5 zo%)et;uwjP7Qaj$I!aH~ikg-kJ__>_C>Msw^0iEHZB?L{5!PnEOb$9qSJRG(#19H! zK~QR{DhHJs#!6EyrRkWU3yF!1wJllvdfN8q=iK4x!Y}wLG6c01nUgFtriBs~O1z{Hrq{ zQtJpaU?Gu-5mGa=IkC~P#1x^4!*O#Ds$s_t5ZW<;Y6n=NbG22D;Se?^;b}LZyjoT#Y~a#d}f#P?-^MYJ~%&=gM~6F)^q+` z-lk0_4OT&EV}fvS4)B33GD67DB!ETzzEaVqEd~g6*=!=C zQ&riZ@jB0&(lWQ@_5XD?06U!Qa!&N*{LK~72;%seJDxH{SDex|IcoxSs*0H28LZ63 zeE&S|s0CQ1wE*yWl>m*DWbu=sGAezyNCqgWg%ll<)0^&J%H-R=8e^RW&u{t!NZwr^ zUh?+Lb8z#(;brYUA>ZAs+X9Kk@s2CExut=#5YbOM0$uptyW$>k(6XS+b_IoF(R|!9 zc=Uz^-ZfCs8e3Z=>+ql2^eI23JYsU7q^gy~_)v1YEP~Au+7m{>xoQTY68LuSuRWR5 z9H*kBke$l!xS#Hbk0-LPDgXF{Gi2JYH0jz-(++*xzpGdHaTEFcF=~?@Ug}{>mnR`g zZDt=AeDc$HHWKixyd;N37K-2O{zIK2Eyy&zB!{DJIwI7!(N7g{fxAg|1M??(4Qq>C zvlLXz5si;}4KErLUuwi9Ssb}hzbt$0wr#aNCRug3Y(DUQdGrY%Xc%->2!9?PY#y85 zz?F)uh(<1jY?TX z42|2a3O^>hzIF+N&*coA)zYzuImhQ?#^Mmhvk{LcbMGqD9@z^C6PkiE&o9;o9(qJI zuP?Sz2+>6)k6kcU3=yc#v7)Xn8y0ij`@qk!qN6FBBi1(;2O&VnA||vLr}|~i@(4NU ztgRT5oYxDlpiSOGL@8u$jo$)YT5A@(q&aeqhUr`KId$Gmp7174do+0}%QAIVo^WS# zX08lkG`k00)`5x-MbFUNdUWu@bFE{1kJHn3)*XC0mFhA#U;^GWpug&t)#=B-mZ|GW@+R8K1*jaEoS zv*NAHw7#zGf#2Pu9z)7FI2AN{hrpMubNj0?Abev37QloY?MvI$0ZbY>y(2%CQ*bA~ z=i{6Y{5u0^mriaZ-|X zRMhvLwmd>F&X+eoE}9P~s@x0)Em+`F=H2wqHB5DWfW5|bK5Tf-|0I-I)33iyZ+Znt*`9`}jnNqoV(%H^*a31txC=&0qG6}gl6~_8QT!r;BX-D%s z%aCRQ)B0ERCL^|MYw{Lh=WuCON?J>hm&!I(rnVFjz<00%EbHWj04&M?VKvda4d7t5!! zy}TxOT=!7V6!CS5(~XZvA4inGFrelrffb_(e35BYSkXPk1T{LyO3vW{HF=k|8pbuG zSA52X$wF;W(UX^Bvi{)5QisF(0i6_MXDUi|R))3<(b-N2E^4R?k(@1$1yrP55M&_- zNZO1K1WS$&J;{7tZwcA@So$SE!@F;CaK3 zbG|p+&!Yok7kIo(cAz*;pg5qwa3sKR^{4DF$YCJ!^f3q+Vi*`= zDUf1xL0>@!`GEcDFv0a1PBfw4brtE zV+4@XK`QDY6n5cDeeI?P3);}vJNg9vs~U%q3z z9#{AYE&R&kdHpINUlj~yO3~dcVW<7MN$#UfF(|8KSGNkEbQY5_30DCSJoy%Zvzr(k z{O}e5IadKVocQUF7-lyyw};#x7lPaC!9A_;fv$K^mpmvN-ehghWPCdM69S4V_9t?%69SJC>qhM6E&{(`XSSOx`RXYHyXI)Im8A&s zkb%{7IM?+r7kxMPAm{@Q@|vTR-Q-^>AZv~=08bb9;D-mCzfcgjvWU94MZb7P0sKH0 z?7(Y|piPI6c9VZNARcf&tvNc`O-^@ni~fbe)^uoRH@Ur))!WS-?C%K&2!Xp`$60gy z-JuU~ba4lN^@Ia?zyW+3m^DX?rb9Zr$v+%^o^W6fI8YbtC~J;nO^0%Jlet@2f`Fy~ zj;&K35?N6Gzxi(eT=ed0L$bLBu08wgBtch?{9U!^Yx^}#JFkfa8rZ~f~?fh(GNXYJ6=1R*vrxyP*Z+bp83kHT#nwwaqYb(A$YFP+D5tVy_q@4k??DEy*kO0JWHQ`l7TYBnZi3WpJI%DpYY)Xc9iphw z+)7tVg35PJaZHFy*_4F7R?BsNqM^U(KrBPT$0XX9>oj0ST(`DAhOPBK0X!djq^yVuy1c`?Fdjl;&0QV$IOH zOAiEV5mf4QHX+rA^C;{`G&+(^b7zFF<))C5>UO)gW~`h#XM)A8sYCb zZhXW%JRiH~0GG#^ykoMJ2cPc-yj+cS%j;)6`~69(1eQXZFI;$%Nz}K`$5V=W^thf_ z=CDKCGtmAPoIj9cFFMCvLXqJny;$vM=?O9WjkZA+bEwRsZCN6$(C`JNAmiP68z*qTu3!@YHIG)@I^%1GwaletIXYKG|hGQ zCq4Uh99w$76TAx>(N!p`*dEuxQamhrzY$8S_YT7Z@a`oF$?)!a9Mc<9wby57Uw5as(-MQ0 zw>KtjUh?YpAE94wW8=)@JZd;^%K0o^<@27?FglBW#?b}SaN*@-K@0Z<672LK+D{|e zBZf3#gfyjuG|eH{mrU9{Dt}uvPZ^SvGQuEXz(L0RgpBz$Gy*_qs1z(RrWD*59Xnm` zUKdO}2;*NXIPoBk01rJo-QZr=|0*}?5N~!Z_(Za}mr0ca7^F$2Nd{6;H0eiK2}jgo zPNNmeLe5um`?%zVZP=Qefpx6Z(w>_LSDim9&VD*#P+mvdbO<@YT`?<5y&*H~+gnEC?1YYg#$yAclQDB3$jnPaD{d!d zbB810=~5$dqwI=8Umx5|@Qm1Ggh9BWSjm^E z;jooZDz{j085ynRm=3(GqS2b#o0{caUhl+dw?>TYL!l#ZcgzSsCoo0h#u{8tZ&xqL zf=gKNy|H`3naYa_lhQ#W9C?UJ`Y6bL80=tq>{JPU5*WRQB#LBj|dnn|HKpXrJU>cMakiEbN~&CJL)jhEJLB1 z)L|S4a`F-UJK``TGWpB#!ATBGA_dwp`r-^?&NL;Jx}*(;D7LqIB;<&|tNk#3HPWpn z3^TAsr(cZjUtNw7F{9t3ru>^B2f@EO>^FlJU^l%1B|0z%GX`XzNX8QT=~$&w$olju ziNWvLWF-rh<7~2MG_niAk!({6O*801;)ejEralSA3V^+WXj3 z*QSZxmB+uRQp{i;YMtW^l21jf67w>V)r{Q;sV7ksky}X1uZn(d($coc=-YtF>sdH&ZMrYU@x2+Hvl}Ptk z2&rmt1L?Imh8q1fzgteZOV^M3Yr$HZs$%3yiAl~?f%z2qb_6n=}!!&xmwg#b;908N1aO@kl_ zpt}V+B&(9Sy8o;4N>(+)IYz}2^#~k@?eM^PEu%^jZ6W)>*FDcaUFJWd7OJ4JVNfAJ z)g(YwAV5_oKy?RItz?E13l&MEkZUib7N|y%&{`+(C_tG&TPM&V83}NcyHf78mJ7V~ zxCN@+{uW*hwNFzecX9n&)$s#rKfL3KW2+NvRQz(v%Gby0!ZrG7@Y!LoTmP_W(>%8# z-q60!%DG{RW(5!DQyBO3utBmr{)fh?;py`Z;x4qFRoFdn&duc5xNGX_*YwSt3wdbu z3&_BU#NDj?+(YnOTXq|aoa)2;tG@KS*vqzL2w}dt6a3Zs2@)2l49CT^wgi1BQS(7M z!_8I!!_zU>AhVrJ&R**|ciUF7wjU;k=sUdF>ZV^=Qv+GBmXS}v-LG?yb4pNk@JT}` zl)0q*80$zTQf&k8A>C^cxZ67r`2Db5-L^d|Z|cjXlq7I6!gg~>Pw&rm#qh(hcTwPG zL+ydT@?z%VJCPtendD1)Vi8gzD7PiOOSj|O8O4Wv=-^I+wQi>LvZuUsvr(#o?Q_Qj zX@4Neb+%dTBTLPDNV*h)0J#;&gUE~Z#(u0BCS^4{@l>2l9;^tBpqelfVFL4iVGt32 zIApWnUe4?cQNrbYgRpUHGMlPKssn{l!#ziB2C1Kv8m1a3waJ)OC zrAa=nB1T)mtVtO8-XxJmn>=7-o<*JXw%yi1)~ukVOCXcn(A*)EVL{m+sk|epxvoYM z6|Y{o-<*3Xoi{8t!CO;cm+}}YFd@HMe7R~+2pP-kSYfG;Qmx$F+zG?7H5;t8*p z8aKzST#uHz?+0V=pAO%3GB!`gU?B&~`Y~U;pg*y6hG{yXAEyNsc27hf#J#^sQCbdj zbn;PuSBw12Gk-6E&}|$N!ysgaNmveps1pOe{pBRI$_%bU@laDxPr=Whw4Bte6j=KPTUcJA?&0n*7BxIrxE&;lmu ztAQ0%znpbM3k6UKEz;Z#6L^Vf4wI6+83iMjyByiE2}W8?vqDQgHJb*F>o+E7k!2ln z$?m;t)sYlXh_@)RvN$y+K64SY{@{e|#^{w|gz3aQkuwp4;m4E{A03Rwn2`(+8H z-DKF5(xxS`&?JnKiQGwrwM@ywGahIWEu$<7bdOdIu|R@#($2GKu{9WT>+@ptdyc9^ z&_Pxd$_o(*{d}y61VYP7A1S}P=orbdDCc!3NH>B^*2|6IkdIu9DAvLZ?^kkJ@(U!a zCl-}w?K!As8Q&FjRNItN7KPQ6IK&wY8JBWd{vXobJRa)y`yUS_gNzUgBPF9EON*sJ zQKGD=tYJ{JF{12SMso|m;|#kHMhD9cuEo zE~lUUw%w$alcFa7@N&A7`s3C8VzlF&g8CjYJ1h5+H;J5D@xQ(LruG*#zbGA4DLtO| zZqVIPccPy2&3mI>KPKr~ zcE;|z8|nV9F*W(Og)NR-4@ocm4=xx|7r@=7uiN5l_Dt7YT_{4x7UK5Y| z_1dzk)u{tJjXqpXv||h;?KA%P*EP8+>f6FPVOQ@?xt*n=Fa>M5-; z9lpFmeYc#^hbT?m-Mjk|E-m}uxiH!6e>-+;RjkKKrw^M2|L}ztz8*1+9j<5g)$3Wm z=x==Y>{whyN#9-OCks=P`QBYiU$M{p$gjLzp*Oazm12MG^zWdp#7JB9OWa>EI=o{~ zRrZ-aGujh(OMavDx=?@5!mqA3S;rNVNdC_T;-zzDN@~jEbz9%QNZ%uO>AXzH#*ZIl zbcC1v(++-#jQsls-E0Swc!k4#+=CsXtg++0kIFxta4XUMBp;Z0e0-z!-sfKjbLnFG zy$cJY(wC-&ZylNHD{5*BS=S)rc&0?+@wThv9MW#WZuJ5Fd{T7RVa zbcp@BY}S1IDwmd_or5~{54s{B{xOejji_At*A16?zuWiPhhE%>x?{wGo!%;Wh1`F@ z7f_tP6wdA)80m9x`Q80g?DC_gt!M3*%RCml{IF^3m`VCl{V2thTaC&WduJFYL|Ys1 zmI=m9LT{fgsrTrM$&t%k6?c5)_^t+gy=Nb5uCZ8kTk=i(s+$wCZ?hDgG=v}TYdreC za7W;yS<`EY|71Na+?MQpN{hJ6$X38=f7HIvH#YM>JPlPT-C2I~?2Znzq5GNArTFhP zpVXJfHVpR%tDc&k-5$1K$$0goFJTN>}E1{Ob@sjIo=sdqTq>d5x_xyDfN!Mwvw_R%{ed<=A6*h>BU zhcPkNIH7!>=j{4kHPC>4V%f)2$_cJHa&K*=-mcULov~W@u;GTpI}4lA8l87OVPVVe zUk^SwsJ(2+>qz)*F1IS~O;^Zh(vz}?omyjaEq647w6{O(HrLk4Q3bd2bqWoaiJSgp zTO|7>&b8!g29b7`Xh{{NuO8bPH`xnbsyDWEKKH$ixW>ryulJbZqq`SmfK!RIf_ zLId0b6$*0JI1CdKYd@b7z2mekxZA*1tI_D|WXS`jr^w6Q&f?ie{<%9le5pXxi**Qh zZ`N`Z;cs+}tP3Nt{BUi?D$Uk;wT#4#hMxAdkF_n?;YHph8Pj>)Ny#qnRdVk)DS2k9 z2E0>pm{ot%^Tlc2QulV{8(cT`$_DT-gC z)4EfAM|Ai8Er0iae!abLL@84`BIf$PT!wZI-$tFA z)Bzi&{uND{#EzTP)mJoQz%S)`My2_xdY$+XddKVivtM>$_7`%lq&@n@si&+9*hdrZ z5x*r!RgD$DD}HOKvDEc-p(hS}a!g6I6I2rZe1FXz%iVva?%eBscE?b`HR;aR>zk`T zUiIv${dBfxztt+2C-lkhY29;q1N#rJzx$AL@awkMqT5d~yYF6B{Av7Ld9Tacb#Ls8 zH|_hRm$1Ro>DAgT&NiD_4c+bOSM7>rWtt?qeP7q5a)mz~RQvV1xp9 z?v|05y5SYUT?{_iZA)l^nm76Slb6ihR$Ee6hSSxJ5wmjNB`MBtwW}Ogwly`{dtFRJ zh2S>6Wet0Thiaw5)oexzH5b3{ix$$<<(n=pE8DkT!0oR8h(&kDjhgbUsj=ScmQU>w zGn5V-DZCk*yi8%PH%@RxG=neDXUWJpZ9&C&*>Gi#5l38X!+zO?Ez;8tYdbt+qLY{L zM}FN83|`;@Qv$+6@ltbJq~jX)P^`T=BFoB#J+!9ZIRMERpub^{SWdh=39lPW=u3Wl zb@8e41@{G}p2IGoTFc`!KW4!FQ+ zO=Z4Y9~ZPwc=$4QK|46eYh_H}oa5A^jR!+!RkPrj}o>Qh#j+_xQct6c|4gDunCPD($)U2_y(Oor zS6%PYZqXB~KbKiG;c|K4jr{k3)%Gq`%O3cjIPUK6-%9)ce%^DrxLIan?W*f%Puz2v zVz}H z^vX*U!h7Xt(qfO@v;yC?EcF~U@BXms`9#md+|>G*IR4Gcb_fru7Thn}xQy-Fb-}*p z)ryA7#Lvs_Zr-m0zGWMF>9c3)!5{M}tI-W8~9Q?bLYzVNsdA_N{JGr3?Z6jHpSgRnYFyHkL7phv=0BABs96~*TKRB)p{QMcwat?p z^iw?l;nD-v<=Pen4<+)e#no8UN1O7iQ<~Fhxiv1f8@?!6Z={&U*n#h^Hru)Hiz!^1 z`n37aFsI0o6h2Zf->xJQW z2k>koOLs$;MPY6(b3Xa=#B%Oa=8SjXeEEDb_(_>x-Lfc4k23wPh<*xkOUq|Gn*@v6 z?H?bnI8zlFYwLdNxrk*}?v`50(Z`o2wrjU1J3j4StuC)W88FXxsqSpJinZ3*Qt*9R zkbSpnYF}!W*Wk{-DwMwGV6gdGPVM2V)in;Go4y%szNUO>L%h4XMZvIR!7Fu#G@);= zZd=!cuJe`(-XBAhYPRjT!XGBA2EJ!oaImAfVV$cQ?)f_-0p7A+fq;>gNK3kF2cZm~ z(Aiz-eR9Jqd%+8Gs=n?;G4GBEbNoF8@n7+5u+|Rb^D~p;&bA8K~N!K@T=YzPV@aJ zBj9P9Wxsjah`a6%S=O~m{%J=@+!gzp65CU6U(cwhJv{dHBJbg`_CkSnO?l~{W24N@ ztCeyIL27N!r>|62M?Rg^qZqepY^v>ytK6KRBi+!t$EEjI$#CWL{iDh4qlzB63$1zg zpJXl=t9_A(P+l++2Gvr0fx9Zvo>FXFyV)y+Npe%`a0zClj0iJ9M?;P6POm!HtU^Zf%(veU!56!%LzHOT>6@i-X&ePAjj`7h54myC_E!e6`?tp@-oS zk{1>G`Xen?@l}uWRqrnGANg_zy`~RVZTK9q-T5?KykC9CL80ybMzq$fkL6(jdcl5M zmJipTE8|XHj4aN@4IM1e5pUV$FTh$ceXVbiU$pnIkwJ+XySAJh?ChmX(3Zd}#M6>0 zSm008?HzK8ALOr)Z~L7d$*U=w81>NpqSFz5)VFNqySefmMU5}KD+O8|U9VS&zThYY zz6}3lf0=1)N@m;_NY>K6&o-V6A zwR;r)^-7}32QG1;t76}VeY0ho6uMru|MbsMyT|VY!(8i~f4lA3Q)6zuU{%7e zSKOps_x0_vAs5j#AB(fIjc$&UbJjfT&x=3lN56Pr|4 z4*n!}S$2ELulaqrn={yS<`^yY>bk}8KV6X)3qP`o=2PUus*78n5G(hZU0pZ3@qC?@ zjM0otx@dRRf1Lw%=z0bJ{Nr-U@7E5g6$SRc_?%V7oBisabOuXX z{8ELk@#&3MyLH8hly_B+URa+=5SRch{aTM`|a8D!U*A>)SE-Lhl}{gAOa5fnd0bX4&CEf0Wx;9{cP)#uImfb`27Vou`(^T8`Ii;80@XibY{Q&2PsYog z;yb=jP@5v#RR^El2^`g)J{l;qX^^v>aknHJ-u zBexAir*8f_Kp480d&=ZVi|{4K)8VS`Sq4{oE=IjBynjB(M8-At18z~huR{c?T;?CO=n)1!x-2s% zvS&Yj-?Emy!hZYFGSA~?^Ew_{GAe~fLS|iX6|4=y=MKc_+w9gFn_g42xWqV2=MwAA zFK$rT9Dkig`P{LnUFIeVkIr+Sja!>8N`^s7iY~0XXt^bR-9>78y2q-O54Y9cDvIw? zTza*LI`PY&Sbw%h#;NkJ<%4pQ$$zl*rXArw^nt9nNo0!szX2C0pw{(2a3tzECk3I1#`*%67 za(9}D@|*Cf6WzN9@@0#ePK65}$28wk4t+}y;8h%9-a3;tabErBq}tCX4ljUHGnzMYpXyO{U3a-32`p~jVZby>e&uzXn3x`#ta-I)i^NP0)*}XIkG6Zu?qaKJfGh&phFK zoA%^WqR@fUeP)e|HEyORL?I)0(``GGtj<=4XBI>xdYwF7otK&v^tgD-|#oJnE$+R?$7o6r%t&^|Blq!T%!8HDw7%dl7-&7LIjU1kp+j)|ma($<26VE4KBh^;+V9tYKO`o57e6k%tn66N zH_`TMbNAMo13I5>Ghhlm7k+mee`od1?OijRhU2Hai6>s4qbDC5#edrQg{F~}FWqy+ z&Pwm!|7ob_&OfpBoxJ$^)-m4Jy81aEqYsgfz5Z5z@Urb$_56FTK9f82xvucjqBO1Z z$Yz~}^;K)FFA|&D@7Qj=YX;)hWd^ZY=bwn=e)3UzqfC_L0|KcdnCic z-q7Oa-+%6BW5K?!iBnG5mBZ}bXaS*+RLo?p$*!&YoQCX#r#gd$)6dp!yifo4f5Y_~ z>p~PiKQ%h{din(W7=EmEty7T`Db5ji^KFq7_d()-TI*B0jN^VUqU3awJr6GE5vup( z(!Jur@9J4xq82!H^S>QZT3gBBX7$gnpn}6+S5=S3{&S0vx&QF)OM$6djxPHxEF>hP zI#DLDvRQ5^-*4f`wy@z1g({OigYTz&Jc^|GN2Wb8^8v5gPi8J0d_JbJ{q@}6@zNvKZaWV} zt_;1eUYZ&C>E|(0^WWOnYp$*RvY+}>pK&>EWLJ0jH=?c1y!@`b`;zBhi4P@{QmFm1 zWSQ)OM{yLl?il6M2`l>tsDjeWKdH;pYy{SC`+`eSqdKq0E0cF7HaZZu6^i>_1~2-f z#q`xD2Z?a1Y6Q&l;#W>K;w;sv15j>xVxt9d@Pv|!T*zA7P7i9YJ|ixUatJoG+1gf`Si&*<0wa<*^4U$e^Uk2saqlRphQgvqG+MG739@G zlWCK!S!b-feQcLRrU#XyPo~CE&O);+q4npOyQP`&&{v|Vt^+agnfQ)<0AN6(rV}x- zQ2a8~AT7%g&({2A+$;;ss%tTIvo+g{9TdTX$C^xrKKa7$_xFI~%_8e9zTnc;s9&Mu zYu3x(lT>jQdITL`VVZGE@}85>X81teRM&+lPgYWcy3fm!Gp#awmE<8L5KYl+%_d_9 zNUMS-Q!QKbm$8Ese4u5_knD935&;UxeuQxud@&&h^&}Mxnt$v zu2gpksAkJ@RI>{jjWY;9!BI`-y6l2xV_gzp;jIVtrsSy4EALA+>b zt0vt2EJE@!LXEVbhkEeJytv&CBCb@m_aV3!9eZnc=u7aTHKWg5oU+L+6nM%H!z&OUlG?s*{d$Gv@bW0pUQTL}~_JxNync0mRh^AZ4B$Ho_S zG&1${IWA2CU1b74n5sYl|4Yp^r^*vj?!M;50IeaR*A;y@q-;vb@4}FdnA2o6pdiLc zmi7|(@!4d>O<*!7=iCx?mz$DXwnYT59oh9tlMg7^rEXCH{P6nyNvsi=w6+e9mfrFi zCzEApyfS#Lb4DUia8vr8JMiP#c(jNQFnOQrx=ZAGAN7ExqB4$@qAnkU$&isu1|G@W zj{-8ksUJZ#@B`>u3lVfR1f6i6AHfop^5F<)Q|`u5oS@5M$mNU#MnOB zpS%*cf~M-Kfv+|q`ykoR#yjLNcR0I5zV}g2sZ-OTDG|)nI?U7}#^8e`H{%8ZnG@1X z9Ax<)&Q?}xmj1vC~O1f3i4`baefWZO@ z#9%%XF({z53k07OVI}Cpk)C6e*njmbC(`EYh*Q{KfDAU#+lPGgbS zYKO@fiuiDd`kedH%uE<{6%_S?)hO!ef+FiiX}DLYUSDjwuR zwjeyCCY;f0@oO;Q*(~s^jR+Uxr+g{K&r-l)u2oUHvBMg`VUZBR?gEpj<}=F&$q>Q? z)JZob2`|Jwpe=38mL9T&UyCJkGw#JmidAs4v4cERBaEp@LTXHim>LS7I_Z{VBG5;rS0^zfb6$vVf_j2r-sHvY#PmqwFg^Lv(m9`T)}RYQnJG*r43p_v zg2`+IGM{h_PD0ONxTY|FX0dP`Ux{S=EMlZ{ns5!yLR+B>9+RPAGJ<@VjA^vAYa>p> zNvH|RBxAj&8nze5P+K9?dqiw*#$69&ew1degc(o7dQUpmpTw7-knUaH$lR>YIV?gx z2}7|Og<=G@4y6O7Gg##;U(v`srO!DhLOu&+mSHmMkjx@&Intveb2CmSkeMaTyaO|J zGwNZS!$8#dny)sZa5tZN@mfx7>84*n5hHp$zcy=P3n-GpY21f@eiA4TLN77!7 z+_0Ly8mGAq)c8mk_PXWp$I&QQ#x^2Rz|Kpn=@w&IX#i(>EA-N7S_C;;ibbrHtRBvg zEO{Y*5t6cie2`v1?Q|d)v+Cv`;`W=`?jW>W5Q@MOxG7yy1uQg9tiV)CpW?jM=LAVJ z%b>SG*z2H2%xfC}_c2a+M^>EVOzCs*(#!?O%9)3|K?1AB^?$tyGA8g02I-```kXCs z?t`(cIPkYw4DoV085(?~f-E)jo#Hg;b2OxlOQEI9$P$?dEk*eWB9Xkfmm~JsBRV1s zYY-s@>>$8?EK;%sdjOaV${xXsFzkT@*&8uefYEXkX89N6i2S&yerlAXkO9o@6)1+r zCWzZ4?13kc7@$5?rw&0pDwrcWNa7u=+`Kr00_l=ZxOOL@qfmz>rg$ro2*b$lbr5O) zO&wOJvY`%Xq=T^m`uOAsrcfh4PTfdDXxwU*MISs8d@%NZ!qV0*bxWam0pN}lpV+NYZk)j>yJYj5$dTvm?wGunYa_|&401xQv%h${fl*w z1qYEgR(Z#iitWll?3N5lfyfKblJDC%6TcLSw>|8LA;rxX+JU^*Xf*$ul4%o?3vzcstvWy7lr7)q!PFH*#E#!ZoRScAuGw- zjqGas$D}}xv-jpHwe#z-JB%~{!ZeZPTj8qDco|nv)Dcs>va1lv4A=~szW9VYqfT8f zLa33`;(NF9PaybB)QnqDMiR-Kk~qI!zmIxZnwbyv$X{&z2izwRMaZG$0wL>FJaw1} zyS_^enhxHU)OQxL1JuZAt$MfeXrQsZ2>BqC5v%s4f}~nsAot0V82DPe3gFT4SaW`T zXdg94nwbIBT3|A(o0FRDiQ~`2O{JlX3XmC~8cH(@piCVT>G{!|)b~j8ucJ^bv}Jq9 zGA~tV{v30O2zgg|>|>2kmY>zvrJ*M5^j*WR>K|unHr}wg?z-=grRzUCSFLmI6%~58uvMm?3yfCIskgAfm&tg$ht3&=JeML zkb{2{YLDCCKNb*7`-%Q3agFyoVg|{2-(qEALUje9_*2Cr?goi!ttLN2`^g)=vC99N zgBzhx8B&TtJ`*Ui!B=}21h4Er6#2L+RJSM)e{5?WZMy^h_C&g@r_#7;@LD(PC(vT! zJKg~Fa(tE*nos+5H%v8ax54SMP}xVh6}lpfFp&N%Q{+EI9@=-cCIB*CVG1oB@Ldz> zx?ha@j*RSD2q|2RkkF$iKm`!2Dne!5-qN0}{=zbMz)Oy&XZR=ust2zP)BRWM0u&q8 zu&NBru)*X`IN;OA({<~N`wjwPDEb&+0Sqv^A~fR=Cg%v`CekxJlmfRveR7!DSWF)q z=ySp3LLBgRz`vI9r=cK=o)R0XA!5(3BL5F@<>G{0#GFGN`sCtct(hk;3Y6N?QW!hCJO$|LRtagRwURgQIA8wcnt8qe*w!l z;0rOp`w9uyK=QwcYkUC-)WXaifIlLlMs>fjK7Tg2Qh$?>Qx@uQFSp{X2*bQwU?z0T z97&uX+X#QoFN|#a#(MUzWO=9qBe!C|2*V!&evSdwgL3b4!U+T9y%=B(cZ2-T)}0O_ z3|pX)TbY0fZh(RlBii3s4>5hNK;PrsiZvn(GSv476WfmLM~&)#WA$TlZNNiNP5L5? z-B2zIlT$}_BZC3aXE3|N!0vZzkJvbyQi%8{W{-fSOt9hrIRcYYbT>Ht#kvzzrz=8i zf-E-9uZKSYVy$niD$KHBd1&*U+=}BO3}0yZz5{-7{oL|(XD%iF_r0CwvEZLCTSH#3 zzY?nbx$<7Mhtjs~PrIUQZolD1T*q1%`^uh1$ z}*ZI&}rTQ@0}E{->>Uj5nJRB=k>??vTqGpOcAT5iN5-DbyL z9X`Tj0O5s12WJVFk=$+)C`gj;CXEO9-HI|Zo}`L46U6+{Wliqx%}VxTyscbDE+)ny ziBkML+|8JkxYY#)bIg#&{n51B^yQDK^bZYB=`_Ab{HN6;lti9p&HMOrCEO1QZTB!; zHWHJBV)7pv%-O89rL;cLVBN|P+0M3##uTarZgtTJx1@WjT^H|!W2LoQL;ps?r?YvQ z6~jvU0)&MQl4y`$=gSmo0#eCBDvk7jxUO|h4@q>qYCEr3p2Ib>N(NK4UD5}2Ir zBmo3`gb@uKkQ+%!+aDs!nG(tlaj*s%fx9@4;1_i%~DEh%0gs+ zBe~Uj_}i^nvXW^jhDrjjXbI50k(7Ae&8yKoljCfb4+2ud43QmZt0+pLzJTmKI}6!U zl7qIV5)$>PEl73WWx{kJcE-`=t#M&$(8$JLmWjrMra2c`O-Al3n zEPI$S_0|KU2ar+9MyQVafkda_Fp^hu$!TGd=_V5FHLDBSWkMhqBNRP^c+8)mGGnmE zyNtDpPTM$SX|ck5x~V4mkCG9({G^-Yv!Nr>e-)Q}37K@M56S3lt8iArc_#t{PdMOu z8S5p8*iyRUlP;1457Em{r~MwXtP?VyW&vfKP6vc^s)*N2lolbt}wuPk5D0Qnn)BEd(PN}rv%%^|UL`UQlCj)IGzGGpI(8C=3Q~=@HUue}tkImAa zQn^M`mfr#DLA3$7E{xbEDt3_6Fm^A|X{&}T^F_^dy}ZgI-AFY^jE2H*6VEGPB031Y zr2Mmf9_P%MyPPX<18kS`vegOMZ@wZtEt6_qA2*Q^LK2DY*uP*i=x* zk|;MwA7Mq!Fg9|@37D=f(&=-4o#`pm;Uu6d6En38i{~{u?J-KB#!I|{`uFkHCK4qe zOu0m7C}wyd(hoM9G9Cv+aR(yT=VQop%lmi@V~O*i^bB5fL2^yPplx=#f-XK6<(kPp zHtYP9N{OiXbZt%ad`VvgiYFTjSPrkC6~*%{g>b$|XY{ZcQw+va28DZM9p>8;I!yud zEs0mq^gjM6@NFANp+2moUPsg#jdzd+F*>g6;oE_-GiJ>5P?zre&DtOuS=E6u#eL%{}G37s~K2`X~Q*TW=@E-Ihi3aw&^%ZIXvxcn^P zJl12@vRS@UDw9;@a1WTcqP-~D#8E6ndq{(msM^VN+WR5PIjOXQuOQdRy3iKE6>`@R zsda%@@Ey_N$|VhgT#GVeR+|c*#;-?RO%v8>G>_%OW*MRg$g)CoJK8GBQ>f}t$`g~i zjEbN#n9btDiXfIp0p}1)36}-J&i$YR#iorUa?4Oa$?LeB6qFwpog{gGzs~C^R7lap^y#WL(tUmeKtc_DDks{^} z-k_igQjjTh3KhAj^^)jgh}jKvS`%QFkXBFy!|75B;rWFJ(;xsY>m9g^lBgh&M*&U2 z$VB1@G^)l$t_fp#yIBwKjk&gp%gGOuJXuu4FuBlm-bF8&6FbthLTAxzTIL_!)c(k|nM zj&AaDl$#};B(G6KFgP_%4_Ug%rxnz|bMF+&>~Pc;<~yC)CRkgTzRXK$eIGBcgcE@X zN-%oySWM6R6P{s5P%@oHAF}KgHg|A`F~yoBI8>Z)MQ(#Vq;9N|b9M1=TC<9jmB~*) zlO(-?XG?VZ-B-C-O!?R*z9=bmYeH(e+A5AI;R0Zr{Ex{E3=6q>_z;XXA+FNXFv;sQ zP`!S@b7Aa%< z6P|$5`35*R3fBIU7x*V-Y>;PY}i$y)WBj<&=sqKnf#D!J+Kg0*~B| z$W$871{-0wbdjXMA#^Q;>JO26xkASxO-6g5I+7w7*)Cy~1x7ZHA^ez{sXL)K>9F3FiqA3@4mI8BS40#=*!Yg-yg@WRn2OR;FqGgsJ;~k8BJo zQU*r0D}jEU>=ddkY=Anb3>a|!=i}0{bnzQdYhws;8CSw2gW{AEAst0GP)pbg`$Lgi z3t+SdWf7>!{UASr%$O87EhVF>V6l0s#m`43JX{G^sj6pPPvS{SAb1i=v~!<);3LuhhD5lLM^ zZ6VSh&t>Ezpe-LmRJmTo-5a<+|Di~@Q&{;iv4~jcOp3ArpCW)sii)sU)|2eR= zXb?I`hel9a00Y~lAxn~MT4Mw3yWy}skJyaOnqU##7+TI0M+S zPGWVubY9^qCTTZFuh?)2K!f|06){CAa4}EGw@~~U4dR_d>maII5 zw8nbK8FpXegw20G04A1ek9G0qfRtQXBOEFi+Yz%rkyK>xWu6Uo3-R+Tq5qA}sD&9b z0Y?1~DwW0@*s*5!E@zt&_QZmZ9iRdw0{)Fz-BV~{17j|i^aZP_Ep(dc zkYz>+)e36UfYv%VdttQL=|}bONmvfaag{i2d%9Anmr*o>P&A9NA&@|)VTD&*7vcl% zfuAek6d+@+7#EKq7cCm0X9<}YWg2V~yD62-IOP}M)-kLP&TG*$LnuX8{0pS8+YBSN zNx+nf6IJ=e|2?g>Xb1u+?EG2FHaP&KfG#xUE{8F9B9`J&I?iZb;Wd6n>3Gqf@Dt3E zE@sA?VZY^|_yi|G(sWVyP|2W!w&v%r=(Dg!4%;%+_cc4uh$3mY|PSlQP@H8{`k( z+y}M|O{@#qVFh5(Lkh&k%@cIm7I14Lp4QlmiZcNgr^ncAAdEu}9?WY;QJlcM#&{Ga z*=j5y0i*dG<%T9al>tn7wuwE0nej2C4|LlDN;oiL&6i|UBiCMIgJLeP5u4?uDTGi! z*;zB=^^meS#DxQzbml#@xC|QVL24XQF^KsiCEN;Fbk`6W90im=_~EkzS8V7wMyKTr zS&j>v`=B|24m?_d-;BY`2m2aqPB=`bwE-!ddEP0nGDGVpaP}UzjCA|=p2dJ|;)eh@ z005xzEv8WQA}xyVtL`_su=O@8P`*x#>1UEhVL6#>UKlyl_zq$_u=G z{nN>*qi%~68c_>pKD*^^8zr8ZGoPCB>$L6B#$}3e z@AUJ_Jk8oaO1c7sZvlj=W*x0>M8^Bi0{3XcdiaC5kr4sz?oCQ|b3eeAsB2Q3&WD%N z!*>m4$quJCTK(p0VyUoW5Al;u_ma#ucqJM+>*Bjw2p6_?kT-M57a}AjBP5(QLwD<^ z-Cp|>?nt;Mj8MsHf4RU~*G8Pytfc?3;kc>g(-mEU(ZZIpDMP$T56!Y}u z50(b&mW8D3FPraN3sykLqGqDjl*Kk1Eoe)I82A(w!d=K4s(dT4Z( zBMMeU$S4>+GfuQu>@>tBT_tE5%vFKw(KK)rJXlI6Huw{czcpA2mRKQdmfEb!@mb|< z2Sdx|N{XQG(X<2vq^Ke!qX*#xH@^XcSs4TAjW#e0!`Njeh7-?b$-ky`hz1t{liuwW z?+kG(t^kuRU4XN?=>elX!N9c{B`v)kat`uM`G8eOhF^OHe=hYeWF#%k4pO27PNGfV zbd;jgW)LMQX}p5QlK81`iGEcB_Z*@f3~F0)t{r4>B+`rpwo1sq6MFcB!K~>rBI6Z| zLm=|ctO5ELGA77otwa8S<>NF^mmDr}aw80?7tD<^6D+B`dcjfzDRb1tEB?|Mjkh+o zyt~{2?}$<}B?<5hjbLg8n^6g3|^367rSI~ldtECW*H0z8$wl>}i={Sby zu(=HB;ISTq#Tuf+CWkkY9U-|mYMysUuUy1zGi}3u*RfuQ-xRO@sNHiJAylioqsA zd#8(cMs11X3)Wh*Dv{&ns`tjDLvl{T8l2u3>C1-knq@5GlCzM}7u}@Oul+n|*2cEb zD44vU(M)J`%0v$Ax{%Q#8dy}7#2+=p?FHpkssTnX7*((ofJHM&r)8r^G{*1>ewD-< z7~AqlAlNZI z_wy*VHr@?ATZyQZ!f-Cv#m^#~3^^_*Izn=JgoH0>A|z|W8h}q%%E+h!!?_b&M%qYq zA-bpAD^})G!y#>K=Td009l)@1Z~n2qvJY{!YqkTH^jYxbdV~6PtmkU zC`^a@RXPO~)&iYoH)%L999E{s%Z zWkW3d8Eiyq2+_sPEik#Vf9m+NiAq_}w{pxk*dwB+SmJD!BZ_EnJde^=5-(zib3}D| z5k-`942u;@7th5A0lVkDvnpF=l)Y@h$)HI`e5{8yi`){sNiKm1f-Rl)anf>bC_Tjy zHfbLOArXtH<~1JW2P)?(uoVF14025cMzjl+vt|tRjK|u;X05_JyUL?{Er~y6i2DsZ zYe-Lr#-{&#$8cKol3cKU^j!}hZ~o0v`M{W&*?mA9Ss3O<>AWEPF-&_vU#_f6g6B*Y zou)jik~XV+=P*2Hz`_rdfL|$$M%lcFK3C}j@~*9ybf>^?-Cb+rHPAaSN=rbK&7Z ziF7CnF~eddqKE%Im}NGco&qW%Bqux>sG*q5%^6}C_R?vAD3jnCEjB`Ob%aD3I6gwB zVN+OwSA=Of5;>g#)?)~QA&2Dk%x@j&Ah$jX^iEZJpg4rrVn}gZrF4`^;Z-3u9_@QT z3t0-09zbyz`S%0_TQoq+QbJr+~2ejGy$iFJf+!Z)iT{8yQt3}Xu3;{%6PBpTo5!1%_% zS_l~5q@MZRDzIk0F#|FEU*j7MjBmy$RA79wsVIrRWr*_zCUb~Wu+vrcY!iQkK(``9mE69^JeO(*=q=Uw&k1fS z0ZecbSb~UvB~FCo-3SRQ)BiTXT{(+r1FcDW((=lLx$a6>r(o#fjRINCKmW83SkpA4jyKk9Gg{nIIS#y3ASE;IQ1;SPcJG% z?;=w(E{xe=Z6xjPP0}GSvYgMQ#zOy;!DBc~orM~QWH!Pb32f#{8@LQG)dm5L|A4S6 ztwLcvh}9q%;PQG=9KZng^-oqFC%wQ3_RIeq;AS`=lg^R2H@Ab$_!QtJVNQjJ=4&VW@MaB(WZSr4y{o#P}f<7$ND;|Pfzu9+_t&qQeVO#e1W~Z z%)|i8-ypESK;>W(0TvjuDnmST2T7oA_7)$C!WxK|QtDF?(udu*CF$Xh0toFy@;L~> z5=Ei$3WP9Q3A)G@RL>M0u)m0qOtoe{gf){1gU|ujWpK)qrqiAvsm3(kO>hrbMkF7D zy8d%`<#2$Y z3B1z@yF(xv%I7H>;3A?Uu$MFr8a|k$dQe1%;E7OXA_JwtlotS&C1}dCXPa2hst_j3 zr}bf7{R0p#!K3t;trP%T5DY;Qukm9^e08|QH5m7iwTLtVvN_iX;`kBe8UfgR<=5$- zOMMC>+EZaS~Ks%1R-HZAb3L+P7XOer12osd}F{TX@Jpz^a5Q-2pZr zOHRQA!N*v@;G1BGOMukL!W)2S+DeQO)3XFY>3NrDErVnr~(C1Rrk7~uSYx+(Jv1z4vZXo4g3Vyy;j z1ZBDCSm5d6CBfdIFI{spj8+h8HJZ85=4|DKD2WA;pLY&&{_6+Kwt?Td3Rh=E*P8xT zkG8%DG8afoyt;99x~RF2c$%pBy}mQ4!e5>L5&ocdgSO|`{wn2E<#_PHv$Q>Fj%E^; z{UoEHg~jEh3`!V$JyyE7W8p3_TlC7pi5v63GlaDd z^)4=)*ljv_f6=SOZD_G!`QAlOcn>l9_VELk*E` zE#bxq2XTD(535Hs!7}Yodqu1k&RTc%hyh5(0+3Xr^yQDJkDs-Jm5m9DkOpq2z}%!)9o^Ly7(htd8fiH-^4gMx$`Pd zQ+rTJPk_+YNg_S;>nzNma;yZy@qPioY6p1@6my!K72|R~A$1w-kYJbg3P~*-eka87 z5#d-3ZMP`4b&*Jf$rP{(+zLK0Hf~8;oeoZDzIN6u@-3qhBADk0uvvMSvicC&$KZXE z4C->=+tdfV9`McDJC_R~kA%b2Qb6j!+@AAEXY{lMa~?VeG7VzT0?vVtY0S`R-b_TE zB%2lRS0yLeyr|(r^kPI|G~i+hKKCrt7Fn3W8*xFzfoWd$wqIvl1~n{ObebUT5211b z*s+KC+cZi#NQt;GGqAj?q|*xjs<@-rQ)`0B{r*U%=SC4 zjnD7<`#nD2KVBZr&htF)w{u>{Twd-Vf%|nllF-q)FRAmWmAD;n^!a?yryUfJEXwCz zO9fPsTKxzg&6+ewRF}`e{T;SR^7EZ~{_{XqugAp;kg(sN6=+9r9OWUwV=s8H;{pKd z*7K)^v~gRFAQQB?fEP`Wy};YW8ouU0kylwV7|(K3o`SHI@HBUnZ+vf{xeYwc{d->X z!1K(JTMSEgQYXwxTnKWJmwb{^e5-y1D0o(N z**n+~o+Pi;ttS~}O(q#OOajgZ`W%NRASYqfZ=(jq@>Dn57GL}za-zC8l>@2H0b&ZA zWAknA5TiNGQ%DDRp%%Yn;CRh#h9@XE4zqOcbsXlo+)9->H7J0O4IJIpfoojFsU{F} zv6IMR{g8?{DnxW87@Y93`7-1)>bH|RYpulnpp*kn&mgcx9&a443P!y)Pa%J&k*2_v z4tqEULJ9$u{iOJ3Y7%6GM$~Li{2^st2rh%WfZt1*NQ27Ud;zXw{5lYPl&skpA-)jc z7L+1iCoY2>!AbIg-Fm-ct;q@YS9xa0ft&^Hs6G&XNS%i+JxcTDOeu4tfetV|x7EIY z2bKT!yQYX<$d<iP3nZmVAt(iE4nm~KoG1fokSota%DUnY-H)8eEl!nySR0(? zA=?5W8`au+Uh^Q|-1gCAW5l^_Bs8IaeVi^K8bwD&kuuT=^vL2K6UC{ zI1kht#Wz9)WCUu52$EnfmoI$C?unH_Wi?1iE}oE=A%F9I_c}QC72|1Ryeu8- z$X+z)FUZ|(4`t~}8JfxLKIUGM@Ms4G3#18D^`L!Vj+-X=cc$w{H{}w7V;1vgu zb##F5mtc4NjprbZg$yrO(vFYCsj*NFNr3{C2DW`by^HBOW$7FFp#ZMx+-lAEVI^+J zvnjYn@=)$&zaxp~<_rqhD8JyND`nKbXw5hmEcXTSx6uwj?s9s<7AX4XlfYe2em{a4 zbdgGu|JtorHUoJtnqN{~cqtl>zRvLCXI1c${LGKi5p#pe9H@eTn;%dwQp}H2N?H0X z9&?t-3@?yX{{rTqj08?YAs^qscT3H0$PavX>#>({m?<3rwtoR3o8%({L4Wi5%C!mP ztSm2sc!JY$pxhV_XA?sqPSSZ>poyTOSq8Y`0o56BT0D#30fK89XMUF6lh2RJhR}hx zY7lSN`0fjK5nuScfx{d>Qs-kUaWjxqNlM^Q7|PM6d}CRFn-lz)u?LqtmkeTa-A++J zm7&Q&^P1g!_oahIy@97)Gzf-taISws?iN&dJ$SjH1KekT0ua2y|I7DZa76p(_ug5B z{GkD0`5-%UhqQS%Yv7;#>vPJ!*);NJe+-alENWLD`pv`d`Usy|ASuvkCvq; z{nfDj#j>;w;A>L)(oLwxm&;rc#Cl;SlT)yA-5a106pBS z7Ef=Whm-ofR~YDjcy#5kgZUZ5c$gAQEio~GHB+m+mz^#(1$cd}PscF0}$$}R% z^{ITfth1Nd%j+A1jH#9PTQfGCkdubW2lEz>ITA1EF?2I(sHE(?{Jq1|145`hU#~L`qJd)|iEiA!pK# zH^r$*0NG+6)WD^lcuK_cDq!NF_V`oldFb#lnn%8u+HW=piiC1VA6BoHUZj7;@x=&C6-zg(17> zogU8TAm+~T`c*HU<`%QgZIRL78NU+TQ}#%b59`vKs7g#6cUP6`Eo}bF4 z_#&wH#?Q#{v?~RU+y3mm0BisyxF}hki>UJTVPDJ`+Qbu78VEXU7wzrgd=ZM&1usa@ zuFq8P9t>*qY=Q3i4NJ!snWCA}nf3WzP;sk-OfR5$jbC=bAzl=}QmXgG&)ko!^7C-^ z1?U#Lym>BX4@Dwq^iG1qFP;cPWsK{?cJCYvDl!I|$C+;T$WT3WC|~< zj$42R?y)ql{{i_`=+9n%wS2EZsB=K_^a0Kvp*RKYo1`QU3bb!dfM%AP5foKqo)bkm z^3@?>FO$Mo$D3)Jf5R7|KyRj2rRjY;^v=Bx+i%ZWD4iF#`yp=Ux`MBICdm{()|6WM zC-rC*Cw<0L2;*#LPC2+OD9XdRWYC&yc!4Sx@m@ZyQx9?RrT~hfYfg#XM!8m;ngd!9 zdGl$xxexMlnQkK>Wuqu^=9GwSl=9+Kd<pi2Z7Faph};qPfV%}?@aJ$es;1}cWq-IXg1v$NfppeMi( z?)nopTq0CkiGw4WHqXXvceIBm8fg&Z3t}_%O;f7j?^JIv3AT%#GjH^f_YJeJxg9Ej zxPzNhjJHv~7pIaSlKy@A$ZA8RT7JDQK=Ae!j1KRd(mUaAt2|w^0=Gm z9X)1vtaw<#-8sOTT(I_v;=CAT`TS;( zvbSI_1c<^1wK{L3d{Nv-#f)9wLhq2-KN}gOPs9qv7*2hQB%%zvEso=`#Cm^XQ0rFF zm1IUFc@XP|!UAkAJZw3mtoiR$BES{}VZ&!QaFbTphpniAg*@=-LGE@GHgC5^@P0h-LI><)3}=@Wb+Px&_I6fE6NCDlidB!nbd5vc=SEqKKMWKAZ)^a} zpi&*MS1_DfD{9>v2)rSNYVm={HJxT}idQkR=St79U6)uDJ!N=P3n39IsqZ!yhv7^V zGq3{?cw96Ud%ps&H_h(ifk(`->wYteZf@nGdLO#0|F7qZCv5D%7th^>75n%$q{)Ag z{AMJry;s=jfVOQjUR(P=VW+JEX$DogM){I4;aA~CRoa5Ouj9VIUfj6xSmsr+-Rsxy zaNUvGyzkS);-o_-KTX6vCcgF@WMmK8l|+u)rO)a)e5Q8(q@%5CFrm1*a9W{8YYXjL zpI{&?A6dSk1lc{Up`0!i$=szfgBq+ef15g1eSh10AU7kOtM2}~7^7N<-ykj_ioKrA z)gYoCQzG37$?e?T-Kchk=NTqA{yt8Fyu%~d1f?@>q^5Jvq;?jXbBH1it-SRKknp*f*a_)`#Ib~e|Lh_9k3 zi35zeh4i|GM9-5VC{JjvEPMX#z=F*wW)rk-GL)T-MCGTU%pgvjx`_jfXQ!Ax(3E^A z+rC_@j*=@0ka*_NpDnP%RXMbEijtfV6_f*!SV>ugIQG0jH!H`cq>(Hj6u#Z~8&v8C zT7oc8NNpd}j?NaA;=YAe8Cqk^%PWp{ctTux7SS0rcIhVe6f`BNg0dhIYbkMJV2W5k zXE4};s+eVH%2WlfO~ku)5S2TV+bhf_l_ppXXP8Qm8e>A(1SI}y8de9Q?AMLYp(=f( zdBOl?Y9F%;&H1Iuxewt;RKeR5@h%-G1W;U{_9Ur~G5;S;Mic-l^)vO+7&j^GE=V~y zvI8@z%eL%u0>FS>)|k`ffjc__Ap-{LP8NM*eY0i1fTr$RW8%xP4QY5IKpF4RjZdeN z9#AYG?k$Sw^OLN_vrMTJz@kYA8;0hjsA5*M0Uvu*xH{!rEh+pZSwO5Xn`=p|yF)=K z0?7i+CjF*Zxu=*iB2SLfhI^TXXiTUS_X@P^hzcG~#2)Ba50G8I@00W3UZWIhP?ERBMb+ zImx2~Yj{;8g^SCcr?TE|Vh1<@?VPj5NR*TIcVP9w6#iJZ=M?LaExRZgpmzvie?sDO z(nwpNDWC4hH;k{RnM4>sAJ@n9q_h93VoD+O5h~o%(1q6sM zpjS+?G&Zr_A!4tsISeE|KMlJX!syvOKfnkaq7j7w;Nlym#RA)0m2(5aD4>GMpu%j~ z9nh+}5Vkha^Al|mf|KcI9*f6hrr}K>QC?(6GT247?6(k{i#6vBlB*zvJpt6gRa4>m zmUC~V;Y|S`_E7hHHzRPERs`X|7t)&qL60w0Dcr$49 zPd2HDy7-DH{V#^69%4*xss` zYKX0h5cWGHHaiUq{`5?e6R(0bC2G}DsJo#Ac(_}O$S^#`EQeBFUQ1Zo_PFj#z627@8H?R!b&&)z|f~D}$ z5R(cjSaD+Dzem6wa$qUn?!azF(OKrlJP`5Q=(-$uIevdf-7PRTUr6_(vp=eGQXnKM zA?!^^?4vX+79hdwRKaQx1M4V7djK_}-ee@1rM8JJ2LYCZviBmf&(Z=dK}|qqW#e&F z?7tMMCjiXN8f@6#d^DaDR^o$2nYA+9VRBE%8Aem7b?6cTwS}Ohid)Gz6lD@pXdFJN z@bWC;uYPd*qw9NX>Qnqi?t71vUKMF@jx8aW2Lv_jq`cW-+Nf~87x9+{4?KhTs|P@j z_aXi+z?W^|&GJvk2OFJg>MQ*0?|6?Cf`x<}Yg`=~m!gWh4bhk?Fiw1HqW+)J?C#Fw zqZES@f?W$CD2MPD!YWr~@_V;0^QNDDl{ff@XA(C`84Q1GTy+b1n7hxgsfnhr00t4DJWoE*1dH>Sg+& zIlfZZe*i}AW|azq6XvaUS;;5@1bU#G*v7Cq!|YfA#JfV+9!S))G}Hi?!Zv4D;HW*P znJ&DkP&T@}0&v2U&83^z&3FcKIW!g5&)kA;y-Ts9fe0b#Pm z3o87K?s(s$^du+f9^6^ub=|Mgwc6`*E^vd-GjQC~JA_9q&OtfO<^LXviu@J=cSYmA z+QK7!+mjPaESA%a`b`k;jV_qviY#U`BT5LrTAZVE2xq@RzOkz&3RV|YyOZ*90eHix zNpoOhmY-3jH~N*j;^?BpV&7k9w}8rmok5-|rj3h)6>AZ#I?au5=uWNorb>4@(RVC)W5?r3XjSFjjt|K-cHkW@FFrOXV*_*(;X2Cz13B- zH8%n@2Z>rYer0C15H_gd4vGW++xYJBJ-x3A-@mQDbZNs^g~E8;MpaxVv?lkz$M2{rT~|eiLMk_oKqA&s5 zUO7MdVE8$g0e|s~{lZ))g<6L%D8!fy#=Br&4f_~ov6RcN9Bmw`RpoT`?)uvMC@oy7 zOTOjonCY#U-JjZ$t+qTeUM-yYXF%N_&ZGZiutillsj9$Na^Ene;xQYfxa1@-dJ)R* zM&dKl@HI#f6&fmdV`5|trOpU=AIS3j%Gvh~_|eaPrWqRJ{*lQD0vPk@^6?lG{s3h> z*)YvIcVLp34!k*VwQx=*0_;*D>{>J?K?<8K145}Xn`=v)zeCAg04VJ8=%DQ+o?&i) z0CPgwR5WIn6t)-w%*f^Dz&R8AjyK}u_&HnqRQE$ z1td0EtDB?Hsv~Q4a|r-`?PZR|bJSEh!4Tk474F`0?#(p(5Cpidd%m5KaE8f%bm-Z~ ze2wOORpt0YfC(yGjdIe_j*4G^DeRGMEU1m|XkidWhhFA9oxQk;{T<>eEQH;P#8;%D z-U5u=huK^K;(XmJu0;+Qm1T2vh+22}Ba+ot?D37qWr^I~9g#=ZNeqV8bJ zV_$Q+&K=G<@ZhOXRM7KvhsYg}8JWWQ`UBpF_PSrvDlJeqK*LI0HrlCZ_L< ze%FH$`dCeUf#0E;Bk#iii6Jm5^E(96%X^4~R0&~EKv3aMiWEe`E)@PC9=AyqH>U`4 zOJpD7(*pdbExhh1Ao2UU@k6S@=6j#wjzFUV3NKgZTFiWy1%4y{ zdZW7_5*j6huoePU6;}lDed!INm8|gcG$PTKZxkPtFp6pyRh z1b5@jg~HR(xJZBnvYBInvHG+^>qn!OQ$QD%+iRjy{p;ETIK zk=8CDL8eag`lO1i-pishr)~Eai~r3a}fRRUc_S>+|RdX>JYFD zxbKsycs1|=Ip@8)=m`k#zMAV-{Ce+sp9+OW0X5e%{CXdH?}j|3Slg$UVA6RSaRf4| zM}cuC1AcfD+zjH*pbzns4*$Ff{uUx)@CI?72EVzpJrY9VS`#Hy7gfEpvILUxK#B9d z0E$75vk%1TX=|KtfKJiQ$_!}E&>A<|;;fnDd;*&LPOvef!Q(fR<>XHe`Jo%1G&R5ro?GvUtH_>76@Tlv2)J&AhzX?f<4 zndF(5n?6XGN#0Ugxiq?d5g)y68kab|%dg4CxrC=nuqw;@DlQ_Q7WNN@55Sv{ZiwI$|a}t3fVvFf@n^R#%vsY-^xYx3pRrOi~tWlx} zB?um-bKuVP7dbsXH=H+%<$Co>SIXhkWjFdXu6I^|i_2=>y)NVI3m4HrDn^&Gz6v=v zsrkL|3Q%4->AUTABxT(79c$Bmflcw4M~$}9r57>9pZ}sYi)Qb(1hBK_&OI4;vU&c{ zu8CrC^@{8E)AWhJ3z6<2*Dl8QT$1a_CNux(v}#b|RwW$W81s@?f3Gc9wAwDus5+*TL^%y#be`E>sgoLJ zWP62Xin}RWUOlAhybG?OgY=|`h}||4*1k|Pq(G2Bgo|11N2@q1!RwrNR}D!yUncC5 zO{Tz>AJa@r365gNI&#^v`;F8uA5X6k-Hy&6IEh*KFjWaA2x%vDA;C^IpgKy~*$z%} zM&Bl^7aP-$QyV?ElH(O%mQe3mCqO;6p*bcgpNiLSig}$Un7_9#p#Mh~erEhrzk0&L zwby#ru*s8O<$COS`nnt^sbM36A6IJ~$JIH~{%9t?)=HmCqHtHpKT{6$afSoZ2Y%mX zP*JIGU~R)~_K7c*xAx85`LM8SeL#usW8pE?naDxU*AISo*C=y~YLx@1FRz}pqI_~F zHpfU1dJvbkD?3*jrdA>@IVta?oD=IXZV?I+#*NGF+>cfw7{QaYvukLYsaA;bbjzF0 zfnt+Jzf&yB2{2g$uNj#jU7U=LN0sF|LI_+)$D`6xjPQXrDV%y5A?m_RCG^PF_$Uho ziQ+0{PwrP14N}BWWKa4i3j|5yZpcpWR~8A<#J!W9_E8oJ62Lu?Rot%(3tEq(%PRU5 zuL}~#Wys##Uo0G?g6osL=~FBiB!asydvJfTXpjQVQ~P0!r3OJ2ZlnG1uBAL-EBu-E z!&*xm>=X@HaZMVkG13BW^+ZsmBlpoklcTn=jX=6I8_l_aBl7vcO%G{fnFT)t;@b7a$10 z)pQbFgXB|FC=Oa;fj%RG6j_|7PNGYYNoogW*+`7EpQ%n*4-edKzb8m7^#rBaT8xCN z=ytZ>7i5?!PjT2HX4tX#OLiY_p01tfNpTme?TEw5V#HA2lcNk01H~vkw0v{1u#UKF z*+E>z1t+F4`yUAc3;Gb14vqDpaUI3*n5=m!G|nM-izykar_da5^VIWBUpfc1|3jII}69O9yIdb-R}7cjw9`-+0;5yg5j7YA0u{oazzIMUbLSk zf5VxQi(rRWc{P?LSIC7p%3GJe+Cn)4$K=U4K6^s9xj69snH9+{;ErX0w^e}Pd1pm6 zl%^qKLVK!GR)%sCepqMf?sX|=NBC!*soLv8&H`}7)MP}&PV%++}6idQz_t!zZ?btUI$I7@rfNe3Km8zU}9DdCc3_jommIJ?1d z+67fnCc;`c!sc?a10r(-{qMQ5t6$Ln{q!X`Dki zan)d=O_#joh9PA~L2=S^^#FX-_$FLZCWJcHtP zTIa(*G|SW@h>iB3l~U08P(u?--HO<_#SRNE)cJ7NOT>8_Ja?;0nzOIiA7i9wr2y{C zpk=j}hVusaCT-gqulFjq5NDiD?V&`Ay*2ufMy~aOImf^~x1VyVG*7*W_~EqsADSM> zkUv~Nh7t`$n_vYs=9y-DGxHFEskc3J1M)>i{^q(bB+-s?ms0!*#bAyx$w{R zQ*jbW-qA8F!?yK!b|fY`(FFm7DXXIhu1~()ld(@stYmv12#%@nx6yp|{>U zWSu|p{u|d~zBklkMQJZX%4&%kr?{i1=IfL1vEi>YN+bTSzj8+|eD#MtpNkx}k#bvE z8M<}XmpgWJe$c!8-T0+Ae8*s`5?7S*$>DXlY^h!Mbk39b6XD~^|F>T`)r@ZZ(O)fj z2OWB*gPz163fELDP4D`@{mSh{4yZZ&;~2Yp1$AlnYrFG*&dq*OXuf#&jkub1&3N0C zq0#rJGm%OLl3D)6_1BISlmEQhH$pbuUwr#}ohy8>DwRSXHr;vWqS&=}SDChhVX_#} zA4hu1%@*LXjEVT14R%z$4sGnmX94E(WeWEDF#~x0`Lg0TmkW_wPp2l-zVDaq!TMj| z(oeI}E9koz^K)H{;hhokr>T)1%X|E(gPy4PFluDx+->iAhN0_XMcGX(dQkN(zCg)S zS*>aB-P`yrzf9bXKjWCq551{;_u59hn>;(iiTQ6q27dtYmINccy~rX~TQTFm_)To>Ilk2AaDpb$ z)No#M@J6=NzBf%#rT*?)MBhioZB>dWN-zNs@#!`C0FgvDnLWdifDk#Wy~RIQ^0ZTJ zGOMy`wE1Ncefxyv%G7PEM)$c#>M0U>C$7IgZ$4@^y#HGJ@2`NVgsJgLLerLp+Q<8Igm-n#8l>Ir8eGXLFF~h}!*V^^-ta?vx zQJO0{YYbTVwU0^=Iv@E>*#k8{q+4gQK1*OzCgF6-0bPVPg!9T*`uF^l##`M z3mXS}+JEQAX~OU~fgt3KeachM%Oqs_UK9XsV{2n5c5A2}08LGboQOJifqN04DiQ!} z!AOtdSdn+EnCBo(X~Eumj>oGbbs?rM@|n^s{2!)HtYP}`@67mNfa$~q?p}b&j|4Eu zkj}-jA}g)X$p2SUXlF=MrF=~xjsHhewUDM7jXBtL;;(N={I9PQZJ>X;+%*cjuHdUE zN?U1>wni5C{I2 ze{XVM{&x!%)$rrP=$8h^#^1AXo-5oBvp>5ZnjR4ueQ+nibzwHvzoQNr`TVVC|gtr!Xg@3XWV(u&)aj2%U>iH-?P5e zWHm76g15tp=$vnP_+-X#KHFuq+0&X`u_VpW)td0qV4LD;i_L-D%;rK3|7#a4b6iXD z6w^@HuWq+ehp2|9DX|f+(1!Eo(ifsc<^zpcCR?#deW(wwmysP@+&tNwC3{8Vd4~@y zZZaYi=BKOSapK*yX4&1@J7e~zV=U$l#tsecRpJgdyRXym?^^bA=j<6IZC{XynQtAS z)zLLZ4YhRZQ)1CS$e7Vbv*a`Xq?|VW!WIfMb~t6X?+aT#&cxvqwI+)@F{Xb-`ti4w zKsQeRAjxY%CT_lUkcORfKyP>4aUAxG_Q7ds|DB_s+v~1N*E~68LpaI@1Tt#~tXrBThuY0run(r{ezG6wbH%+ANgJD90tAI?2-G12 zCVT`vs|ZY1RiO3n!rasCum%PvP!GF}8o7Z*-AVuTd}`@!Tz%e}4ho}z$?@8d8BZ## zn(^7jRWtfcj(xi5KD)Y=^=A{{EZnpNzRsgJDNf`_=^=>5uI_3liMM<%`E4X9(bF@?rX_ zCO@qyLbRn>aHxHyg)7sCf6vO=!;y+#Jf8p4g4CY2pd;vTD!o>EGXZFwbA{*5Ing(P z7lWuUXgvDa$-(Z}XhEEx@K$gxO%2|{HK!79uu0T02Tm#eQ~<2BFI{Z*jz;;`5q02t zGh^Yf_lKT;7F_km@C*drW9edGyUi zICeXPiNf=bB`?&Ak=n!i@I9<7cTUa)-!xQDT>a}cTWCPx z_jxyugY~N%%%%dI&tu+q-Hwk_xRcVwlvXTaC)~!TPLT>0kxu6ee{0O@uKA-M(BkoE zo)%}<{4sV-i<&LX8;9EWC&Jv_Ii%b8f2K%fi|RYh7t%Fmjn@!ZKnPCr5#+3z;cxq@ zL$|gxs}8k4O@zI1<0K6R?pfd#FS2)@_oZpft_AgREQDYS4}tpSRRq$Vs|cK0nzs(M zXC}f-+&FTK(M6*^l2N9XiQD6ittlzrT+}z(`Z6RuOI@PkPM-SrTam+}MfJqozqpfxWku#p9Od?X>`YH80~V|QN;#babPG|t zCBC-EX#AL(Sb`5`?yVkHiK?Z?ip=0GBBUo(tuERNT$Q(|Z*@@;eEzXe>T7+};=w%p zGlon7+pan8kq!G?;z(_Ox|n3MM)@b((MOWaw@V+FTx%{2YKZX}$(oIAyB+jrVtpUS z(pS)+8U!_Tyx%Ior*L+9P3`jWaYEX&+n7y@1?*q9@pV&p$IJ@X-H*o|XAMEf@q}7c zz_A$k+`+W5!M#DK!Z@m9cn(J=_$xV$EJj8u83u73zI-gY_(^QPYxq zl@Krn^AW?E1X;Z4R9hjS|I)B@-s`F?3)$nB$I_`w_tP8VA zrjUK^Hoj;Izd2Lu-0sH|$60FcDt}F@`is$A<&SGPA0e2UB#c_Tk%!M=0MUkb(5t2T zHhoE7NnBOMt97fYFb8fA>}))%M4zkKKGH*r(K2Bjb->E>VJ{+3U#|O4CXQI#T$Rc_ zYE`QJYf`DqM~J2-AyJFsdH6y`ToK#Pl}GAb`pWrLQnzw>&hUPdAISJTXE%wDi_xCV zXEu4>WSiZ_mrmiWc~aeXob_AN`o}e?q*kTE-;8TmM{vxkSiaClBCwkGa7=g#yhZ6~ zg^KOSFWU3RYYP0ira-BdW_{VeCG62KH_r4R$#sF4JF!bG@9ayNN z43B27dTk_S?9t_VtvAFK`##bD%fX%VrW&%<*75X}gKG+uT2){KKe~)(<7jiAwvTv{ zsg8aNb{9GO^0-fpFwb?G_ALbAeO4KqTZ<|zs69MgG{?uOY0nxmVovnu&LX_pmPOd376t6F+t_psZAC(l`(zMXGd1t6-MU=tKfFxA z>uKrIzP5mj^`_B*@s%yb`er^Czy)fKWW+`+ZRWz~=Oj~Cc4Fr2+&Ha+s3Qw_L{}U= z@28^HUq4VsF||)R-pAbSWHJ*Bc(gFe5<(tG8;Dr{S;0#R;WhW#;jI3ybGD>+`rQ zBhH7FNYdsN8VPh^_K6NiDX!W0n1(V(sDN{t^a zMl~#?2RPWR3^fbKnSjq?-pjn6XUvsvhiOexIgL|V-`MZ)Q6TpkZ5ye7ux?w>7dJP5Ax@OWY@>9TZdCvhdT4>iW}%ws>OV!F&tUFwR9dI4c* z&E{bUT$2JM8)#>s;h)9pXMA9IfZ>XCYd>*iQy=y@qr#Ns*BnpHioz z;qmOmT8FZu6C`r1O^OrXKOpF*O~VYb6aWNPc~1!5G(M~_=HH&&{`X-I?(zX4qx zSr_yno4<_m`Ve4_d8pNmjrc*9;kRTLqc+UX$y}hF!^~yrb3Ldi--Wv9`SCs){-;Bu z8??(%+Q4JsB(E>_IK6Upl?5!+Z9*&@MK7+qlQK__3DjkUZQ-8I!=6KscDX&4NrW|k z(mhL-{`)H{8>jg6Cn_ z&&MFOieYt&_Jh1{6+>fIoDA6LWLGSo??bh*atqjzJLpLdrj|a$MKwT{FyFPc_+<6l zZL50xvSt7*zcIX@vW#9lekY{@2%*D*ZROhc;f*rq8$!o>`hSrzkU#l@z8ZKWmb~gu zo@>l2tr`{C)||dhgPmNlys;0}%j$9G*o`%RRN+`8!M^9hAw6v5Ns%1|b>=p2R|Hor zP_Mm;!L+kET~NcngP8PaN^6!aug5*=ooHdx)cRKg=>eHy80<8ky*nASY6=B@Q+hw; z^BN|jc}>v^G&RiJPd%O3LRc|vrpvhdbsjq;UMqq;B5QMSW| z88IYnR{B=%jy}A7=DddCuuN;pT@c~1Q9@voqqe$Fdt2~{vPM~Q4bz+EUF$SPdx=T; zQ(DvPd_As%cOub&5pX^N_6FK!kPUcRFwK2dfUW%yQS&=)j|pw=#&!eD#L7`UZWI*+ z0qNrW%acs(-;#SqpaRGrz3%bP=Tpz*2KS#NMAQ^y^tU7+u4kNU!8T86QP{xc6TB1g zo0>3xO74MOfTjG`8$9@pYw%}U5^S$$kkDM|KGc7#+C3b7u!pp6ie-5m04;NIhQ@A# z|H7Xi2K#TDAUmJ_9ec;OiS~9zjN^ALKo=W$bvTDe93_+^g|ptcleY~#bLt-))I zZ&U2;bR5SAF62gYs`a_)-icaf49Z)ac& z_wtn1PqvL7cR$ymuZcPpS_LIP5B2TVH_pV=rP;feh&Pw)H&CU|MQ=BNPt|jhw8F-uBW-zomPbJzTfPkYaw<@>tKw}KX8Lw%#%55RY`o!pJ3#*Z3UZ&p z?8!YPr+S(V1xt)N!m1ulq54_5h3tyPxNp|%Z%GE%K(Ah$@sy|4&zfsmg^h0$@7*Ed zD6gQ;-9bg|TfnMzRVWzxg;r!-;}KZTBj5neZFmG`2AU0pO70QDs{Wm-m}1B1al^dX zC1=n3E|$#j+`^ad7A9*VM6@KpuV<(x8l2g~F&@MwPX&G-|JY9({#!C*4vD;p4-Z>| zFK9|?i^Ce2ew+`pnh)aj=q2>-cnubto(s81+x$oOYN@+on z8(F}5&-Absi-x74%+lq0nF5*UUJ6$xY2O3#|8@>&yTv{P{iSnEYEQCBhZ(bX}dv9Yn^Cb12>jBG|3uX@+5K(a^}jw(C&9v07Lw4@1ILS+qeJc)Q4Y}`2aH=DTF6}kNXSrQADw60($yCT^n z6juT;S=Yv>V^ox5l{j+*fFW=yi^M^3bvQDCY;J<5<7=h{XPG+o4U48*3@&q5CIa*9 z7+ODiv9bNjcy^5c5}p||b)PxUJ+GSkW`Uz-6JK`oC#je?c^(_OKGz`FDz_$BJojX< zaqe{RXXBFhzXH*@yWHs<H6S(S^*+o{tk}HHWW8qWw({E;R0YI1&B&(A!DT zCuu!7!DhLoov&KhrLounMm@M8%n?BS#1nm6xu1|vXZL+Ezd|SKoQqwQe}zuMF`YoRFN-wOSb4_ zrr5cP({37xk1O8Yj`db;+0cJE&h@b6_5vXx*Lcg_2iB!@-9LWyo>*Gup5w*#Qj$uA z?PA^{*$CfuBX6l}#gFaE-a^?5o7(NYC9;)XvfacX%sVE zuat6kLayG!A3iQ$bY-#Y8(HX`aH7$z&Q@t|(O5FprK(AIvmAUf3w~Q1Mv1>N}~23S;eCqYV#P z%n7P>jvcR_5!jrO(01~jeE9ms2lJ9cYPpIRQhU9yAxg5Uawp@y~~Gu6|JhSK)ifn;&7DzZxO@J81g?eMX?Qu z+-`<;7Fr-g!6G?)LjU@)7RmEh{kHF;Z|v0%5NhiBshx`!no@{NPMk2i9@rvfy#wpD zy+sI~8Rw*9wGN>u4|KavEmicU@LofQ-QFoyJYnzlD3HXD?2BWq8>BX zl^Hq;Q?qinpP*YcZch|6M^q$db%v3HpQ!umI>L%FE!sjS+@qS9aNG5PDK!&nLmr6| zx3cE9OVGESGRCZX)R}wd1ylU_dQ{5G38kTZZ1|Tf(smf#VaABF?sjJd8BSMf-2ZT$ zUk1MYW3YbX&WD1-nIFkppNRTfd`ed9+!|GVNG3u~t?g~_hDO(L!G_GY zuxt!e7m&GHO?HZw1W}qYJ|T=gR3@Bz^|yet)(sKl#;3_WJ2ulAd!@@|x3uN!8lv}1 z33_IYl2vZNkR}Vst-4z1eN(WN9ir#}#5#+s$=_oPy~SGe;3-9}>uH%r@BoFbwzF0C z=wk)zBCqC=_j&U!M!GxGq1`@ON!emfRDOqyrT3_iK}PxUr;SP; z>tDAWh}J4Ln1j9V5;~rSmK{LITsd52S8S#!8q>Mq_~RL&>5N_NiFD;d+L|zlF8Sj( z&~m1Vo>%lIPS+nm3f;^IYfq@MKnEgWj4RP10l$Ch z1P>U00^?6$ycvu)gYjlC-VDYs!T2Q@zXao#U>pm^v0xnAwNE;>XP-1D zE-e;&n&qaQUMzV&(^$Kx7=AwMw03T>^g^bJc15xHLe^nzmjO``5LaFUBDhYZ*P#KJ zYp0;s0aM|wPF*j5Q&Ig+Ij{YuB6mBjy^gfN`mZiJ9i|Hy89I9SRWrp7$ZFMQ9ZVG5 znCa=HPgk+uYYHpuqTb153La1hOg=MV;&m)h>de*1?R)8F_w-wZUUV(qxyBUupnyt# z-{nb`q}$vx#0dQ9sv~>Q)lO++U||`kw$H)a?01E)lj_!Qabox&nrF%nI%8!VGz+uY23&G~}! z2#R`SB=}g~wf#?(a-$OhW|w)3Y~U?1YI-K+=njpj2lpf*$ucnH4?j$7F>ISvHzfn&v z*?ou>u4g)<2Bxx7MOMJa4Dc}yd>pK2iZ+&0Y*81N2*^kksROHq!Sqisodc#%f@u>l ztsyS4w2P1&2d0{D)F0mt{zyt0{K1eb_%k6e+zp1R;u2|KNCCrCrhGO6d&N6gMA?iZ zdZKfW<-v!7lbvTQ10D*Q!twah7(|@2Zmtw4Hzcepp#d6(KM$JB-7GbGZCR8<9IC_ zRA6lfXxLTWQCI@ZfGPLpTAtUV;}8BuSo0qruGJ18Rotz5mHtn8FoX9 zt?VPpMu0~hJaXVs1&<vt9ioppU> zanx|*4{iC*n?^MI(&$Y$AQ=FCk)xPhYz^vTuwupBs z>>7D}FH-Vp)~@Z+?_g7i+#2Z{XvrzX+!W-5_;o*|_(DeQb`0IN zSC)8LaN@9+*KZKAF?Z@`V3}7B+uTZ?Y0T04leX zmE;Fdxy`HuKY+@uVFmx7Q0?>JP_{rAaMW^T*pDpweP2U^%c8--|Mq3Nk;AQ2$~M$o zP76MBCNA?d+22Z|%<$x8M%TcBJ&0#*BEg#*y#@r7GMet6Fr2;{e7ji2TtT8OG+3w6 z-&AmKXYkMgQ-Qag`-l8Zh0Hn=?%YLdJ(ttE{At2vXlJ7Em&{xz2fFwv3r7)+&agYx zhorY=5Ze_dB%+BZ$8MLil3cr5SG{wLV3(P>t|F7q|n;EBwr>ED#2 zola2??1dxbcWn>5VP|*ReBp|@E~?QbGw~baPHh(N?)4Az*O{3y~-0+pp6PGM^jjo%{ zRBJ~@%NJWjh)Q&t9j|OuFaR!6+3H^VH9_0mxyFZQKUWsbt zA0e^@?0H}ZKZ_mqSm|C3_BpU0fc+QP+reH0b|~0TdcyY#cD->;<;iic*VO5fe=I3t z-UP-`b;#f|M&yPyVpELE&0XW39@LGJ$Cga-j0tRpivEI>jGS&l78}!A@_SH!7?-rn z=|N60mbB#dpf4ELwUkyP)*6#r3ae3Hj0;;Ts*$du&>+@1sZ2CA$Zxge2T@=Ud$m+6 ziVF((hBTSHvSz%-`kNB7(q7{an~+(_9+(9tpR5cI+*1=Ji|>Iws+y@6gv8Ua-BnNl)+}1-PzA zf+SkVALP+ci$bBTl3wK@#-E{~*65)lNW1MGp9OI6LbRhmT?$lhWCyWGlKzCiF|I<=D znDhbrS@E2gIYb@_?Z96)63vNYY+Xe^#>**EjyHvxea1pY!^_cR^*w{H#bGd|-`#OGubo3ck6Rzz36B>GBy6o*M-zSrAvyqjo5eNdlM6GN?c>2qnK&(d@IXidyt^q4WPwxEaj zoko1+sd1-tinsKbWnR?m2GkLCrhzo(+7`S=UTj$zRoZ}jrie6@jnUfzui)OB;wq)% zj4;|GsnD{%w`c;fN8xSA8KV{jG~(VWEA)R`3a!#{hlZd=yf=K+x@O6=D#wWpAqA3K zB6rL$^V~6quEpA2Q7m(6aDBiU4>`xTuBw)s4-DfB=ldLFZz1#u0*w%uaDhND1Xe;| zF9c3P;1L9_Lg1S%V5=eY9KV+hp*7X=$_0RY0MuAH8?X#82e1K<1egcN1we(Bv#RB5 z*KUT|9@qfKH5Il~VS5R-mtgy^G1l&r4L<=)0BF?_xFc{!;Euqz1K$pOJMitmGmNoq z+u`uqw%gI`+|%&};jy|IWxFy0Zwg&o=Ip{w5lm^x-G#p(z_ygO6W%FQtdm`scgo** zjoGgA6(Jf)7lEK!qG{=JB&gjqiY|MVszlS4I{o{@y4307!<)K@X{ry=xoOG|Ny%yI z4=ZO}SA3vmTn7z(U0JvNYJciEiS77HB?M2T@bnDIdL;m2Ow)xvZc2|MlYV+wYdfu;v@`)9lr*Cev`BIQ0q1byN z$XAL$-m9p)`y~e|sR)2deu2=40OZ-Wopjjw)wVMOcEW8tX|VIXZ6_Iamf3bPVCOH} z4j*z;1^RLULo!?!aCWybkz8U28s=70u z%Uid9`s57$2Af|2K3M@WALK;8+d~f@g1n&!cUzXtS!@_>J5!mSUJ?}>5bna<$!Kb}w+k?0Fw}V{*-Q@7@T5&rnU>CriV0w~ zF{n=IU@rta8th`Qt6MV~Z&F?eo|Uy`%)d#6od(#6aDtr~wjF2K5!rTZnH<}WEwi3| zaG;^0le*7aQOACt)VkfZ(eF0r$7W&t!f|ElHPUqYi?;tRVtYoHMSy(ECT|A$Dh*^< zm>E4$(m8L-BSjwe--6ChpVzR@UcJgZ_Rl{_{Y3M%`u`d-$4caf9-qZsHU2_u+*U}N zU_`RVr@Gb?J2v-nTIZIJFNZhj_rvKfOXu}Q z1h9&{spx8j5*hoG5ph5ylKjfy==Zd_R4z>?^@a!FhP|lh*9t%URN}%2!ZP>jP_et0({fDyH%oDhAU0QLDKz{_93e>F?w;kwXpn{Q3$VqU%qc^y1}S_c3w1T(l3^Mk5oSoyxz5_F*LyU^tz7!nOoNV&s4wuKjx+X zV=@l?&-~Qz?9PpWus;@M{MvLQqR~crUjL6Q+-M^Sh?Xfa(UWf3&$k60WA^1n`@X-! z|DVHMS&k_ip#VwvRiJURNac6+<`v&1NhxN(NI88U)KQ-O>&23-YYu9~S z<0ma2hB_PPKkQvJ-;45d|J+*x2{U(0IRXXS|0j0L`aj~89czE=XkB~V|NR!v8`NX6 z82I$>hQUuI8b1BmHUWNN?)rg11!0>_NCqJY1YZywY=Qs;76=ZP+u(y~g`e|E+ou$m zgMHNi@-`rISU_d~VZtxN#Ud!+51&m09X_sokpBfDG4K)mo(G>vG{k-d;j9w~IUt0B z@V!m2B?>^83c_C?tg9jYljapGPLtD9LQWC%?cn;=2fVXO8zkju7eh2TWm3fm4p6bhHa;eXr@k$bj? z4@71|WVtO;+L>6e5y)F;d0ipo5kSxobAY3bDFVR>ge03l1;KVWb|CnIu+5gR{SaM1 zm}e7giE! zskBvj6-ZCh0iWIwh`od|OD!Nk>%9>mdNIAWQ|}wJi|} z!W$6&g?5Lj(86+PVFR@N>DsSOTqiiHN)VFZ^K?|-xfaicGw*^Q!#ohStMeAd`{e9< z(K%kY?^y2|XO=p3!I2(zjP#W94(5794mD_N>TFFr2rFztI0(%k^n>uuCPade)ESV4 zY~9bp3YVbh&d0iQiaNW~D6QQgLSc6|jS4~;2=*ZOgRsk%C;`D0gatOimM8}S3j&PQ z$5vjQmp{ekP6P50 zYHtsQ%A`=)X^6Im=j1>20LKBG$#5VmPJr{?=0rlQ4+s%R863bq_w~c< z{QL+w&J`CR5&&dB9OvQyC}R^CAe7hye-O@s5DUV6n-B=XWe^-ehzH??AJj~MnhSwk zNQ0Vx2j>I@YW^N#uWXIOkvzpgB+eF@36WnRQe%r8hsXnn)WI3AxCA5uoHtI#x^L&h z8OK4HKjFMa;EbO}09gY^|H21|7m#T-Cln56FO>TmDjBi0g9L{R?W|3O3YOallvxXB zoaPOr+2*9f5j=)=I-s3*oly8F6i%@HAZ;JuEr`5;YM)L4@{i52RcresBW;CkhyM!{ zE{DT^*A0d5L8LzdA`TE)Zi~!<$ODLcwY3Z%68OApB$#;7AsI`)9@ z2MEt>f~}4Y5WaxG0HN4cM=J;>5cb#vTOIpA_-s2F+xep5WW3>IzQB+1`Zb6p+RpbF zL~cPO41QzZ*?vzVfi&1YolwYE!1;bjhWvTRUxDAPceY;?Z-`9Te*FSlL;R+z^L)O* zW%B2f?EKV5>aiK`E~V0UOc!*wOa$j_ei8|hP#X!)**yO+IQe~C`S0wrVRsdGP8Egb z{31G-8m|5bLM#ZwK_Kh|;n%)DW#NGD0m}e?0XzW*0doL<0yc^+Vb|L*?-KTJ*!~S$ zJZ$l>-3r^SuuXw&3T&^z_8M#hVH-H_((Fg6;g;3JQ;IynKu8Y7=Rm4((`z=Jvce_= zgRmEb5fI+lgisI;fiS_|a4RgS^X)#m(~IbTW$X>lDpEUdO%T-|_HCrJCccjhxxMI{ zN$~Z?RbA?#FMDmF9kx&!gl5@7uC`Dpgr3?${SYd&g>r?!v^M7aLjLGC!B_M7Q>oq) z1r%W2>8`_GYzL|5z9Chja#0VyuN98z8rptNJ9GA(=Bp#7NJ45@C7s~NC z!|>&~bDYo6zvE5ixSe6nn=W%naN?7^npilp&`JJ~VuXM2 zS!7j=yM3TMlBAfk~i^<@p@4yWmoKvT0hc#K+KGC}H~T$L;`oz$EhcSs0q#Q$UT zHm@BEtmjW)%W0wdS(fG2Q$_aWl;is2qL}*GJ;;;lvu1}r$Nu2E^7BTV_1v)CSM@=& zXCJXYbhR95@s5gm=SFf~Z!p^}j}pAbC;9$t=uKS_Rpx>6W#2WV)?%7eP5AG89~;_W zBBr1n@mLgJ7#J>!m)mm=8jNi>D|6BpMNK&p-RAt8Yx=eqq$8#}+;8*Z<*s1g0J{L} zsbEuobG-rfGqB%-jn(=W1(isJ+L)rS`x1X`cu~lG=>qMv`p6T>*2c1JX1UJIu(dWu z)@)gV@xJ!EU83?hrNOPxcRcJwa&H2J)7ewa|8T2{Gx*s(zESvk9Gv~n3>4qyWy z2`~?k3s?!5Rkpu!DWDW^iEF=Fk-Nk?Az<{*nvcCCjI}loIDS%iRGu8L|D-IdygK0E zs7Nqt28fQz26OKKdAq`5?g~O~SMD(Dg0LBi+vd)oT;B}|&#x_Fse|yRRPR^^x)7(- zxqQcL$`jgdUPP_iKzN8eK}#42?UprY-3B7N<)myh%_)Y@&nD8G&+_MH<7t#t{OsEJ zpn#hQlW~3vDny$4G|#m*HYoHa@~I#xt9&ww4pRhqwO(C8H}Sg#^Thjg zFpa~Mg;>~G`DLVTLIUo-+0Lu7{T?6m##F?%?Y*sT$ufLQ@=7`EjaOibd)l`8WdA~ zz~FCTh>z*$WdSwlz3Q79rOtCQeGV_W7GD){QO3}^R|Q{`7g#a|OGdEw6_@y>L+CTq z&yr8tz){v4tfw$?aOMd9gwtnvC=B?9jInej378X<%Z9U-x#ENMn6k*P5_jWw=HxLK z-OL2X8b+q1)VR}JFh1nS7t<)}64 zy?pW*L6_1`Sf{$Dzie4GIIAD|hPqt#x2e>O9E+{b`hX`Z*YK8)MbsCO5X}myrr8DK zMVA}qiR|jjNZ3rpT=PthM}mK{*b1Ygj6BtB1ua%N4HX*&J%W|3c|`Q}tlQ4dh$J1@jyZndJ1|!0SVh zoQa0YK06XcZOH1wkf^f^;yzN7(_3k)WkXb210q>XHn@yYTtX9ZkBxzq{o}NBr$bVu zXiA%3B7UcdS*aR#PN!E$7h9G_&1pa{R4g~7k9oHFU%{U?kt?^4Q*O|wOV?T!MO93o z;3Y|h8Ds86evNpw$-7cHP7R}Plr9iq>oX@D9x_7>coBU(s|V+;j4{vaV>GbuN;6IC ztow!$d(_*^EBovld}8I1CWdwQFyRX0fj&+&b-ds;;*s*8d3_&og0))iZJKTl?88rl zeUeoe6RpRG$&FOJ{;h>Ip5%ersQS?yS&Qj$N-;dLxD*9%m*-nBMd2B;7|X8x{Zuxw zDDaf*BintLbxOXCjUV<~A^Vlh9A>YOf6pcl2fUO0#r7Wdc_%-}M!$B(>T9x{CVUCf zY1*jaP?cnZHfT6fB}Hqw+@Lf`lGcwKmL~PpPUePOm&~h;L~p-#nZ%`ho@Aas^2?p{ z%pcdgwg~eu#X|p<+3mPf>g%knU8ohRZr0u|+zRzeR&y8fooYYpP#5-{`aUb^4iYPv zla_I-HKm$`L$EJ0`^N@YN3Dbm+se2>GCg;+}HYd`Ibm8 zYGb%_&cHP5IZa0uOtXG6rw<_~J9!$4wN!3t8S*Bj5U#5sgQ;Z>H|h7_x_Z{!Q0rM0 zb^@-e?r>dA7Pso`%ff2lx>~9~Y$*`$(>aty#LELLs|WMyV3O5Sb77QFqNvdv9(62H zPUSDHWmfsV!7US#2Qx;{_thO5xsF*!yUYvB)-m30g0&Ddp&=jELX^<(_Y}_Nl5FR) zz%=PA;bO5o2wg*4V3@7-&=v2(pH~pfckQN%OE-Crdd$VwH20$CuwUyvDXTS0L1N6s zUrZU6PW64QpF>$~eq%Rv(Dw(&5$at0y5wQ$df(T)lTf%~lc#R_4~_{=bManHy=by< zSo#ps|FxTHO@&Gz?X|5J-3;7->Ua8e9JgwxdHQ4yquTkgej&%JnnKkVa46N(o%+=r*Vj(D`V0>JwexTK z8jk0L??TBmQNVEMLg@xk>~Q2W30f4v4SFU`5+!oO7!qHR4>yD%od-+#BKAnAqF`?D zp5N1cQFwongjqJU@Mt)SMZ2*hRT2KV>0j zWlY##S6}5}`%vv6-*9wOTGq@S)KTT{no~vSZpB$mcM-l@`B8Im7_mgLO><-zvqbr; z=G-vqrQ&By_*Z6Hlr1%8a z?k07s{);85Dr~!iXv`M>hl`7FzGg64FgigyX0IxD$?0}wn0ZAjeKO?_UT&-BWa<>& z%2w(brwhDUt?p->*YcLOGS5)H@Jd^~&rn@8mxB=T3Yq4+AWS?==@POrWx*=haREns zHpuY=#b19`>!-_@jJl^@X>hP4zy4lHW-$vA^j5Y;Dk__<8FBcaT3cJwbm4xxBT(d?hteywT6Q zp0$IV;PNxxOZ5bO+4qb7N3dF<5=bYwfvkRlZcce84TOqzf^{r4(OL(k0>F0v8UGb3 zdk)QB1-SuapB?0WsH_|+i-b~zQ0h38It%tXuzkUH{Tcrd?B!s81M47IHGbYMPCw&u zP#M3qp;8Rg`DgqVpmTxN1Eu_oPX?L|6k2zo{*3=A`Q7hxr@+xYhJ)Ueni$2|K~9Hy zcLLo7GzKWRzX5Fm8oh&j1KiU<+kwUb1@}ErS!!Z$)DChOxN4v~fadK&{UTUw?Fd3Y zWG*n^wI1VHyI|6lV4hbSGA_u&98#s3qifxYB0}W1gmmlXF2WVs@A^Vmb69c*@kp`X zT$)X62wWn6EA+I=yNHdnqw+&WSM#E5@&x;(yu!5495;lRh`5Q`s5osnWbv@>t+FdH z&PQ#$HXju_Z;~|M($HHn8MoAkt^8i+)I()TKUze+{4!LmYL+3O)^#{60$(bi_U2E< zJ`hCr&Y6s#Dj@dep21uag!Y!6!TqafQjS}9eYgkEjc&zcDMx%r8ziZ?_Xz@YpH%Or{Gb??Bs8W|}HtEQ_ zXrJXd7GzdQg57n+R$lRt`z|V3{>}nxB0eCGs#5sEF_$hsFZ@wuAaB8#Ul+Rrm2dh@ zv%emf$GjzT(?)g$cc9M-re~EVkY6g8yg6fR?wo$iO;{SR&O*BB8K;^R8f zNI(SsppYuQR7JR`SZH<}@~ESS^Wuh_>zLo`4{Bp{v(6ybsLyG(R^i`J{^Dm2AxA>v zW#w9`F0%~zhFK`X8}nfaaZG(`8G4<1so_UUyj7v|`k+)Iy|w|=fMzAK118KmngA-m zb^!Pt;D3clv|A=4;vbkudp=JjVZxRP+r6;e3)@227Q*%(Z12G~4z_WyJrCRSu>A&; zZ&Lx601}vlt4t?F1qI+-Jg8_AG_eJ${}H191zQ33e6T~n_N1bl!2SvB$6$|vy$Ng) z*uep~HYlAA_7Sju1N#Noq_Kdu;D@Nw#s`(>M#;|(!(NdQ9!cfvoY0r#RZ$_^qpa=h%}zsg!NX}nyDPGhL8ZsEu)~fEFE=7 z{j-_K!8QaRk)1S7ww`Tsyh4fApBDv;XWT$NQvYGbbMO;E&t!*;304?lXrvJJCq+Kv zv%^qV)h08!k96nrqU()_ZyyR1;Tn5YPv}EUzzWMyQ(A9Y59+vSNpErwa;d4LH=_sr z%CxSRUyX1#CHKy(MjbFM?Db~^^u_?f0n-4!fM`G{U@9ZPni-Z}X~S|r1z=-Xx>*ub z7r%Z-5XljixAg`Qwkt$%>*}~&=?u57_8E#CxOLsI?(2f-3lYVF;+Fhf=syH&Tjt~; zrWlX0j&|9tP^PiYa~+;gcJs@#u}`S^{KjmD`HGjYA_7TJ?S~Z+Sb{o0qo^XNR0}n2 zRgNk(L$j&MK222scdia;>R3&ajB&@J3%qstYp&Kuo^M;6dv{1f(AE7;lS%-1>BXt6rsC(VrBb9)Gq&6`F#)ry9RV;i>5uwtuOfV@~gL zpX~Inr6Y8qw??K$ocdHQNU8_f->`g9C`a zgvdcY4dW^F8JtPO6)M=~v}{_Lze;*sSS#KZggim_H>}dqbmhBnae@M=yYF%R?ACnq zvw;xttod|Z%qhYMqZk{nMw(Z1oa!iYy{9N@G-QjU29_8_Y>`eiiN$+ekZ)kEC7DAQ z@lBR@h)BA^ChTP+SA58Y{Dw)@qeblctaKDv`LpJH8_J83Yw#7hjrtyur-FEXJ9<4zG0n8nzy!UE}YI4~j{m}wxynlqnXKjjpm z-?wNsJaLB zqwn#TV~1UfvB}8=aE;Bctea1Fp%!C*0$K~SVLrXhsTdmobSBUhK%v8?$F41E~yYCS&vs?8mZ4^k4$UfNu9*;+{w6&N}`@n>oZby`{8Mx%zn~4bs=v{ zJ)P!sQYwQ*!KwX7f;x^*8Dj*6Jj9vcX`Zrvbedufe^xCR0vE)WQYz)A@0fx!17u5NY{;-D#2e8~lSQ88q8B zH!B^pMm?u{CJM*< z16(D(qm-HZ>>{l77-l_NMoe%uU^`T1RA!1;x`?gQpQe;)mV*@`BYseuQMW*5f~57jem4WQ9PE=|$ANtS?C-%|jbV;@8?X>YO? z!Hv*=Eaa&43HvSTt9rXW6fFIhXN&w@!V9DvQH)FIT}iDd+$Hj^)Lt~LEhttpQ{>wg z7Ap-FMYn~VlPng6wndzix{9W@xqgSZp~^-qycUF5DWXX(a0vQ-7i?u?6RMY$j4X2b z4iN@_p+&V$VU(@IAcUE3+Z=o%F4s(Rz6RF!WXFDRc`A-+*Rco;r35OJtr}#&GZw`5dj|aQI8vM&pahW-j_Q{i8lH z+xsp2T&CZ-jW$`Lg~GOQLwY&U-SAEJ?QT#V6|R+5^|g-<#la3nRCzuM(o^ zJ2M+bZi^BeBPf}YS*D%lNicF-mf)~ov51#D7FHGTRrbs>WpKs^bhzogW_LaE3?ot& z3-d(<{iOTKLf(2Rp<5jmSy6zqvR8mx00o%OXheEqAU^z zPuj7>-?@-#oRi>5yC9vAgg&qOuQ`^3s$=-VlXkRGb}~F^=Q5bzg#FXV9h}pIU!$@& zM{p=3;Q>-F(Ij0;I&zvRPkgcsw@&%OoXA0sL@tD{$zfJan}e70O5Sn~Ga>AmG{x93 zm=cC)R&6$O`s@-S81g`)v$eB`(Bb@x{x{1sYg4^gV8*lBVbCepO>FC%ROp)_r=+(8t9#3yAP%Y9&BRtBJe4CqDe!`)a)?(bU-akM z)5kOBOWwk>!15rwM%re5rZ#H4n1;Nn(3%UgNfQAo`6GB1cx#aTH`-x+g?4h0&vnUF zcn&9L7y7thZEr~)VyW?1<@a57ca>?Cm$?qrPP+}s+1P65e8a+Qhic02hJtK#H8sYt zI-B^~>8v3m8~@sQm7%6Kemtce`AM;^@?;nJlQP=SIE3(US|pWQs>P}8Xh-!Hv!V*K zUA5A{srBMU+{6dMB+8_kwQilCZG8yQHm_!g_Jr~) zuYAbk2{oM8I0S=E1sX|}13?w5X{jO-)T`l}Fj=K?)U=Jlm{SJa!=j*f%5p3r&^sNj zE0*$#Yr~;;qMw>RLhnRE@5Inev!QpoLhnTGHhmAh(;<(3kRMY!wJYMH#LeQEm0O2B zD~QV~t;4T^`{fflBJ@t&B88WxLx;b^oMzZ(DIes0fQ9{kL+@-9Z?8u{@02B5e8eY4 z9d5!6^Lvx8rf(D-;nXZ6n&v_8sRzAKKQ%6hT2iB6xe74I&P4?p5T>BG@qwOY> z&nd}LVS)JI0PY0y4}$|6ugiXdiBp!D7q+^Ou-{2ah2`P{1NeCLgn4x<@*@1zb{umb z4Y@0?5&4crtd>rFd>FOHGzOc()X1lFK|Oi#3G;H(ey#VZ^%? z=cPzRlauYFTiKgR6;oiC7@z`d2PgsC0BS(<6#PT*AALr6~Ul4ZBoz6Ld$W=4p}%2=j|%+(d1Jd6u@dMA&`6+6 ztO1pc34;-O?eHAE1+W8fTLcH1wc z9yOr(Pi(aUKsza$ZHehE?sq%~3j+$q0)_(~q8^wYRBjz5uU4(mM~p=bhvOt0jlA9z z5^BAvvNw~2{M(cz=8@2NxNS;pLTrVvV}d4Bim6JR-h{knDiY6Vg7K*FpI&_WJu{Hc z;J|p)PF^g>bv`qf=g6Tyq5Z~-;CMb^MDx5j)F<@QyhM)s6Xr_ZmNp_mu~wsLBNLQZ zO>dE%N+HyA6_Hd*e~qq)kfvCm>8vO3a(*knZ9!)xH`rZQHS?y7ae^Wfk#yrxbKaP1 zSI{1Lnq{SUKRgA?sDSC%(5(Chhi>I^-YR$s*5?XL$9~rAfu~>@(`E6Nz^uXvQU>#? zBpODfJH{REFt5s^P2L*mIJMpX8)}i7ZuS_9s|qTRC5!0X!XDgnn4xRvV@zhef`{s+ z>9VR3OyxFsqTRlX9V?$@Vu<$*6C#)oc+@_aj-ASj?xRj-Ug8n^+|SVdl@y4`RWKwS zEAp-i{Ul#)K~zOL${a0Dqd|`H42zv^h6lD#HP0MU>rob-Az5miDgK`O6ou;&%w{A6U6*bZtQ{=PLy*;QSF2@@`i4kT zOpDE&Y}bT{Zb_gZ-`d%Q>u~%3m(0A`)}^bXIdhRJt&xG z`|tn$KlR0jsB53Gr$ck326l`K`?lmi>~NQW+tUBCr@8n{lC-jYUHm6WhuG0BzNaL8 z>`<4$Q_?Z^)Hc=%iIN@I=C?xnGdr#g{#YGjN45pLlfGb2Z}TBY_Gwvd{sieC+Nd^P zmE?jps4Y+>{i5X-vC<@)wSGl@Y4C{Le3b-zPs!b^J36bp9vWxsfq`zw? z75R2c&T2!70=uOjweiEOC6aBHir$%bFb9O;y}~=VQlV$>>^s;8!fCxpckok%=-yeA zF_(nCy(yD%>xI~mq9m|ury3d!P`A254`kz(NoT%pigJo^Loo^ZN2=>tB_ zgb>dnVa}Ua;uI2Yjmb%zNy2_MMOk?yJlSMtO>M$7n}V!@CS0b;#hTuPy=CHBXTVIj z31dx5$DB0zS(DRo%i#&AjCAZ<(_||@9q$dp6EkmM4w=HN!W*~>lZSQo4eTRRf;H&| ze!2-|ofU?;YGPYc!f+c+JK!JT8~hiIQY>I;iX2f&I;*$HUZ%)rbrm_tlrbz_5pjlM z6|1v|JVWWgIx}qdgJL$TXPESZGMv>vOkgXPv9!aEY^5jb)Udr)F^APX?4VUnV_h63 ziWD1IM~2BFC7N}PYiCg;u}*MF7Nsxi3YXxln8*4L*U?!?W%Y6GcPMgM$G8qVl+mmU zTw;!5CF?MkoTDVN&g$%LD`v62*O6{3Ls^$~gh`5}tnYM=la%hP(>nW8ic;2box>^R zRMsUOafM<%d}Sc7P~zbp-0qzsg>|x?^iCPbx>`>lC>Cin^)RQ*)b!Tds}zNru6hTR zGESqbC&KNw?T<{Fk_=N+Fyx)7=@}6(k<#3hQ2?@nYgXcKO> zz-2IR6ZTgDcd%>|K3sqq%n@L|7x)d92yn{;w7~)a_AkNY!EyoKQ$QNbHewD6!Ul_t zxH$rk!F(h3PeH=q93y_305zEFhq)|Z50?7jHVB*t3;nSF2qq0y_~Fq4LR3~KMlJ}6 zD(b`~3EZOcIJ4pWt!@UQzi^u)hfsqvkxpM+=ZqxirjaflpK^4YyK2i7KRF-wQZV6*N3iVBedy z3!@eU_ZIEK%@Vl6Bnk(0lBT%H z@NYk-!%LcW|L%2e!$sjm{>4j|lH8ClTHe6_Y6P8^FxA!B94CH>8>RKl_=%5Kp6`Eq z&8768ZHwMwZnG|aQZTa(HAAG=lwS?yL#Wr(aF`UL z55w{swAO(ebYDg`O*Q)tIW~mdmuCv_)?=e~iPVGo=h|ql-$hBJpwN1F6nDk>Ps2Se zemwaD=8>x0Jax#u0hY=9sEr)we?U)HeKQAg5FQk?;g-m0Tu8!QRr#3XI5ZFXkNUS1 znx}&;#``V}ZJe4B1svu=vO4EZN5X6>cLR(lGz7drH#z-<17p zoFaa3NNL_)cvF6RiPUa_!*%_J5eAF1G zKcGXl$>Lf+CjqZC5?hM;F*A*!EqQ`tF8*ngD0DyO5RX9d?4)scArxvSqmSoCaqpzp z^5QAXPUaX7NAd3Wah5b$Vp?YUVfMirZq%vp#ox#}kcv8`?qqFE#jQ|1VeL&tu2An{ zHK$_VsqU~2rJ~=d&uAp6c!KJKrey#DgJ?WTD}_ei$K$lR(wN0O`&Rlc+8?~&R?l6G zDLmI!>Mr^P-jr7NUCgySY%4R5_J!x)>Yc~H^61%4dGyV^m~59krjX~5P3sRGhgz<_u2FWO_N%%z`?_%Z z)h{)hyO1TSIDTk0^$g=I&pq4y41JY;sy3o3@TK&VHgS~YCfRNYADlTEb5Q6zD4dL& zV|t)DP=&e(3&gfo;nu01Y4%nj*Qxhtnyav1Rd+Rqs?cB6=PD)jcoOX|J!{B|#PHNR z4N*w+gZii;R}yoM-foEAMEg@8H00UDn5K6bqBhYl>$yYjP0S5?%n&o3_K)6g$UB{Z z*3&pn>2$e%GRGyInWQIiXg6p->cco5HyFNp503K<`gi&Sj@u39JUxm7kFq}2vpHU2 z465FlLkXkr)KB8LhB0&X1P;B2_M1M0KBPZ$IGhGTaBx&n}?BCXj2V^ zBIbC>YuqEnG;?Vmvmx-4^sNbR?u9i_PI!GYtbq!?GgIt$XQp*!*G}`^tU$xb|76G> z%{YijNjiwRx;5T@`{sE2Z+5GkJ;m=`8i3!j8ruJB=f=R%mIoXa}x;&@6yVI6m|Kc&oOU2<_) zq2RENx)4`vK5#tkUveD&-KGQRwLktUZTRmq-Z$||4No^ED{90eh*slBbBx2Qz!rin z0(%T>0oaqkrq?*`Vm#)#a=doYsk|v1$}Z+k9+u;pN6Y2;bLe@D-*|M6XC6J87sH|E zF;DXxIPU$y&m{Xr5ncYzr1wRMUA_#-MUhWeAVd09#HnKKk(`HDT4aU6RPu_!q8`L@ z^=^%_2)kePyJlZKb24)&ylBd+D)_Ff02UgT^q`j+*9{g_Bi_OqlY_&U`|4jc@?liG z>U+)3VO+fWFO7N_c~Nyxb6^;IQT?Z8>o9tq>au36>1`PUm#7~KU%-@h})Rh}7VG*KQ&`z-6D;5`G6 zVcno?oRUtPE}dzyYYS_{8N7jI(>oCy23%(#r3~$<@y14GU}W)s!`cf?9Gl^|N917~-`P*^n`2 z_u9GHP&0;kP02KrkC9$eZ^57xb~v(JhPKeTLE5}|DXK2BFU6rDObeG$lJ%IvK9Sm| zf6k8O`dQ#IT4p`0z+Z75Gu&e%$CLYUSLpVJHI`M@%c&Sgwb6`k#Y_b4kR1|C5)1tB z)73OHv(ZD&_BK)+cx7V@MWCB(TuVu1 zxykPtAF%#Yg(_#x=Cg-LevDuF&O<0adN_a55W$c6J)bay>!dB?hm1LY?=K5^#{DxCg}rmim;+(7R*Qq zH@2jZ5Nl1mmP``ri>We;M?zvvSy`zh^k$PdOVET6no_gUn@}cGRo09qq`#>sE3FBA z*i@gDoQ_yv5@cnhqn?`PXYtdKbW>i|%yjf_Q(czu1|r{-o;CXh>UUFhR?-dRa@7UR zkv9AiU4`w#N(*0(bcj34MCBnSRQJ8lj zktl~?-o?o!;0oeL(_VPx5}}D!A`-p#Dd|e~RBq%7 z$yGsynEwRDgz<}+Pmp_IJW}`sT_|i6&!!>n3HdNNgsD;dVD`?&jKI*^RapG$uaf^O zuosu^!mJSliwj}wLf|T{*o7qvrdVNRSF-?XEy}}W3jD2kdAM5wy0t718!3pf=H%f| z3bws$z4z!nSrM{CR;6VqB9_Qqw2q44m$D+Qmm>V7oU27DLLZ{W1W8U6lTr49dDi{) z?tZk{`d8ZERKNXFqjpLvyF}8d^-m2bkv`GJr25>K?9zs(`aeV-6HaYOK7(H@#J6PB zVO|IWTljTwxr|_aHwu@_8rJDixLkU%j*r6SvYd5k6fT#EtfQmE70O1|`BCya1(J2r z#qOPw&${YDA}D+`8W#dVIakx`;;2$kG+i$CDrL4t=i-p2;AlEsh-u1N%^4T+b%njA zr_JuVa;B!g4VF3wYqV{I5anXcsW!)Mg{!8!&AwY%thv|*FMyi@>)@GpXzzL99Pc{} zBG0qW=?-1Zo7U%YhdGOf?xXel?~!g1O{wxLko+X_uP^O^)l^Fc^ZJSVl`)zN_2e^7 zOZl_L607|0O7DuMRr$tB&WU{MQ>sya85a(gS0g=P#a4DT`k-<3VDW3j9Am~{{%h2q z#u|93B66Csd@%Pl`mzzPInPCWRme3bx%OX`ziF=Oa5%;KN{x<;Q{pRo>+QBEEHT3! zT8v9Tn(V(?nu|}Gyj4qb@xLw`(t5b~UYGZ2Q7(ZYvN5f58!JSv)DqhKx@A9W-P+jQ z@?%#43xdu>+ z=vyd7o?9sOaU|c~0Ftk@+$FhknM<j;M|Lhk(S^-q;7Z{f?j10<$EQrt^%A*HJ&k>fFyo>zJ#fbl$Py^-cg601e;)a0a*m7;b*` zUaO<(DF9d4r@PJnYarkhU)CieDFS|yjfy-JK0nFZL@0%SfNWUgtndwx>)7RM_J6vX=V6PU+`A6dUZOv^3uj|ss58>ci7Za z-%0W_Y+@=r`0#=4J^&9s#0eZ*VAx}yAfg3^J&FZhEimlyhaj;9hCQYTkS#FmaY5kI z0>d6_1(X&T_V^;;w7{?jR$!k6!ycOj!CBl1{;PLZi)U8e8MH~TKC7$_;cv`=7dWC1 z8&_nN^k5bkmt+;Rqn;WUXO*|Zh;?yRb~}2vacx%d1h!j2)O73Y;K3oyMIC90BGf#) zmVSqJj~8C+d55u49&f>Qg?>YRG|tYdm>{|-O3mS8-c><0@??u+RoENZdDFB(!3ch~ z2|bvefce$rJ2)c&7jB{srX^s%HxUPu`%%k;ch>cIQ#{X5IsEk$_d2Iq{yzjgBv!9*L(d-XhFE~{{p=E{Mzb~rQdoTcneedmu`nYKT zfli;I)`SLHS~`BTI7mo^WZ*AF)xe-aKJc@mcHovmG++ng-%`yn5>-GpOzR$-i`Yl_oES8@|)kLH+?*2KU?GzA05 z06zd75CotC0su@v!VxuA86)Q&(TJ7f<#BV=5wIJLnWLGlOqK_mskXl!PQxipzp{_W zk!I6Q_HjA3!k$!Q^@hTu$ouLjrMLW-1BzIA^jS@@a)La5xq5{?zaw)9_15r2abf`I z!v|m%F6^kN!zJr)TQgN23n`WAA7{|i@qiP6MSwp5L4bXL>3}DIiJ|e=%v5?-Cs>g^7-QQfje?4%8kky)aZt}|_jwPd>WROo)o z67#5(nB(ea8P%GZW`B1^5&nCzPc`R7fV=P>CQ2M%9TOgs68}m)+RRIdeWh7uPD@Gf zS6{J}IkZ(CJY%L~dliAVX%JH)qE-Vv`9XS{_O*GOyQ~W_R+Q_4n8ae^eMe0CC)CEQQqMI<)$&$pnC7vy(I3?% z<|U?H)pzGKf};Kq5fv?{;gf76ogk0TvQaVw3;8q~`Kq9tA84b73F=hHaMEt;0_S)M z_Y)Ll?qxT)nc<9c0?KfRBxbR0meW_ld!wZ}gC)^#bVW|2BtBT1&2pZ*M*bAaSuh5`sv{yKQ*epFdTngFPz^&^NcV z_hN4t9=G)LqGR+uE#_W)jA5kZG#7CkDg};nF~<$xwOrt$=0gI0vJ6xn?ZS8&s60jp zgJhuccvv`5hP%g{Ekw(Fdm?V=HkwgY;W654X3#b(f~YaQuc!#3#$)=*iy&(3(>JBZ zv(&Js<=Zx>@_W>Bq7D5NDi|loh+WLTg!q0$4Q+`g-yAv^=da-GSiy<&&tp7E-* zX^tWnumDg7SPjSm%mtJHRsaeBO8}Kn$smO4fl2U&khT*83D@yyU*HAs1$Y8{0Nw!N zb-eF}8f4{qz(&BAzi+BRK7;dTaQ+O=pTYU2j0@_JbO0O=z%eXzy5%+?0dNYi1khg( z`CEWo!gOUxnANE;36t+&NBm$SCj5@dhg%(m%SVIjLvSkxmo)eZh;8-mCf&i7g9{H_ zc7sbSxD@mK%c(OhU-20u@z*o~%2an&9%8Irx8wM-RJM(G1zBSH$?c4NmWv==8g@bbK~&N)wFdpx^hU975P9FUU!fbsCL5nBb_}AE zO_vp>LHt?cC&gYC;;c!d*u=stH(C|DS*YcvgNkh|+~3Au6bD$yG*Xy#E#E5;>I8&* zTpjnCcAG85)yX2JK@HqdCC8ojzv=|)n^2cYh1T6le|JtEbO`Ws1n{YKPz3kZw$OE{ z30O$y9e6$w$_1dj2URVK!%Q-X9n4PFNX#zvv-Co2Rz@NA%BCY;TQ?l>`s6X09!Q)_ zZ-(QD-=3J1FQ5a008~H#fC&iM6XT9eDy|L%@Bz_)aexTG7(hH=Vp6edMb&XfCg4C{ zk@ZKwm4nB$Wq{A{;B)Zc6>wYu#}9D)07oJmiE!Ko$8B&dfMWq1Z^Q96924M}0LN45 zg^Pk#20S_9Wj4MQCaG8=<|H-CnMEob!6+f)de-e{10jTNry{^N!wH+-It)d>4W?IW zy|m7&f_XqAaxSX}4q7&p^&NA2g;SrYUpUL^IjIxavul?Nj=R>Y@BQM5ocA3Sb!TG~ zrq%m4Qj)M1?FU@7jVZWM#^>xEDacW#9c)vI&uJrO(Kv!(5&P7lnNhuOc1~wQ?rJ26V}TB>dM^+qkch4TQ|~Zz(aNx zW?N=UtNxwuUs4hJu$Umtw4r8;1Eu-1KpsA_@4LB46WSa*%VE;>jxnHM(j^?%D`C>b z9ycs)xzL80ub=OjJRI2;JzrC5_HB!Qr5SHVwn2T)DrHhz!Znanj;qbxjP|jM`XqVC zE%rFq*(UEj`iPcea^F2rba;M%vht*TYTu~#ioTp7+zESHUnKlBxxK=bFW=QNePBz= z8h}pnZ0lUfEW|!rK+7_DXiF~On_j{NLtM+nUawX9g)K*WeODO*Th8@*eq8?-?jw{u z9Oy-V+_cjJr>|4A^b&C!#(3dOT7{mA*|_m1?~MkIqMPfLx&AAkOrulL$VFzFw!@!+h4jqeoO+Ypf^qatS@PjS4BaKsR!xX|V`XZ=L{9OEm+t~TVH zO;0>t>h~xX5A0FwZ}WM%X^j^{e?c*0pi9xvMr3RXBJ9$ivrOqH#Zk9dmiDvSNlSD) z&7SgzJ=&klezhe@_yrG!Xts_oEp-K+$?H zg#1);zu!X0Pxkk@&{8Vs1bqMlPX^=k!vg&{4gcSBijm|vDEhQjA3>wEw zzDFGgrz^0NTwk-i(wJ8*q5QzQ&`fm#C;{wbVK4jsPQ2_1@Bw%OfcZUxj~pSrM7d>o zs7Wx%Nt#%WxqSKv=ZL1#UgO%^gxqTU+VLTAsfEuE7zur;em;Yxp(=yjg*Wk0c2spv z9OkHme@If8_}241P=tuv}4wbw?y?K7ZGSJ9o7Ww*rnUGO*YWP{>v}gdp1TU5T4lxVV56 zc=^KtNrbhoI6x{OJrI$Qu?gPYO^cX*wqUWKXqc~$OhfkDkFqzq2{zgRo!m*%$6rRM zY`fVf-Cj0orOxdPs29|ES5Tj6);m|bE~F4Bh7f^rI84G>i^{f>>+?nk+f9`Mui;op z^jh>Wo2*@t5m32q;=Y{w(NRYGwN&Gy!vU)$Z0mHLTW}ov_Tcp z2zII78;BH8g_O%a*G+h*k7b|g_IhVnz`oM$>!rUU6spiP%4hX=&PXoj6IN`Gm*$Ny z{TA^@IzmSw?fZILXe+}0EP8C(JY6+u)IPhem&7E7ovBDQ7NLey?gZL)NUWrSu!*8n z(>?ld>Ye#EljI+ALRe6CkFhA4e0X9%&#d+1_%}tGb-xlT zi0St9KBzx?VpsNM!~xfNN?&dq`jUNVUsgK=r*rxW+EM@6=O+q!ym7`ciuzh2fw90! z8ct)y+}F%dPGs?t)nsKDD>_*tQ;ufEpH=gfv8>p$8kKSaD`C0XQyJC7T`qnn7{cwa z@0TppUs4<&U}iIikxjh6v(hDjh|zYdWU7H^9qNm=Gy@(3dH^E;E#NzV8Q=tL2iyUi z2D}3p0XG200j~fT4;HG&06wH2MJ&iTig>#zA9;TR0FKG)0UH5uo($(_;ruL|pM~?Y zaK0SQm&5sTIA0Fuf5Z9TaQ-)(r+Mr{4H5UD0^t})*oUfP!M2wRTVB;5&9MU>d=wsf z8yAhPVau8XzUtp;w8d=w`pg=f}y!V^>gK0wA{utJr(gPb&097U~h zj_Iom$E*Q9{5c79mYCJDSK@m?PixsB@f@!&YS}08d8B_2b!&)H{aC^1267VlYr)J0 zzn+NGx{n-uTkKNpBM!3-$l3lJVq1)t`i7a^#`V&?GbeIEMB|Q`%MH!ZD$O)5Cr6iV zCUDs|K%*uQ6l*li=25*s!fQ9j^u`_6Y&MUVB+S=zLEr@T@Qr4kg!f9*YEF}c`)k&l z`4VU@Ff5{yvXZc!qS2)3T__neN{YGg_9bk*<7+`-pg=UiC9Oey5YMeHsX?9-msIE0 zpvQ_=xXumwoHV34jDy}h!&--Ska!EKFAIV-y?Y_+5s-6ovr=!>|Q*gP50w9~EZ@eCHU06c+|O zj~J&bP7nA*8YecqXZ{=ZdxEd%PG#Wc)+=rjff}L-bv~DTG~NC(F1}M)N6ub195EPu z8Q!@E;QuTOe7Ayc==M*}tiGuum{L<1j9&rYz%4L75yn|n+)oG}+c4ax5Nt=`Ubo;G z&Cm{MoK)Prt@TPbEqk469JuC$|7S0jPANy*?SFy$UU2`Md8hKR5pG3%K|UwssiMG5 z2yR!wo(1-8LfkN>m0AFItpL{^aMj;|ahXs__UYl7br?>vKFX<1;qdekP7NfD^^p{H zNq<}fv=|}mH-tM360cyxEQdzo`^I<=lmpO<4PA~S68vIFx?Jc*oYdchJSU2$Z+G5y(8&L=JOC4dd z;6NJPvQ|bOqVBW=%lw9DKLN2Hy%2g%{b-IH;LZouWU@T=m2Qj~DUW{&jXN?r%9BvJ z;+Y+iB(%3^SzpHOLE{$|V~JGZZNGek03edU|6lkF*e z@;sD}eO8|!4}zgZ(yTo6x3(H7G}8IW76^$*>`q&%RCp8rs|_VBje`W{6e)DI5ZjkZ zfdYWF=hPRpBUjp1vv0g7bUAn=ju# z6MRRB%ORh#sg?zAKoWchr@?pdPq>!pSTjuNiK>8ayy&fMDwS_pN(LFCPK%Np5Jyv4 zQX3FA$)_~aIH66U75KX%PNFy*M-?wktY}5d6z3$CwxYts^ApQkkr_Z9gvv!6guxE< zVm|6`w(RZ&_EI1$aXrUFQQ)CopGQy}76eKe46HC$=8?qQAS{$&dg6`ROlWt&&e5zg zC$)v$P?wtHY6~0DKZ#dLD~fPYb)!#uabNHBcNCnuhl&y}lHOVJ4KaV^;n0+Le~o!< zSz-0H*m%pdehTgV&yTdrD(1mYlrQ_#v-{YQUw6KNpDg6kkBin=#HZflciRy4KP?GB z@iq~kT6Vgu0kv0`1fWlD+-4ZR?2hN#vk=nEWTuOgkYhz-T?Ot!-Cp4Ajjb#9SiGU& z7vKQ=8CN}_E*bk~{q4<`CoV>6H}k0$|KVzx%OR}w4o)R3vFfsZiLZ5~^k9km*PnA( z@48frOE@ug&EESrZChqFxm3JbzqDJ}Q{R1metOtZBpNHCdkT|=$Lo0%c)9ud=iWe>F#j6xnZZZr#S)KsMET4Fvqc%YK<_*g)i0J zfH{uyPJ5ga(iZtn_sWcD3-!{D6|1C$srYY2+RHaLcI*@G13c-NEtsf6-D9rP;+)~o zZ3W)!d41gW1%2{n?2=7l-#z+2TaLNClc-F=G8MUtv|Es?^6R3M2o|X5UE~LXGF4Dd z*eBc{HlMzV5%hTd-j+jdW)0<>VD50pAZM}eq|`J3R-Z_G>>m{aB=_9xQH!TIh^m#Z_oRIXRQ^?{i{Uk!B#QkB4Od5Y{7E5lSGe zC$qwwKv?IoqMSfjPirDE#x=13G(g}SMiT|#4`96W$s_)Qo3p+SJv!sEW?JYo%TWCi zMSkzt#IY{|nwal=Zi1NsW^XWy!R!QccJJ7Zq!$5lxH=BZQ`gVKP2C7EY_rTC=GT_g zq3-JotgD^NI;IAq-kMHX#t)B?CtOAb*v=^qxqTN>p6PycCJu1cBFgOB6novC3z=CK z-{H{%Ai8rAYE93%eTK+cz}=ZJ5Vci9w1p_Hr1<)-mtyf5ZCWB=8$|oJ!Lb021#r9# z$J=ns2raTQ0lNVufCqrFfOCKqfDeFQNXH$U0N(?S0wf;Au4RB+zyd&-M{#x1`WW}b z^)V@obovN69l|LGz4GDl>o!WUQSg{TxXtfyX&$&HgBvrQ-V83EybeA(usdRXOgAf? zUhZ|!i?>ONErEa9H{ky*_^*Q7uYha9;Pz4A<_EVANT)vr*H7LDy%vM}3UI#&?w7!Q zEx5DS$JD~zQo$t{%wIn6Q81qY^GYytzzlckfhSM8Ge{^V70*KTi{_A~&qDG=Q%Qxh z(4C^?q_P(Xl_;N7@&d>Mi%FF)ke;Fn*PdQH1z1L3$q?ZbtWYWQ2q$k4PLW~4sbb+$ z8KISA7v{)Nt&|Aie3@4(`LM85hHItH7Os-{&LaJ44KSm6xiQ*2GX>Ur>Nr^TLG|lG zGp-k^UjxmIUZ|fhH}iUdw~%T^_Qv|dI+5I)@Dzs?QKix(^ajx~sk{p#7R5pu5@{Ee zNz1#?5s;0XQiC}xijtPrAZLq8q*H6~zlw;`iuWkGXo*zz9=j7HTBZ%*i)~10aUkX= z+Z9MdV(05WTlPAW+oIoSOPy(LL1!N#DsFU~5j+800{qwURG{m4YAKs>Nwd*Bs*1)4 zse(o7Iq{-C(LMC!tLdm8zXRCITEz0=mf3)vRfN(_5x7U|0mdVaeQ<+|fYtq|8V?h( zh5(?P5dPLd5$*<*4Eqi;c0~*Z1475E_s`g(tpGeVj~=8lpcNY+>VoFejK8!R3IuRIst?YXuA2e)#XN}>ic>cz*{lehreB%J3frjeRB~C+TV`09(!|bG8DQriW~MG;I75)wJlMcN%1S z8MoT**HO@n4bd-y_m=3ZzhV)pkab)hL_Z^-^%EhDTw*!ujB)3cqYs!~JL=s5b)kzP zYBI?I%t;H!?u;JH_x9N8bdg_gDM@C{Ci^r~`mOWG=w>qCI*shzOzpI; zB;!XSoLX;Aa5vYf%jF=u<0{Z4qV($G9^aD&k>i2{1kJK7J`J>yuV2j zk{^&@7n|-mwo1@%j9rfX68sy}dxxeM5o{c5IaEzUZo4YOqL7Ezx{ zk|gRf;&=OQw$AO<6M7lvXCKSn;bzQYJk!KESJxK@!X!%sQtara-SF-=d|r9r;)X++ z*Bky^*NtClTq8DTUI$v*dAq|bJM@B_A*kS=UV4xFkBwVj*?5XFCI=})(txa$xn+t( zgxw(idwsu|Mjj{Vca1h25=S%E;%H1hL_VmEwBM#=nWp44L#ryWG_xEv+aC41SsWde zhW%B1dP={!h;&^jhHM4}M44oVrgU+OZF?aODjQeYINu!J#+$>_GY)TT!ak*)5l-gg z?@^Ws7x9Vr$bSl_cOve2Z#$b<+W7I8eu2(ad4_)E}sL>JjxSO(dsFkJXA_2D?}-%8RT8>ic<^cW1^sJ*3R4RSW> zPAV~jk(t^zPYH;Th6iYAJ_kkTLVFtf_B7z_MAjPI|>a!7YPJiVE3tq zpbLG0H4%a?y!#q51YM$&)iMaW#3zFS!)S=Ms8tYjNjM7%3=<&Q0tyUKP0$20S~<2U z=5O^pWdg)nG=5HWV&M?}j18Gs7KmA9+oU+@_P9w6(f#A3b_nXc7{=QcbK*UV6R;g{ z2XGqj4qyb_02~Lr0$iNYrWphHVB4lR;r8rF*a}31xj@uKC4-!3=5WA3_*U(Y&J`Wv zKy(?XitbJEJ!_&WHo2)ov?wc2U4X)3=u_DSfh7L&q02_@L$-O>@C zG|E`Z_s$TPq1!W!{=qWWndUA}MQpNVxHi;cF4MMIOPrqW(p2m-{ag?)46KW)fI9N7 z);Iz=XoRg)hlulBJM`GC`T@sWc@Tf|lN)!S zx*7Rij#Vkq>MK{Xk&iv1u&x3)*$dWBK2ca8?9yPd-U@_WaHWFlNv`J`!xYD5u1~Oj zl;a@RJJ_()(a9z52XV|}Z65m#IgayfgbIC(<8Yf-g<*bnSV8vzg}Tj*(?>qV^ou8x zGVY=H;zgv~d&o}lbW+wmv`V~&RFH%iwwJLrRUQ@8ErRrZRuAtf&LE=J%agF#qS5t5 zN%-p`@A~pC_}Pf9pVEapCJLx8?Sh|;3G5S9_&bKb*_Q?pqx4%HKK-7vX#0eb{n%NI z=|V=o&n!B~#`L3SF((Rr`@LV#E(v-4_!o>d!r*@53;KV;G(O@56D>qSV`rL97|O@c z7#Tt`pFpEu74rEg8Z%7j35}g;hlJ74*qJd?=*RbMq(2vq<0BiHR3Vn{Sw!0*jNoI7 z7==PQ9}3&=LX$~!5p%TAhwt4JJx8}k=?e^c?IUGy8weI%P$Gdrul=YbSFstOdQAZa zJ$8$2RG&N!zr;ib20ci=6t{dW_t|4wA@uIVbwR`Dsml1O_^0?qw()&a+wpJpKER;& zcx0Lk40^(NV>~eEy~djs0fXN6f-wjf^qvfaZ;lm)1vKCcnBPSM*Ko+FZQZpRe6uK5Y+AaeIz3&{;Oc3cs-=u#J z40_Z}*nnW2;c{-iM(j+I$K6s#IOF6ow=}bzsdC;YRICl^*UB;9*r0x`9QW23tJu;F zJ<1 z#n9+zlVH~}k6HN*xRKC1+UK00l+Zi6Nn%{}lpbWhVKc;wFt;Fx1og(Z4F3~E`b2qX z#WtYJY2&OB4c_I9^HzETz8tz5jsdYe=0DbFW<)pa?AD1wtD$@6XCue4i|h4?YPT$s z1wID(%jq)8W9nhc8kv78X|^Q;N{uPMT4u_EQ^|Bop^TggF{WiQzaCPtC09o8q5QO6 zynp8HN$lfoNV8!ql(kT8q;G*WA7G<=1+00djr^CO9LV!jA3@zP16cFg2cocgX%g;~ z=^U`;G2x8Kz?vt7(|-ikJSvr3SiA69*PTqHIEu6UIMIn^XT3+S1oC_V0r8Jr0IYcw z)~*27JaPk&=S2jFFI59;9$^RaeEMcoguMz_^T@+?xioV#dbYh5So4Tq?IK{!qv$}M z&&~sxi6W^u4_$1pkxsse_zB4K88=Z&j1Pe|kDhNh-*UDI|4RQ4u;vl33>$zokMY;r z9r2x*Bw8-8<_SrRAAmKF1=hUw2$1JN!tEuH=hNGf@%Gg%JApiJ`XCGd@;v=Du;$Ug znuocPI>=6jxzZb0^DtNbPXqLmK%S3W4s#`QFmyR+o)HHl{?F&~2s6I<_lr=EwqV51EcU84~7Ge^x;I{#VH+vQ;3n;v2dQof1)2yd} z!aI2uK1=NDy4dTv6=XSx4FQ47l@`wi=1BBA%?{3_ln5{NT{Al+%u92|oR|`2RR3w_ zx^ro0rbtySYeei8WmivYM3soT?Gs>tBq@p~?MkYz~^6+*$L7ILO6Jd{&=G?>`wg*ZxZ(?WL zQ>FPg@xR(pQehk@z;LA5ak!m!iWH=Ii|wPN&`$j)yO%Vh9kT@HyCZU+`Gyt3MV+{N z=l9&?&-ksDg z+C@QZXFwNYwIHCA*+u71sSo2sRz)c_e{+%t*-rIV4sS5rsky~T8{|;bCn4Q5IUMsu z3<<+<v-MXV7+!mt(fpBNH`tw^-EG*Qxu)`?doie@1)>;a0VUXP8Y zJjME6LZ&fJ(bx;G@lvKs`Uhha#ox<2lJYqyC_HaFp zn9>y+xr8}Jjskwj=a`g=tz6%iMv4Mj)4nuKQE0eO$}&pPz=cwlr3wQVN?E)VU$=Se zGUX^Xv=OEnV-!2vyr!DwJEqHm+R00o{X<^nK}1v6Yd1ZH0_ZBr{6u3hmxa5ON6u1c?OX z1~U=NSzvw+=4^OAJlh>rbu}0?6$k-tClVR%E zM(t@RhLF-A_~j|BXvEM((Nb9tJ`E&gav&!WcS9Wm$}+``kn`IFEM0sW<5!`N40(@6 z7sd~h+aMh~-aHBfq>*2Vi=_oc=)c5Y^?}x+ztP`OD5EA(z7@=r1tgKb5)?wi^vGA* zm*(KA&{w+KB6Q!BB+LO*ft6_{S4H^i?wdW`QeUMWM@$1~a$z8}N;b~3>#3gZ7Userjjd-qW=OF4b>?0=i`@Cm9 zu_F4>1lmL^yWg9@xMU^u#mJNZixNGWY2#m$7MclQeB!Y_D zXd5Q6Fb^1WA=bEIY7>aLjZ=D5pE|?Y_h4`})I*6LQ>r z!>@``N6S)ft;V+zxV8>Jn#Y zZG5n1oqeV&GZneSe)q*^yE$PIDLWP2U|&WO_aJ2UT+-woRKI-zDPzQ2X&lSmRqL%Z zeLk$H(M#A{^#rGp%Z4qk(^SRQ=%EaT#ct4hQcQBTLGQEOxI!?Bj1On3gkEH#NbhDJ zmLR4W*0aBrVD9K`>=P2y9YZVok_0zO|AKu;f*fV=sGrt~nI?*} zG28+E9_arQ1;sK(5j8~n3RK+XjUbkYl@=CZzO`kwoNWUJ?hOZ3hP_8G2I4&EH~l0; z5A&MuMzO;?7xGvc6cOr+yY|8JFld5nT6qGBnQ+;j1sIF)JXP7&}LH?d!q!eG`?r= z>P09`n<4q_X(N9vEN{TtsMCaX4L;$dhr;v*bU0<4P}$%ePChT3(tr=At`sg+p;}2% z!u2WGDaO0(ohj%krZem6&M>lGe=^M7G8+Y3Hn!UFh zc^V2~Hg#i{8h>W*?nW;)U1V?T#=kTE%RW#`8eZqqzkmM!t-Q{xv7>4qfh^qnoyDI| zuKxYYs;Kk@ab~nqjarS>7Tz<(zi`Gd3XR_YPi($?Erq1a#9r} zXcyU$effcyKkT7>!a!V*eG)q>5V_ALtJb=i&D^b;9X8d@trOnNYVe*VUR-VLMd#C3 z3g5e=Zt2x4`K56C-MjAC|#H#A8T;wg#{$6RO4f1ky!Dd1V zR0T7E%95^1?UpoxbbeS!iNYA_-nQ=s1=SfUzL zJ7tzIMCCOYn-9MfbNecrp%Rwoh*piSEp0~bC(qT+wNG=5>!+tCT+lE<$X2ZKt<7%s zu3-G8DG{xJpA>}7_KyO%(F8IRrgx6(csGkc-K~CLJFMvLCiL)l_%IvY;iHORMW2MA z!Z%i~%BMEB+&k0s+VZn=Rbu92#5H4pHAO{bB`n5KZSe|oH$9JX4hj+eP@L{Y_r$)z z6xyacCaT8PRz60jkv?dTIn!JRyF>D*M5qZ6CT65!<`_3wvz+9_+*H^pd~XRHPHBo= zrCn)eHYMywc#D>FNK-u-Kq#Bp&#dEo1YNdC?jiyr*H-8lJ)9(u*^fM6nrme`$GHyI z2FFno)W15#9qB!w>J9bq!=O}w(~bd7Mh*d#hC_k2PpgZqz&G_I_Ea=?v+)|)S9e_mM0jPm7HDT7$ z->RS4W&!t)ITCsVGHeq&a(jrMXd&t+ux`n%^Y~joPjRZr_iuxr;!2a}Cq{?{tz@Kt zWORnoHzn>Rhz_S#i*Dkx>?qeMxyM$6c;|Os|AwUD&fB8c=j6bUEf7|8VqQ=WXhxLL zTy`2BYiG99yD2or4+3&0@T+14{7wpu`HjG{)4!3nKoC7l=f+>g&9;qgX?HUksR>1q zR}Dsj9i?0A(QV(fK z5Av0vq2+QdHHici)?r3fc&6@}6K258Fayf_@;0M?wAc2f=ON;O;hvL+IsyB2sH9DX z*wNHHrx>7N1;a#2PwC_9G8Zg#`kXK%+VFv1N)}k z#2$qV1JhvOR~RVyGVnDF6vMzz&I#39!p4Cl&#*e&VYIoTX;)DKx z^^%iWeZWnB43!E*NVMvlL|6TQXE5WUCCpjiY8&tgrmoX`Zx4ogb?hZ*qPBj3xXN_J zy2FVW4D;1qc9I4`3gQz;_6h<);s20h-f%)~W+3{u@%i!kUqn1tPp?k}X{E))NvO?+ z<~bm*N|zxBG%VEQcn}yHpe6@H072|;%q$kD?miHCCYCp1PQkW%J=fJz&Ss`AOD87e$ zYq$XW9AX{&mF}1s>6Rv8?ux<^rzhb?i>Qf(N!Sp>9L26GhBZR@5Ffr|DT=kkuq$H-5N6`(`ItCl|Y@inZr z%upq`vmWEO>i>l#Ho{$!3a{#>obO_LV^_>}UnT z{s0=KK>MAU$mM+0n$02H$d5Xw62T4K4^iE_EseF1W4WyzZ|SUst>a8VA)k>%eJ)tW5AGsS1-X237iEWF!LV{WL(;qpm$tp+771;iesOxpxgZ=#OsA3BQo_!?N?%~J*s za$f50LaoC_xyLP<$uiA3v#+=gb-`eFEF6wb$%;e%2HDA#%IHB}8h)aU>8Kly=oZAG znZ{oooK94E+*EC@EvEXI+=oY9VENSUUmkrKy5}tU|MuH?8l^9HtZWGAVZT!| z#TBmYz4!{oKh{_?g2naH3e6-|oR==t?7@mLYD>iY#5@}Qlz{>TH=Y&D!xj&je-CJ4 zH~cTI;vw6xBVHD~nL)SwZ04}SVlS1$C6Z<$+(T#5kpRZmanw&W89!UK^ zQW&8om-?0UUo%LX-NP4((XNA49!CtBiVIbQIr>P&kt(k=%8!<~e$Zfxw*>Zs2HOcs zYCmYO`E>}okly054oMd}1UeB?`;l>sAnQ>MK90N(^0ktZiJ@!Lbii4=T0sN(ZK(^^pF^Y)?E!nSgQ*(hE-pu} zr9RL;vw!6vswNIZFGgInZE+N+r~~nfp-o4bC0G?Z5W5)tFRUr9bfZV&-(c{trfBH~ z#hDN4tIi77wr*k|`Gf9|6X`ltOA4eCi+xrc{5PaNHx;$ilqC!vX7$Dfv&T6`noGccYkv*ZAjnt7 zv4V+w%01>1Elx?R;yy)fu~qfSdq6#(-*SuvvM8#S^DJU1Xs{e^@+bujmTznEvuv~a zfXV*I7~QfDBvY7gb-qq?pDYhM&Y95%GD%VT-yI@8x;cD~c85KS4K;{`wuRNln?Szz zyd#NEtjRv`bbdSH_q*P=BnK+0=X`vxJ#*(Mvu5&vioW;dShB&g7%YE&u@rzM z3@rbEh4g$FKwfy?4AE;GSp@13JwkDJqq9lPEiL!CQPK<|!UbKLUB?}gYmk^?c-b3Ybo zE`D*@2QK@;<--@31aSEeT!Nv&s!oZlmBitQ5bz39de#=z;ew&V>T}pk7uF$H84|2i zrQcxuE?tz}t1qh^>MCM@mVx`v?hm;p?0(7u>s@6~ZDu?Ew$aBiu9KXakPIu~IiTYo z+!KCQz1u$3WgNiaAkWM03?hJ(bEq>av1kZ!%J>W@9QfMFLzt^}p6h~}x|wv*8sJPw zoH7KORF@Qu1Nf(uf2~!+V{6L-5i@PVL?BW=x6Meb2t-qDs-Mok74Z_YU*O*AQx&Is zy`R$mvCtYqAZ(%jAQnQr0&~Rp9mFdzc~r5rMnusI{nZ>Q5B1mVH1nb0PW_WPS{}AXb4s+#^=%dMGCAIQ&P;dbcj2H-+5fJ)`@@pi zAH0wfWSP@Xu1i>IVf1@0q(`Y2IYlmA3NS#UbRlBKNO(SSg6)`NZol6MCm(&q$a9QU zjdxFPMt&mA)$Vh~b>!Ay*BKWp&ZPv5MBGBIww+g;N+AwWpIF!S!^?XM64Y3Dc}am3 zrsk?s2`?`uki1)a$T`Y&syi@{T2e#|p{{6zov7Cf#@qX|{?>mGR1Bx}Mjg?PI5VVz zJXi+jNhLQ?8zB7x(Lb@hPMQ~ovD?3ISuZE#7z>2a!+zZOH2iGnP}45=y&w4EmdYuM3m~*HGvdPctP&R)mZ)9Cyr;#g9CCckzb0ecj>66!AUOQRAbQ zGi~U6>Q_RhjM&V3r5i0mS0AbJxJB6_DCuX_P$yd+{(isx2Nz|u<^1ny*xwA>1RrYd zYJ-)@DU+U99VkAtylL@VSPAd5+Lg%e@FzbzrgVCg?^;|_ecp{JZ+Nq+diI6a)?%e+ z_xLRcSOz1z)ryzhv2cUC+0!x0(!4fVD4zj<%ecw44R;h*jZ?et4+j-=E=iT<`D z!BLDFsqJ6C)!4YA!oV`VxMGIM;|Tt`+*eQYKjM z&U>esYdhe0n1r(~b0)az_81)4PG(ONF1$8-2%m6t9`shnTP#WAt!$MCA%7W-K>n;g zIIm4x0;G(%$#U186x_z6sACfynb5QymsVTQh<oBI^vAztHiQ6!&Ua5L_r?dKEEz5Na(X`d+L+)&WG+E&|9l85-$ChDlngUI&^3zF{_ zm{&%wmgFHf-Mx$%+3|OTL+?fePTos%EqTelf3?-xOyLM>7xG zD7+lg?QU*Z+HdA|x7M`OnakVC{Gpqhuxpu2*zPc!<=4d#okr6QE#m%CSy}sY^H%w7@$^oOX_YdJ`IkMC=db^DQNySf6W2SR`K!gxSzCRCOT0y)3rb|<8X&ejG>=NjDuOljaYw4mrv?JkL>am{ zVZPQ|6kmO?&4)+%%3?S3x`8LX+xXEjsvl9#^Vi0T!m1Bf5l&JjTj009J2MIW02;=R z??;snV*+nhPPQW=?f$eqfk9+K7V zZ!+|A(+Y0ds%QtI<|vblx2dD~{`TJtU$eF-Ga|rcVcRSi_Sp$nb{^ag-pNIyz++<$ z++;v{9WD%r`TwBvCsXFytUsSfKT*){(0mt_@Mj~bKx`3n+P92@Aa1tS9%*h z=iOECk(=&+`TyzK510L)u0e71jM4n4FNSf^V7Qt6@hJfPfHs2MeGe0w#z`6)7Sm#s*ffMnz4CqbVw&sHlh$3#h1| zsHmukli0?BWgJEsjj>@FCpgZ?D5LM^eBbxJf86_9_qXo5)^)ANv-juu>}Q`O?BtxY zpF+HGbFbh%o;noovGp$EJ?`Fs_xQ(|#OD+_E&h(K)p_W0f_j~xrV!Mb9|`Ifg1RFX z)SE8|sxLvECI*%JBSGa7)GH=}x{jbm5Y!5f9YEu%Z-P5Gc_v^xZ-UI&3=_|(*TL=^ zHw`xWW7|^EUM|`N{7+pZIIk7+z`x`87-RSNohIz0&n0X&bpQ7Q&hUuAQ)&o9N0SMo zIr}j|dC)zK?O>O}PLHx3Hs=c-!T$e)J}rFC;2&pN&-{H__XcgtD!iz=Q&m%k(og;k zgTjY#c-6xeVTOdEe*Yhve=fwZh%jQ<|NqUp_rz@fgkZM1r~kXjRS`|BA-wO=Uid%S zgLo~}A^+#i|79nHuv0aZFu#v@XQD?Ez<=BPpCc9xBSwrRM*JT(i{HP%hk2>{IzHId zZCmgmyPg?=4=ilyNIikSVFA(Y#sspCEc`XtFH=q(YHLgbh5Ty(CU=YhZ$;Snw*Ca_`a;6M9HO~wp8DYMAHMxs7yCRZWYCsbIM4s9+0#5@+Q$upPR&he zDBrhj-WvCzt8(_y0r9C#We$h?6V*kKO-@ zY~zZ$n3-+%13^wRrZp2I#GBtF*}QO2os9VKpBM8RVZ37y@nV({#-Gn8&ZFl)ulzh= zygZ6H>Hl-`m~oL;e&dcOOk`|^wRM~4Za5!NI~{ZEHJjwHD}F|s^CXlnJuI?n_B~zs zRy3&DbG5lw>RiStU6uNDzr_P)OnhPA&}P5YQ=jd(YPVk*KLPG}4qxNvv<;rFd#c_TO?sr1sdq;sAN-GMSw90s*Wx!tTaHw$ z(OMX}(V4ExEO&X{=qBajwF}FdMs;UbCfh1oO&vH&c&smS}CTYnh2%O9kEV>XS?TjpLWwVaw+N z-SF^}i{$aWIi&gN$H^UD0B!>~58y)@06zdv0DL6?FvK1Jv;fuva0f%|4d5<-tNbyh zjuNvK$}8&aC6osH)Px0htz!dr%HkSny+QevtKqVQf+}PlwZUPY=6+*{IH?`GBY$Hn zlN=j1vXaBM6=yD{gee>~KjGPgGTOqLTufb{Jc*r5TZ9Q?C-YA{e~saKt&p@CE5g$XNLN%=TC;Lyoqs5O zi_XX3Rvu6n97_E|`IDAaP7|jWSUln6BI5OD;o%M>3_C`_=(G-?eISNXJ8*s64L*vtsOp&cR-&*B9vm z!5Y(UE=ry@X+~l%G^_yuyeEbG#0cQMg8*Kd>4KO!ml-BKYMjvuzn|6iRPE9T^8tT4 zvXt4kjVn?dY_{(%(w>$#|>5v2)*!&bl(1PuC{wu`OaGxs6bH?rIB zisWD12~OF76r|#^j1)xq@XL@#;k$g9hKV~t_8*mN^sKU!&SkUnTzjaM1x9N1loZ-> z-N5brF%c)N-lW~6|AXf?@i%ESxXt?idp~|^8;ON*tjsoZ7UG+XM#qfAV7iaKNnM*q z!OdR0a~rFcy+^jtXjRtP?@-J6DW2Bmijw2;K5Y(@oQ}k^+gv8G=fn@kvz^e3^x@e1 zJlOB_0A)BI!ME1yAzW;!&3=*6FQTC(9v2xldZ(`BxwMV?$S(c>X{Yjc^^SffZtakZ zhf#vy=A)?JcB;N6-x6{25H6_ z1ItcyxJ=g%H?Yb>qO%L^MykeYOB?-qdCH|ezsj4m&PM05gJP$8p9V&uUZvh8cCN>k z^dg=@Vbw&RcS%OYGq*?VdJ5qWpSZ=i6LcMZG(lw*`YeKP{%FWmmy0L~w!;WZ{l*UTm-RD!H_IsMmPg%t1sZFx!7?H{;o8aPa zcsOi~W*+eb=l*`uvi;9UA5#7#^=wTdb;SJkm!VhN6XIF6%ixDQ@Y!F}vq;|E{_OfO0hYNc1 znMyzS)Q;wl3^#C9Tmt&;PAVfIZPX_+QGCgl#3(aqLu$!(CQ|?@sA~#u5Dm?WAJ) zHn@LT20I?rF03I3@dvd|Y^NRJ$F$}zCe7iG?P@xB$2GpV=6|_sAoayDb?2QUw`nu@ z%Q6x_k@Px&A!rSaBRihuO?oQ-2@YOl({8bS3)@b*#5lFJD3%hrKbaJ%ysGi-i|($! zOzDpc`2*h1w7IEsMs@qrrGxKXwmS_!hpV*|O}a6=)k{DzEy{nQl~#OMs97CBf6tz# zEf%@*$>&#cPw_HvSM0&FUc8cAaDf#cT3rdR}zLl0fW2})+e&&}NKzctu zW}9iwgc{QOv^iz{A^W+uf5VY)AT7(9@%7$Y*P?3HkJ_iDhis2ex$(jv%s->u%j(iT z8#X^|+TP^nPOjr1sn4ATc3laH-gf2p8PEP+*i%{1=zT3@yfn5k@>#3(jkq*{ey<6>${gu z9@uWHbDPl5mLIY^v9|xrD)SqvQgvmWZJ^Rwy}8aJkijyK`aOP|{avd`?DWdnjdsnU z4ag15TOr%o7}o6bMf&K?RqIza6Gqb?q(X>e`lU+G&Z%#5NiNE7>KZP|MRjY;@*j1P zUDz79rQ5!Iq1!LW1rwrM*fp_*bW}IKE5C)}oY^G)@cfbdocd@9>5*cc`ogusz|ZAw zeD|)*xku~1cGQ2Gf<%7xfoH2?5^mzlgJ$qF9p6y&8|UbC${ z@7wcl#cQU2f6XY&>tFM4b^q1xy6ELUt@*B-_0OS(=XYNJ^CxSyVZy-oL*M^x`TlPG zs~caRKK*v-``sOvesBE#_0Ga~-=ED|zBhhga@yDLpHHqGy<*_$nr)90P1ffpe>-%C z)j#_C+`@04_k?^)k2`*O^a_tPcRaqi-rTc&SpWBat6#tW{`Pm90r3Hb8#vGI6I zOozH`VEv20Nk49!+3()idPkd>5@fjGT9o?z#(UF&wRMgcYwZ{PxZOciWX=<UDQtPAteZT`M`QD5mVYyL>-sVDlcuj-$!={fZ6 z^VALL=QpYL?C6+h^JZ+YUHVv^(*6hCXHQE-2rRV?kBwqJzWlq#YID0x-QOmA!2s92 zQNHK$7*?BCMS$mO+w@7gFk;F%m~y}gQ(jsR^MjxHI>!8X!DHzURW1EPL0n3p#e_va z^!_GJ;UZp0_h5`zL+GUFMRPyyk7hw!Kko1Mdoixu8#3(0xV{C~wixrSZQ=EhMp=Dd zaAru9+s)+eg6JH+7^}Zp~Hd45}z{}{?c;qk~Sa;BKofR21G%a-8D;LrE0zJ zT6cQwlwEJ6iF4b{viLzrxoGo*<7|?aP!B3k8^+wVO>z8PyZA2oroEdsrG^^kuv-&c zPN@q?L*Vf^;jw7vn~syii|Z*)@+@uQUFTqjCir-8Op0i?V5eD$NP~NI}e_`NRF`=0E+B`^J%SF>Q~%DRspl z?U^_8%$^=N!)#&aA~Jb)Yf%9;Qa(qURE{K&$KdH<15Xbx1VO^n;}$$UXagSe;ps6C zo*ps%<9f(T-@bp-T>zFurdJKM#!sdm>1XGjP{JoPMJUzUGRbtkA zb`?B5%3F&+nXOS386;wgE9-*Rv(Gy^_={u>zqpM5i8>Y+R>!%dO^T+(<{;x|FF1P8 zU%+1@);I!=9(ErUocPt)5%wrT_})+IYB7!FL`5*IIg2ezh=?#_amFNA^ac6{tdmZ} zj&Qy;HlyCDTe##KiYQH=$lD+O8f=|EA_i!I@YgsY9yAI58rczWPktW1B*Ogx{54V| zm=EBuF|W_I8J<<=jD;ELNK}QWJgFEJ+XqXMkTozk4mTddx+bMCZt1MM3T~Q(z?u0# z9W7t+tNK(Ob%-dm#Osyxv?#8`&q?yP$g|hONxI26t=cONK9eH&OtR|zw@J3>W*Mfp zv8JP_IjfHrjiTlwRWl63+FTbigEVhMKG9=ZD6^D58-m;Di`m7}`NlbAr~B#kUKeSv zm4Cp|BcvCR?(U*d(Z#4@3ty_lHj4uIQmNoe<smuh!Ey8ymaQShbOXtXQa(rqyxzEmMdWp$+6W3fXwqyA^Zl5!ia=P}v> z`8!Q=`6zK#4=G78NIS)d0+~Gs={h5cFy@5k^w6&;9&5$rj5?1GW{&zo;pUD(on9Zv zTl5!*K*T!U2h`PKXujf)S1LA7VcW^<^j^Z9Vpa;LO6qJZ56}99`t3!+ZDPtzL}z>(iCu0>I1nmv5q<+tB$&-DV%XJ zd8%#2j?1oj+aL{)8pw`&j(J-lyCGZdIrfi#aQmv#_pM|BztD8F+3upFjpos(y`-O4 zdHmzi9OzDccki+J!=^LLxycK>y6c`KwFX?+C|rbv{TT~;3Y4c0LOEf9SHC9|E1{@@ zVl-C#dyMEGabcrj`=`jt;`@)yR}-vJ0IC4&2J1YAe@ofn`aW%k>%i6_Bf7Uhc0sm7 z_6!-(A2VZNnFk~s;tz?2^T2304{X|>&W_#*w}Pj&*R^f6*MFq`**J3{!u=R$^=8#$ zQ_Pm@y{pqZQ2_F~S|aAKSXuF&eeV9jPZ$C?Lp9e~DiwBc39+fQzn?HJf?De^G@-PQ z>F+gDdO{TG?}x;%`htw2n^d;0A|o@Hv{jdwF*%r$t-JbcK5LI;wy3b=>dKV7|hIx1hf$RaqLaU{`rwKgQtR7v7N@OZnhfCH*Q$H%WSF?)X|BBQh7~ zb<*6K3uI&Ud8RyUl|C;;U9Qs0l|>N0duwSI?yse#AGEOgvL8Ym4?vnMtmx=BjCXX3 z-R&DJ_Qj^~+?}n4v%{up|HMEJ28MxL1VU#$2tKKK;o%T}NHoM7G7N)e?`#$3Vc;nY zG(p~BFcT65174VU0gNOmY!Fh-+~BlJ^B5^UkgIQ+-YGn7F73HK67{}FzRKT)6Lj`C3Vl+>Z_6?HRX>$X7}AT^L3Gh_SxZ;8W$ATba> zNE9RtGWwRd)dLa^0moF13ASJYBcut&eM+yK9MvBT?J#K1UZY;LU#{KG8=MDE_?L~O zJWtEYt&R41K9;hd8_n~)l$FQt1yV1j9kK5BJvzkjxc!FY4*(AjX46|H9I?*ZljGd# zd311qUTSA%k}JXjD?=I`x&s4c^AI7Nuy)n2OEYZ-{4f9gyI&^ty?vqPj&ZR` z740~HfZeD3DARd(gm~U2$LN;}xA)q9!!^Ng#ucXR9pv}SsLBgQ-`4aV>%Ub~G*69) zvg)DRDj#b-%NfzZAI!eX&uf_FQPKE$|E^rAjVLefw&yJRm3CG)#^a2b`+`{|=`?0m zr^nJis;(xG?>e$r7qFbo{DW7>c*b!VlRhDVRdGhaCnT_1lQGenbU>G-ZZ|)AcnI&o zksHdclYxGT&)$leJ9A$OnuXc+VMsv7IvJ?go-hNhn7l;Vk;CSNEwg#GCk`Xe?>esK zY-Mgj2;8Qfj!Q?vZ91rk@fX~ti#y3K@*4GCxJ@JT?=-kg^O8`EZXW!l$+zG(jcWEG zdNKT^Nk{ekYUY;sL4CF*LB6u3aGNel!J1yF-X|XX0+C975$t-8I5R(GDg33~>Vs#l z9l5*C`Nn$VzOH!I$@p0jG3|7Hea2hIMcOwa_h?k$o`w5~;5PfkY*l6Xq?b=xNVQ~zOJWTV0aY9*59zE3Qr!hX6Eu`(r-G(7;Rt2F;WkEXk zvIE^##~i+De#S3tk}K&KrOYt0oLdlP$(g=W#WN&jvUhJ;L;SlnK(7ucBO%@*$LQ<`aw zk>Y{}2um*Pn(%;{F8`uFQ$lKB*&qta{1ba4q7ltLaZEu7x$zPqG(J2M^=vpraqWq@Aoe zO(-C7NVvAw`iXs$w5HKYoKOusM|hh?HpP;C6e*t8`7 zt~LslhVIjaT?Ts=UCXA^l~$)LGu?;`u-jy@Jnz)kwY_W81T zrN7Zf?}s8Ciio3+4NU;u2(W3%pn=T~=b7e!xd6Z=0;qX7uXQMf=K|aV@I35(a|qJ9 zw^_btAJN9O(cg25rI+--7$p64SJutoeYoUFYFEPmTkkH4*P~66Jb=+mSPXD+m z8mar;Um(iey^Z|>QSNbl)N5g#i`|d#k0Y|lUQqHU}JcRuTt`=(Z9q~Dc#l>UE(ud@(_7qea_ zY-%QrR45H*nHKf_fwC=pcG-z$%M*<*(Sk{gCZ!8pye!fjn@~r`DjIHcxA9zhs35m& zTQB{b@?=6(d1&;Mdd6+$D9u?Ty{w^wxtJ3rbvMo|yVyZ1z?}~VkCX+*rDbg$)B@fI zv+c_Bni zwcH5C2KFLtbcEdo&YxPdHc|@9O6%Q*R9|t(Y3^qzY~!XN3D9SqgXvfmadHou&G>-<$}B5Vdf8E;308r8fG53AA)`)`jO~ggyFg4AgPcErS=2W z_dL2AFi`}IWsR2!$HL%i7z~5K;w+con?beEj)E2%;Veil7J5FO0Avl$9nA&whX}BvhaH@;-ReaS~Pnl%B{%3(tb?zht^0KRo zC!x;O@QjQ}j34+m8F>$oLNX*{(gV7l@0L;Ufab#=l`-)F;{czUkw46A2Hz_qXBhn@ z-?6N-#PWt}rn<5OPwt%5n@cPL5i6@Ku{o?n-JC~~w~Zmqo{vybeN?m0NK{n!YW7+f zUwGHzfuo<~i;>?IzxL#98$tBDo@6vO5)D2YsYD|Mjax(`5seU{F&>ShXw);GwJaLM zygl*^8;uyE!9jz8#xfQfo6KkJj!~37{KpK)9{Nt^(7nv`V^ z&z=O5?uZc_f*1Md5A4TNpihVXOqIFcpfTNE}46=4g4_^;*?4JH}|M8gq{3N%9P(MTj31m`Nx zb?5+p?X-0&LG;Z2L*`elx68|JnuanrIft^J)jfMn=o6tYR+il?+d=46X#9YrvRirW zmvR+d--<#KzphMO!O`Tt`GHZ~^ovWymZCYPc`KG{m%U-r=G<4|o8%Xp7S7(r3khp; z{!U^lyLa(>ixxQTo&WCzbDMV|ykRY84tkrNKETFzNltw0D7?n2?IOvZdrI=s{cP$$ zeU7zhLVkqiIO@1xIsl*nz*qpchh5hmbNK#m%=tv-hjga0?EL-Kpex_}HV$hgBnlD+ z8NG3sX_-r@As!-xWI)OwdxqW9)Ijb+E|qSfY&wuSzMt2;e;2EI!dlCm`OLt)<@{#h zOZMK%t?2+(1E2w5v-VbICj*!YKtKRsWTyZq2jBx>E5R5KpcKFi0w5S^Yb_u4@g}w2 zP-SQjd*Fh;(VX2f9zY-g{Ld?VHm>j|wH_t_PcROGkq=-t0eAs824Dq%w*Y1nHueHY z0^mXbgpET0W&+qr0ECSL0CE821GpgvNZ2_F@MFUQYj(>*s0cXF2k=_JA^=JP@CG0O zPyyg0fE!@EtNVViJ3~7%5Y54OaqIEo-0b&Oo+`$RD*~`ak)i#Pg-#kemoVj*Y;^9x z#A*l73eky#W(vm5a{`bFUZzXT_=q!NH5xV!P@yqk`JlOatwyODUAIkSik zCVq<#;@x6&W)T|V-Ixp`=akU+LNgbd*Mue)n&pHB@6%g+R__Kjf1jb0SPca2ty;3z zd{v8z-#e`^IC1bh2j-W&?aHpJ=Tg?jJ$%Xg)OO4slmnm~At)iBxPbBtK}iGUFHq(a zlzpIJy-oX#u;K*D8G@1u%I~0jASg|soF^!R6?afR6O?pN{sqMyl)u!VtOsQjVTB1w z6(}o+b9oNR3UuE3Kw|;T1p#OQiGz<+Va!EnzbK#$OB_5$0-%X7Ld@d~>K(!eakhVg zRz%Fx2+DR)E)esuL7~E$jldsJ%TZeHw2rY4X#58j;%_5x9Ej9pRYy|)-03ocsRi}~xTrC}H7B_B}XKVDl zb($u0w(Y-V-ye+5y>@5Ho+fm7=xir62X5KtHAIdP3LaTYw*tr^fZYI60UW*!9ppzCY7^pbniT zbYegqs7GfzI+qBmAu#d>#(YbL#i8gNgJun3fSCL^Ix8{eeJZqJ(0(Jdcoosr&=P+$ zWAOgi;*I_?7O$T8yBRC+ANp@l;*K|U{d_$+cqyHZB zRP_J=O8|lXiH^9yAJg+c;VeR4()1azhIoR2aE&|TEYl%wkM%! zAdGZ^#=$(D#5_Krgb@_tY~#_9L1T^2X9zKI27u!*@9YceaCCme8`l|{m_1`Hj6{LD zfdz{P(aD7QC-{(t6dffw4GHPfP;!H98mZC-CtAG&9iIhG`}f(-1&5fE)tA`}#5nR*O{`p8q7R zwY+|YH!1m|%IVX0jLN|{9*b8%iF>u<{_$iy!PPL z_Iqcv6|UW;uOd1Q=!`+<4$&F+#%W;Zy^#Kp15->Z$Dc7&)y~)6h8%j{~L_C5|Rp|yY9i6~+&3U$CjRmvepY1F(0*Hna z8qP$6jm8Kx28Uf9`u!s>_Rr%UXefz>HySsH1`mzHM8g-2w?xAejh(#M{-ZvZWltXw z4KFm#5e+{yzMwJB<8tK1z2-5WlX!C<4pOyFUckHjt@Duil#ercbFc4GwfZdZynN-@ z0rNrUb9no&J~SR$5q!f~+LaVbeWaX~5Yy*c64ro_c`H1uWxkMakN4{v5}nXVf%B1e zoX9?UvNe5jgjqdrU1gU3i25X#p5}O58j7M}Z|sF~^~rzeK(r2^D7ZStm8AqR5WPH_2z@XALwOQp-Tnk?cMxK0=@H^+8<8m) zgv>VASl=AURsHy`tQN`z-E7m^Jn9M*4%uRy(>1n&`bD`QVRWBcZ`i|1vdB$5zJ-yl zvN1R#;`ZzJPm4JT@$3xl4_iYWX?l{tLU6Cq%uJR!H{pZ6@^<@Yz9kCRHmY%6WyYvyk&OEa4H25+hiHFA3cH_7H3&3Z%A zD&38|-hfbPk#R_G$T3M=`SFC}a`q?Ha~l*p62=!S3=a<-!S$Xm(Uw2d+Lv3wN!o6m zek0?@=T~G*VUPpI4 zx-Rdm52E`9-6V9K-&xN@sQ+nyi&yfA!ejn6uM|Us7m?pmzEC*zfw_}lqp1M^7qrs06zq6g-u(VK$&7PkdE4V@j-Q2ELerV3+G**I^~c0pp9z#Cb+bcVyplw*qhsJ1}==5Ss6lt=6E zoLISw$L{cP$3xv=hTxW+D7G zvy_ZY#?^;#_tVTJcIi{^8)Qd<@J@2mV1Lp7As77jk&SJAA0zc%4 zv%ZMxsdkM)&3@;kUm9adJXT27HTv~uenOSgCkWrU4ehBYp?$mS(=E_ z8|+&dDst!z^p(vQS@wn=M0m1GZ@@uWk%-w5Ne z?T8vmJtsg*tFbI#eU?tZ6a5i?eOFBqy#6mUu|-ac5rn4ryhFRdaL$jpDxyOF8Cbfp$Ei=4U3ik+tDZjKjrx3UY$9Kw1Y3Go;-;%nLndC zdlLCqes*=?BM0K>%lY%Fb03iZ;TKjHKcLe1t1>c%k$&Kh$;cZ< z5%8yFOd5vW->i&+Vc7j$lrgcLbbvo0Bfp(8gFh!Dr=9$gKP986oytaOqs+ymt^BbW zlNVF6`Li;z7n6TRg`>j7)ZuW?&H6+-#ZS+e@`MXC+VIzOq2BOD zU3^!bH6>Li?3!dvzNO3PDzJu!Xj#|94e$_6M(IJyJS6|f*+BkXm)BLaKjg}S#Qjt^ z!HTYNsP8OD>Jm(+6bfc`rA|kZ)|{>}(~+cgY1f1oNYa|tHTDH%mDf^rvCj5WRkxJP zj0q1HG3bK#8iU-8>(E9WQGq7(b?YI)GZwW3sEA* zD_9tiyXD!pQLUiN)Y%3@>BEd^9VTLIgYqMk7#Rd3eVBt^VZ8=5cB%X2;`8!4!3L`k3ey@-czQQEUBgJ7uX{?CZ zpBGHuBlj_6wZZ>;oAizzdEE1m$2~}!Yb-aN5>qO?a-<9NvrW76sAJkh?-?9Dxon)P*>=5WnZT8vt7n#_y3&5pN0g0mWkl&M$|l5G z%~!qFxb?+$1Qn4!>s0!0gS@`4-yTot8vSJtJ{|HePuN`8({exl5a&o1B5%UoUki>R3Qx>jW8@1(dD2 z85xrc$l1E=4CD>^S+^vku!l5Umzt5)Lpi0JmocS>yhK-+k=sN4OZQzl^fsT;74L0k zBj9x6t`u*^ErDfMVhTgtO33Aq6~fiEcEM2okQvwNe}mx!fzCfIA^tkcy{8f7qkV63d6jb!JWA7TP8>_ zHTau7;ryUwG@2tTH`3IJ4AU}QD|q9PzjvHzhd(Wja{wu`t@|g|(uz^aXHKSjg;$_t zfk0r|-E5YoykYp-kz!a6nN;9w+ShEm8maM0GaUzz8n2i?uPo^S`I7RSAu7{u0BKo9 z<7%y`#QdAXM$Oa4i2kv|;FfgI&{9Ibt$1bVEFp&?45YDy7OHq?I8j19CO>UBT*5e} z_}g%!p8CeDL|U((>P*?2;+IA^zp%HftQT2c^Kqw~)&J;Gh5U8wtc18e z|7&3fr4-Rfe}8xC4?63NyqjhMU2w*vn{>U-HKX7r%|{oTG4UqjfR3n!3&#syMouvO zrOqLvD45394avwHun1IKil5OJ)y(@w&emU2?~JxOtXiaA7i~9NX{FxQVLn?mLA|NN z`mHiZ-OyqARy9X0?XY!G4pJj#&P6pvt?01Xsft3qOcWq_L}?3(}az^*1n2R;fY>Lch+wj)?K$)7Ka+^3UT02Q#Pu| z?vR6eJ?Z{)k>sjTBylc}(A@0c3Udmq!(&3}b&eeBO;BEe@+T-Xs4qjESzz579ZJuG zm0+m1LH!fdY^ZN^a1DJB1!&ozjRXx=T0naU+B?u}K)V8UR)Mu?Iw&JT>EggpdN-`i z1?@Cw=~wln$Dq!_Ik|y;8}wXIaZcT^_8zpqLHnv-SQg(xV(7=0CCA#@s{Ay}HcMBy z^+dGMT{&~K7Hzh%K@VlGb#zl_w|SBME{)1K&a}DPy2vSAnyYg%9qzU)Lav{8#!}O| zZrdlUJCZXxThr-oizm$Un)9M4ar%4mAF2xmYMWh!|KGAI9of_tZ63#5uW2%d_ouib zk?TH#Rk?LVz$V#oodteGohrNPKs7hu}g z&m8bxO=;4Xnojm3Bh_m1ESc|X6cFtMWzNTErGDtdPfwga0B;7HZ zBeSTl(wc?pgcQ!SaOs4II?YRNieWs|+4}?ZX%3~@B{jA^_HVSQHRK)#XYITiiyr0< zZD9?q$8qw6e?L$Aev{-Ne~(LDvV)=?m%6mg@*a;v*QlEnogQbeF(%3faH&h$&!Qy+ zW}5eeS;`oEW_3P`R?3g4&KYL*hVNBfG>q_LKP>oDHXLa1_DX3AR3#iT=F#o976TiCLg=IflR%zs9B-f*S&~dfA--gA$Boi6! zG71JP#>+3okL!!__xXnUL6_7UqOEQy7pd!`?QW>7)Ri6PfyxQ$%^lW(svxzp!}73l zj(TT@?P1j*^|}s=*~%$cur{+*F<7uxZi%T;yxjdy~yv6gqWVGG(WH zzxq%zeW&7u`fM^eU;Z_ILXAVa!=d;&<;d3TPMRl}-IcbV{JS8(E8+j;V%IC5wj$|B z{P7ZYuiH_|s>W>ff_BSKsvPMz(QvNELy1%r!u5VA)rv-Od6AO6A}_9Qr1Y6_TzKMK zx|co+$=E1Ks-waU-SjKUCT(<^+Xl`ZiG_%P@_LnUh(>um83T8Jg7-8##VW&8L@x4d zC5Mb2;z_QQ`?{&&@C~a{>=Ukwrq5J97v{8E-rSTU9dS1>JSv~|N^nFoP{Pi$KT5IM zmo3a}w+x2T=Wbx@uzcD!C_h5UJW2`Lmu=`PVT*bBv>c#sfjSG-pSE=D5lur0 zyL-@4%Gbth;hJ_!S14t71IzsLX|_<-l(3^+j#92RW*fxqmi181!nvHqxy0quiqPet zdjQ=LOW9%{$Z$vqWE8{;G87U7iGlb*a#;vIa6lSZhYFAJQaeGZsm7lg=QKm>*XY_m zsg|(^LFJ1wnH8Ra(lEgc)7EB`j>yL22ABSvNsOlo7sLK$i;J97c-#;f?ekVLSWsx% z(#%LxeZg}E(tyJRcD>?&Naky$2Q3WQ9!cSHfg(PM6zFwNHcQv>hL(7r zkX+#fl{i#6m$*QjAnp(*#2LbdxIr8tgCHEp;40@{dk7c8g1AC3z8B+rF}@e$dojKj z<9ji_7vp;|zBedRy4pCdt9UM*s>>3pyD4HH2&VBd(mkc*@_y6q^FY1x86jK7S7S`#WzXXp=& zZ@EKkz(oY3*&)9=(u0q#JZki7O|7S=qxkO9J}WV@qsE{xc2mF2dxuMKY`1G2J7Dp* z@{%U5FX}(ffQ&4OtlUzwH=1TBtEZG9jbgM*6UkMTMcQ;VfaC}DEPb}AzJuPt`9*7G zq>D3q%)%5?3^U3Hb@Dz)a`lC#ogIuK&iA0Jf1;{blpxWxE!pgelR_I)eoJ#6vJ&!@ zH=;j&KWQ-BK*yq3X5N0v9^@@7TftTCDhFm{UWp@ETINK;UaC zbe&!{#CAx393){nwTZvfwC@^uwZjN)X^qtYa#9rY-OG|*&@L$}3{f?M2SP0+qxlG| z^EdnE@Pqa#F1p9EXy270LyN!dZG3lh`dfq|Ql!z}CR8!Rfbhm+c&vKZ-|iT`I?gql z&zFxk9Bj6puLv}pZnpd&pKUnSZ2Ljs^77l)!Y}hU-l*1>m^sKB)%rx49B)+Xo0vJ+ z8?`nT-nHLvzY$L55y=5NC6kcYtauo5aKG|EQp?>gm zLBY(Ey`&TJO&&*j%}yw8dz|m3ER-MfXzHaeRDAF_(@Xv+Z}2$YOZ%vJ;&G{$YAL^{ zR`)V272njYT#`~QRX20ZlnSl7gG(6?57+%%`gp}Nbvr`&;OXDOh41lQbtjh^C~s3Y zav6b&KJ|$@(qXwmeYg&(C9kW`iR~ZQXT_)X**E*R(@*KW)SK!o-zvu@jEX>&k^87J z!Y%QiiD*9GlHi$AUr}U9;48^Y1nz~tLQaCXk^m=3jtE!4PSQvbu7I~mmWpr%yiIB= z!WD3iBu(Vg8|=;~L{i%$d9-tipVjBFFC#ytZpve5#`ZT1BTZ`ZUr zTjROBRAj~VK3%C54dU_|=wtLt!&73Z>r`&SwcQp;%0m2}+9at);rG<)igJ~(zT57K ziYly(HuqJI5pG6VOqG`*p`4Y%yb6!tXmMUWsY*UeJE_lAJSo=hpn`)6nLKeyr`b&T zc5O*@GoBjAL30 zD$H`^RVWx@Augz}Y_Q)W%|@O2{0j0zd6+i8kDcdtk^T;~hGhLtb6HQNsYY+{#0sPw z9<0eSvQV<#YzoR^?~1l*a2hT9-N-R*h^8(@q1!YRy7gJjIFAaqRVZ!CUV|Xe1+B#c zR9pEPZ9=(|tHWzNoh7?6Ioix}3s=YO+VXN*y?w6sq|rs3R=~KU8f2iAJ9hfdmu}b1 zGoiXc5fkpoMr;)f9FM&tOC~+Bx6tn5F~t+Skyz5(;8w%x4B9OHj0%4jlgX%kfS=Ow zrkZ40oYQAnx{hkds&T3Cy(hUN$VUl4#zoc}Z7z@6pFiE~sXPTG0G%uRPDr-!g8GZ5 zQ%B3+8)~s2Q9v^tfmtC7WqSnfCe<~o+wuxSE*7M(rQ|8@g4bg~vVPDOV?j>Af|QF4 z#hI@4Y#+^tOow{ppr&QI6tHG!d=R;lj+>U%GQKrvU{9< z*0^Q5^{|I)F7)5X`gZB*f#T)y6s$a>RpD^mHl$Ch5w5Y;9R6;`D3w#Tks z$_dpmkM&rQ$`2mfd+`I>;8BGYseIzGrxyWw7u6E1NJQdSb4ivesalQ|snn|LxD=&o zuX-(3r1F`%mP;P5s!?yiid5cJ*K?^iRBh@?tVm@avH*|*RSLBdD^ht~y;E#IiIWv? zgB2No6{+)5pT>%mk4-4Vigdi6unH^EW2R&sR;2e#=@qO<-YdyLtVrKi(pOlKo=@l@ z`s}Xp1=OSZC0!_iF;Ab`mDWS~T|ciYp@;0IFYFrEL*1?a9%w3)o2ic^o1atg)#sC~ zSIE89P05xk6w}pbl5M}pS?c2*cAp#r64umMTHAk?NsZ+2T zG-dG3V_lh)D*jr{1G&9;7|-mWV6A3x=An^x>zk1?JWzPB*>a|0w(xYb?JK#9@L03O zEB$WjpU-bgY2~kRbGk^F*)yUw`h#np!!q08jWLXEQ2zuq8|oV!i*R!~1UIKcaC7S1 zI`Wuxo?|Y(Wn&Qap+1b;QdJz@ZGTDF8nId{%wjpkI%m>$c^yXeWS?$_Q)W~4Z4*v; z;1KI}%IxvJZH65{nWxNRfaX4Mc)uyXa#ZGo)~MMQe+yh^oBs7Pl5F{|8m*if%_=9Y z_p&u4>&UJfqb1XL!=pp*Nga8k#F3}1#G#Nlh^PBvlPI>=a6sIp{i#na%QYr2j$e`4 z7OB#4-HWKujs26PQO~nzPm};+0PF^^i~tD6XaKTn^#1S@mhaa@4o0v!LqaZ6jF<}<_&a;Q7ha23)dKB0Mo<7@FvO;}%@bSQMk zAqzwi{e{8gHSz<7^fv1OpKY>BdP`GPp2atImF%R^qAab2@>)N;ETM(W(dUy%E~ zNBNnAA`}_$dqVpM(drWMU>2g)6Op<(ufj|zuS&@5v+(zgqv`dt)NA`)YgxsTiAL(2vq)&;L}HK4o;H>A}T0*06(es)#v)1AJ|_)wr1;Q zpVhQe`US|=?Dl|-Y|VIvTs%N?kzYy}(`Q%W{SA43CU^1csj2$qT`2{mTY5oPVgV&Y zKLe|md=!f~sen2Ui#V-^^g9-DLJ!3aix@ctc4H9>dZ>l^@3R*Q1(dErZ?jQ+URRbk z{Q}>iYl=5*6@N%qt~cXfzGYW&4}&hR6{?eIbj4S#u#d#$O_c5B*>HXDRX*dnaZww& zhDYW445_@!bL4srk+t!xxPB)p`*?%uP<&9qW7K&sth~T6c+PXB2B z2W@W}*5uXok7f=efsi@OAxvQgL5YZ%NWv_H(TE6Xq>3|fOqEK8Rx_Z0BULJCq)HVP zDpgd}**7Kh0f3EZ4d_5o51)H_+4P3g}`(EoegmP!K zMH5unVqxMOI_jqQ6IUA#wMb`{9pd2@>79TzKt9&(0IUIUQ*Hp(0L|2WFZ+gvXX^i! z^>!il=nS&&x-fh6S7m3qP!+l(vTwU^L9E+Wni(ezU#hunW=cbsYJV_$OCz6ZUYWsN z<0-gnAVj7kYCK=ag*sC%jf=D3l# zd+M3ynIkc2s?W`#BME70v^km2JFChx$M9p%szc2)_|Y3x3(b-I_>F3sIaOIPj=ZkF z;P`BqJivLYW!VufFr}$xYArGHL}fV%c|!kfA#1>{Y?+%kkyQXC zq(2=q+-!c-BGpTKflJ$lE!5w2WGCS#!yapH%7Z56UBh40VI56Uki#+l|GFuE{`iaO zL8AcGiw>jD5ORBoVa#(vYA-&Fu}L_kmmJ1=CnP8kqmka4T~42zaBuB9JH@5q6H1H= zIotT&Hw-k+*Ggt5fG9`+q9`6fOaX_VMQ-XKkh134V>tVjj9S(Sb$}B(qyxy+V1K>R z%^VE9kNXTPdODP>N354>loMK{4G01-+&=+MJ2wL-wnv)UCg^llhnfnAhLQ$ko<0Ex z?+jij_zBrlP3;rRU91Ocf-?*h)bYD*WYhP2>=9kCu-r}SiYU}RbuN} zD|?)pD_GS^AE*2%sA&y=6IIZt2xfCiG-@t`9rgmRwWDRa9Iq{g6j@siaf@-S?28<7 zmVqSOHRzEA7Pn1LSVL2#@LknI@sj4)O?gsuJsl+P@g+ytfc5xQA7 zDLcYL1TnDIlwywpXgDl1d$ffZG+&w7ZQ%y(T{EUFG*1J1d~K0=+JDU?Dd(!@OLKq} zWL@lLl$09{A}Lg9L^M#a3DS@wK*9D?R+Zt4;Pln`H2#*JWe zOP*%H$#&Lx9H4KrEE;A56IK;xjv9&Hr(R*6uB_}s{3gx^UMzOK?pN92I`n$|DcR{d z{A=B7*@-d4YyEcF#W9Sx?iYt?4CM{t%spec?Yb9^t}*0x{Q*b+7`70Ifb)j&>5*?W zPsFoanlW-U9c{^%bCmN)s1rJ?0~{^}BDQGm*b`k_*ihCDwaf=L-~1-jOMtB{NWu+7 zUe>&^^Id8-IfG8KWXrh|pa|EY`_WPA=1de6AUi&8t^F8>cYQg*Y=C#@8hxx#Hwv} z>~Xsjb!VYM?cdvP`B_@!sDShgNYjkOQAoeAJCD47@W&d38sm)3;r*f(In#4u<5gi! zZccQ(y4E=*C;qsK?u_m)szOKE*R|x0BHkGHJCF)uC-bz>Pl4}bY!Id?$epZ@M=$;N zziU>jPc2n1Sk%g{rQ`}KTIsd49|h}M10MNA2?`a#gW)BbQ7&UJ^acJiJDSsxO z#iYt15)5liEjeU@kz~>hdKe4^;D$*u7-LOe3=;AT%T2omz4MF#rlvv9tA;95`=HlV zW4cK*NQ^c#n05@3qm3w&f#-1q)K2#CNJorZ(-t1k*X5=?JTMWWnzr*ipBhR`2Y6mj zjZ;i&9?{RR+SJM;`xyx)eV4~RLmv2DlJ*&+!S9k#X;^C7-Q`_r^fPVi^1NrLG=UrQ zJ!6^)+?dl08%^NGoMyzCjCCGo4OyoBb)>V#2-DU&!bZa))80DojYg)axz6*gp~BQr z2gtl>GF2TBXIL+5sUzcz-ZI^o#}-2&=r)qJ7~_GbO~^8=l(gP zW1!NXA=8WzBMh5mJI2TnMx;ZSHE!q`57JgL9Gg2RR&^k2SC4aBx1>Zj-~o$<##Jxjpk@;ouCH z8KrP=ek$89g@ZH3vc4A%&LI2@8%=gtie0b2Ejukmzt;UAJ0Zot*1wWn96@-4bdG5R zynC>PitDhkfbYDBfy~KgA_1eAmAh0kY zRx{pNmdR%+7X%9meH3XcIeJ5Iyd0V1RK8CNWu;7z@z9T9A6#{^%7utQvF$8^BB z1I8UN?tpO(jB8+A1LGPPKY;NA7(ak<kua(UP5$0Jb+k!Ew?`YaTq^%4Yf))-7_A($6i?KCs<-Pq?bZE z?r~Uu&>HF}q*p@vG^Bq^`quJwTZv<3K~#Ohp|amU9y(k~2=m!3oL!6!qm~Qh#QF*o#9&Uv=?6di?g zknK4|U(7kl_WE9z#5u|)ey>+>&aug>b)KBVZ62%jxt!B&;L3*=RwrQ}(a7pa=O+?D zI*MTU&eWo$)0sB~l%zoVHr5^0```p`dNT{3XEUP1AKKL=Zl~Z+rNUG&z?V#`4&bp8 zymvu58qy3%=Rx`pNasO%YIQ(YL=gJ6og~S;hM8)QmE>Q8vQdB}`x`abkwev_S5;?pDUW0P&0 zWQUZ&*Qmj&yUxtS>;}wZL$fvA?b!y<6QXUg?3;;zSb(0`o%ubY2J9Zg3F{PKW&`vj z#PY)b*~tlX zdiV#-d|Qp|=!E}r+DFx45THEACmx_Yv3M)MEskZ0S5TfY_gaD!zRwtQEg1^NGu9mo zQV|$HPql<7XaUUA7K*|@fU&`nq+kWG-dH@{7q_Iha*@iyFwAwM$boHT5Ab%V_KHee z-{;_}DL-0|azpt7bDAFLxeGFvGP zVN*bJZIMeqh{|Bhvo7X>&{{3NMi&5fU9^Vq)#?-Cm9A}|@xlP=CpVZM^|$t=80pgT zJPP%*9ofa~NdUv%H1j6r(a{%mPDc|Dxf!H8R+;G&Al=cZo8l-cW=@7*RbLc;=4$Rj zd|)uFcPAN74dR7CeNL{-x&tfQnM2C?_yd}KfM0l{(`rX2t8l$kKaAEu1{ zcS;3JIsM-$G?=nr*khEo%^#%wT;y(!x{g3KEaJ4*c~gx{j=s)whoOS=Rh`!k<225f zb;K96=YqW9piZzid2bF?3f7{&6EAMbT8msFp4(Em7X7DqLyK@6;VUj`$sI?1C0^Ac z9!Jg-*R;$TN8c4Uwq%kJ)9r$md=h{gWG&ew3TMjf*+9m?uPuCP}Q6?UVa+cypgmm>o0Swp$Y zQD574nv5f)vxdWh)L!gb)_ftk*K3?!1W4K$V{r$NpWD2L=03x`2kq&aXV7yAN|Zdq zYHb;b(r5Tg8#1vh0CUL}l2{dh3$;-aO9HS5ZApoh0r-VB&%}y!;${64i_)Fm#;Hco zz%*uu(zAe>0G-kpnE`Yh!m80^;~i_L(<`xj0OVR$sh2ocBrX_02hmZrY0wr#?*n{n8h=1!I-Yz7fy-=5-0!ZiH@pcicDnKy|%KyB2- zITL!yC%sPT8!b1TH9ZU3vDbBLWLx><^#-DBZ@zYrA2FqphE~-MGo|#Y9bLP*E!)J(3FdUam`%L#n3dTJpJ3%6Vbv z6S_x^@>bM9#0&HZ<1U9+D`6n&1%4Gsvi-)Ls?6>LJ=s3T%vSG#gc|_e#<{*3^vIxo zWx2vlo)Fa{I}F{9U=8>mZM`nJTs{DW*@x8US&ms0Sswi=af8T1=P(L*iMWM0c1+`~XUH56tNk*=2~2 zpkLeFh51E))iD6F-XO4J?!v|EpE|zpLLS%ca~$cy9@pQ4ZVP&)?ksd$@GJFi9jEFL zFLYZR$LlaJ^q04Nc=!I@dwduOaL*nlg;9SN%7;;5lsI9@Fd>X~Ot^X&*XgqYj?ciH zsC(KnGrGTKErzTs-{IClSrV^^4tiiTr%hmu|f$1Wo&u#C~HUMk0R#V^wM z$(dbIi?o$;@2&8Xv4~Tu z7xI{~kW=adzz@n_jz4ctHZ_kR-x|I)b&O!&8h@9mM$kCJd0EQ{9%pY!y!h{Uq;020uq}F|C010~B=q(<7d$e9iG~QBT$L%o%NQeyZu_khT~<^=Ia! zw%}|40v^OOFAt-Q&M~!JF>cwx-zZxWFtaCidyq6J8=!y(@fDD6zA}t1vJIn;!nE5k zZ68cq(LSvwzR+J9e227L_Y-E?&5^$LZS7tTD=$QW8kM(P5IYylsii~hmJU5)Hu9~JqDr( z+S8!3dWuK54?3&o%zW_c!n`z=IMBs@4ZN$WX3;!XFAtwV`NMkHjG8DY!*l?6f(3Ys zPx}ZBjAN)87^b#Hx>nFV8(0)nwIW=b3KqDs!w{3cTIM9fpE((Lk}*k}iTDWVyEzCxt7s-an4H${bA4Jr zyE(a^UX<7$K#uFDLij;2A%Y+%5WWx$B6W0oBzsg3u?_3_!me5V;X&pj4aU=!(jqCq z>un1;y=}-Hy019jwPAPY?{dzxp-Xh{DqW4-@SLa{+A=O|)>_!C1)LuSVY9|^jt#s$;5-rT4L(q!DgLe>f*s>U2W>;!Dc0xI=f)A=9&7sV6#S>y1NKh?TvN$A6}Ws zU7WWXgE_b>?5*~y+^dWGtLBJ2uq$Gk>ZzR86>Xn8l@x z0kUv2*LN(TM1?F4OO%X~ar#@b9;g)oE?}sR!DfDjx^yr{CK7oxHN8+3xB4ZfJw;s> zNh~9E9&FZK#KVJh*=!rKpy>*!z<^Qx=)R@JKNR9iop*6$`$JL?{9~qbk7&O$M<`P= z8f29X_hHu_i@6g1!8bAU7B*RoPRvh1o)oWXx%&}+|Ck^P{`4}Q3-dsf*IhG2xyD#v zNl#*2YtHm!+P=XMA4)W01<&f_?~>&PncSW%7oWdPbuq9OjN^$Up2Mzz#Q(cT9F)`!-U?_8IueeGR~d<9w`a zLp!P`vJg4qe;Dx$fDNw!*ns~(xQDKAe!vfyZ1WyR-7RVW?X*Rq@Nd^`2aoy%t|}3D z)PK0M?M*y^SWWk|=C{s=i!!NN|5`IwT;i(yf;|wwLz`-z;rMxk?l=a$tQpg=%18s| z{8D>Ep)9L z_i6~;2e5}^*MePe4#!#En8PQ8eW=4gp*mQH-b`I#T{7%F8G8><5BU0s8=jjPUt3n0 zlP6}H2_JkGSUW)GIDaj^(HP_i9;QvkY*e2#r}9|`DecX}9`Uw13~OPECSQ)|kHu+X z%$UcDJZqny@Evw-&)FCL4|@*OS>ajuLykPlM1CK+9T>|!AgbyBgzIrwSx7C z_C~PTeep#@F>Q%Z*y>HE{we&V6-lS~3X5Ak>9nteidO6{pLxRERa8&syiN5zrs(85oHvtu)Nr#jP|8)T`}gCk61XTm~@M37p^Eq z-J*mG7Zek2(Y_UaUW`le`Ba!$?43gWL%6UQnL=R*ON%{IXuE}Lim`n@<-+`8uRiK+ z;j&_MA7!d=elf9+_JeR!F@CMjI$?G(c`fyo5b$JcDPF?4!yapC+k_iHE)ecAMZ=_V zxXY{>MvcQ=re>Hh4tJTxVH^qWGJ;`m67{)NHjE@u0<98|38Z~(tsllV!9QybNdHlP zx7H1#ngOWrqU|g~s zl=IsnvQ&RUVYHwLm29g^ENw!bbS_fgRh+c6_s^599F0v(SjlV)P9?_b_G6ise?}Q0 zZHM#@NPnlq#L`Nwt9!}aKJTn~3PgImH@w0sdh!O|)tGnwd$9;}^!<-p;hyZ#&8X3= zbH?;kH^44kWyx~Kjf7o57a4ZKt87qu_-4d>TNK=rJx=L6EH@SRt?S*%%B)G$dR@xQ zi7E6uq-Ta@xb;`2qBlABsm}vq=tBP@Sz}dlJ?FSDpM8fE0BLVXX9MCNm<9pBGG3bHpB2C48vdu+3PU;2Zr3Is0)xi0mHvw7y(1bzJy`zEo?*Z zI^--7bX6gf^c1z(a8`8_&gC_?u#?nv$i*<}4rJz}6E?GMT7uYSX>A6fBcVpZ1LH_J|S$K-^cQopm`bRc^JJDJy2K#j_YS+7|uXXSvWEJ;ot0W`lDI zW}o3EoWs5;tcxn9lT;VA8G8)4JU>hX_E8#Dr<_GYg_GEO#*0F{n>@z*fc@GwZ)jdS zdV%e;q4Fxk?>6z!f-2NZ+owYyYkA&QJ~Y1y{kd)3(A>^He>}rn0)Pl_5}>XHtw<7} zu4S#D=J}OX(uyU~=2`1oy_$UPT64fV9qe@LiYZN$-4)n$hZrO2RCsWLhhdNZ`N+7S))M_$iY4Ad0CzZIioB zNm2JH`POrCUu97&{-u!t*nDaOF9;v3kF;EoGbTjcxQ@#u*bY0yl@e@?4FbkBFs^~| z0~kMm@dFq~F8mIv!{0%5_&ZH$Z<)0jq6p$o=@IDQ9Dxqb5l2C6xoa83e27gD*$|5% z=0a?MD1ulOTV6kHPc)weIAOpB$HTW^!Ls?V)E}@!9;B!4iSB3SGpZoH64I%Vo)78g zkS>IDDx_KYKRjt{%-Zms2ICBDkqiJ8^^HL8&dA|rAadKa6>dyA?YVmZV! zhyZtxs@+-IlHY(^ZA=gZxfz2o7jXaDPIE5uJqfz9^Z!l>d#$1#-}c_rGHW`(m@-W| zKFMG>Xlmo*3|CILFE*~5^b`I#@iP>Q_oKgkxEFiXmO$LaI4qd1@Y}_@2z96gNpTgJ zS9?k3jRFDgk7?Noi(R)MP3EQ zH@A*+M6<;Vkfx`a%jS?e?mpEavu|BQCFq(m>O$_R_Q(-+KdZiiHK{*P9D;9h_e@C7=76iWWnE{xYcFIsp#(C3*hK<6G3906S zJ4Le@;sbYa&3&*mBhk-TO%q?JtZq+arYIw^>=FKw2$@(#$ApSP5=-c~gCa^|TE;3_ z6A$qFPFYn0)v7F}7WjN?u}w5-a?PZ+*sB`+!<)oIy1yME#mMx4j%Dx)3>NBF;T0HL zU~Hb%7Jo#2MYIm?(um}TQOJ{`xWxIlaBD? z6kNV@2_|1$+7lZzr>80fALE>kje$<8GQAHBZ2h_ok?d~f3aj6+cQ@m?HEkH#%?h;Q zz!9DPwKW24Z==p?em46n^VZ_$i^x6Y39h~|uT#bhhwm`!na_Jm;c)OX03QD? z=Zu8n)aT`iBavHF@8vu`XNy`3dLUt0s!Q@PJ~s;*Jt_Q%%Rp6)<%e7bs;bh}-iA29 zqFIS@syUz+b3Io|KndjW?Wj|{gB_Zspb4)LM}HfIyY}N?~Bfo*4i>^uJ7IC zn7?|TEToa|&YqXMqc+SpSC=>Y^|Q%~{ErEl!Ognm0E+jp6xz2ExAd7lYq^*Xe)4?{=cyq!Abab<{IlocbPUB;v~eH zB%!sD>)XyETCw11-t;7}$^Zd;f#dK;VD7{J!QXL0z{gZuUVZYk*nWopsU>{d1%nFH zi|eE>15RsrPTU35Y5h9RHYxdr!He_th{p}%9FBIvua>@F7y$&<*i))l=lquP<=DHx zV?8&5TMcJlVQ=6g>MYf$96uPk8S|OFu>RZ#AclW;c=zH*qc)@dwO307lO%%Sb4R?J z$P0U|J!eNsz$*TY4)*y3V>HHFYj&nefaCN=|3Iiv(0BP<5#%a>{r&;8$UOnz;hATg z1?M$@fF`|ysD~|=K(n}_9oMXDytE|$d572kpbRJfM`Bp>KL-pS|8tk|pv70 z>(VjS-q}>$Pu~RCcKfiMOUHZ^agPlBK}WJiJ=~!yw`5UI&(71+S50}&MUt3NaHbL( z0H3tkIZg6QN3@r+tP}f(IL+0TLym@4gQAs^9x_F3;3g|eYLUA|6|P-_xM<@ulVK2f z#L(^lGJLgw!r$2*}p5{ zEVyWphXmu81VgnWeAs^=_AN+HBq+t>=o5wyj;U_Xx-gvTGT;ps{4aeTKnAFNh9c{J zIj=5a3m`7}bs<^s=P3+uwVKm*o@+ZB-uaUNc>vZ34=tPI>2;wIs#9{V5**2&+iG3v zHq<@ichEJ#WKeGk@JWGd>B%6dPFu@731`~0F+g)3m&cC*n)8J-c?hJ_XF@+MKTLZc z{82)g;79*Y4_=-$maylGyFU3=L~m@jQRv`j#e`Jz z9ONR~Aw)8y=0WNiJXr`&rb3#vAJqU;uE7*0O!*Pg|ABPza)Rr#<%AyoG^~`m9~HZ8 z4)6vKA%21t7%=53Oeu#cVwjQ!Q)v59-7w_>{0tWibAUT|2=Nz8^@6FFVQMK%{eh33 zW<2TeS9mX{d<5e9XFYIHd!RSU_V;5hu=ZNlE5LW>xF*mEUZPd_8FsIp{84ZRjO)qn zth*c0B0?6k@F7+VlK5L%Pztw9XX0>{Q zXr*h4pdmTKTM7rDaJGd5 z))rLJ!upuqHUC(=hA~yNRjL>u9`Gtv|Cq!1k@r!HZNCARAKy+rX6Z0{@k8!u9y&j- zFY7~R+whK_Ih-x7;&0$v+N+- z<5#255tGF3W@K8Hx}&7wTQq;j!=%wvt2~JiOFbz3o{fHN?3EpF^H^lel^tp$9fI0G zZUMGbL~Y|O(zxYJHi)YX%e`~xYpfngWD?^?nNI3~(~D)QiGXpIQCQO()_qY*`L(8- z^jAW~m#(tVK+&ri~Rt!alfK48P`z7jBy`YRzGeiqiHx5j*U@KcGT z3i+@7Hax!wo{#Cim^0k|isi{Um*e@pp^URLhd4#Imh*KE`G$@JLZ2DxWjf>CktfY*5{F7S`uI!Z+$sj!vG(cH@5>UHu@-BDQ>@NZ^MV zG-xw>Br;EP-nqFadl%}Q4K3TsgM)pF>?n_TN|$X}R15~2%^ELrx)d(l%f#ZI%5={P zeVzU(C`GS#bohfJX!X5*+ExD!U8h(Qg>sa3kRpT!|aoKkyPRN(A z8+u8#%%6l=y{JxB%q53$DrD{@PB?#h9JJdNLKrRRSzuY$n?c$<+wc*|f9*N>|0!?t zNpda7+uU2XtNiu@mE!EVbLSrJ$Xsx?)aoq}(p$?Y6v})N=Gp8ebR@%AQr5%U{et=@ zu2mWQ;Qgl8vyQ)?m;B3(8SfgdpS<2)_T7!nyxmXVoh$kFY|B1-;{>vuHcOK%XYj)- zv@~aAec2?=+c?$HA|-ko*2ykP$*&Dwvb`f7>veNv`$tHpbaAqsBZLq1-z>OZVmIxa z)vp&n8U0$*0kT4s&+xN!nX+#u{2SoB|HJ7ajoz+V1^l&d`BfO zSWm3!3eRhlkD7VV=m|NlBHPOo=Tw2sY~O~%d9P08;O_$+E^s$MgP9ZFCSn-kgL2Gv%PXX5N#m`kFlMA0ssR_vRY zvkQwBixUN9s5iQ+j`(8iIHTMeX!h$bzlBOQ-g9IXhwm9{h@5 z{w5|>9q3#n`DxX;z-QwzpIhUH$z}ii_E!7*=1<`g`~tmu@|0C}qQ;l-TK|cu{lROW zk(YDfw&*OD+*q|KAYeS^#P2(!Z`U{j_+j^6E$#wnVoyISK>zW!ql*oI#a0J21`7dM z*r^O`r{1(I>Gf=c%gTvdtsUo*2RVOhnz+G(VSj6{nGu8BL)vaRZZKkz=9!#1 z7_vy)F83Y`f20GlrD(GBCV8Ufpi9D7UcUe6}p3aLofcgXQH3Ld!na_2#!TFgacK{K6<-wnvL(3<> z)*NwfARMtji0TSz1oJ4K$5Mdp?ctG@0&H(P4`^d@PKZIf5e~tGJPpoFuLJ+UEHkMN z-jC=|Nu~S&B~)Yz=#{N0_Ur>xR7n{!P7G9)GW0PqP*rXrR)~SBatrlb{P~cu3Ku9o zg+G&O`^k6h_Pxnt)CAw5^5v-CZHxZjU?ArO+#P+O<8A+s!d1P*amqQXmz>;R{0y54 zy@5r&!J|N>o^Kb{@1G!!#(S%0*d90v73^_FwqTZmJ{rDVea^0^Z{{Q48h6T6eC!M8 z@(UEW(a2vk@9fhPbJ_uqMU?gQdj!!xszRJpcJv4AC8sy?k{$jcR$)W!Q(Y}NQ^U%S zYz1hHE(e{Z?{gHldN(ouv@QftJxn{{`?KXxbmp)Vx0+osl|SnRfrA zCE0xddtlp}SXWj1D#yS42Nt5@f|~WP0Znr@pcCx>;vz2pi>u!Lk5_@=AFl!G6aTgV zi2r`{d*ZL0`b(;-5*~a75BkG{sqo+``#E3bjQc-ZW;mCD(i`Ak_o&|3OA_bA0$PXR z$n2#S0RG6=iBBw@^jM@Xmz|P&J=S$ts@%zK;2j>Uud!ZnrY6>OBRc^9rs{_z5pcwD zj$dZI3h_qKr3r=yXTgINc8DwA5H`aClL4?mI80s!lTX9MeGvB`&O*F}*aGnq9-ajc zH^Rfy{u{8sG+5x24FbleV0;S3>tVbe#_M6c{>nFLyK3?SjzDMkh^z*p5x#=}LI!aH zR{aLz0jzupA{62v#6pON5HyIL5Ty_|A(A0ZLac%K2Z9J;glL4HYA?h`_^$Er6C8)1 z;5dAK-2P44_(k)YF3l}-N>1oiRi8OF2kIT;=JY{Mw5rL>9Sn)D3 z7!BmZz`7z?Qj!AJ6+}s8n9z5au#0g_IDHt9@y`X=VPqLSP#8Y!TgF@!&{_TsR!u zsY9CdY(U<=6C@{jq_D_PVHLK}GX?p*>^^|K{p!pg$_fD9iejj+9e69bL&A1kAP`-m zsux|FD8C#-T&thU9P>L7I~4aKb!a9;C`4%==DhPU`i_2@W8<*rICFupqrcVSr3h8~ zi^=t+qvejr{YNcv&b0bvqjJZoe!JzlncNRg)-N7i1xz*1apQjSC?hqvfBq=pg?ES618sd$9v*Sa5Hc(}=LC!HKk-t*vl8yGrbp0&SwsS0!5rXwbOHssV zTOqdEWUl(rJC4@=6INalJw1AhdRWef_6cI4EyvZb^k{-gM_UyfClHW2SqAE-~;^IY2}f(BwL)NgD{L6s34p=W8ywgg9}JEkpu zGdkJkmsr}3J!wlzoZpRKW5XrRo%DXJpJmB*7P)#yJRTe8IB31Tu|D%HvwIn_)ZZ+J zVNhW#bsScHM9wlMJN7D*Q5Ows9I1++ZVJm%si1TN zVDXicI>PyYy=eT&L58=qsN9z)(2cNKlX1;D4+?W|JE)vBlz(I z4bAMs2cSi%*@GWv&?KAL{1}6FjTys-^N8r?q2eze^q7bY5?j7i(vcrw&>zsub>yWR zR7W9u+@9t4;lV5z-7-h#gdS1%nbUG2m#W5LFLIWun_w@7Jyl(Uy~w3!o|el={5mBYc0C}?O~CJaX6G?UKF5&;P;SY?tN5=fx@WYS49H0L=LD!FsM zq^bd*r`v7n1f5N&-P{2FSXMXcQ~Od$;Uwm&u|tS;PvmS4|F})l^l?sa+IOYp-Q%;` zp}x9!UFqQ?u^ISs+o0%aS-Cyaw2%G!lyyg*I+G-sDa&@JmCqRtxJCW0@^E4K{7&3D z(U$>BN^Ub4LhgqdXKr>LVSNmg)O3O_;Km`zv)J~C^3Yyl3UiatFoNHw3%B3sTpGPc zvxr-BFy*^_#!1tcBOW{Cw7RH!;Ws;%hQnRnEc_|uyL-AVrejLQ>V0L;ZJ43z0ZbZD z$`2}i*HU*17P|q_5TQNpK0GIP=aKo7OH7*}vLO~j%$;1~NK3+2B^=V+=e*h-P+fgy z`ttt3E1LN>e*Llmvt@;x&<{3B8+4z1#$T@cAJYjo@-5t~;@v*|^V3N$D9x%5aypw6 zgneTemYKjfD)O@G-NPSnIQz#^mr98uZU6Y2L+FlRbMK=v?Z3&|lz0;BrG^5Kz!DIQ zgKOC(C)%Z(@M)snv>ue>*r54&#TJ{Gbq&{SoG$BbBOdVi$HI40fVNG#Z2Z>|1x)YC zSj2VRmyUV89_f)8*z3mY79#jEnWdx%U4kXwnV(qPfURcMXmg$ZE`t;mVfSc05>v@f}-x?_=N;#)AU&{TZ zVXrks_6*loBjgMg-Xd4{G=zLW)fh4zG49lf$^hI0T`0IA6ZROA!Ty-I$GArJC7*nO z!Ln>{a-~rpkPnRc4!k=7YACp)Hbzfn=Z`;K_E}=R&JCOpcj7M^NFc93>85;TsciM_ zrp>c#Y-KF>xodgJO_<1hgl;rU$`0}n)ASnINgn2u?mzHGiaMn?%g*s|>vc}qVIF=x zu>VeXAzlOf??e~owS5rxPRyw1FjM(#qyHqs=pSIPdwtk$_wv5t#AVAB+Jn9Q)?VqT zLm9iWo(t1_jsER0rO>#=(Nu@|#czR(4R?J@T_7wM_ z=8Biq&*}T|-2?oK8kAt^uy-frxv+8=*+~l&!bwx>^R+N*7+XtSAY3%;RZIC@STT&Q zrOgzsA0|HXxub4(ZfwaP$DI)ew`7kZ{xXV9sq)&Fs>mxxA@ z2Ezz}w zd~ZgkU}_S*jCD!il@xG`9x8}SqTXVXfu#0{1WZS%a(Y)}5cZY5Zb*=h^D`uwT9pAQ z%!NXO5|u)EC@6J@)kRzeW7epl+38+8oW1}QBv$I52$s2_`dAGNa3i!!5ciCGq25SY zOHTkDTS~b^f|x&9Lgmxh;T5WE`)OITTsCIDeYf&p4#H?GLg0WjfYW!@y} z0P`ZWDObTZ#id97s(E5hb7`c&7xTAZ+%uH9lgJ3eENE1OwuMatMX*JQ^Ohq^Z54^- z%c0c!+%dHmJrKWMlWL219UAevPu*nsO`f34eg<#7?;SH0hyl>}UgAt}sri@;dZYGF zXJT8(cGXu-UK_kd+;#HXLJL)AoMCN|h3aP863`BVO4vyUPzhswo>*rqkg-&j^{_M8 z^;JJ6gY{8kcTSm@U4^VM9CgGfyc*(4{&>ixe`lq(;$i_`Kc&?>mi4EV02(MUQ#3C{ z5j`cfxCh2q2fmdt$@^Yi&YdBRTdnF46}nCiVmDLHSWj@t6LTJ6mx(fZDjwng5+Qr4 z=@@^&?w;e3KKO{C_{SUJ8Ps#k{E^r^;OK>oMCSoVFJ&bDsw&VNI}&wOy}+D45*MwS zY37c^M61CYb0p!23T=+&^Ny%5iq_TV+(I-O_c?mHu-kR_99>=L?fSEh{u~v}9K?@$ z3db&zALj?hE`=ZCr(MIvwzK9~u*38!Xk5=SPwx+_R5gmJRm?amW0+9IIA)zX9PyyB zqxVU0OJyH=iD*+x#ahIlqU@IHwJ2ZF;+EpI$gf0mTjs4r&l7EEDIZ7tAI&k_I?mz# zphwj6aNyz}QBuKOHLIm)6cjx73xVOrTs*|&g#^#vRIRG%&UJjpM`r&+xPgr>uS@Z0Rt7VZJW92FdzI`?$tv?3^FrQ@W*Z+@}b$|Xf>;~g!jvzN>EFlBH_zm?ZCNLyA(ek-Gq`xK> zrL`S)Al<%fxLJ@5cA0JhMFJ$xC(f9}ss^ttK=S1DV$#F*Xtvs?xO7tRC1p5@-Er)= z3+QIO7odX!)8juN7TP-;p5Qc0+i%$^Pi7~aQXRHcxcWwL2PnT;Ps;Ji!e>A@S>V9- z`Va74t2|+UkuD# zpBicX%}G~gx8q(Kl3`ae2NHe(0MAPVm^^Zp!7SU&!&Mla zj>uxvWNaQZ8>3uDd0v}opIZEinca*(ENR6--7JO$S4^3Vk5gg_>qKBHP)8 zn`V3}Gj<_Q8TQHccVSN%@5#1yq1PME%J$}{em4h=gg(`t2l{)YpXNPmFpi&A%f(It z+cwi2H8DR0y;Z!XUet%k76W)O&Z-zDwEG;h<__c94O{W`X+c4juaru};)nl(Y&XIe zGT;ke!WUTZg-IHj>?lc3S2e<*YCB}ZA$uFL(69{o*f`_TC3H^QMr{h{ea5Ur{At_9 zIo}WGW~#Qy$!y6TB}_5?CSWRN3`V~|+_ilTpnBqJ-DcRK#7EF18FdD=WRC-B6ahPw z_&4aIsQSrt`Wx+RxeqTk2qCt~BtVWgfQ)-Tn~(=G?wxG!JUs<8j6AREwu07JA}=xs z`G=hcsCq&)h^OoTehYJ+B~v zAqPNb_aD;*B{Bs}lefrGbz#-0ZFW%$u+it(!iLHQQ2X>hn$EPLDs|s+qN=fR_GLg! z3+!XAQcZKt8LAk--qW8JZgP8%aqeRS?VC(HmBDKP($8`u$D%VZzuVRhEx3l5X)72i zy#}@u%j>^xLmy|Y5w1!ik5hux{KwFr-KBLi0^>47%*0&xVGvUGA;C(k>G8g98{4pWMDp$>|cxVm`gEaUf%q+;(TfR#Tq zqu4Rgnn+Q2VqqB^o6)j?F2VuoZOct_ni7g{*A4p|(~F7e2}_|R8t5{2fxj?DnR^Q= zyPvzhuY>)$#laZ%C}3{0(pyo73=xjVaiQNe+B8~kcOX! z535u`Iv&y=;aAxUQyO8FpJ3Wvk*7d=Wl=Apmhv6p27^0w5G0$7zAq zS7zE+T$(n+Ozan~#ikloIwFPxM`J;+QB+iabPT(ac1Qi2{guOe*dGjtK}H)lR5a@G zf|?42zD6J4=pY7GQKyWFsCfxzHF1!ZaH~WPNNx5bctMofMEBpQY*rP{HhU%w_#n zXd_b+tD8_o`fPyXr6{wY(8O41Sp$Wp0XDJPr%1)J^MT9iSxtRt6}jokdFfse`o)$Q z=e)#u>G(ssC)P4IN?AVOQB9+P0&Y0S$a~y}_kh#3FxM$1b|5rDyTML(9cUxmha1Nd z=Tw(k8Q8$PkGN^yFWaIdUZbzl&T|GP&L1E?*1dsRaYkF@A-Lw^9Seb9mvBg1WFz$m zu3?A^CspF4&6dLuiy@vsIN7rtMu?vvjzate;os{MOEFqXpoJWff&R(x(wNPNziiF2 z^HS_I-EGGPH*F$wlJo&2+_yRB_T)~&sXA3=loC%tw`{+Ze9Gu0+d2{WjNYhP;4JEy zzZ|p47SS_zIqsc}*;BI|i?>aymn?_QYoMcL#Pb95oaK{V{{YG+OK~qNfcDO!=nZc7 z!CP__KJC;-^-ZS_KlB4`vHg?!!T{tGdvU!m0L`*1T+mO5*Y9-HC#GTQ$Z!$Y^cSU|J z3p%mWMQJUio%k~%T+94g%x9vAmbtaKe?`oenp$u&o+gphf|IegMEVGvjN>I`kHE<| zNK*9(%E1|ul1ESuMoKE_;A9*ksi1?CF+~EH1%@a|QcTD17I{kM?ZT9cq9oHmwQunR6FYVHO!_YL zKEqklS2+OI3#tows_m>pR2sIJ0Gd!~ylmQ*gIocvy22Ffb7<8GQ}BV%F3t@DbUZNC zjtS-t`>my|5NsG`j{7_p6b%QBQv)sQ%%T187I0p?cqn@L-$z8-PnX6GR=zTMS598&rW=XsZVZvjCHltQR^yaU+x>67HZ) z>nKjbG%+(lr-985-J-o>_eiX0!W8MYLX{{{S(1*rY%GQ<5l>m!guAZ0VmT=%DGSrR z53m+miB36LbU-PL&w`t_i3fpcTQ-+4&X9;PJp_QKhV)>*L+r~zjCff%pX86 zGH$Q}%CjxzKK>tDimP=3(GZ(~`NzK2rEfzrbzjN8X~Q!0cO9ik_=bqbn(OxT9uT~` z;1jG(0ON?=6PSiLrfQXKc8@3)_^^j%Urmr=Sshx2JZYk$0eJ!J$9FqpK-$`Gl5+v> zy5X|jQbL9CQ%7WPz+`NNs>XKOG1nb9F{c}|%=SihYy#O0o$FU}#t5fE`@ml0AiI+% zN`c;PsIf+X)M4zesyKPvNc6Aj74n%Q@zYd+z@$yCNEu(I@ zB7&kuMa|%l5L8f9tcWoV#Tgt^MNMj=SgnG!N?X)4TBT~0I8>}u?~`BeJ@?%II(MD3 z{`a1>{&%h0wcaNC+53IJFJJsfvfpPvn;vmKcEcus6##<(ct&`7D;Z9kuGNr3g*@3- z%sB*i72F|kdqsFQ!F>yE7Tij>M`9d6$RmtJ2n)`S*I<<*C8N0i@`%%F?mWKxekzYs zh(<6p17`)_d!}nJC!Oy$)9nCfHs5n5brvU|&zwp7jkA>R`^1&O$>LL=xNYN<^F5wW zCvi&nj3=}oIji|Tbk_t9x6Om@HkC8I4IH0eIQ%wrlo-ybY@;=}Uf_&v^J;Kg$(h^6 zYM_4NOlk9Lpiwx>D_!$k*I@tEwI%2?d7EK+4$m8+_57R(-jH6X%o*K8_*YR{ll2+H z+?+8@__8~t&h;5KY<%ysSR7&{H6Htw=_a?*$j_ufropA`OcmvL{+LY8TdKss5;hR`?Dfbb+N;7m^@Ooufu+rqD*zF!}+}2TXm$v?!2N% zb)my)g*-*|b%(1#(gbYsteD}QU_6*SPy2o4UGY>?i`BWS zd}6tBAGyaD0f7jZnMuuyK!mE}^PNxDWCKrC@X)Hp6_W8+Bpz*1n7h+R`q13ci@e zsfUV+P7`ME+)@GxrIl)iDEBn^G0&^7q12(7`GgSu$#>=lyhreU$XBA0_T5Am&+(jHQd!cH%pmno$0pvI#;xZG-? za;k2)DY5Uk#-}~>72&mVxGuhnRqg+m#Kll7IIr=#>H0yuQ$4aVm<-v7lGrhhHj53b z1kD=1>L9XYGbErcxjT-ax=2Yv+k&w5Ql8MgKhF_j)>Px-IJZb717q}8jN{F={o`t_ zrzywil7#UEY+vGd@bNQKoVSB?wGLffBCbka>$eIHxVv0pWlQ|@KN!cD9See+Nk=7C@JHaR({S5YWbts)OPbt{LDvXzh!nMr6L7I09y#WV>eE97y?`&Q_m8; zch2OSB)d45yEH$^U#1zIoSPIU=$h3R5ImLZ{CSaR&h)kFP`_h5ZIA4!Fs?M<5@D=4 zxOC7Z>tp)+s9hnSrjF-KZKI#2-QcWj^R9A@;9L`tWLai=^6tkB$MgCK_o|JPV|$q= z1f8iRI@OqVDfc!4N)T}05aC|r2gh(YvfHIPCxA&;BiwuFCj=FX0Ym{fX8|xB^kLgq zuDi6mg+qEH&WcwHBQ)d7h|83J7=59*!Ws_NsY6f1Qkeu)3-m1epj;)W>qajgidInR zniBTedNgDm@&=sNE3ODMs3Mo|6YNhW`?_7zT`}2+(wc1c=?C?-Bs-;J&oHi%SqmwX z$EFnbh-h)Bo)?=nKy0S(Ku$MCG_D8&))7XJN=8b=amll^!@~Hc45t#;xmqPVs?q-r z>aADA@@Eoal(m8_9X2H>!>j#)qus?$5{-X?`?THY zQ}5Cq@kaI`&8qKGnC(GGkP!Lq)nPT_-_>D_0X34H>g2}YapIrUL5+dqB;Tmx8$MlkjgiK;igab@M)B<;-L|1z+aBfGq&>=c&^2_v>@o;`}tetD7>ZX|zD>8bVKQot! zuYhux_Kq}$H?ktLhqOs~L1$O#l04`y*+d~BIqDT@j-FGI-$S_t1AYXO7JX^tGC62&>CVvA?72LY0c+ zO!^E?h&j0<@~Sk_WLGz-miUu?>pwb1Uvsj|@g2d*39$p!-*@+e?t9#J)joEjk7GuY&mlizOlo#Gs4xjC`L z|DhGbZ~;jpmptZcS-8*>MP-B^^&3>@8=dFLJyZu9?dB<_Kx5bGxqPVVc%y?G6d6KC zSid)1Q%M?KJZrK!fo+6%rUSR8z~(D?Hg}>KbtxmDWF+hyRc*BeY=_)xQla7mcfQ%F zG;lkp-h)a*w@dyM4(-KG?7ytQC&8#bK-!_3dJ7X z5)-T9Vn5>@^Ri@w&`HBRir=A2j3I5lJ&}pxsruK(d^1IZK7QpaFP)E&rkPkqUS(i* zW|xtcDHa&Z+kAQgmts>i)ZKrnWTl}zHs>X1{1>s;;^OG`30wz)d!)0JVwfh7L)f9_ zvM#pL-H|m$Yg7H?5e6J>^h)VEe+dlkASTS0&V z4K`bG!#KB+l!DCa91x(IGA1<*7ytja<^S@xW&fJVdo6n{DIkuI;S|Q%@272+z7xiG z`1=w)4DNMfQ=A7Y+x(Rx>zRzloJ4a_NAy+HdJd|anBufZF^5xbj_e3*CN4L;_1`11 z!Y8NHT4)^>R${$QpO#b5?pPxqt7;LE=(Mfe$~e?yPvnj@hld515Dyq$sA@&T2F60^ zB2!dONxM_Hd>Mylj&2EhNZe-l1nFz?;TjiCf;pfi`k>4cLMel0OA8^Cf+W^32&Ev2 zwYHJ+T(Oz6${bhf|5Uc#M1!~(VWVMG9h)f$F-`2ut45!f+uX$!Ttg}U$;lH4QTQ}H3wp2R5HdeFSc;N#ut>JI7rEB`Wdwh=!St3gchXP z$a%CExHP+YX@3yXMCoOa=!-HAZG)D@T>GBc3>#^_=FDSTMa~pV+SUQ=O`-y zJ213?=y}+IS%4iFVj^}~wq}UG$1V%|x$GErSwcLef2gV50iLqmY|=}&3EJ{5`b+Bf z+LA8sm$WGD>UtYW+W3l`)A*Wu&sbNAuel3!)4QC#sekDBT~^*mELL`*bZj?vU`S1F z#Sm<8YN9^SP3a;w(NcBGyFmB9FHxFS^lk3Nx7-m(6%jR&_P4~J8grBKyFS$aVu9^oInRGzzvE0dC3m(txPyI(JjLKvHz5*Q*Nf^# zS34}K+2+5a-}eb~q%=jC&=Ij3Wu7PeFQfUA>wGS^4FU>Yoatst7&}p_77hsuIV&{@ zhlio*$rd5HG5smMBODnP=qx>Hat#Z0mc20{jk`%!V+v{s%94F&ifm!uk{vd&TSB5G z&rO3{0**_+Vo&mTx-Ek^Pg$kf)IoS&lj$FDQoMqV;;KbrGMiNz_C}n}_A8CF7t{H! z@ziykiF})Q{Hk8e_de|!{Q1+u8^&UC(BI?ccIGx%9ZQ?iS=c~akhZ)tKacQ78n3e? z&)O$#RwqBtYIoYC&MA4sV$*>s&A$eZpgb@wu|JP;*3F_WNTx(|MwIA`!^}>a5hb@& z%i5c`er=9Dt|t~$>?^P;uVD?-OsR&-)Z|o6Ldkn$qM4{k-9$jUanh^Ww}f|$k&@Mw z$>IxO*1bv|q)n~N#Yd`>yX!TwCTWvXY|c^22ZINCL0^_}D^+5OevhD{DcZhFX<=5#lpeeQ3u7T!tm~h64EFAe8FXr zk2fRa)CGBb(S43s5TC?3u6(yhW_$-%S5KL|sT z17}N42@{h;pNiiKqc#3dB}(uIKU4i=Z!=ub5C>_~G)! zxy-hVs1bAO(<5is=U404yZg8BR+t$|N7HVX* zPbwEqdE)x0tz%IB$&TPlbLyuKXxW?l>v<(@U-3qmY03f9941L06KP*FZ3^A(a$8vB zz1A@Hy^~?#_u9fj?wtyYPHYVeSUrcIJD^iH^l#uN=^L47Fsd-cxbE9!5OZ>ZNL zUt4|zSU72S6j`dzk;QRGNc#0+J08Mth^#|ouL0yKG zi~L``7k$B5aqaSUWJGCW>us*^#>b92Z5_y)--kc;zW8GwsP=IO8*&Vf5?fehvssZP zsO@$1h2TO#7b7L$yrdF>3%TzIk9paB4ZWmh=6%T={d)*mdqK!LXq4x=X9`7K`mf7{ z?EQREbEEBCdUI&Ve*{0w8M`*#eqoDcPEwv*z{YRA!YwnMwxwn4>svq0GJkY1^-fs( z-Yr<=f6egEJJP>=`7fO7qkbA*;J^F-sAHjc-G$k>1ZSG@^5&)DmEK)}7v1t6uRrGn zF2&w^nDp-h@%Q79qH`?py<07KsAYjH%L2PC3k(~C1*&qfK<|Dm@c;S1Vaa~;eTw8~ zoTIN6QohJ18FMq2^)1X?Rx$M8aE;p!K1CaUcRp^Y${tu2n)G1Ge&+ls`>8Jq_A_2g z*-!H-*w4Crs>A=Ypq@W)oxQcYgMF&|CR^G4E&E9KckEr=SJ@Z3Z?QLY*W0#v;Mxg? z;M(bDO>_SpL5XubpxQ=YP`^4Ns=ui`CDzJ+&UMxiP`9&Ewmn)8*g0 zel-Yo=|Pt|^$o7OeyvQ0E7pG^-a#wN**kUsozAhm8sgw!{cQI-%(^1Zv}^Mq&-}j)-8>{0 z3(U1Fka8;nvFURxvBNCOc3IHcTb5<3EX(d2Vp(>#W!YZKvc7Zn16>>sv~iyW^_~Fq zX{lv_F_r~}eq}k^F3SR&EDQXHp?w>6TV9UKaLWpQOD(5UxeN0Krhh#a|K>LA4gv08 z4#d&)KRgweS=QHE&`iry?^Rpzv@6Nu|ME0*|4f`v{T8^=#^K)rZ4mtb8Mt(k!8wnV3H$4)gyV=xzb8L<4lZV2ONbIj2KWu$zA7%$+vT zeUIaB9?tWw=QK}e2ajI9URF4`VNP<(fBy8#lQJ<48F(GwgQ7fAMKT>)7^s zM-NXNvTpCMs_p-CPf-lA^Lpq0UsYu1nxC$_wpbRDSl0Z{o?_df(eaEWGUte8(*M*` zax^wQYYLo?*D$WTp20E$ug4ywB-9li&0OE(gv-(Kz;ZeM`=0W*IDX@$4)R!(ls0zo zlXCeM9MLuSdfkP9aU3eP78%1iacwj@>jK9PTZ{CSoI!0LF4u?riTciwVG+*aMJE5S zn9tS>eLxO>z$sjDMRhXS`mlVT>Tojou;Q`me6rO%ImmR$ql+o>s;9hLcq9RmED)aj9p$ zqkrVYU~dt%!!LN;&Z%_kRcX^JWMS6jbe?X4+Fdh+PB|xcGv@Q%dP2rYe&Nln*bqj1 zMW4l;#&>>4`%PD@w$^~U@IgLKwPwJv&^&GW0y=?cnnQTCagUX|`$%HNv;jx$-{v6% z89-k-K<|;nJ^{eGeh=|pIIh64{@QIqt;Oj9XAYcK;MlAOh^+rUwY_`PVd=HBgxK^v z%4Vgf;Ao3ov+EgcGT$p@&~vd_9W5Fc1a6%#xOJ4LRy?{4BlC8xC%r%R{=4cB(p1G_?wC zO>P+>VA39XUitz2xd~M$>-05_?xJNP?e7Tw9Mb-$(iX-d?Y|gl|Kl3!O{D!d>%RxN z&Y=-(r9JXrwAPiw3&2)V>USF_cCo5M{*v6rE@hj>t2*EnDCwV#5pl@8ydpi4O9Tpy zOC;liY?ja!4JQZ@sEa!$a;=6|&^BRMe~BY;r2JRom^kaBZh^XaX4n3)DUPd|n?VQ& z>pLQ%4}_%45=?Qe`L$Lr^p6D>-5nC;q1x9%@BYy#cAx2wb?Ih$cTh8VmtlxNDPoo} zZc7%JmbVtS6PC(Zx~w>>mu@kV2SRptUMbHPH@QP zgpROFRy*}>bwfYL*YP5WQ;>L>aFp=ciV@{ z|4<=U7p~Z?I@yh`Mh{enyNQPt7gguGDf8ri<5nfiQ^;_u+B`>VvEoYiAU!oF+ne;> z5ZB4^#wMd(XGW9FM#G>wc9tx}w0>#BMh!Kdc24}%l-N0{$9ap%xih!Nu28XBbw*@! zn!1lWwf-1w7C5wj7*45VB4<>Lpp&O{Z)Aux8iga_A+mB|cyDwGF;(xSdiLdg#SVT6 zV>;pLj^ORDUcJiFy?T}2aCYjr-*XE+_t!J|zrQMiQKlSOGota=f)>y3lC2p^Hnb6tl_0`i>50yKu+x@l@ z`~9C1`=)1F8TYxrH$HanHPYIgs>9F9ZVSs~v*Vh$Z{2k&o?~I>;H!g5gPkR}XOv1~ zgz?gQaRlxz_rf{!o1skJtY!MnLI(71Ttc!UkLwn26LvvdO7!pC9 z#rv&Ng*PS5(3J@uEPKd~=%wxGv+oLymR_n%RZS~$>5VxqwW_QXs5H)G!#1hT6yol- z!)blZ+R1jeH55T(hRv17>%~+y$=zcarPl3`wpTMrP@Ca&_3A3(BP3CqGALKC`H*O7 z zE8`PxQc1cZzU@ugI$bs2CeoFMtxU&A=+_AO){)d;T`nIwAqRAe_~fI|tr^F6KI-rvMreKi-BdF*5&z9{=Id#$Bp6L!GB2~wB3`Xsz-KvIgIQ^jpg5M4qzMzDq_#t z7;_?D8*|5H4>h8%<}HQUSldX*QYegT8*Mfzt{dfzZX@z;+HANeoAT>@;W5gX$KM1E zoGIwnRmR0sm%g;tUKa`NJ}{?RR}8ynY+c*EUUsU@f5Wz`iQY|{e;ittQFcXVC^&rO zlA+nVXwwp}B8Ag1jpq*witTxs=Zq^b(lKUZw>2m(@W0owvLWDGU!DuWoFf^-kWbI$j={Mog-kkcXxb zOX^0yJoM?yNT2>Mdbd}c%!OHY=KHh7MZ%DdsM(SfVPZ$bQ*pJ`*nrYc{84FBb2wE5 z5^qdS#_1Q?cBpfscG=MxySZ(m?+LyI?c@geeeo8vXHNJ-9S1fs2fZt1@h0bFy>!pQ}Yz^=mz$?J#JZtWfQ!nGiI4Y-UT; z7HrTgYu2y*<*}RAN67uGUpw3|s$xfh^(*FhU8>dIPvd+qtv$N)tJmvNzgeqWT1bi5 z`zrL~qP1Io%YM3H(76KFgFV9Ojf<4Ly(blh>EA_dUklK4UULBxj^%JL0Oqg16PCXY z4xi`y3uqW#a2CV)9?rzIi^3DvW2}38w%dE&k!}wR1_G8P%ay4J_;dZlZ@O7ozX{hQ zZ&MO~&5L$kKj>UCeN(Tg0!suUVv_~H0Zk$RGyqu^0Be}401N?e%L1?fR0D7T5Dh>> z2e7C#N58F8B|oy4OT9lz#y-A*%TkR#hwyu1me$JNiy;Og-rxa|+k59`#`- zWtZ5L-q1zT&uo^v|00=$?cyHvMyg}`xwGHM_Noc}>2%1dpq~bv90`bL4~ndk)I|iH%Xu#Y0U?V<-1mJq6LZx{_7k|BCDY8fCqP70LV{35F(7 zUJ7A5l&=<Il z`jfy-VuG7w@*1`6?}SSM#&iAa#g87(uvw$OX8XBzGj$;Nx#TjW5+}qHzR1@Lpat`p zu~FyTW%H6gK^JWf>5h76y%L>zPKYQk(FWviQ)&gL9ST=2+5mGva^wi>F(}Ar>9_Hw z?STr`kcv_9#8A}Dj5|&E8pWdNr>*B0o*A3^t(#cKbfhkF5q*I!xXZbS`3EZ2tcn;u zx`ZyfBGzu5Qx~a+UJTC6tlkHAhE`CnrzO>eotHgPQ!)ZpNE_6wjNlcrpf1XOlmy4C zV=^NCl2oZjX2g)ijza&AFtQ|57~2uKUK}Y5>j=MO^^-ofuGo<>RBu~1vDW4reOTSp zTJmzed)?$($m&9)(;d1GW|gWDx(}czHA45{x~iqox<>JuQyIr-aD61(BJ>OkUQIY) z*sQAUw`*Yhz#SR~8LJ^&Qk+8`{S-Gij*`b*%!TAY9^)-Hp^cEoa^O0(+1{lqxlwI4 zJt5nrKMOrt0=LV4G1y~M#O@XSh_+ampqYBq>Vy1@v82tpC-k1|lY!hP?uHu5F0?Zn zA}T0DJF{ab1REmC9I*CfT}DynLgQEzTaH6h6y_RKV@@+pF(-+#${_r@M(ZFPF5(a1 zgMK(Z=tD)km-wK6pgP`7ETOIBrnb4XNB=2H(p%+B^R{u)2Xy9mlQ-(Aodw>c3HrFs zJa5Xv8k#Yx(ycuzOR@|lmdBHc4{3A2i}mj3G*SF&9E^dL)auA+Nw$7g-yx0l2-;-s zkV>a`)_tx+CGj*pi5pSrP#x`-o70sxxU(=wn#dkn8j&kr$__4#$(1;>?W*X9xS{pr zD&|}+tKPYa@w;vZo7g{Yrp<%2kj~zj$SV~y0TrXH#YLi}bRnSmsovu76aO`*4 zQ*dL(e<&(7bchh5QV*7#-uBzQ^_9Q(R4L_S&#kpi%=r4ju`qdoAn5`A+xUQYD9k@# zt}09|w}14$>F?sVm*0AAs$4(g@{4btRX<#EV)3nx6<0mx^z8Y&cF4gek4FZj5DwN* zKuxsEWVuK@Ow(g0R*_Gov0|sDSU;5?7aSMSZ_*xUtIh5O{=O6k15Hpb0{bvq609Cx z5WYe@%QUyOD214hj_S~)1+kEF#NZ&pXeLd?Yo)! zQhv>L>D3u%2RFm!f+xCag(ymm>uUl5PVfe6I%CA&9n(cU*^w> zY({?)`Z8ZeqvnZ1&*Z3svLSE2jGT>oJftIhwxkRn)zMGI-oogPK~E(`!Vw+dTc-#E zIwF{oYGGVQ%yzM38=dZYgTrm}rn^OeE$l|89syg}lTMotwlK57^#$0%P>b;5%moWE z@S)9|nsb~f=B2|nntXDy+IKl06S*|7UdtAvETag2@3O0HZb3xYK_6-yWggVxKZ3|G zJX3Ayx6WgFaT#rPd5m57OF+s)<0dC_a0`frXFxPO+f9Cs$_pMODki_Ay#Kemv7#;6 zF`7D3ydM0ei~$=@h%mLC@ zN}6h2zt?GcC^rI)kE1@57U|+v$HwpRuRw-qyDo*JTv+34DXt5 z`$c`LYEDpOFT>7Z#h7oUBVaCs$+C0!3#L_lt3VJG=}xzEAj1rRSqhVB=dd0o73NHs zn41nW4rUol%)JWJ4kixT7<5*4T}WwUFO?oL4Ue7h()tI`yhgvY8e%AkMQ4)PAYf+A zOlkD;aCyqRD*IS(7I0^#6u5i1Fku>Cj)m#z;j$g(Z!mc0Il02=@V;;TvINm(}j5+3TX z8$tU<`;46g`i7MkucUSC6XGu3>ek?cj@_OU$<-bh3=E%% zR*^$_tiH`6n}LY)(l7db#)e*Umh#%2b;(!S98Su==Hz$zKm&ra6nw;hW~-s#Bklkn zk$D?p_oTYX?Y3_eJJ6M4aEI#&u{FrSIqhV7#eMGdE*hPBk;CuuqSID!D!W(>u77jJ zcKJ295jk_?TpQ2?bf+*jEMmR*ls+dX%aJ%o`73k`t!_~Rb>9f-$=S8!XUaIEbsV!O z?5f0Gn9>p!A|5AXw?u|W!i9rd!Vik)3By5=l6VS-fg&X?6o!BzB^f46)MVU55kL|8 zFB0Dys?mRuz*4R=y0zKH;{!gh%_bh-#t(o)jUJ3UP(@|m=2kQ)Uvfl0ui{Jr@hkZm z)u95)aq0{1kT$eDUMOaw_%*j3w8nFwH9D6DJ|rzLtW@n5`JHC@iJc+m$gj3J&hV4H zz~7b?=0O^6HMyL=N485(tvJS1q^PBpwXCRRH3pelTs{IN01%+ic+A}mvGHTu>k zCC!a~Bl(oJy5e#N!9{LuLl$Eb+`yWs7@%KtG;f5kl@{AoDPu$8| z9LqaRn27!238$@p;LVR6ecEaWZ))uL)5KG}m8fq$bG@n3%1ZJ@(s`zi91|q8s#za74dba7N>Bl)6lI#^l?Y)q}pvpR{C@4~|YD zJfyow&!vT;gwM7;&=)1~w~VPs4aWFd`RUoj5w$#WHT{A^fAT#>-`JxO#vckMYv;5b zM;YyCt7-c6)`?ehtrHDtlwC8_vZ%N&-Mz+M;|+`>7%v)o1yeJt`X*p3$gFBj4H?!= zUF`L;_8X^5%5R*O8hXeVW|YfPKun3jIDyfHsYzy1e{q@3HGN3mhHl4A$|z%Y8)G2&l1-?7vT>m~A$ibQ z$r^ozykKU*6ok{@lV!4ztZ+CgTD(v5hj5wUXkMBg$Ev zV)E~ZaF$e?Vmo416FeZW#2K)$ui3*5sI;LoFN@ix$vJu6Xic$DrRn$im*O>OQNf#J zcTCwi=>w!&^iX`dCMAz=0^NV4vCJHUygBiie4??a(z7Y_R>zfS_DQirT7Jb|h)~O4 zs?;6G(KV?~b|6RBqdMGy99_HWdVkE z#?H~qU~RBE2!e@{bagxg6UE~VJB@?+%%bR9)|2InjN>X3HRBra*}9lR>#~VwOwc9# zANu&gr2&PK?dpWm;Gd=U)IOzw!^CGzC7oG4l%JKKxD+#?H_X@OeoYprQLdVj6vuMV z(l(;rb!>`tITJr(Onel&lFMnEQRw>E=xnypOst|TQ}`Oo&GDKsDa2Qd+tN?^#m3d< z6cMML;7gB@W}$+#PUMjS37OqsUModTDeMwTF~|-ry`=MshsL-rN=o!!s5Kwix4#z@ zL?UNd9NMAFz(kA{r8`>PuGwoG8t0f2vtGv1+amdg%GG`NO%N~Q)mojXX)`9oIk$s- z+KjAYaESCFvW_TGy(F~n4LvCREcA5uKZxoBvU|{MsSc9D?AfxtLT7i>wf!pebq{zd zs~1|i2Q#HzLaIBOOSK8@+(WlZ%|eex|Lrn`kklBIFTE~gG_vz$hlEazA@`)e3w;^` z?x6=Wu@Uk&r%?5}301F*g|g+SdY#tpz(m#SDD#Mdpb-S6VS_-?ZySj}f3r-q)}m_r zeEDtdIP=f~C~qGzq_?J*SrFEc8Op<3!sLiUqFKp1=6_N1o+EMO$W6BH${#4i$TTkz#`FSxvdcx!!bfJlCbEiGMQi+#u_Zn!4P2N zU{qji=qA5?!>U=}IzY$lp=Y@jL6|Wfp8Ggt7sf&y_R$P4n`=1q8hxVeCLI2AEhaF2 z@lVEK`*Em>!I+edNsllAqRi5X7(cW*J)Q97?{b;HGK_Sx=8pfaFycw&5Uw+y`Gh`4 zQl$3oh`L2yp0>Phaue~5Vlj7hrEiDpGnuu?Iwz;d)?Q(+YHhKt!LCkAi(`!3{~JyMhANN_T6I#JBM(IBWeLWJ?9;aA1;^#z^i>iWGw)QPUHQHHcmbag#un2D|yXtJ8unU#q=b5$qzCYr2f zRvc?29jBery%#!bxHnN1u-q5|HHksSI`kM@{&z4{yNn1jh*#P_z2QCu<3 zs6bVzZF7l6^+H3Np45q^lrft78)# zsU&R<-_?<}PFuluuZ2QU2A^K*X3&=My=$q#+HQOR6=hb%jtCjn=t}d+tW`LN81|F4 z&bT8T6gO9QxAC-~Ftac=H6*H$x|Mme*3Nde!N%s)3~TAoI4fOGqgYUq$%!2q;$PrO zbB%x54xKQ$=I-wx&XYmZq}M3K|D^WnhaA@k`exZ?Jn9q@?8TPQMeb(?>qdv=xyZSFk z7V-|4E@U0rc5(E6_Mchx=kGsK0*5V^TFHy^;U#FL(ohG5{w5jGOS{rub$@0nW`9BZT`j5dA(x1A74!*qqddI*j-qUrdfp6!y?_~X1dvn_SnI+v=?r#0^ z>9;!u{uuKwXDIt0=a!k94%OcDYx*Z<$CiJ^d>r?$n90#QSxZ|-?YF--7#DIp-jyh~ zL((%G04V?#1Na_5!e{{T044&MU;(%Qk^#&B@Z*Qr1NQkuSS-9P=hJY>N5j|%R|4LH zC5LdiZ@?&y#v5i2&t$ye=kYJ*-j8?_zQUU@FB~3Ec)&&wB(M%if5a)}j|XrJz!@y^ zV>*DT0RFH5mI$0d{8?9dNFeBs-rK`p3f+b$@P0duK%k5cks3IWA~4^_dWZ4 zTRHX6<|RimRvrBXArGPv;*5}GmXPrXd4P~tmXH$&`D6*PtYU=_7lb?yf)QXblrSQ5 z{f;ORHU?o!7>72$Z-G&c1$=2RG%!NpNgaeagD~d-@A-`PuJD|OXO6`a0nbo)Zez6- zTpV4A$t7+GIf;~R>;do@02zR1SgzmvIsiHV z>n#B0&u;|qD}ZzhfHmef0O$g6)dFCR`Aq=K073wKWm#hjfa?GbS^&!$Ujg_Xz-$X( zSz|kZp8!0y0G2hH0el3&1W;&MqaJ_(z;+8@Sz{-F-vH!W0LvQN0Q?Bxo&~V1u^Yg9 z0EqxrjK&4o1>>RRf-EgsuN_|LVg35*EZcV0j)1<^04M2Bs-I>1vi62+ ze{}R{!DKjIAvzV#m2h6#j2SqC){$6-1E&to(Qs~nbG00^;^6oi;2r>*u+#t!+BYAO zT>u~9psTS&vgM#-0Ga`gMC9ihfB|^B7vk*>#lMxm17S>q@kNn0dsuMp&fib>PuqE@ zHOUSFBZDXA*MG(NDQ%whEY=~rhSB>&a9%fH43{0DQCnmWY5{T8lVif=bFx zkk~8oCJlnDGcyBQe z9nLM;fB3th7Q^CchUYE9iu`jY{Whxpa5y9F@Zmf9XKEmA^YRKm!HXL&B>OvIe?xG29{UhQ0XZd*M0 z@GOR>nRV#!8!3*?!qMAtA%C-6_Y;yUTShZ4ab=GcJ%u8kRb&-t-64Tk!{T!0nf){)DqrE8@>i?VDP5&iDa= zZ5VzCevB~^6V_ltmVT+jXH06U#UvUgC1JueOvuBiDm(YP@-DmloWC-IxArKTK|QI+ zOY4Zvr3P#O&;($S1&9K$89+OLHx?k~&a&Aa>{WB}Is2D=Ch=B0o0fqm>nu;w@Puc1 zl8Gk<%ac)f5^Q-g4o?nPo}}Z+oSJvHE+5T!J#gFY_uXrT)<68XC+g1dFK^fv-;Nvu zF8i~Zccu?1^(6z%F?W=Y9MV>pSMJS-SsfvNXF8|OxZgjH{h%j8SvBPZ`_8P3hciCB zoyRV|eneRnd?Mh^w)TS=tP6$g;+ON3r&I4yQ)6a^DN9txd!3#uMxZf`1Nze#gwBVBv*y8nmk9V9g4liMRATr0qbQE2HT2l?smtS`#7{X&v=M*B?u!S&WlE2 zDWw4+XcWdS4Gxjq6Ams7JcwHH@KWe#{V5#Q>pxq(Nf@HZziE9R${8!-C_Vm9rCx@V zimi<<Ok?&HfS7Y)vxV_w>{~a6Uj9f1$F(tjwpX~ZS!+vP_(g?u8yqB zVybC!Y_2zHpMIgB>Pdoo;3i2M^`W3C+4<_2Ei$|MCjxb{RS55>>^f9+-8@_lreBrK z#s0+kWYTPX)p1Ut9$S~fVX~j%cI%oNZwbmX=fuVw9Mb6bgGZ$NcSq*cDzXx81KeBS zo|`$RHS*w)0^c7zj>4S}_mMP**22>^^V1?)^G}msqv=8GiEL5azO|W-%waG8#D=;&S~*{}UR*6B=}FOEOp;ojma_aTae?#r9IdcM7HMCzD$a z>iM3@(~U)4?oFZ5q-V+-T9Ro|#a@kdp6g_3jVU~5Y>`vAGDKBVihf=5ISE~kd93fb zPF>b_=~3LMF8jO8V_Y9FHiCYZo>%*{g#Il1OHC~GA0|~A&KTV)eM-a1!6k@NwP_ed z;pM~~ieGfUnVc)uX=p`*URh68T+sb!^6gCPaX?=SZGvfaXJ!iFD79jDP#I)(=BHTytT?W^D56)nKH!WoyKC}OoR8D8#V-t7jbt-j!>^(wA$vL-*;8H$ zu^idcUywarYEIFNZYR7#_Vj{&JaVgpHDlVXUolP~w>lrW)nOv^AatoIQElx-gT+;l z@r>z>{7Vw89tjmPLa~9<*Wzw-UZFF_#o44puLsd07VUbSo81DDT6KHpx(}&U2m{t4 zwc3W%s&h)rRisvJ`qYiKC2m`hS{)2tB~q)0kXm(WN0oiEklY(Q8>v-hZ{TdCR-Jo8 zpCYyD>yGkz3DT!QOr%z+?rbJft9I_-hx{t^a1Ypy)G7(3=SZzG+ynEGT6J;{y@%AQ zPow`mq*jTIK@SOAkv^>vIb8)vH5Fne~nptLb1L@OI)pq$cC$!<_go3EEpb&Yr=2tu1Q~V*g z*lm@F>UAfxk7(jc>xJlcxuA{G9{8tpnc;)c!X=6MQ|6+#>f4)aJHl;)_L?cSKWzYA zY(`3N>$8oEAxAdK+sexjBiPyx{gg2}CREA7S5v0d%rHinDGjJo*;)%+ju@++p>#DVmsdt@vQ>U-$c>i6`Z6{EsA4X40NXUz$?t zMmOR1binNy&;bGO6f~BBfOj<-%Ye}3h}MFxKXSNyAG%utXF4BylxNUy5POtMIF*&u zuz=^%Eo$Ge;ODYCYOAooX!4wz@ti4Lu1&$7l11vc`n#=0r=xXm-= z5!{~hkV3T%mmgHAHRL?E?>V5c=TW0Lle*mUXva89x;*c?F5pb)V%~N8gR`K^_b$~( z%TouoL>x!k?j@>A8sB)=B(c)45w|DpzwP$qYX)bJqFdcpsEsT5jy?W0GB2K8#nv#p za^?YTlsaBBsln=;VusqvNV@QUoUKANO&oqJf*DX%uS5?#`| zC6vIIoUd(%_vNhh5hZ`?T(zl{Sj>K@4Wuqr%?A_@NZCr<%pPvY0tf2 z^;$VuM*(kWFQi9Buw8UfzW9Cb{8(K}FsJ`47_qrZeiaLOJ1C3J!#?$(#+ zqji&o?)`<1xM6MkHoDtgV(il{jq`lzIzyWk$E*&$Dk&C1*giy@iaLUb5Xo!9%+~Bo zt51eJG%Y7m3{@2wHwhcinpoCIT1`Kt%N9EKPq|4NqfhBmHoB~4IB5B8t^*-V$s>cX zA~Vuzjr@R7Q$YSqzoDBZBqayrOD`M3D{_yLzsM7e)7qE=q4y*cg{jF=iFsK!BfFX3{+JZVZoQk2Mmch>D9zr^heT@!r{r|UlM-PXH}f- zcWz)^&<+(QB~N~7^$a>d)#g+U=OvL<^S4TxObD-$skS8Bge$M8YWlI?F%tV7p*h6^ z*zX8b9qcFFb-OJ68KMt?tBEZ10xqs}yh~eys-I+3{n)USm$ktro6@k)_%I#YSujA1 zW_*#}(62ErsY`- ztkcYoV8!~KW_YM}hoLcr6s6xb!)m@Y7V>S1LTjWicyTEBaW1C^YbU6KG*jXU=j2M= zF_T-xt^#5?Yrf7$=%bl<6x)cJ z{6xuHT&gxb!M*xX8(3ehnhz)*kn#!c)i=0TKWb_9)yC_H&I|JE^}UXJ^$qUTkJ=%C ze*#>D86&p1w7Xu%z4`?A>KojvAGHy1{|I*>+^Jh!-obT|{gLxJV?<{t%#BZQuYTlw z$rusqzs1E7UK!572r~#KyjNiM!DMf7sfBkR%wCuwFyVa+vma)_7MDzTx59h^GZ-ek zKfrvKap`d6oce0P>>$5J#&ycfS8VV1+hrFaUH1ao?jUjh9(g$Xkl=3JP}>y+&< z88BzT#N2$C2{0GH#N2zzT!Adi>7nZ^?JiSh-}W$vhs-%>i#rsoORx6O?_?hgLyg4B z=>D80sJc9pZ8ngMw90_~ygb4lMU4?1{;C7QWgFG@-9d-ZirllCeOPu(P43UVYcowz zXq?aYDx)pYCYjiM$66fDDpv?Lw74w2I@9`*VW#y3;b6%FbwGEZr{tnKuD_%R{TOd( zS62>A_CHRb7`7SfH1^)i$ud9GQ0*(VU+s2ZyoWcWA}yYBS&|iGo z>RQ^=K1e=qQvM0OG^>H&VN#l@u6O5K^p40_6C1}L>nG0n}%N+I52 z-j=>G4XxND@@femC@S72os|ivl*`j@@Gn2RWy(i7-L`NOdUL?l|>}c(!R;XVCzqP(D$0AQ}Cv zha9Hz*$$;Y%ayO-wC=^U~^qACHuO5ual`sy+MWG z>_tG%(`lG85z5^TzW*C>Zywdu^}UT}4v>V*19J#dn8ATbgai{rMIZta5h2D26_qGz zRKyI58BmE*rHUFODk>^CMx~n6M5(n3)+)8u<`Zp8tJR>kP5n^)ZNJ}te}BI3db`%M z&OK+Jn~SUW+%xRGpNIN~pkgpIJ1$GL91`FPs|ca&N9q_LQ<$PaE2lp3xnkTWAS=cy zE1#ea==I10+WaCtuN^);RV|o!2ft@V3+|+Y(^J`kUFyhy)H?hN2d1aG5EJBx^fb2{xj0ArO2bzn@z4;}k$*{$D zG?+lopb_ZaC|0y*X+oi^7H~=0ZhPIEqMirHh0eF;%|&f8|LGza1X-XmCe==B}fMBU4^iBrF{lN7sH@V7fKW)KDdV6mP|glhId+`_~078ZyC>z zSdLy~_(3>JfzJT#f}b6SU3G(`|F?7P_@Qg!|KoFQ8|sqozUyIsT>b3%OzXt6eov^g zfFr3JwN^Y+eKq2wZK9lEt!Tmh4J!9dsjO`7O*J0E8Kx8>$dGL{H`TX+wM)oO#b)!4 zYEH`om1TwaA00$bd%JHa`<#s~PgBmg1KQ}9%~#v;>){n#+>6MLU;&jN&(k3#9$-&{ zG=W&9BmteQ|Jk*m7uCm`si|VYcntX~UQ4?}ers?ksd>ocf&p&EYHudt>2#8;EY!o~J( zR4KGSfc8YF?&wC1h3Xxs#-H`O1J+egeFD{FsDhOM)i3+wdiZDk62LkQs`sIq09CNY zL-jUPs$dO*YLKbi_07;p+w9&7^`&`dP_G@;*r(gR@ato5U@CL} zit`9xmb-31hsn@khnVTnclqT2o7o~umbdBwqDHq@cDM_hrhhCu*M+{QGumcK*p0Ej ztIvowdM?x=F2W&8x|{Zicl4q$U$(WDxW+KezScdaXIhp28e_aIR1q~4e;W0Z@udCQ zP?`M$Kn<`14gvlHAom`J^W;)EPd@6`#9C&f4zfmhkJUBe4fSOWi0eS1yjGEz5q%oH zN%z98P@uh~PkcUrOak4#5N2C!2jh}vll*(!$D3zKAB+ovI%sP(-?~rl{q6btG5+(Z zf2*gCY`Tv=`@T3!UFR-uozsU~se2?W8l;2DlVJH^&~xfWLG>W}IsIQj(_q+q9n!4g z`1l!w=2i~T&lqmjar_L1x#o6`zrjc~Z|3+;HAu{D9MV){s=0+jxXXAZn3WpZ5f!ak zDIcE!Ni9D+E9}^`k`0uh>NxktlJZ8x z2|Zc3dW6~)o`W0jj4|)_G9IuFfcA!DI=4w!Sc2Mkkg5gWjKdK1oU?P;$tdk3Ie;Z<5IjPJi$wxt`qQPTsM`r0icZH`CvJ)0+ z@4{&i?-vd1fCkF%;CC3X=6zD1P`c6fOrEODOF$MIj<{lbi4E+ph2&mTcH&G(^ojG- zVc5zf4Iw+sj;+?YP|5lA>ODNG?Q3e4>ocDu&k`^vY6yLycI|WH9k&l$p4kHq_Nf5i8e8kuD7%FC}pY1jWD=Tk7^<;rL;sa(W zaH;OkKt42Hx8ooiDf|O+g<+F@wma8zF$4RQddz;+-SW5t57AJ`XEkI|n&(ize~w`> zE@wMH4`5!lF9NwX5NW8>gUY>}|1oPnx=jZnM<82JsFWfl(P^?f2c zlx-l+?}@;T845rLG{)Rk&2bm@%x=SOaLkgO;p4Bd7il+IvWJrIBJqyPE^`Js7#8I> z+$fLMN*U$HsSb+7o^xH8?I5wvmS9KYPG}BW0=?7A@YjujuJ$h94?ta@kOYkab-{Iq z*tNNf@BuQIrbxm@BLY>MoK9D+!Z#x-Q1h)t^2fV`Fa7}b-WjdsRk*YIuZ3$A*#7X- zm`rCmcaJsjIcvHgbdd3!`AF4h3FuGWt0fMi7?ebzaL|uII|cJBjzL`}lnnYa=zjQayYaVHQ-5N@<2g0DbTpccEmla{&S^&Rnh@`g^q3e z%q_0}OzB&d_(?S?-h5oitcs{cf8%&zzC7$(sjD_08HP1-j`_kc;jymCd}^5dSdTP+ z!STt`3C)K&#M6-7v|7%$0$D;jkR{}&qMk(NYxX($^+pb9IrxZ{$rG#~VzpK`;sVNp zCsEOwtIo*!9qq(d%u?G%d7QPT5KV@qoG=yLKpShHBxfn5cX0ci!2{wu*d4lc=9BH@ zP$of;-b=}bbBbF|xo5K!b$~I=UMde5PTCDgEm&n`H|8GDL(S?91aU+NldlAEM0_>l z1t?RXm9zSg)zlR*&(3y@A4vlp3iNuzT4C}?=y2jw{6+`fe8x+8M-NkfaL-E-G@@{Z zVmtSL)#4^O*s`u6pJx4ZnRSSmuS=A54*BKllkK%5a6)_yH_w@tQq+jPXj81BgXcV>Ea9H-amy`f>j7&ENluIE_UeN>02@iGGL3bF{4V;R9x+8~x zsbqz2lYJiy&D}6GL5*dCyCv&?hGxuJ;Lk|&s9|U_GHsK2F<$9&)Ir@7SE&gUGTvzJ ziE}(#U}PGIJyRHnePUscn1Pxto(trLsB1K_{gH(;6m8J15czse@qI7Cc6lpgt{BF+ zCMcqZqHb$`bSLyoZbNTye(%~K34KZbRrRmCsvhzb{S9yJ;R<9%LJ}rd=jWOXVsM}W zeo(xiUQ~v>t~=qXkdT6z-`Q8dZh6iF%q>x(XKNQ}yD`gEte|?QJ;265Qq!d_auINf zgeg+J6~H)1C)r~MeLA+s7w?C``9_uC9s`5Z|ExY+?#lAk`!+*3<1=+>CKYRLw@zu7wMGgY?~24@HiPW%xk z2?i$>1}Eqge*=S)0D}`EY=01}Q%r!tiG;zadFz-1gEJilCmIH)#?PGtgOePm#|svW zaJzU}>J#p87@Wit#=GX`Vbl`CWI^H}^8sszCd(3JEy(^fIE52OFfceF2ecF%t|3*t z^{Qs~Vi=rg7@YPauvz|G8CsQ8jex;v{|q+EpTTB1u^MP-PQu_M!QjMyBPt%KdWT9B ztr(c`4tWarzAt#`=c$|27vcCS<^%43bje52S@7My;LfezpMgEiK4vGmTZ|dOJcx~- zk4-n$xmc!(&y6sXMRk+P{K+<_Jf zs#xr!enrhQPu@&XX65;0)59?bwoy>`p!wH`%{Brx&*k(9_w4L?)OrK-bt-^E{)Cm& z7oCJWV{A4bs|6bIo94B5G6!OQ2_6nw<^Rr@iGP2q1C5s7RjX5fwVB&j$?ET9rbPY{ z%n4lOPXu!_WL-tbZ>vnH3v6BOV`L450V&Z(iJTxZr^SdT-n@mz(cMU`9x~8oY>sOW zKIC^)RH->`+AXW>jA{>E?7I-EpF?#fR6`c~{sPs@P_2e)*kWH6RJTz5w^=`mGE`2g zzii58v^4NPh~450)z45BLp9b8g@ZL@uvpk5aQtEI#n_+&302q>WP)3>$VRe zW<&Mi&>RqsyQ(@PUyH1{^$K;-`3iMS|0A|B=qh0-6hH+8K*~(g8g@@SU;-efh&2Ru zFD$->*tdu;cl?MA)&Ur3TX-rZn5DIm=CeQYk79uwNFEPaj)-L;$RP1QPQOzdjIM2a${~~>W>wNq7{5drn zC-|P#ea}7Fjy|iu%!SR;O5H!)V^YLQ{TA*eDdvsN3FI=UH~J&o>r&hp$I22xHv;RN zT2j!B+5$4-qHd(vSy@upjdnWMm*mwUqMSkIHYL4{wL(3{y{!JI65qyrtvTn|VD3es*qk1&>fODjJD*=?7JBoyT0LkpOE+qko((Ss?DEmeKYJ7W4*`QD+OvdzodR z3G}-=zGPA(X^CMOtcYswt&Q6bf%3`b&tU=0o-8Dc1l7hi z;ZH#hc;zVavF@4q6pUs1CiP!#%rN%@F2p&d{*)JA74rf6$oSDla)S@H1DCEJ8#q=5 z+hb$D-*VtZS;m`So%0-$(K=j3Cc=}bmD;P~(E1(ygjdXeY#VvG)|&Y$JSakdwqh12 zLWD~=*$D>KT?>DhXHZWTDF({h5IYPzfsPa#3gjryEJS5q2ZYKmu$Q_M2H>b+qT_ql zVo7Lr#9i>guClLkXM6fG!uq&Lh-3r7KFxws&MJejiK(t~NkCup3DqNU`9NtI`U}zO zf!Plb8%5IxDjuNz6)hX6d4NPa#4>Xi0jFOtgY?yA9ZuF|Xb@9Kn{J=4Ahq-Ms*hVT+oLO0zgeQ%Ay4RY z%lP)F$ExowiR}@O)t4<3+hej+|5zfV+|yWsbG6K54NYLBtD@ZbJ!MZYyWvoDrGg+0 zUyhA;E|Yy`4e184i1F^Co|#Xu55Z}^UO^t>zQV2mMZ10OCeLmqqAxKN^BTk{=8RC3 z#o5HCz?Oiw5WFSXs2t$IzU7vHPX`vjJJk0a&%g_d@#bawrWuaeKz6T=HPOZz88;Mn z04S&y*(<)M`%My)wl_cc-b)}voWR`ebw6AfcNP zB#eTDZq_~_6B4?avxVa!p*!gsYCI%#L)y?1{R+Xdk;JZui&~?@AM&~}e>&EhuMJ@X zbw9e~z4$8LUz%q3hJk{Y=xxrX`r{dhBs$*yvzubg3&vF#!ffU4$@Le!zT>F5+M|&4 zoj(e?sWrk?3dVWLb@gud+JULT=u^%x^R^8C$A(#y^EW}J=vmEdaGOJ*%5n%)34uLv>2uUt{S()66aGoW8_foB zPW{1t@+)?L3n@Wl^ZhhM7K*Zffg=M!@Hrp|*2!H|NpJ%*%68ZiISh1gw?O#R%10ld zEYie?XL;H(2o3C?;eE% z6Se+F^(@(yTHl4bnX;p`q=ou4*~MBASzIGKT}%E&?=QPH?8DM6wdW~9q>-obMkh(8 zw^9?B>FNdUg*{bIP&0M2?Nb#&(x~P5lg<#?HY>fGxmLZ}y}YOT2{>FgfWtKhGFZa; zqC&ypinmX&(3KV0n5T5I_HXebk9rsd87!(Dq6$y1lF`V!qAqsMbB!Iz@K$9buThFM z+uX4|QyTCfyw@YnCS8nvK6T%XkAF(p|H&&^%Gr|4jV+imCB2{^J=p)pAG!BGu~L}F zP2I|0Ty=GVkIA~ms21{{tj4_vyLPYXFV_#dzOV%-kR8lDwoFAp$By+=UDXfhR?454 zoNen-?866aN4jF_Gk^E3(yvE-G_FS-6i-y&gJ!m**!6W+jHmno-JA8hZzKIr%r&^< zCosPTGx?g3*3{C5%3Zf}^TER>ZQl*Yd8Rcmz28lk;!WfcMqf>#ofa$9xfZMKprp!m zhM(kF%V1kWo!I3fg?b+}&1A5>F$ThD5Hw9zs3%COe5d3dbYgevPjWANv7MCb5Vx~} zd&C>kNqZz%GJ-FFgMh`(8t#5?(38Z|+IMbTKXG|%)^y^rB3wzy6SSYc z#oW^mL@#&DUHvG7zT0fILOS{Pf+?^h1z!CbycmAsN|?xVO2Gd@)@7SJ`U$sGH1xP1eu4d@GHW=51Z1$A&EP z{sP1w;yDAtNX>RjC{QkF?^=+$~1K z)4ZdW^xlwWK3Ge5Z(Ji&)sk@re_89W56)NwnvBF|Lz1k0*ss~RT()`GKi?24Yg1BM zSQG7>5eg@MJ@9L%bCTbyd@afC{P*f9mfZFPoGMn%ZI8pL7t2%HlbTfla(;VkvwD_1 zqdhTSl_`&GkIz@HlaFgpzNx~>6Qq!0FP3LZ6QWg7a-K9UTD?F%Mw)a~#gxZMV~?uK z}s$j(V25Wy)sDMek>ACX;^ z;@0SXmK~EK*XTcUNqYUVqi1S=l#_-c9%{ajhYSIQ&cATl$W7B|<@AimP~28$giALB z%;B>k$N-qbFREjlYw8PMB5pa0>hoTrVw^xupd@y%uEJKHtgL*Ay{Fp{JH#C2R);54x*-BvJ`lfoF`*TqFgmFSbZ?2_&~JW{{rhJ20>F zi!^`pV(kAl?Q&`Rr+DT*kM#0a(i(#^eea5jH0PkX>Um^FSf+0d^kzfPW6&gj9%*G{ z`X)^-(!`r~>ECUFyAt58&`jTJx(-YY{OB3z`Utx2gCAW6<|Ht~uQY)<7t9C2d=Jb^ zz!bHTHW~;fV+ZCs{Nhq*-T`+l0#iJgLNa|%Lvs)`FNEhZGkrhk+fX0#f$h^Y!&TH- zCZDl>?+h-ym^+P2xbR}Wg3zX^5_%`?f?$axsFS){P-#Nk*I$8o#OJOERp@O`H($6&RX088dTEO$t~b5QnnTs zf}>YdA}B;*o%2fy3Xxl!WhJ6QwAk5DQg{d9bQYH6-9bgot3toey{Vp&>fg3qVTL5n z1@nTH;2c>A4LcO(ycRTbUT_y2ME}gepKxT9jK8T~lImXursj57L1&tGw-c5a*O|As zlW7iANksxiFQ<0Ju(a>xzO~%lAYUF(8@XG1Q;w|#kH}Fuvlia1U*x3P@Q0e+@{n54 zwtFZ?*G8miF3Rb(F`=->jWBD6h*^e2NdEN8GA=V4hy1S?Ld^Sye6PU5e5;a?%@0LS zbT0R_4x!frq2#3@{CgmjJd=TV4}_A}GcY*a4VgIuh11`%%M=VEwODn?Jtd_u7=6R3 zNXhF!L^!9UOzl7&b}mlI&&J*~9J6PP5IM;Quyn^NnMD~|NK00I zMLpDChov#Ij`GMh+a1;;slu-?Zn8%!&~>bIJ4->RV}4XGbEgd@y#n3Sq@mbX>a~uI zJ=3xgSDll3CTF8IIOp{gXG4TiX}usD{o3%WYvKs1FS%MncEs10h>izD-QLQJ^A?EE zVa8!ss}AXJY2d4vO5kKBo%01DAw&<^LuXN$%y7%0pwFtSsnR~Go z8(FI9(-@qt|O+TFCw3?=>Bku>Pp` z+9z^Ee*{j`C#Uqs;IyN1A3nEPqmgs?kJhUt7 z7wuy?QaL>Vv)aLfF9hyS2d$(g0ZVd>87S%V4b=Z^?v*0<>OKQH7wlgBcjn7dbTxaa zkkIRYp7BB$--|pCyZ)tGWbgPXBk7_E8%+uHX!M} zuyVc%4j%kF#s*<>FZmtozrrvDNaeiNG`S}Z)bx>YIde%1r1?Zw_<-LeOd#J9&6sn)sEQ))Ue1V?O7$*4a||E;Vy1HD^! zPIlajPM~knq&qWvK&~#{@&8~vI{#uw?2~zcUa1JbRYa_pIxq)yQkPCg+HS~jl~RFW z*{!}OZuD#^KwM+^+ADZeZ)GIzCtaj#wu#Xgvs3+AywamBKyKH!xh9){)My5f8U=cc z1%&O!23LhCw1X00TfvLSh#jLY<&E{unU6dso>`wiAH7Jt#l`ElyWmNCkN6RH?XoHFi&8hp;mq$Mi0C0ZG~L|tK7 zbE^N>*Z<%}%+-Q%61Ir_r+_F4b1+DPgh7gfwM`H_$Z;^I3$h0p4)!+!)L?KV9K}k2`PwutSz&k3lg(5-cmvqZ(MOzRS zDl3r`qCayql+3z=CZkJD;Rt`#HTRr>;y(O)BN_Ik6e8o8ovUCGSrvAQf=p!oZBH3N5ZPqAkK{z!52bCm z!=iwc$~NpgQ6^A=;-87IDb-~dx+p3|Qij_lVy4V0!E!2K{*l$cWb z0C(=o0ra^W1L##yu7dI{ly9LVKuLho2&ECqX;4ms@@ptpG#|q6-g*c>b2FfM5I1uR zVC!Ps!_8k|9yZU#Jlq1$+WC{csxfJ-B0mvf(+VMpxyUW(sPIdZRicuJ&x}$6sUqV_$3GdaD_z zT-}$hc0Q_!A+{HTTNYU8uLBFcmG9d`nuRUe+>N@vr5hD9X{Nd~{G{!5_yOB*tp%<^ zpYZy$=lcH-=GJKe0jTSW%3ZC>@^duA!%7w`d?|*po?Rz>@_LYu(U)a z1;7C?0KotnAP~R`j8ulMNl{V(0dUO>oc~3C^jV>%OODb-M{9=VG+lVKwq5S0i#nWxi$FZWu3KTXHr9xCvq>C3pi1*Dz2aopntgq`{Z?&SjV3>}esq~B+T zzL0yNA9N)XxTpI4?(6HgU-bKr(FJo4_xp~~S8>nvlg{e0xhMMxXZ4NTtNrAaIuyiZ z`K;9EaWC;PHf4f zD$ICiRLQg|+yy7ov)3E+fLbA(qaZz?e}wqvtXl2|ED1=x3!VT~dg6d+6u;N-gZXnS zdav=SYqf%Wp7OVF+6dx2jSQ4%KIf@BgtJF5=jp}54I`)q${E3A>V@zZlSTObqQZe$ z4%{43)xh{j+Hf>Y^NN=`9KKU?AlxcA(^_s`tBVq-X z1;7VL?;xf*3VX`#puTq0d5*TDcN;IuwzT7C8vc=$`%IubI(d%fV4V~c_6CaBO9f@U ztUkkHqJ}M>sEkfi#mMo}Ki2lpyQ!~L7vyYd%o^>VayT0P3-+BkJ(8EO{+%S-l|f8{ zbpcC(sbfyIr;Wr8g?<_I(&s;&+hA)jXy4$M8P;0m@U^3ZE4{$(z%M!rqXA zeg45cB>@Nk_B|WkoteSeNp9pN-#hkKY_;1u5rEqM-UY=dm9RYg{1eu-xG9+CA*^ zfiRx@#2?@bAOYxe>>CG3*_0pclLpb*w2Ah4gM@7A7xvOYd^UZfebpeuq5o^2mWt@3 zp??thBc5835~qwZoroNCmQW@U(W%aQ%Cr_lud{$Mxdo+g)>2?YJK`MQ3W^;xV-Qzw z_1$Th&HdaF)+3h=d{bQ?8OILkz6qhA)TGvUi9>x5zxxs3LY zaDfC-M#T!tB|c^JEyC3j%ma#8I9)<~KywP0Nl<;!Q^94-=!&1JU2h?FCEwQIEWx$> z+uD2!sur|Aqb;=BxH#=Xi(hTh3C$z%_<_7Ke4>~%F!cfElo;mS2e@U*nqnsW~byeU?V`KV`>Bbu$Y{Z{|+-x zoSahh4);tPmLhzIrHgY@ro6-Naw1a1L`><8`KWKd1VA|!%CS(MgYq1dtDsy3B)drDf+M#u6V=}Y7^M|DqGFyd=qew{wDEYdRXzdw;QKVMr(?55;uK69t{Ozps& zbjJ4NkNQ6}d<(%#=!eF0GOZWg7xe)XhAEQ)xshRBZe-a14AD=+lmQ6d zNe=e=3h%%5Ir`vc$b`##qdpuy$94>`2=FU_4cG&y06YSu11T1iWQ(>oAQ!=>^&H$91~>?~47W7GEfsJ} zcqhTixsR=a?n%(K0=iyzD(lM&5sw@q&<4SrHh$%*NyR>)l-j4KlAh4MwQrK+tceRX z6XYyw{6g&pAm&Q`MT3`zD*3-?C&Sh(fu)I&QwkTeTofmZs6`x zhRtV%K^zFTKQ0IJy<;->s+aSevQ+qE%Y5h^JBNGX8?&J=ndc29Td(Qf#{Fz+BZO=DC|Hm zohu-#$T!Yd3Ry)VWz1v3@d{iSdy$Ydg71p`fVtqvEScSbKcl}c2vHy(FxkS13J90n zBSb5(;~1N?`R=&dgwyJ1cNpjh;lFWQH($0QtJ&$m+f6vn_z3y8X|;*3RB7&{+W1%M zHSV0+Y4ed#TNt0&_>zDYR=JHM z0afMiY#EY}7ElhxNa%%(3xcK>P0Lcgs;CCW&yW=H18k{yV#@Rf_;1DNlu6?-W5p3E z)5hV>iRmem$6;5A$EFmI!@qUDkg02tEW>V@sTRvJK9uQe(Yp;7WuMpLpECZiuN*{n zQ$p-hM{v@pEFdErD~-4UWMuKum@7a=HeranUUf-S+#`91T48)D+ck_%GhUM!hw&E; zA7uMDh>J$GY%2$|#$b1aq+(vuCfS##5??|nLUk(YB|XC4lco-d@tCdxEhYA<7yzgvCKvCp-rH+Yn`H!dS|Z=84hxbk}5 zxN^_z+7qt%fHJ_}17-H#0G|WC2ZS9uuF0KLiv2YY0Oh7FNBxpE1DXM!uD2fbI}P;@ z{?nONBmiMLbCkX}&id&A>F|IEz*s;$Jg5d9um(^BSPrNLGy#Nwxc~`30hj_<444I2 z2M_}m0Lp7m{1I_!aM=m&2`^4W|4B3Ko} zjXMOWk<PxOxZ0#& z)Q_Bb19=JfL}%Q<)H?hr=l1>!tO>#&de#dk^pelBUu*p5{;AmxNdNLIX8)JVG5c>U z$IKDkEUF4O`8L2};qRV2!45#NiS!Ou3oA_Mcd%NhF%jOuYGIQJPxN^~A~OE5aZO=F z|9C1;3ffB~K19M&29Yg>Va#YDo@4{3puJXtYVmy$*up#l<2bN|y}-7)XVfl|_!ok* z&3p;6(Dy2)kolQyoFt%-U2bdX`P^100Ri*x>~#|K9p4T9cbFG!*^;0;%^>2|2<4zl;Q+mb~Ay*gj^^r!QbliZp4jifM_J#_m;@1G`{iHGV0OOw2rwc>yF7 z)#q_Rq9D)iT0$Bu0U;^6juv8DI7qF7lgCpQ`cQP1_74jLA!!IN89&-4z@U#>ulmsv zGZe8NGU3vPV&1F%wIpY7->cV(H+c?l5Kq}?J6=x7;N!GpC&gpp_~o!}KvYt2Fe}0q zBah7B<*N_N$9cu2rf2jnw;LjR62AAOrxssw z#$+LCgjvA9hSNLJxxHRiB=skCr+Y(d;V5FKaUOSzm)Z$&;brbct(Bvw`?_b`Q(k5# zJw~v8B&eTTq-k+8`Xih0pF0<~iaU_AoU>Y|k0Q?+bA|p2Pyzc@m^8xf2QNjo8?y0} zz`qOG_@{v`d__q~6?Thbdy)hH@$Pk<-|5(-c+oHFVZLAi-hM*m8%+7$eN5-XT)qCKD;7c4Ucf+(FfOOEJ@Z`Q7tLzHH| z1}A6K^7G*fK&(x;315I<;5pSUgzrI8wC0gB5_nE=iB87A)I#hj=Q!Xw#V>Ob2a4`s z{%|G$&nZN21w+yrAxpo`e6F2*MTa$?l=@uJi_KT1#Pzx;^D(L4di?_PB_;hG^=n~G zFX|mVUbv~(k4QOb7xdzYw54`guRoFc!d}vgB+^%?|09p!gg?baIHOah*5M92StbS+WLk3(@~QuRRGlB-Q|SvJ0SEx{e$-1J0C7L6kDi4cVgf<{pRR)dY(Q8R zHiMFdRi-!id8&sQu73w&ZFu-Yv;ZLSPowI}UD|1vkBg zo7nC&?~EtN8vRw*QU$p&;uU-m(4PHDpKI(LHnKZ4Bl-Y*5K29}l(;6=J$tenn~_wl zsd2{F=ZSv%awk<{pF$-S!k=FVPb!2zyM^9s<4}WI7$f$26N1R}waZLCM1~%|*_e0K zSV6K07)y=|(oKO4)Ww1r6RUv|Ae>)=PFww+* zK>Y{azpw|CEyD2XII-yDSrx%pb3=b8Q*+IiOFs(Fc9A;lsRfFysj&@GcvqKcRhLBMk?M}g-mh&$j@13Q3@KmjqJk4g^7j1uHI0GL9xxoA18h!gX#YtBZ9&jBC-PtC z-{!+!P&SGSH12}_i@lwwSKiyXxQFjnL%?Jo{^~ zSAhKkZh@n`WNMYM^STwfDaP*n;GPM6HgT_8NpIl8_l`}5ZlUlq*@n*RO5zxO3|FiC z^p}l+uH}*cirY?iUf22UG}Ll?b#O#E#66%xyLDFXZXLJ-z1+P8h-&e5=kcRKoF0e4A zyh^RuLXvVHYt~spAUad~xrN@Il%+jxA+#r4(daEfoa8IoR0w>Ddat5dk~z`u)!~+0 zP9#qCLGCjg-wa$noZ;AJ?JGHEI4)mPBu^NI7?;0*cq~3z^$>{1VxxhEED#oG5JZ5h z@*`%dX2_%X;WO28`FK9>p-Ll1 z_a{F^t#jtL&h8_vG)(7S9U`99{lVQegdC&W&)u)YcT%qk;(900yz)(v9;dp%Wn3%F z8wu4VKgBDYc$1ACHW^hDY`|R0c=FvL+GQ%XI{(5|)vxYa=*+-oK4K6`!2& zH=x@w=yng@!2^@;*YrW;+#XlrNZig3y!Gi9_v(-{_3g4PI_y(MuYCp;pFs1qp-hxJ zbiFOu#JNM8Vk4Ruh0Iv{e3M@xf{6JZkI&wM{R}hU3_X$z{P=2EsK>MKkU-^?O0`h>&amE`M|~3 zpDv(fLw*q&=ckLKscU(ux}=>d4lh<0yHhRYW$F@Vs4{p_y7(DtrJSxyPSaxKgaZCW zjYu9;kZ@5ODaRMYt}YD88I1VNah3ap7d@Zy zs~|tMlROf8^UnoN;|K)y`kXa<1%bVOXN`*?u-AX3;dAbucI-;y_uL)r=r_&x&WFVY z<&?JOHXbz#yoEx$=W|USZ#+NYzKX|7IbQuF(;1|06za@6V;bk{73_@Y&^;8F=f*f-p2Mm>FIP6+Bk~tK44YAL; zM`rZ;)iGB5QZ~nBQ1h6htUP81JhBKLb$x3I z_82^FEIjIaxOE5M9-sYioZEd3BQ&N<^y292j*YF z{OKRK0L*cle|Hre9$O|XGciTHB9KcskyNo@hJ+DGcM4WY;0PrOg8OKlv?GEglE6;t0zsvO)k*(ZuwD{6 zpTZR6OQ`c{p9vO90_Ic81v4eg`SkCEYb5CBlna6)NzilJYQb^|`#JSbVf7%87H)$S zat?zs9THNB3?Nqd(t_plD%BRtcz*O_joT8!56=SPhX_6#!`-)x$L_8xhwL$`nDyv3s!t567}?s+PB2G|8Cm5{~}jO20b@%9&%(Aw}o&F;D3>Y0Ft7T;R> zOlEBmAZD-jueo})KVZ8hN*U3eJ`f9-bsjnAOE-GX4LABn(T0JE-J*dAKxrRx{gws3 z>$fiOeXmP0uaF)#*8r{$`{Ooa3Ako}zFJl<{X$j%=o%(8Z#hD2-g*QQIFYjX(nqo~ zzC8&GEabpDXL*_($ugGSF&?)=Ok(Ii#byRM`m*;iz6AyTTza)cMMew)-s9 zPmx`0C;p;~m7N~K{G$Ixc5Mh4{+7uO4MEUOh^%+Wceie>ZANcU0)2yhpFCHZl!J_S zP_%y?7`wwn>&y-;FKIaY?xW0AF zTo}0Qo;ktz363+eV;RV&)a&XwkoF1skQ3c&dn!6`|8)fP)O29+jxfkbiBT4JpkEu` zy3ix+41SV|>=b)UR!k0S40qYX!g$f%QU~f+QC)qt1DH9jE{zVKWbnFn z79f(0n_Y$i%m&g>o!U)ezxqj?m~?Sm{j^TpNBub0#R9@BU4!d% z0r{1l=(^VL6RIn89qK2BZaM6u4BpOjP028*j{S#^I`F9>6dJ_Pum>8dw`?Cb?oG@N z9`{5)*`h~rukd~3x;*YtK2fgcaWC@y+&Ve;G~eH?r*W_GeQCNG+(Ud4O@Ei$JB-?? zTh2W`jNGX|z`Z<-ouPZmJu*y~p>N_|;2`engxph7pZofV8&ZOs-_Okf$HXG;E^qLB zRt-2NrnQzg!a3&|;hGUrfA}?QrgJS!vMC)qB57FD$1m>vD3=_5e)nze6JQ&?>O7qP zRs2)*KMoHKTGlP9XJV_`EwF0+xA+B5P_GZXC1lNj<2S_6^8U89xw^O{NVF|Z3N~A z%s67rvc>25+rF$oZ@W=}o(|=7D8GU78|Mtq6)CP#v<))?gcrEOIMtPgYzPT|_ zncwv9rl;2IyB}G9eEj0-z~1+PO$cUtaMM{vJFTgS(H_{8!f0nTjnWJLxL0#^pxHXI z$+9sZ^3Go$o5-dWDR1s=YVB7R+@AZ@ALFR!>AQsgZS^s0rG8l;2}4Sa4A>f#%4lHS z5U^7rPbNZ;o=R(A9~O{P1K%;`36fJ;?^w?SVX2|-NVAadw`?jMs3}CDzr3Et;m=eH z<>NUC4^`pvL{8j8^<4QxPEs1s_9mvxsKXovq22RCn47w9WZgrkoBC6-z9C$+?hhGg z)ko|1%g!qCh19FIvfiLV`UW^$Vc&r>mcrh!J2bqlZX~Ha;wgHS^S`nKUc?%;7ZxZ~qqOakEssV|^8NE!;D0zO?c0U-c7APB$)gf#~ZQJRC`I{^vX zVYVOKoT11j%syu^d?y0xnAcUy+!;ejP1p$z&>0-XJ*CFjlN5e0S=Y4_-Q$Mh@2WPs z6NZwLG-x+XSy6?1t&ewY97*>Is<3$Hc+Vj(zA^GNP@G+NwOS$8c7uzq2n(i?ZITg6 zV_Ycg-sgEPD^ZXwW8dQr@J`Lf*BIHZAZlVJVUh^{lb+++K&6jz5=hi;sA-(01_!yC&XJ3JDk)h1hRsiXui*1WdtPbjLwppgo(eN>c@9*Y!~X~h>x4;XlrN@(e)El(!bOr zpAf+s57tf4b@^7X(!mOuAFuRhUDx>dP~98Xp)N$I-r(x(!W;mOh~r(rrgg$~xeHgV z``vY<3%IlXKkD8Ds>$=)8_gVsgpfJRAxr_nBq)doi6l%S5=4xM5F;w$L@a7l)C^TK zphBHeiyGsEN)?nURn+8fqSRUiORKh2(`c1CCatZhqTbE<)?MGQ&bQ9D&bnvad%M<_ zW+6yt6FD+I-2l8y40VDSLLMkWfX2E1(4 zErO;2Oe9=iCk+rHDc=cX?#u$fK^!ti6+~C69+}4$g#V=6VNNKB`bjlto>%~eW!>h8 zf|&b=-$gMkf<|0`h}lxui2YoY-Xdzm&lHhbro6`76D76ezQ&Cgg|$q6js04b(~|!h zzuJyynM%O?V`rE02{^3XzqEjW-DpoP6%z0wyH{y(GsbS`mQHHMMcG-UMa|g5@Qsnz zjGt%6l$I1@ezQlG3W{-bJFT>^7`xM+Rw^pSPq!0Fr`*8&VCR+Q-T<+e;L^!AuwU7; zTzib9r%;|eDe-y=<;hDDLLihU4@i6hp*-28pr%22a#|NE4a$?BboulqR%jRi&X4_3 zT>;?ygda8I0GuCxAN9hP*I(UB%mi7-gLet{{b%uGy6BCJpZUzLkVg6hfZb9XnXizlG@S6aI$IVt9RIgwy%RAE5P38}(Y>-Z zy3xk)t*XzR`N@+ZMf!8Dp2?7 zQ>|;A0g9R|e3+K$Fe$vVX{SKAp~z?40j-apt_}b|e%a&{3zYt;CVo)0$5>_>83G>dV5!2Eij7 z6G+8{kFdLew)MYcbCtR=k!kp0)RiykVENqyy1GP%H zuwljydSw6k8nPs8Q@!Z3C@T<(t!qnGP0vCj?T|ImJ5pJE~Y(5cv$Sr{L!)nsC!d~^p) zoh+PQ`>Uy)h1Ba>O+74Zk#@jzh=nfFb(y+Z`0HS7-eCkCaGj~wh=~JZbF&c@r#ogk zZNwed{%$&ML>||DZaQzo)@$#X0GCz|%3=T8>o09Gz-|d?jAhV=7+)7xp`0&^s!ObZ zPGo)mqz+_)y|TZk1DL970CtO5VxI-DTd$uC=LGmJu>StS3XQ1Y$g}F3vY=tkSfXm(Gy>+~+8u$@EWtj=X5E28Da{I{W(mslkZ%_6t&_f<&Ob(g>XBWJxe4*S1;O z?(rf}!!*A-{aN9DnpRM`E(%5-w2PDTntZP6Z&@Mm7dQ4!R#DMu{*{XbyIcEnPrUl0Hs`P^3*EKpZ2IueihEwPVX!jAuk%#oge!zZfCw5L6!o=GXI*Uf|6^5UI5sLm%e?hvp2Q)q3Ni_<@eG18% z=k@}owq}ac`z~)EoQna#x`xd(_AV6mL!9)xvF1ayRDHX2w-&e3Ff84sMgFZfO80BA ze;e*Plv?zCy4<>!6RN1O!O4hmmb&CN4A2JfT97H`! zKrkD-)i4AQczm1xYU?siSVrt0nrUW)XEqIUR21HjN5d@;kzJd)J|Ady%Xo2c8-P1% z%#l6pB9+0M))RSM`7MCjIoDN}MXMX8mm}`LX|=5#pgq?C0uRuh>DDP?fcE@g-Czc2 zPrZ7=uP(&PO;^x8Oh1xieMXiN4+EhvprFBk90p=wVBhEf0|r*Z022nPM+fMBq}Yh~ zD_79kxJqT6IZB&YsbZPOYGZ#=O3Vq`gr8Iy=84+)Or^pc0R>Tnw=kxqv=OHhGFv2# z*g|1?OL-&y8zHHs>NO@-nAB4C8h1<>)>8QzyHJ?ZQt=x9yA9D&O~3@$*ezlL?sJ=e z%S-}xrY*T;Ist#r=G8L088hC-ZJE}L``X58nbnM4ZOdqx(TxAchH0rO#$aucrKQEV zjW$}Tq!=r*rIms>pWQ|%t-67UvhhmGZr~2vf=erJVCUJgN-J*Qe*+>~H4j4vwuzXB z+X-xwnLO-t+nCbnz23)xZE{|MtOo?{5ec>)*d}LzZ9-iH+hV^#`ZL%TBL|twR5)Op zaPQ-`0NX^e81$1E{pE|X-`U3XS1rb$0=CJ1+QRJ^;*1 z<%mXFN?B_JCwe$irn<=)H_UM=PjV86flu+0lRX?xQSRe}4@Xf{_c^h{5ud3pamEkF zlqo-OqFC%Qm5MW#6?t1}94~pujao=s`gt z!x&Qp3JQ@D_=DSMPLseNoZZZmz#rUUbCx7JPMIzebyk(5;)U}%%gT`_gws1K%h8L3 zD>^F%5zmBEI;#g!LBb`Voj_bnTVeSbx&)C=Ro|Jj?{Wf_UxEs5bfD^mIpuEn9_3zh ztRg=ReL?t1=Y;OS&KQVvh$M)d?!X3icc5!&-B`!P{(8&113xH9lTIM^*hlm&^4AW;Z$4wAotI0o?wCVdZ)3ULM^2PSWT$u~DbY_33mfJLgj zAP5knkNqILVPSanoWH1 zk~aQtwSrSrzhhoY@xAAp9Fjs<-u@6WUn_AxE-GhGJzYprjYR>3~3C6(zgY}jVp z)(Y8Q77%XhBH0%Ue8y;N*#`^!#^`A5GX)^*QqDe6Ks>EW15P-=d>YwD3qYilz&_XK z^@p~YeX5V}hmOa-+~?y1D%z$#KObE%@WH+H+A33fA5pK%GWGQN7HOMIhx$lGI+UrK z>vdf_$<)CmT-R|-yjpcVwRO8(E|gATP&cX~yWQApFNO zg5=KUvMeJAKi-pZj1keQuVrJ5F-MgD$l@gIBdU$EOiAQ?rCk;+;mlVZ29|g9V`aL% zs$y1&P3d}z6=L&&5#_c?{~*H*>l`h2Nqz>jg9>UOocmCidgqwtMtX{a zG5ZZ9E7KX_I_zOJMfrh3?;O__53oD8LRm`ey6B;eu(Q$EY)>5d;ICcSvak~YK>`!Yw8 zoKod~)FN_E=!q=ReCs6iaBhO;eVF68hgwB_V##r4^(1~keboCpHuZQd)lYWp>LGlf z&af!08|A0h8*bWMozfkPIgS?SHQ_R{y74cV# zX#iH>i^Rd@lqmi@F{PY*m_J<{SWcbCUm<1;`v1nCA`Ts-(D_Tm?!a#-q=p6)Z%1xrd8RFO zgrD@$roDAOKN;qmGMZO)ePOTf6m=kH*((7x zf&SUPrZabBf9Uh$$o6`o#&zJrgmZN-Xwpd0-T_oVFA9`^(Y){C-dT{bj~O zd&jp=@J+AweHEh~FQXXaqcy8#KE|XYYOE|!!abr9$#4?he07wJA&Hx>nJ4p;08oxD z3zft^)=ZZnB?%1mSQ%Lo&(N%pc}tRZsfmE&;O^2)kzw!hD%1%w`rWt+%@WXuNc>R^ zmIilYf7HyDq3a(g8<( zdtF09d0J0sT;PxweXlw{9|lrkfDQwGbmGfT^|)2JBF$RJe-HVB_BvN`d76S27nlWy z_rc+*aCiY6{u2(1;P4nY46}RT@O?PE3=Xe4V4gN|XLCiGmR+XQa-y`6WvV{TSS{za zvYnHljlQiK=1kN=dCSO&&_>-ycZs6?^J{T(5yKzAtxiJ+Th)i7=%2G&`;Zhv8(Y_h z{Y*c^{yYQunPEG7V+OWN|2=zW2D;2}hP@>Ne_Q`2dv6cow!y&G^kBy5zjaKMV{0ih z1oP#@TJjz1J14F$?gRFoZA#1RA=G%=k`|~qd~KWEGHVDOrhUhTio+lJ&1|SR`~ek* zTsMa1KUE-bLyzc|V2&F_BPR*OZXX);ltAvr?eJe_&3A@)BqK>t+x*DL9W=5amh8QQ z(JBZaV|UQSg7IWf2OqE=<>1=cGPRz=XpfX>u5!e7T< z(aIY1F9fcBEO7PFW3LP{ z_~|hu5vJrp>O)8^GzRvk6%*?iM{sFyc)b?{VH&y;(h{IewX+XhY3MZpyYVOeh$F@w zUKit|{M*bPX8Wl2i{`itKysvu1o^<(2ZhTABJcjfCd>!_Finm<#*rkZG%^nJnc~1k z`aFKRnDKA&O5)JhwEgO8Gio>?4#>t){rTm%bs~EI)N<^5(O8fS#o_d~Kr$4|;vLt7 zn{lkTOPDLR8PcA1?>ud#^pGd8nesq6U>?Vcc&h9&C$eIms@&#mC|F^s_Ek`@3N2<3 zEwlmuV)_`<&G-m7W;(JF5$oUKsXXsjZeC9VV^>b%&(`_~+zx*6IP$bLxA*)+2)b(N;l< zS1?6mos)tICg)nkDNt9sVwI<$Mk4amR2eur*U=#vF$q2SRj!)u}7F$^2u@Rb@PY<#2s20mz*HsWthj}xJd z@l$)8{ska6^QqITAabkP=42Ic-X1ak3U{Z)ukqhNHFgpK6KiL;6cKR8?EWoz1nfe4 za!UyT|GV9*MbL~1071lkEaDhl3i}Mp_q0~-SeFu7OQ-V3rI2fx+xdPe0gq_Y_=zd> zM~v?+cv)s&#C&D1EV?gdzG_4^&Qp2=DBV@1r8j`m-Bb!1JR;kq(sCY9x@DzRJfL)! zxmqQ-Y{s|N7#WruTcIwK(YXl~nlUmWH~vTUa#;vB=|{~}JFZl)7(>5;)fD}z7W~#= zH5K(pOUG6vw=)u1O`{PCK~7|qlbD41PknJUn;N<1%3syiI}qtG^#x2VfvM>* zm6^yIWL~qLbB4J<9r_Y82G}h=X|$t)2sti|u|PnT`=!yJ2vX(9G$zwZa#B3vDqMn% z-d|RQ{n9qJzp@Iy#769|81Y?CNf%52<_oa%@N)bJ@1?p1T8$@qNSS&(?ArWC5<^ub z&J<5>7IK6Bs3XZuXass5(~W8bdS1HQr;&L|Kyu?=)0TmRuitCN$H`N!=)6qZv|exZ z1*ZL4!dnAZs?_@6^mWn}tsl<7l4`ZyoAeT?QA^xp$dG=j_07{Oq&u{vJOf7BT;O$8 zFO;?w5Uv^`rRoBoXnn2pvjV?p15K(g@IIn1m+mPb9xBK*EdRc6_Dl|2-2;6 zUXS%}ELpAIH<+JWQLR`W)X7AgcnR;cDvC2f0@AAUI5CpMDk>5dXS45r~8-m3>S4)YnGni;?C-v(hFSVO6@iPY^223Z$SPI<% z8By8zqjsjFx!v!kKG4zTp|mk;RKDiu9&WvAvU5?x%qH|n?N1I+8#xJjLZCLXRQuLZ z<4)*_y@Wnzd*e7;hj^*o<#nT%iPpMG8VlY(D13`Y3*oCt>zv_V*obMhFJl9jN=V-e zn_#>aU_B|e8upQ4=*uRu&kjRhb}9ShF!W_Z*_Vc)FI&w%FbsX!%L3T|K9amnP&Pn{ zq`ns{PeB~=M+=Hlybe(`g8CH9A#$#uGKFvm{ShD3x%%6GS1Cu9oCZocLo2!~ehBSZ z`@4TBh~-o-pOz$5bHbx!F=v%&>UMT}$m>v-n$hiU#)rk)1tO#Wi_jQS@FQ^pGr{my2DU$ z!addg1}z!nQ{7Hz$zaQD_5J(~BoPX|1sxz}xTasY7*W_oPNRPT5)1)pptCha7T+^- zG5VbLg`=ViJp^BPKiemmHY%9ivVPMsv-c?(mkQvOtq-sgtHCYQs%6q&foRjI8*ZswcK{j@963C}<*8({hwA zoms=-iOPM>eAj0l@7I(Z&3z}cKYzsMt^NT&M^1hX`qxM&g~k1VNHXNW<(0|eeZZa4 zek;{_@V&6tIR%Z(749%r+)4Eu=lOr#nf+!{0d|vNQ2J>B`aW%;brvT?G3yXMLWD~$ zs>K`>MJMOg;^x}_lD74st{OU|>ONqW2U#NJ5k}r=O)Lj*j9ac=$YB~2mumtzBxCF! z>Y1D{W5OSr@n(cE-Upzo{>CI94c6=>;p){QGfTqLYog2;NnDY7o|z^|EYi@;1WD|5 zK)wb`60U2;no*MYIQ0rMMUoV!A%b5N_qcir_(j1!A;AnSqI&fb4)Uy_QVJs2EA^R< z5HYhi{;6uVIa@LHkn)WP?NZf2@p+3S-weg)G~|A5q^CR$dqI@inV*JVYo8+B-xKhh zc}|ITRy33j;j*dcpbqWp+F0j(iMC343dH1F>ac!>3t(vx7))PcNf}@SGhbNlIG4J% z)R9WGevU)KUM0E`NB1z{rgp7m<^Z;h`bND>G{d#Ght!nx5}%{RTUNW-J?v0aj()Rs zs*~(Gqo9p&LeZD?*R0E&-VNd`@Ab@IEKzP6d}k9s&~Aaq{u#$IcW@7HAO0gq%wKkj z8lcztQ5WhsK0MR&({xWxFQ!aa&HiebcpI>pUk>};23*C(VbT~al6{cnHAc5vFh9ks zDha3IIq6XUMc%WWaNyj5J>p)!(}n}=%`Dtz-2DF51NnvfCb%U76^We zp*;hF-zm_Z0l{xMv}Zu@+uPJ*1i|k@(;*}1E5w?*jXu}43!y#ZcU>0%?HTVl?M!IT z5aV>?p*;gcvDMI?AsyFYp$_a-uN6TZm_U(vw@2+#|0D}&kJzO-FT=LSQ~=JHUO+wp z2nk#oZ4q>6{L&cD1k^5Mq&*L+!Km+S^Pw7yOxJzsc-*tr(16!oU=9VYO6o~?S>3R9 z=%PskF>mOiV{6Y^>t6XAbp^x)=S%FD4_{)Jz|SS{^9B4|b?q15pRVoo%CtdTg|I`w z^Ow2_a6~p{oju>Q-@|&%+^reF3B)a+H%(y2NfQAR~Uhex14aY6;qn9)zHE% zm4nuAz9q=XWhHJ^k)0WeX-&lYus=R$_f9Tr1LQ?)a%CHCt}P(Bq7D1dHa@w!4NtXU zlf@%m(YpPXT6e0EvmQCkeoDH>Lo9~P2EjeS7@Me?=_Do38u5Oty=-Wib0Q=FVV%xNl_Z=5E*qn>q89s1IIgq$ z5hh5O*eQO5`vR`oGaq4R!BueB5G3`0UZdq&2P}J-| zglRu>zy3?{=91!e3-69avo1%|{aMB9b z#&+Z-+E@@yLi*_{9i8pOt=ddSd%N#e-5N(vJLwW5))6#-X!9pp3kST~C|j%x2QY2q z!iI`8>=R)sIN$hPqNaoM<980c+&3d>DVAwl;>edz@Dz3p$(i1U+Dev`M4KG)Qef$}lTHEDsGO^@NH z$bD$c1$=M0-wxUXpk?DrE2}`kXsW5hgWW;Btop;bs#Gw7jMHD{N67=qq1_(m%qg8- zHAB5Rs!a2glh7W1TeF7~Q2_d)yZTV|hQ+3>J>)_9cwpN24l-Bs^?hJ>-efw!MFi?5 znYy@yJzCD4OJ`r-pU1y_d0KE9J&K`nu+45f-!- zFbSflhWy^N$*H}z%>KCQqmINbWcOBPLS4i-)mgU>=#McPR`s?C-YLdw{A3e`S*Mot z7e2@AeYnx@CHa*)(S02M=IV*iM*1twJEs@o4b(f)@=zrn6p#;O1Y52+r@0E+XpVu5 zSj${lk|Jv>(IpHHauh<($dHQ3ipoY$y{1C#BiASwJZwbX(w(yWDIZia0R}8 zXfNeS5Q}qDGvi?=`jjrr`b_?Z>VR`WGHSlHF^h3z+oow9q zqRixol6lF~Y7u9gTHumLC&%1elsuz~^w|C@@iAPS1#OR{2YQe^{oD{9_9+oQ5Q#yIR4Bit&Rb z#+>OXA4FUbP3g>!gtOT9IrS^+dNDrOe}z>bCN)Jrg=?;lhf_VwyF^2>S$pB zlq%gyb~7^K?&Gf63L2`KL8NfN5tM=hvXr$j#jlO>(7G@M*+!;XXQp_!QMX&q$$UH| z#n=aig${g5NElPPg+)y4pDtLBH`VagxquPtWHN}hQ@lX^14$Z%IWvuM`Ai)rv8zBIL}}Y#+hY2>&u@<* z*b&`I9pWul%@QtcIMIh*N?mPz!3nDi|3kA21m<#Sm{s~orkz~EONxlUNKES{+xfG^ z!QIp-%P;20x}+kNpE+%qdtF&#<_+^eU{1KYUsR5Gqt}~Gv}0aUcUs?@eH8q1EJ2PF@{4r?#1F=ox<#v&f4^50*R@Sl-vv65a;2zJ-Xh9$2N+&EKY?!{CU1;BX=W{D zv!s@>d1h78*3Lf8*3*4)Tf6$Ax5i9bya_(Ry9D?Iy8_@7l%>KaXqyk8p!PfXsQteP z@27^3%iTVj7(JReWi)Y579^&vhQzu*AhG`uBp&!?G;z~tB4IQ!U^H>eXkx7b68l_` z=;Duo=UFYqa2zTQ;p48LzxiK=rv{D=|7olIfAC-Np$hGH4YXr)S!%GTe&bz2e(UJ+ z|EI%qD$;H9z0RD6w`cr;*cI@vj-}e~{O7O8UA!szM35}?)A>d4d`~PKuR8+o?7-)g z|9J56VaWV^H)PgU!}ONbqtpK@2Jel&(EDBRLa%4R3-vD>eIdr^U}{Q~ZN8$d6%G;l zcKClWhd=t;qsPD~s|B*ID2u(j0&ul3E15kF{=Xte-`KyO`mlL)fy&VZwxyOq?!ahn z^UcxG#?jG(siVv89bI;KblJQGqswNFE_*FtbToJhj6SX#9nBvd9lr+_xG^xgz}C?P zK1qWGj0gX>sUy-DNbp!oUEj|Q?l3PE6UszZK$N!_jIol!g)6tcv<3^|79i9H) z2mk$7N=<>(8@AC@>gfF9VwnFw4B|!yJ14{7l|;xSTp0az>qnm-9alPZhqfs)cl9Ps z<(M4{j0NA6?)%lWi||{3U;Tgl@Z-)WAIG;x{ok`Q{tq7pdWwpRHW7&UuWI}H8%iht z=N_UL*hXsWZa0*opVoqvK5Px*d|KQQ{a^Rc92u}YU068g(NgVawSB+%N5LzG z7kw7KDt8A6fv~vTt(r;BMWvD^5CWlsW{y@lwd<)HiH*W*m9V0svIC+T#sZ-Ij8PEh^|Akd~;zBR|O zB9E)AAZIKZMC8I|^LPPJZ4+GQ+lia>nNnlB?|uKjEDhqYX8%~nlmSFJeYv~HHQRvu*JEX7X~`6f^4#(ZxNk#6dt z-k^PH;mMiCgi0uu5{>cyjpv{A66Y&i+gaL(FbMNIC5@=b!Udh>L+D-5fc(0L+2KFi zS|ksXM7;!e7qoPX!oPz~0!r(g&gv}GIBh-vd4cK@ivPk+l^PV3#q?h+P+jnmw+$2)veFio-5*c#m(OSn5TgSQD_2rRgBLtL{pz?~bS z2JYq3LE2|hFR?`5>e#`;mcYGSGYfrF-{ojyfspP)>na%vgfB2T+CoRKkpOUYM}7)@ zB=U%AzrE3AFe2B}Q?1Knj2o0 z@?`4 zUB8on?_+dPYw53)i=DF@G?2&HVN*$Z6@~RmWSSr^hK6+vJfygM37)8wD}>3pTtlU8GhkVc$ol& zmwL-w`FZ8FhkWEzTL5Zb^IUYJVLK{|z8S5^yoq)d2O}N#6hCO5I5+z3V{Wzr3s8|# z{j+H;6n(ZSu$p~tGd9WojP{!HKz-kR8?(;&gV)=uzW%QbcY=q*l2ViTRT#8A%5~ZU z_h}n6R_Lqhdr+ISCmhpTk4MdmxD6I+naSm~h%3TqS6=U9OG7KM**{&KF7k6#j4(2n zuh8XK`aJKIV)r|M-_J{qUjK=-!^3PgJcwl_eLz#4=Rk!1S*Cn7d|?zbj#+njRLWzV z1S2CSBzg8Be5x}aJ73={tr!`yEN%_BLB6B;+D*m&DXd7&S>G#FLFuJ=|5!=nX^q{j z!A};Jbe2CteJfnrS@j4RFRbn?dxSnAT;EwqLo5;sp!R}#CWOGGIrYLI4abemxD4Wuo;45nz z)I)Xc$ZYyp>(W-lT46%xj6vKxVMu4qAQ}&xj_6Z_(R(r7;H^K+b0Q1SZ> zHyyqMAx*Jsl&6GK8wz?ceuipCL9b48&`ipReye`y{@d@;*7RD}7M6F3?Lz9mp%vT} z#1cc7qqujO=8P;WgSpJ<_*s#Q+i>L1DpaCwyu)ntT~Ghha!Z!&5%T~mI0?i68((ZF z??68Ihu(4V?``%Ash;qCktg3TgryR-Tkd?wf4DAagm#Ms)kOHUF550V{%TqHrc_VD z{Yj_R9ZhMozH+Z$^8&5=mGD8EerM7CSsit*8NJ`DFV8L0d@JX#oZo#7>HT2~no^}h zQ%0Eh$euua?UO~!PtiaSPgf6eCKN;zsk_azf|w%kaUk@uudAEQ!F`d}H8;$t9*{eq zDU50Xo3DF9dV{9jXDQfxtrUkm@*fLaaj+ZrZkg5b)LO?pA*k-cJpwrU`Yu13|1*Jg zQD4K0+rjoa=6gT`jp1@|DznT|mv@2Xr8ihjpDM6BnlCRPe5`c7LLPqj3ONsc&U-i` zdDn&ncRYA2`j-lNed6>FAA0U^O4Qe#!R?&v!tGDbA9qeGo$?&_gFUn~_c?Z~J-fyK z@ae;sPVV9o>%ov|E0^?CKX`9i&5Wsskbl{ABgaln);&PgvBxos*3HiC!lr>v{M;^d z+Si*tzTbIOXKbCl?#mq~-|xQjGUyG%ak+TIFCVYf*!R@FeQN}-!^P; z{cvIi{z&~7<41l!=6vuWCo1~F>}Ovt+MIFe_<@nYtEbXEW7}#XJby7RFMa=D|L&(B zKjdf5xbtCHJd%3wpRt>2zRCQUv*F;}uRp%S9{IRo-L*THbT>YpI@K|@Zuj_+@M9-y z|BPL<(r?ypO+#MiwN^)U3Z;=g*Sby?+*8wQ)Lhv$>=#O#XvsClC?vg@Q1V5~L36qS zaE03pmmJ;$q^#Jtnx!&?qKJT*dhHc03-G=R9-brag9_lEG9QJY7@MSDXu4qZTcZsy z9W{DaQ_cXBHRv_t6JWA3U(^5O=cI(aX8KwXU7(3?uzV{^VMXpzT4k{c5f7bfTkbk8 zK~_`at@oWgf4P#!Z=l{3|InZ0dov2fwO=)2A04| zz#Ich;69QHa+A+|kQm!~7yVd&QM&Ig z{;}b`RF#2X=rz(-4}r(nuZaSldwG-hE~ri~mwR>iZ-%Qqrh}4it(W_B0L!jYj_UxH z-5R;yV*dx~EzSv@g+OsNgjpE!(8YA7Wt^P67@EK#PRd;{L4Kvpv0iW{bxs~3-Usg8 zJNU*_d6-Sylge$vr)`S~t+*!6ZS_=9W+ya#*9vi+GiovKgwdTfwK%-(FNdiQl}#OQ zeZpaSCOyK{=(8O0t;}G`KbASIKzGGj#A5$o>PCxP%xX#~QY{10+N2#wFxhJ;+JQa_ zNQ=C3!~&71p`;x3K!4paL5yumI<5{Aj%}ze$1{aQ*THu0^%QTgA;+#Ke`P&o_VY{` z#4<$_T^HI(i8>mzTM!>;L~D(i?3tB@+byEHwiT#1h^94&($F6PO>w*@_Q_YIq5Td` zxs%aSIplL&`!l~%KA|9{Qu*2()yIB`2(a&EZ?D6Sfp+d+=COU8pOhWugudvXR6(|1 z9C-twwagH{-vId$Z7)A@Am9<>jWWyZ+ZX>5`wtjQ)C}Q$wC@1&hu}trVm8`yOq0bs!qH$w}`o zARxEcPndKHVx;|<=@`prk8Y0XYXy2Ja+Br@yH|rjK^=;_k2(j4@)xqmy6`;kl1S^x zZAPxrcbRsw@GmJ31&dOU-DIj@R*E;U!L7f*B_ANGezL$}fUK6taKivuU2Eg@i*DfF z=*OE*7=2$-|Fpc9Wh?kR1WCWq5#HsM#baplZ4_6##3z*ct>vL?ykb%ZVyb?p=?e*V zALWGgdpKkAIG{B^qcta=f)=h6ieQ#TsJi85{LDCJ5C!fJ?J4V zrp&O;m(Na2oYV@6GRLgrT5*e+3$1>we$Q#YTPJYP3OLs;z(!?XADE)v(U61;*MUBt zY|1^$H7Cvmo{mj%r!~KD@OLAYt1ohxcVm`o-g8J9;N+s=gn5b|!4(|k@D=+vfiTc1 z;hcs78gjEp+#sZ(^F{K8;vI-eYs zP4RJ>S3!GplHSSzej5dm_s$ zqaydy(l8rzd5&cRtSZJ7OAg2T1AU5>K0p`&S&|dZBUN{@=ibU;@9e=IVw?l(mT@f= zLw>h)4EDu3?=jj5{5*L~Uu31~hBL9@VjWmPjANgu11pG?4jfp8i@WjnMEI86M$CBN ze@|}2eQlq}-ZqT-L%&v#JwSiNe4|1+*O!)NA$)Xrxc2&UIiWZan41|DG#Yc8isF=) zmSrJ9;a0G4fVhJ^6>gRnmX>6puNxK!uN}Q@jfD5_p4)m3TlXRA9GHB&awoIl`pWoD*<0|m_>XEl7D+;yt=5XstYzn zKbXucu?hRZWafpfw&9!+^^@V4Nn^xi>VG$FHzG3)pF2WRd>hGUtQTc|!*P$*?`&BO zmyM)Qe_xA67Op7Zp-2Y1gWQG5irb~WW*g(`k@)TNkGE`>MGZ$+sE*p|UELD&KJp^# z8Ci&;qyvA;Ky+Z?LdG2j*o4&K@UvhZ6R#*-jJ{}F*+1(!Vx6s|e?~9*t!|ZIgWR9s zzh9+teggY#6oFEswmRco`V7n_?G?v#Ii@#yE2w#yaMJeAuin2F7c|DAo0WbYBnQv0;M+6BDqC)-wh{k4}U zuCw?M?psk{=cGf}c(|Aq9m1aw;X3nbF^fdeoh7xnXMkYR^nun>hje=%Zk!5zbQ#M+!nY}zh8g{>4 zCOyaX`&&zqp5l7{tt*pW<`Vt1V;m*`E>M57JTYf^CY2*<^m<3U*gKfA(>h0t4JJ>w zip9iW>JL`A7~KS{(q%$aoBvmqLUB+VWrby-nAt}D%Q90O)<%tW%o;#6#RRHfi6UH@ zI>Jpuv_oB>4ikaiW*z8lerHW`M!ObeWhoyxe??X`Z^paU!js8v7vh5!o-BYTm*L1r z2#Mra4o^0WJ_&*+MeyX~=$tK^HxJ!exoI=LrKlT!3{JJYM$AGu)k+$1zr(4f0fIN2 zYS9DqTE-jIGy8kCL4l~Hlhliy;SCxEYls_)yk|ei-mD-zqHWVuIbn;O<^2cX4^Jt; z?_sKD#2HY^&+_%rKjDYFv$(u!K;;@t?ZbY0U8t#N*tXCacI*gD45n`&Y&9Si6H!EVfW`QWw1&*!znEzOOIXMb34e^Ue z(4R{~O$7Y?XI#`8o!NAbi+ihenoe<%Z*`xUE_1Os?QN-vi>_wOv$Dm01o&!?H=|gb zIQ0xs6lehwszJKCR7@vOf3O6ZGg;u$MK(t(rbSw2nHh>%gSZG$NOI92_Mm8Da^4_* zuE>WK6R32X!;S1fmCl@EjNGIAhU0xidqjG?4tGQcCNe$VH~iBzpF0`JlZRk0`?Doj zKEW7Ysa$Q2GA6wQRfxUP1E6KEyI_$G1oFsBEM)@>9&}Lukc^dzIs!Y#R1hWf6S$78 z)6qZLjw2V%{Xw~+tKRY!4(Gz*lnUZq+6mlZID8fk&w|5C;PBsYI3EtDRuC025b2Nx ztMoDf&&4+ zlqaqNsIVt+!7ybjOv#5Sb76`braXiA0zwE=AvFVDxed~8LfQgI`vlU?L9Bv!13`lL z2ht~X1iI!zOoR9YVlu=ch*_`3Stku;s_Zc00NdB2|0nQf~7y`Aht|LpM;nA zXg`jeG53c@U$6YRvz{N<<$s7_;0JcG4$&v`GrMSqnBVeoUBR`qcz$#jrIvB$x5M$m zxE9eN+)Pnm%alXdbkKpyYlVGo0N)RYYqZb#i9lRq+|gulV4r(h-NXs%OInJ+LTCTK zh*nB5quQh5)IXUi?GbUB^Jbs+nB(fV=D-5-4+69p4a`zOL@Q#82t1YsafM=h8hwQz zRSfcHe_5w+utU5+mrt7m)Mt>_V$DfB~$`|C2AP%07C40O>hU033mV!&0~k*4q&ZlR{!*F^c(Q2e-DDb z{6^U1Zgqr%mMQ;DVMTFvR>GLh0 zfOUPZg3!wj#V6@w_^aHJ!{O&u`<$`hyBqkLa!YyNIkO*R8sF-l2y)!0;iPH|TDU5? z^ff?p_eon6-UP-)OFR%-Id7FSL^ZBG!}x0EduwS5fd6CnJGgV6QchUytS0|mZz*7>6GTM&T&^s66QmE$2K>5oXvv_nmeML0*4>N zVS@yFR{w+a3kmwH;VbE834W#iFGolhvNwL5dbW(Jn7SDE%8=kdchQ@;XI0y5D_vc8 zk=f)4)}zjl&RM-sYo0AVr2zKKE6sZ+x`E&1<)`0fS>OaEC1A0JXJc#hzc}K%@WGU| z);V3IVDdYwSPn94c&pqU;Hhl#y9B^4suP6Ony@9hTt{~XfO8`p9T`41b#ooF2c@M%WsZHD zBgswm%o-si8ft*rI>U9!L#zTpn+?uY4b!WxuT5aQZyi?vzB$M2HS8^(phNWCf=oB5 zAn5}(z(#KokKo7XPO#7PB!+GFYxMzIsi2}22eeWvkrUD*J_LJRTuW{(>~*7CCfCAV z_b>LgKG^Gau=n?Y5lavsDUaZCPirP|@Lb+j9#^EPHxt?uud4&i!R@ivHJ`R4 z>UDY`ePf<#ubWP`qn?7T!=-jynQ%ove-J?wP3bR)8PH=3nRqzk;jvl2p4x3rtBX8>&721dq7 zq39&-HLJnt-9J0adyRIf>8Qe|H*z2DqAk^QPQiFhzNNb4T-`sl7xC7>v?2yV2vC6f z7I@~7)hLZ9$)z7AZqjBurVlWCL0I_?5L?R$h$}X}Ym*{?z|>g0QdqsQ)yQSKaL^Bp zW5rgZ589qdyFnXKJ4f1~KsN)|OOb*q_Ftyffk0YeFEQHCD%G>FNAv^IJuLJQLzi?D z3qN1)mhLhl<{NaRsWlGy97DTu=D@r(=xLn%@bXbmO+jWY-^?yOYC~w z(YYc`YM0r1Pcq=Bf>Q1hh}j*(Bf*(6ktPh{PdK;{4qk&e3h@MDH^d!?a}Y9^u(qSF zAr{t-fJlXihnP^2))O2bI6{R8iVv*P(TN`nbmGaY`kNcRBz;-eQuGM+y2zG18tiq$ zTS{oS-$mpW0Sy}bW_qFFa1!X6E!o z#i@qOWBMYFgW(GJ`})C7*Ne;Es#ZgjCvvOiU(n$Z9X5eJIAW@z2&!UXf6b<2U)tv$)K2U@qx%c?+V-NvrRd&|8C zDI1hOLF+ajTDSVkf{D<&WqwrB+(~uplgdrdx-Ed#t$hVHqY*SDV#_o~pmiI4Tm2Zm zTnZ22(+va%qm?`qHwMnp`3)C(Lc9HE@+Y^_hhQ5z!A>;o>>&?Do!0yaty?HGoQ2jc z6dEpwLi?*9VMCnl$dHHa$i47$FZ_IC6Qj>VyxejS*9bAh%qRBbYz=hrgU33o(EiB< zK<#Eo+y+UZkdVd5Cjt;R5P-O29p7Zs^Up!jG)OuMNw>7%wIe2G#T?f>5fNSLcJFE$ zoUDVWiNYoQ(*}`Ay7Q*p?f5l@x2A0c$aNqvF*ObI9vZIvG#nagfu{@90&^^jw?{QY z_(`&G2vbc@*W9rsI9ABxS#e9zS8OjvWhV&C1gn=Eub4LEeIL+$KRXkX%etXs8=xY{XBuF1kN;Ol0R8zYI_hcSrKJB3+r#vZkfaT6qo`>^rg ze|}gI+5y$TADp7jyhi*Y5vjA}HRhRpvQ#sS9jE`_SvV;Ip3^jz39@9xj9%1A__mqo zj#Je1;ljmHMowkkSn3<8tP`;1wZF^wt~)@W)$95*HHT3?OwvK zYLe_2*G{9CpRUl+X(Vigu4}syWR?~>dW?RT7^#+YS#(DDeARZ@xQwXB%J2U#_TDt8 zsWfUAC1hfrGBQJ$XTl^Z0+B&PMr9HZFrcC$f})}#W@wcppwdpLs5H)q3hji7nzRW` ztF7Qjx2Wl8E4H?RV^q}ptf*PSvUNsimcvy)Et-n{Qx&*J-s zyF3-1;75jgFa-;-rL!xCbde=fnDY(8QNnJ_x5i!%HA=!z&*`)pSdi~`BpC!j(nnaS{YW1lGO`4t@D|A@+iKKHoJ_Njpu+p1B7GJUF2gAW=`n9LhcMG za_i4ku5>zD?YNKTD0rud*~qIw`Su319W?CqjU?ZbJ#dl@5Pz0laN0RQEysdj0~($A zn~Cf+!5gR5m@Se7M3-}0olHF*l9sFTP{3{bgyq5`aE<8ny*y_wh0ZMIS#r(jjK@4K zmq2IHc!pfV&Gbz?FD`jAa~jW@Yr2_ni#L`_+{_B*k-5eh^bn8)CnXE5IK zLOb;`SjIflPJ`QY8E>L5;goVJy8jZFC>Nz=x9h!ECZ-j(>wED-OP%ft*7E&IUGEBe z(BzjBCfGM%utS>MvVFj02OfyrI~jw%r-gaSr4>g82<5c#ihq#{@26pyNGowxx__1vyvXcSudQVsvK_8+C=zYB&62S)RQZ%s%QuC zxEoTP+l*i73H9_!vj~riq_sD(*d~u2p;k{HHKafWuxMmVbzD9rMj55f90{mS&LFr-%K zxl3#nC5`q;mSg1^jkF}%S$S2X?KO+#@}x%AHEdIqH9B6yHbs6ql=#=kYtq@i7IE^4 z>2zP@xbxHPd@bGNQ`4<{Y5V1i(rwx;X2}!NneA48%jcy#w4?YWH{G%w#V0G$t;>xu02{0w#SH&c zinXH#tQ{F@V_g*oTfGMSb_gf5jveqR*Z-pYz35UG+0NpONURAP-ri+jRr&|x=2XNF zBCWz--Q2~h@i`}Ytz4yESsA6}Md)3ZQ5ED73vGIYNv+ie!5-CKHEV>e&5SUsrKJjF zn#sfGhbaD%gK|gB+~I@Olu@_cwGh+DYAd-xvWRpVsl()OUK>aW^L3^Pjw@ zNRZLWO7L4D4rw({@cAsNYIRHq_>9sPyC`mI55@h=KWC|=AkxLjc(P1OrUA%5e zIl6qmRD8PPwF;&BRvxxITF^3&O!Qox+gjZP2`(+qH_?3Z|8~5 zL45xIt`GfRRUbM?_}@Rv|L^}Sf4O&TqHePVircb>P7OoEo6?I;yN0MYW#62{Y`q|< z&}mb(bqP}}f6ou>#fs{5l?5u!jLI#J3vE>|oX)e27S+vkI#^A7Vs)8U&PD6qg`#ea z-^SE#(>Jol76F@VB<*k6$R--eI$P@4RH<~NrKw3zDwDMQ&_qg<{?@X&Nk0*r7xhh) zE7-hfZX#TfZEq1Zk-epVwEWma^p>4%kv37=q@P-LP2*Mr$dOPZ8S8dlU440NoADZRm_S_ z14iZR&z~_^CO{GBQ51mQN5SVA6ny3?VLcC^fR3tB>tz9@jAa2`KCcS;#=+cSVKDz! z1r^IsMY?KG(h>tJhE0PlMiJ=lE*fte3PCTR5cC-A3yMKM>WV?@Pz=gm#;Nvv6+2u3 zVk1aSlxFe_H5( zGB>ZK!fncxE!(Oc-!UC=3ytZ^t|5JvY~ZaPF=Rs|ov11w{&|2%kz6S17@!tl-NT|% zuiM)U`=Z%+)HN_+tWyMHKgM~z=r0YM?Yv$*Ms*LpX-up0nz1iBIWVzVf3Iq8V0<&7 zST#K`rJ49xwLFlQuSZjDC_)u^5;IDSqMyLbQKJKrNAjvl%WD>wu*7TC%3iO8CBt@+D1j2zdgVim_U2l)g0Ircg3H^qN*h&j$dqAeU+j$4rU zj%EcmUj`3YfXTtP?N6JlOmZAUU)?|vMw0}I{bvCzHV z6RN&(3jcJIZV##WW1Qiz&=ab@`{k2bL$o=Y342gfI4#}mC04o}kPU@R%*b@Zm#i%c z0`^$wnTk2BHro6QYGIv?dP+L2#?4B-@eoS6|Ag2BalP~$#2%>YWuMja8}%<*n#v9P zEt*j$?$wX3g~@X3M$#Ol7NmrHS-s-q)zY8Z{7f!ygY=XCU)M~jW}co8Ohpgy&r$Zh z4}b3Z!AL~T3Hedq+y18g$G>Yo=6!z}tX)ib{POIh3)l7MH@qs^)lY06vqEGVAJEZ1 zWEy6@NeX-l2`J;yaSg#g;?y>2U z=TL2IY@=O@X{BXlgyj08Er&bpLxPuzp7xaY{W4&jYx9NY^Vq3$fgNQ*{pve&3avl! z^7cBF&Y@Gr#;04vS8lX+4VQnJ+_$3wX=`_1J zxAkW<{5fg$>0fHE3d+B2TcNJr8yT)B)jMZv$}`_NKLwtfo1yV5ZJa_d3?@A+7=xWTX}VMVDTt=Njab$3zVAo2tfHUzV`JIo2Z(xe}M zaE@(rX%e~krr4~<=8p``Y zIR}#o*C+4PZ=OF&xjtn+v3bv3N|(He=$|y6lwWd<$U3-2-=E7AOu4m`u+93C`06bz z;mJdU8)?@ z^5i^|!P)KPGY7X&UIpG2kH1w*TxM;ncrf=5;mZ2o)eld8lCSECQhz&1Q4o8=hKv4` zsGW1K9Uso+nYZe<1H}1`3u$2W{Z5%+%Mt{w?0t!?EBX| zG8A>&H;?Gml;74X-1kwRz2~8E(Zm%20!h4m;BSYFFD3;T4ri{IEI58^ihfc`fMKB9 z1y}i)=Wc`p2ey%#wiZ~a@4x#|@6rBkByA)j=7`jWm7a7PU{-xMi?-y&4sF- z%SDnAgPeI0Mq8~n2>eR<`hqsNGXzy1u+rxGf=hv?m zpXzyAv};5^XJNNN7&}1N&~vqD(}>YPS+~(?_VK@mqNaK@6df5EH!!=KaN2gE$i649 z=-^1$z^rcKQrp*}2|X)|7JjA^zSNt`zrCBGZ?^BXRYjkcZI|firLOp#K$uj2W6}C= z<>O@RH`axLPsa2F(*xZD=^^ex^dNUGJ?vr$e(I{U+u9vJHF3B9)Wu!%(+?x2F_VkE zW4wxOV&*>1TZ>=2$*hLg)>o{f0ymGTEjd(lt>{qcwUR?6qsp{DwA+7@ju^*eKF(e{ zuV!D>jW8 zU+fpNzH_~E{d<$`ox-0kbTnb`)()H#P~ICw9h{>-Hhzwbsbn-y6xE8KURXu(oJ(zd?PNjhNQpUR2*t zuMgcJ+*JQmU9y)Pt|~Q53C$JWs=uqQ+G`qqIh@iw_au3a^}q5z;?2T;HK;h&D12N0 zL0z+#*d^~Jvux}Yaq%7%osCp~?l|$327h(Xh5@IVU_)|5$OS$53sv zcYN2~A;PiX6`~gn1!~IPFw%(i^Q+2t$*-#B^v=1)?_GFpwrA0` znVzNBW_gxeEA}kAHpi3iTjV*w!1N%hjafQfOj7NcK|yg=sV}V0pqv-7GMHK5Exg6)5^RrgYka><2IV84w$(D zA^w(D_D^&Wedm8cn|c66aHsvx{cD;l4)8zxFYjxM7(0zlyLPbEcddsl>NLB1L3oQ8>`p9=NHsO)dyER%72#o<@2tUPrv!x z82`Gve)NU##iwnDjQ^2R6=IF^@WyI(gzs+hhPr3+y_)62P1S@5{{m8K-8T6q&8)Q4 zZvEX>$3+KK^VQZPvaXNTsPRK+39Sh6%%c!Z^bv`W&P%RzaGC;V<9IqI|XO+4xMH^A`QqBj_0ls6Y`v>tt6`?QR zj^w9w?we{yIjXem%e5mfP;&dS?5O`L4f_h3N%l&wKJ3EoQm$?}5l%c;ccSG;IQ5+5 zZF%_X?pGY*5dNOn&7lq9?}>*T;~|$fqKllUArB+Lx7NEypY0kGJxHcV&nuiN&Dp-M z$T6`C0yAzCE-P0Rb#*z`*Tw3)xHQTSCAbETvofrg#OfETT#B$aSdSb*LrrC1dIb6s zc_>QK&6AKLcvv$xFgb#FV3ND&HHW6C?~M%%4zj!^O(LCep(&c{c*CoHuy3-RNv*Bx zAij-t8QxoJk~rt9;||fMZ;8Rf(_F1`oOX!!KuJngYFNuSDqq+d3f&ljaa>+U!bzem zZe>SomEM%NNyF=gNZ;tYkk)Lh&8s4Rhot28A+v9c3Gzk#w04UN^2C1IcB@tLdHt+* z%dhg>e#dqiQNDs}QDz~Q$8*_bRx$F~TzZ-1WqB6Yu8ig)U&^(5X|Y$H!nJv6RV**( zGGAIgmKSgxUeajtTCSy?#U?qAYi(yWO+J&$u(P}+Pv_d((H;pO^C?*97j5D*!`)_! zZ}H90Ue)}1-C1=z9(|Dlygc;#I!7Lb>sUgM5+?Bpy(ll7t_*NGqD4o&OQ@1swlT(+ zG*-pgIK>wm*H#-R`I48b9G&)PX-`9c;Dz*=p`J+s0dM9l& z?R@m|PTLHNmCznwuBRo zF+CKa8hTe&Gv%J-yZpVzq>I-~9wS|>cCUQ6;MuNaCwleDEv*$tIkc|i63Q8wui6az zV_rWCbI}2K$`ie1vSzhIqwyeW8vo>&AHuirOKfDtrC#h$lcQ&(TSRyo3D?EW9PaSc zcd(38>}_RNC()@JWL9d!bgKxj>(uwLUc;Na^dkKHNjK_b@)H{VaI>fBZq_ydE7p3r zwTs^E>Q4-iT}AqqZ*}Ho;&IiSit(3>&os2E-)l{xziLh7wjEm}wUSASiV-YdI8a?sy12R8s-F2?&K{w& zeRfkGqja&mnweyALnzTK$5y(YJGSiUBUVk`1(aJ#pQ3Zsj2e#uVlXz~4;(U|jjLeU zhM{Kg(TpS; ztLC5*!_JpkC~(tE>By)df3qlrP|O&0K)=DLpN)8REUhCcg#2AP8_KcFc8lK?iT(QR zR(^_k{ix44s>tm}eZ~UCihk5*{HuuXCzM&)D`xi_mRasnWc8EFXfqT``%Pb3JW!_7BFD2u zWqf9@|7@|{$R5ff>1&=pm-Pf1xem1ixsq(_%*{ zQt2Zex6^{ol0_SKvNzMG$UpJDJh3jsLSe}oO+ZDX);8bw8(}{bbR=5VX3MLJ{d{s) zQoH^(S!hdpj`0=Al9uiqbMHFSmev6SZ%J~?&jTiHb$%^v14eC<1uf?W2=nT8sf{{~ z^U>UD2j9Fa@g+GMB4{?9j`==jf)`3&#f5NExkc)rYPQR6(iq7>#qXNwsCqCP^xjQ9 zBVoz^)worx)6xgMF6y0%ef4KqSnJrXypwt#>$W1B#O5}00nfhQVbEio=u6z3VZo5m zKhjrfUOz3ubp;BI3y155%pDj+MKShQ^G(R9b$_WRbFFUE_9~|FeXGa)Y!pEoA?;>t zQY_|sR~IBv17fp;ESKH#DUAv0zYUy+6Q7t>hqh9>na}kLHbfKNyTr(CEp64mCm0Q9 z{Xnc9{|3KQOTC8;2OYXu=Hsdh+ewafchr}wPb(&kgo`&kHl*xP#u(cu7aQ3~|5e|r z4pGb*2@&0ROdu61gQ*wme>H)azxcQ+eYhdq%to@Qr8C@g2g*hdhf{Y*OqL9k#k%+9 zmk|77!}_K^(L1WyPCOb{&=>!Nx&Y~;{@)BzhpQyTEit3Zqkq-D6{KkBLw2jf`{G8a z=X&}wYL6-kwbO>S2Ne`ux#PRgfTWNj0lZS~di zHsdC{93?_}Pi0cIN4lY}#TLblRwHd(2Kf?1fySiUk0POUjmD@WA>WWG+fgLxGV*0) zi=&jM)Ty{!W&F9!tfjMy5n{Ovy^zb1w?t9Z6u$qDQl3xB7^r)jjQ;&vT{*n0ouqb5 zH}|DE3RkOs#m}15Zefa>utmFci(Wf(k1$lTxFc*Uxx>F_d``ePfhqR|e%OX(QUwaH z{EMcP5g-XoIju_6JN;`l_16>CR|u0-Xr*dGpsLb33QdSBRTUk1^?Kt~z3&RW-Np9b z%ns~Zop)_ThtGAl=TUx?r~#LYQ@-!-Bnwtm@+V(9lVd0sHYuKOu$UhnPA882I=R@C zI*C8-pt3U3WjcQ9v-9S?zwf+;5UWpMU<%8c72#5tO#NN5QkgkGJI*w4t@$xg3*ub#Ayy(DS|g zf8ROMy+adJvBi^m4C}z5oMK`9vl%`?!WYW5R@OJpM}9L~^8|`g7UlkY^`8ZF6q$TsR2hRM-&(aMJPIiB~@64Z?FYmJQ zt|bSjjBb25PqzH|(bHP)g*(i*w>;@l`@!YykZGQUuv7uMNGKwgfNDO5M5=y)wN`aA zWw(48FK)tajvX7Sg9L~!QhK-5QPC1gUUb**69Y}7);U)LNNGMH;BN%r<@S>s>rTvdwtR-*^{dgR(GYe@qh*a-we-f9+!D&8I^UMYULzYQ{z;(hCAEXV zm*GXm+33ZMwkIu?M^9;FowWKCJ-^ZMq$N2zFWurKZB6vbbas_RT=XO;I9REo=cLj72{xGwi>bB+C=@WHf)zRac3)q?Qu-+v1&^Z5`^5PN1#0$ z+T?qTFim+1*AlZN+CA}e)Jx&11exjRS2!D)>H4!}c?!EqTW!Ih*;DCwqv?%i@I5-8feFVTZ?jv`J}6`?>+Ynw#p7Fj7IEoymnKMD2@O1_)1SW_6@= zBN;DS0sYkh&jRYs*i{|Vu@Z=m6j?~laeqjG#BkBNYSv5oYvByds*b!Gy$^Nfih>c# z0gp(^7s%=hO7+a7Yt_lxWrRClqz%d@krv6W z|7T&tqkQZ55nXO|96V zB@X(0Bb`zewyf9M4tk*7jBJ*gFcNUcXRDYBP5g~Hh7Qalau)avw?qyWhb^{h_YZq5fmwyI!w z2R7zdUwEsqG3RV18l!SXXL*x{%t`X`kT}S;BfgeAR3 zZnUlOc_Vnr34q+5a4RRO)Xhk6x7DfC#YlL%HL%n}iqv<{At$MDeQWrTYog$KtLqTw zAK@{LS6_03={eb)X!8-@A+O!2`g+@Prke21GC*`u`Al)PIayr$|dmqMOQH z`z?}~dqiHE;K0lpvjdUCF zcg|D!hR$okPq01w?L=c()UQF*TFET4QJbrFTEDGhhgbS8E}98ThgHHRm!%fXElX8z z7}zb}(>XzSDR#SWQb?tj7Ecme(aW|l-OP8xCAykZm{4otk9s!7NT_HFEE9GI779BB zTLOCzGlez4l3~BY{9s363t<1k>|wiLGhh#36JQr$t6*O{H;IWbF)Rjl8Ri1p3oC{_ zhS6Y~VADp<7&WiIl&QX?%_Cn|KJu;zTe_&if9acdmroDAdV+m>N|5v~r%h}^kgU;3 z#wPzP?RVPACjKnzbXv!zE|QKoZEMn7B$GI8Xd=Cl{^qo&N&gLY-~~+-BkaI$)SA(m z!O@mn8l7<>n#;ANvld4iV(-uEspzfB9Q*a#cE^vnbfsNOH9x8~%=cvqZsJ!Zfm*EP zCH&F7P#uKkPWis1St?FiiZA7Fl~o!lpBO5~mLIjW4CZQ~H~zTK93{5lkNb04)@_Tc z3I?A1r?!(qu{XbEz~~tBzC4ukHTF|(Co$jSrupvUtn+eH&exjH@txE`*P9zU7c#%d z{WxDwe#xrT8+5;Eu38AGV|&imm!m0_q}x`{C%s&T%>DAlTC3F?!U?Y!9=rvWMz>J| zze*ETaVebWU@-?mMrIM7*RNjrEulou9KRjQMkB@sX}6l&xPrAoHi|#M^&-5CL@PLq zF}qR2cdON)I({?thSW$sHr+BJz+1>dH`Ufd`UO^*imRUIq?yXUv^s0i$0U+oDA)jz_u`RIZEO|6RH@gO zW}?4G>a0G&wo4rx#uwNBKziY>feGyj7lXX9k{aj}9j=&uUSMmdS0o?O&BdwTKh}y$F2zM-8L}$P~19yTI^BtlS z;2wuN9xkG*;9i1@WJIEJUSL`~L9EOT%xQ<_!HU3)G9@5tw4S=x z<*}lvw>mHkuB@K=814^nxj_y|h;D+r8EzohdY)bbHKgv`mCL*HAS+7U(X!X@H>)k>)%(+C|cJ=eMvus zOatmm)HqJi)Iu|b{6#4)x>U`!vj`B1HM5|JqHl(KxaEjVxOV~d*Vt7R`Q3yR+`}hB zqSoyp<)CW3ItqQ*(71dK`mi-?o$Tx0hA-t&kf!QsBS>m>)@7?sSI)tLnOzC3Q1B8> z?qh1mi=@XPD`eX1XCsVg<@WmQ5Y)7K^#<&~f>~Z#S_pMbtZ`b-Nm5*_Pg=%FiYnGN zE&n9hEp~j`)RWX_GP9P=Lk9j9yX0$HgS!%{2rSvLmXpZgLnX6yKQZ5Xh3IN*K*iZ1 z(<{=*mgXVjD=1?Y4VinRjQK~lfwzp;B4wMjNu67Euu)Svvt=#Y?3jg%d<)<8kXO9$ z2(pDwv5ChlixsCJ&XH12y(cro^R(rlr@L^cvIGy)`sJ3>6i3mNCOL_E1}UNT&<>h* zjdV)sTd}Rl^c8cld;%W2k2j%!+A-RmYd+{vi^S7UxcAZy`7oi^d_(O#VqBdwYUE&f zNjORMT8$-!2!E!~L&+cBH(>maWR=>h602oq!Z{FUZV%VrO`D{6s|l~>HR}aPr>Wf< zgU8QBlaTD#^5M2#v>08kc)@Wz6!1noCYCUKz|-KN)f)Nz*1+mXbVyxk)DzP6y8{wM z=E_h1DKze{=O{B)cxX6%(fKAe(wpjOm4@0RWS7XMC|H^xq+iNM4w7>soycug4pD~tbFpHk|Vs8m8L^Z z$51A^q-9f=Lltw3z+V&JmpMo}hdQ-omBvHP<>U#dQ`^>M|Bkr~DL*K>zODaNIiuxL zm#vA#M}bgN5SVbAB1U;;>>zcm^ot^JM30STi8-l}{=~&XH9*{j#EYpw!W4aAyOSj2=M}nIC3J8nQ z9$@8&O_NUn`W1XG+FeZ?^?ZnS!g*?sk+2-dnMD1{A=XObD5Ey#jkSJv8K}DODVhmO zhgFt&;^ymFG}qTny`gDHeFJtJ_8PXQX{cy=Qq}Onq^gbx_i;IvH2d~?iCLB^mU^VP zTpY?hqxiGwe$k9;3pyg7h7DLOwk@kaYZ|5+r0hi458=BA=OH`+Vavs~FA-jf@OgwM zAp8*FLWHB9hJ|Cc9oD6sJMo;nTHvBdt;iT9uSZ9w$`SK$=dHp`n!<`iUvzXa8s3v* zcpb&M?K!5`C82-Z8vfvC*6VavSkIr(>l!Aw22plSn6RB6-0OZ?@RIM-i!F|3{`g+E zr2_oH-RrUxD(qu=Jw6CV`2n6zAA}-)l&7m1mdczwIcCCEO`xaydVxmc>50DSKWf6m z(ItJqa&m<*oa|3Os6fSfMQ1oMQFcOYRcW@_^4Z@BtT{EZO)fK8vxp;&-k(`$Z=PL57a zx5#%h5*~@0S<&FBe+=nUOIq1o1$63|IxBU2y49fTbyR^&RWmCIU77g?sPU@%XZN375O8Y+7zTyZ{vD6PD7~JbIAA=%UnoRUTry6O^)y^Ae%$# z?XqUcaNQv+nJpCjik6p^Bg|?DyzQpH7OcQV)8=aP2)~Ea8+a@{p@|u8)*3`05t@ZW z=vFNjxg)X2eM8}+F&=KyT6Mc$)ITme&Rc~{wU`k7h0-3iUq7kaZ-;1EZ1C_gEwdX^ z5+AXhA}mD;*irc;Y^UU$L<-pH7GWvXM*2ZLt)EciK2CHa&SQAZkjX{*40(2|k2bf8 z_^9qxOXCp1Mz*^}GDO}Xeb};nh06J{Qeg#E?ZHoutL^ zR&q_MEH3jVanY#Mg*S(bMx}ds*<3U#E#@ubqEYE%UMd%jN@=`>T*G&kn|Os>@;llz z-fFI?iN!5N^oTthjYAcDcQzV_{=^SsqjBh8nw5bQuaR9;=0%&EsPXtShRt=XXG94r z`2==AErq5GC~DO*lB_NXf72`rjPoT;Q*i>5eJQt8Rw%PD`oFt=f73q!4TSYtYE8ga z;aN@LusFx;DJm`|by{6x9g(NabV(UzP}{J;Vw8E`Nju>*uOkrV2@8k0 z!a`2-h9{MY)i(wxio#A)=xEuJzGKo>RhYpyO!VEbz@ohlRu7ZH*25$)#M)&r#LEyb zL%a;}GQ`UeFGIWx@iN3;BL1>|L7>N;v@&tgGFU2XA*>L#8m}G=D}o`eu7D-LN?|#$ zYFI3+1eO7-f?dMdZioE=J3BzR#rUbFT ziA8lXUP`*n6RT~4!B&%A7k_L`&2rk)W%q8wniVY=yN`W?k5tbe&3!%DZ0Vhx%>eR<2jmJLRWj&ww z+Lklp-&NE09l5Yj2QL78(dFiyhs)y5{rP2gLiWl>IuaG6uW2AT>PW>P)p9_h0AApU zL8^|u9qcF_o26q<1-nqkp08u;4jIpRP_n@HX7XKk-M|}z*%*Ay+PAa)_qcPv{W%c> zKiwb^gQL1ZJO&GNgA@$@)eU$U*y{$9G1#RW#9=T)H%P|dfo>3k!35pF$9>$8J2Czkq^-zxsbovRQuoh&L!%EfKMk8QV!X(E|yytZc_`7&Q$^cR<)sB01wl_W(6 zdb>NZ?P(VE5<`QY9uny>!XpU(fG{6noWPzrL#U>?D(p@$QrX8jbj} z!Ik>u&DYnf-+3|q`XI~rVZ6o6ZR_5q#@d9_8!2X!_6c1q=c-HLG8-xD;nLuiz-2U2 zQs92)+>~#x3#kY*^HS&AO}%L@db2WtU>)05G>d*%v}N)>qpaDD-Z|5C00TfWfTaM& z0{C0Um;#_2z;Yd+W26G81waPC03$O2z)S#fIslB!Bmk8F+yHC=W68w?M*CDwW{1B8 zoS)#x5SigSm3-tRoGCh*B_fC6T-8PV5ow3B2y?E;G8Ao209pYg0`S%W8~|+q<^gEa z0nSs&FO2Y-*-|)OaJ=EDx*s+DpXX(T^NPlKMFRehw*p{G41h2I|MBj10B@hs-Lc;f z#!n-^sK?Q7;pizi;5eMni$ee|126;dXTVhQ{Nn%~09dL6z?gpo!0!OU0OaZzM*-Xc zkfj53jO_p}#kCcE#Tm}myHgot$+Ab}qb^d22oaHg@D5ktJnqK8ak&2d(k{+lmaE;~cRDZ*Z+PF7u&VvnxPOW# zI8SwaF}d+`&ihz6ZgA$pxlwof*A-d&BJVEdyzku20$&6^3;YYNpv(g0xQ>zu%6w1? zK*=nGV+-dY9sI4}pV9GMz@GvBYYF%|3Kf({9ij*$vrH2@+2Q!qjka8gA8Vsro) zp-BKL0Js2X&@pfxcS`{j>i``C=Yem}=NuYgEJl3;flHb|(zo>hJj`N>rh|P6%&TB#f!SV< z<1*GccZ@?Y8Noj>18Fe&FF*(bp$vopItXJym;%CG5Eg)NAB3eKywnkNM;H%6DhLlj zSOvlt5X?ZZ10ftos0haiC4kTmLNN%xf{=o*+c+=0^Ue4uZsDW&MmxM=N&LQ`Thkk_ zBt27p4+v%qyAp!xGG<@#oJ#ZDl#twVjT0*Tx$ZXUiZ_6r0A2!EqyxMFYy&U|;EfLO z0k8+aC?_-1s4gSZ%X4bx{*4KlTYdo`)dAiBngD13B2UtWoXxDmZBuyw;s9&`kii5H2LLL=-gk6> zjuEr3?Fs|0d5jKc8k|g>1L~p%oDD7{ZTTK}i4~ZG3rXhLbWm_1$;5@EZIKKVTu9mm z-9cFj3N9p>XK|E7Kb@mHr9AL)A<4vrq-`pkM0-SVA;~;jhzKqunYfT-E>DAl3rVH} z4*RAKhn7pPtZA-ygV2DVDNY2uy2~de+GWH?qkxu-2feBIw(G% z6zC{BK)DCXZM^3BxB6;!$D430l<4n zv<0w02hac%0H6Y}2T-MB;H@OG0L;(rnD<^U!Dc&B6NK3PWqxFNK?(=l|Pt{wm) z0245R@U6LG0RZ=qHWM&{a2{6-0Js3C*D-XTDH}ks4$v`h9#=>JXaJIQ4Bbac2Y@?O zTau1}^SH7MZ)`R`gYEdn&f1E1`Ue2q;W9tz=I4VRrHksmMU&vX#oSSRsDP6O|<#q!~8iGfbI*vT6aX<7qk$E|HeE#@y&(=#*ec&nF-$* zhbR7=zt8A5JS({3S#4vx6@Xj-HURJy-q=n95Xqd=!P~c072lZ4+!;G>dKvTZtj;(9 zSpe?q02Tn3(|H}iFdx`>mI%127iA6CERO z-=6J%TRxvNdwJZ7XM0ljE&KKfgTiPG$htuS25WSKSPbHHgCq=8y1_&Y+%Q;uG2!*- z6v?~m-}M_Gek%05IsUsW7I3EyM*E$QR*eOtTP>ffUhn5z&|XN|$GWr)@R|06fZD5) zs``L{o4;KUC9Hcd<=Ef#kyJ$<_r2NJElrs8rj29&+Yw1s#}WUVieqaN?muQ|?q9XO z(mM8#SFYel?39XArQ~BsDLd6_+LdyWd`|{-Ok^^7juY&Rom6pRh*XZ8vZJlWUCCAC zGqQ5ES-<@t)VyAQ>XY9;Y|koJ|_`7q}~Z_2jbMho$MO_t%3kF?%uCO8T>a= zq3n`;jAmh9!YI*3Hme1BW+9BLyx>m#8lNoDQ$C~AIZM2iZ(8bhS9F(;G{w8()5uu% z2orta(}tYF#OwLSL+ELK9nCm7AB4yFQA6%#=%nj3WVzgQh4gYu_77u*{ML&i8=tf+ zbR9e7ks|nJ`JzY=PNu~7hYhMTSz#U{-O3_1|ZX56x_ zU;o^sM_ENFE*>YYL|BXPM1;8qf}7~KtdkHvfbcYg=OSEH8K6zMO$m;*51a-?5y=-t z85Bia{t>-?JeRZ>7Nxvp@Kklnptk;?<+Tk5El268E;$ydF50oXS=OpW5moWD8!YU1W{jUh@G*dTD%cm@oT;-Lg zGoM)g9bJ&_@Px*Qu1&Y3TWpEur6YGSGkRt^gKl{zIz8Q_tv=-}N%{}QO}ZnhkQ6`kL)qGOB|9iuDK`_W@7$9qE5kfGew z(t3#OEjb@Ot8&aC&MPQVUTE2I$h1v1u4QePdA{>2YBoABBts+@!Z6vgb@EWn%!;Gc zqKvk|MVY27CCB-v2Q%B(n3 zZ93>uK>aBF+;X~_cpIhIUzB-hAstp7-A(*Qx=bO~Oc>r+?HJ)3skZ?+BYTm^+N5Pi z_(wv$gQA|?Z*iNpM|4m*M?H6BY;}AMVK<`?KizEg@{v&VktPy z6~$5Jucq}gLM$Fc$DoOT_X@#{*wo>(L*$DV7ZlrC&9#Z|$c3_6wQav+h!ruqm}?PY zDUP1TWrxsWeC}w3VGas9-$dOV#w27kizE- z`ML}J`9VY82L$DO?;-yKD4HHOgjVYplqP+7*GQ|BzJ1x(C|{L!eT6mVl)AG;En0$! z)m!L|xUe}-#Xo600+W38E<&|7X79P2#gywJs@2z59u^XVtt36#-hNOcD-O#V&If^ti;X>oP>r3khwdSL#?%V zp@`@tjCzKNKA}Qlav8-~$s9g0U{q^)Uw)iFUYq<6r9HeR-{giV7aI^kF7Mo**@J)j$%xLNNYbfXKKXUVsR zUlp9F+)8++@tqd=H7w$)z(m8}n7)~^UFqg@!jt%O-4tF{rFE~nKP2p?Z;aVY92>WM z4c1yx-Kg^Bb3LjWO(`?qqr$U zTlRG^n*)v!_d|1DA1kV9g9iRqV}vr*?=2_0$oZUd@+@@comx4*D`}A6&srw>xs~bZ z@k;-1)q@sM7d3vs1rv54|zEdvXNtc zN5idXsJ6@Z*{ydEwIAt(E-K1Ca z0CBE{QL(L>G3Zx7{8)ESaiKNxkl#bSCD;Oq9ATn|fvJPVYr#2efwW)?#PuQ7T&B;^ zy2tW{4|$RtXlo!?XHY$nPEM8bTXuVz?Y450-)eQOj&C;K&DakqN&VsOE{kr9nbd;% z4q`yufN-13OSzBbQuQ5!@x!@4*jG>Z#q9Qm4&uVt0pS>g@(}tLp%jG1{9@M4nn`_# zup`3e2v0)zF~XC7urI|yZQ=)nsetDIehWD62YYSMFJ?82nbeExJBW`kE8cQxOC{J= zGpReko(<-GgYkV4znGmwxr?96%jhF;$HA3!5EsBb4>$4`vnoXG;U0uL7A~SQ;GTht zQ+|i&1h~iHj)#lrD!7;6M*U)Df+!KE)nYI{FdQzTF>pKJ;&tm0b%A>bE?&1D(PFq= zaPhiHh|=KhgNxTqvUJ35XG~yn4e5Yv19m%UfiWR^!ErJF*>mBB+-_v7wxEXaR2rZ# z*Z2gc)|l4P9|=CST52bUpy3j2_(UmwvA@fYYx0J7mYUVF{Nxk+t$eMH%KuBqcGUS# zVN~48;cY{D-XBIUj@);o`LO{VS??$>Vj&6t zj%*tn)sg;=x>KpwG2Mier*!JbG@;yAT6D}XA&*xEc1$y&o>v+mN0_uy>DiH859uy8 zq`SyeWjLg}sOyxZiku|UB&BObMiLt1(kt?l$R5g&im6G|gUV&|y0cDuwS*F@x6!jlu($bC+^+H1nCz?fhw6sYIxYDLu7&xUF&pzn z&EC|laXOwudLvOeUCPlns@sn`03sdN!e2B2r~@#SN>@j7J5A`g7FzMgdU|CE-l`JQ z65I7zNM@hdNy&G;E0U?krRBC$w;|QPe!#$=u~R;aAKZmD?z2=`X(?sIzg0`qcrW!B zs+6?JFZH*m%G2Ur;!awCW+%ius@k*|JH6~Wu{yC5idyRwnHqyGREyoKD^}-L+U4V+ z?1gG_g*Y5P)q{#;?4_bytlV;r;;6>1E4ewl@$aM(y=8Uv>Xb$Z=50qOGUKki67t8o zGIc?t{cR-A**DS#Jr)VS#FY;VdT}4^R`VM1OMQbP00O#=88|T3$cvFPkWa^Lv{gMh z-Q+gyp5P#o$>&zuSI1w|yCL1K9?Lb32$(HqDeZ>&u8!4SKbm*j{YEX2JRu&Beh=jzf6Gad5B0odOrHbPnz-xRc;w?h3dM;ikgH+|O{oHP#eG zyE?KlmjYJ-H^H^gZR?4I$Uxs8%}q9-0syDk3)lNc^ZNBqh{bRR;QGTwlm=G`7avv< zqSN5shU*6x(MLF@HI6yk)v<~38+Eo!Q?%ZbaFOMv$ghk<5rF<%C0RYG(zz+XUq4DB zQ|PDLjQaW$EFA)*!hId|CdJOE$cQjlFIgwA90?3}b|82_d~0*HNi%bU_`8y(uCKOgX1te=9m&}L zj{f;6wZ!6vpk6b5Q=Ef=Altr?8Jco40 zC)k3o(Ijsy>?Rx4o#F9D%sgEmlGmtKw$xQS(ix6uQWBGvUqec)+rXPVVi=C9&;8I* z7HaAFo{>bB7f+Dc8^Bw;P*N{#2$Ema%!JLG$f6YSu7pmIk)TlN5zJJfui526>! z$chUC=H(Vtd4tBOYqFjG7};ecA&>^W*Ng5exfSQb&0bk}$aiU654oiX&nxFvoY9&O zx?C5mR83FIYlf2E@+}h2M#3QesG!EWr)8H=%%#3+=SD_^@6Wnl=#ELY7ykLS!m z(*oK@!96_8Up!>`5dEZgY9fcXb~$$YIiPb6GO1_l-kjVArc8;M~_nPcRpXR z5W8DXx!h=36T1cUK5tO$X~dJD{0YjxpnO1h9m2&3d%07FaD*y^&msH(;a3Q6KzI(q zJ_utrb{h$w5&nwsH*B2=uyt0HWP;sB3LCRc5MGP$WrY7ixDMeGgz?(gZ6wws+=K9A zghyIknw-W#06w_M^_<|hR-Y!$IpN{f@lEb41kYPNv?=fOgJTy4PJ2f<5nC9T_l~$Y zcJ=TDw%$|ZhEur)*JxtJ2EIX4z*^BB+}ol}s8KRYHH&LC>K!k9q%0ji&n7aZokfjo zDpNL6Bx%yyDwU|sxrU=&?&3>I`tX@1qi?8D4dU95`dlPlRhe{5yhid-*`+=Bp8SWS z+D0{@ zACX67UGaYq_U2Jdon7C5=0FlMPs|}qVJ3=-h?+{r-B_db`$VU0mnvleAsu z$~o8G-@W&B6}yH0Ktps~bxrYxb;`OZ$?V@ni>+bhP)u@^GP1L%8nwspt!sr9+rpY7 z9&Zh3;ruAZTG2(!3F2rgv50+D%(CK(SnI`Otdt_qsRz^|%0Z`|;Ea&Z48hGdM7oyL zGRq^kYnDRB>_Nzw<;2v860;{rW8_e< zdJVKS_#E+q#{S8QQx!2$ zI}A5nwS}0>2%|PuDwG#6@dXCD>t%PmCw~D;H5T&jIHlM_JIG3U3o&th>6jhb3(hjR#cGTq}kev+oykN zUc7)+#V*BO-*yJ|ZRBZ@sXM-R)OkXO@eJxKnC^!u{JVCT&Y=E)=~kEu&lBq4>_M3B zf$12S!r5mq-2v0l=Ly4b_8LqN!*m=>O=nKsuK2b)K9zT#Kx#aL((zB*TriD-DSYcK zn0jE!KTl|cvqxb%1k)Ip!r7l;>Vs*-c|ty%{Q{W$QX${i@N9d&Q(zx zP?CHWs@|?reAyXxhCU9e-e$LFKZQco`|TOE#FCWHVIR9pakMkEn!ZN;t@Wff3HSvE!{33&Y9~Basejw1 zdYS3bhoIc_54exc^8xoA0JJ?F@164X@ZkGVr6 zw1D+OTWuNNom{34ffizMWtwu!nC_$}&=)MJ8+;-4mWkbv1c6TbQ+&ax)(&h5pVI)U?9oB7Vr>qCTfueXFt=MGR1t>9#FOR>D z-($G!TH!?t5`KZI(07L4iF)uXsHbWvfwIttp)52J%0gp{oy(ytbm;%7H(MUT)+K-( ze`X?fHnhn34it~ue8m6vBUiHfw_}I9p>4ts&^CeF7H!mBmH5}J$z0?*r0TI<^R{Z9 z02#o4-9q%cJM(d2`a7ilZFfkS+#P``LD*K=>lKS zC5Oy&k&As!J8HkGB&iA-v9C?FF0?SL9-Kit91@SU6*CE>cW5CtjXBePLK)=C&&MCm zsexDJPTL+@x$CrFvS$^Ban{UNPJduklibKvR0iUD2DDh`;6} z^yZwwY;eZ+7M#KT>kLX2oq=+F8L6@}c%2iMDyha~Ib%|xUg0e#JJtR1@A87m3a)~C z`@-+{E^d2$@9XLxFMefx;@*AvyIFHCF8lG~vf!%|IzMi`@$oIejvF+39aX&ga?I1*-AZ=585O2a=m2%s2HeuIG)7w+zquVFTN7wKG zxdgRUvY@6!g3OT=)f7q4cO-Q+kYgPgLOK5eRrCtjV{yA^5w5Sg1D+c)UDvva zll1kjqurEA29)c9!0)<#itDr>WaN+CXXjGOn8->=A$1lL{gb4YIak8$U;de6^bqNN!C*579oTZDK#oy(`p%|k3OXyu*B;lPiZX>DcEG9@jXo-+T1Zi3O+~|YC)jH95 zA-9E-CBh1sMa)~GXkkbZ`-U#wO_3KjBEECTkiACTWV(|V`m%)5M)4oENx7BV^@ z!DxaoxC0W5@Ip=<^Dj}XFuaaU6mhK7I+jT^&dROhRPPXSXxKbHE+IZGb62vN~Rt5=T z6{*%>62vNAD^q+~uh5Z>hSV7$h@%d1YFP+sz5_DQLy$i^AOk%F&2T^l`T@ijj!zlr zuqS-vN~y)=gXf^AmOPSlU$@bLO`TSbdE|`toa-cgV9d6WYFRzZ@1Zq!Xb*dwEu@yw z!@6Y4tPSqrtg)eLIf=}7Hhyh*BAa5Pd#Q=69kx+kZX&0^M(~o(Fdx|Cy{I$n6nl`D zaE5ixp5euv;Vie~yyR-;YkQ0rSwUa>3f zVKnk9)=&0HG~_D|&5oq`hcKJ%5j1QFyU_Qqkgd=us()&+0mbhB<(33aa z)u<=lH>z9*^pyK140H_hOEyYeJ7v(dB+6x!5zZPHxc14&XH87k7McI&#v<2lS-|I} zG}m?+@lRu&>yV7{C-e%?bo+%F^Igr|q%f$1V(2CuGFH3xc9Rd8LR_1>{pT6WUAz2n z=cIlrXj*lNPf^9b(Z1t@L*tEZhXvt_B4{62s6IYHcTV#Sh3EJN*}g$Bz|*JAO9WvK191i~`Zby%>l47I}+RyVIq z*9aYuqn>DcETOOrb!RMSScZ@Y&*+Z$fLHE!T>rmTcN)8BAyjvIx~jMMyBAw(GcYfc zUs9ot)BpL0DSkQ2w|BsdGWyN1bQtS?U4L-zyRwb0p3m<8b)fR~wa5Qn`+j`m27=VE zbMmwnL7~Na0#XOwYqSQ_4|oF~`-vDdXEBj%)TQGuomTL92-M@|;Z6 zD(8H8K_)Z^p4ltPLQh1lFfnJVePWJwcJ^E+_2q`|3EI3hn3SOJh@^{oK#ez8TfzLQ+1{X^$#I<+G#h%tAsw>q~oDAugY@&`v5O z_b~rsPb@_CuvzwyLjNAtE_-Gnwue&;zM+6b5N`7e(TVJBc6uKsku}Xes*jY&`PNQ= zDlH@m_Y46b1O7mOKk@NqIrjIgHtm|MGHpOal6^gJyJ@##rYz6X0ElII?czpyrxdI ziJO@tkbNEw9wJ5|zCLJdhA*+Y&a-x4$YpBpvmXKWR36oxyLk##v9%a`-TyS9K6n<( zmwYDPv!SHeRRhR?t?j35J1UDcho@Alk4B8OS*gJ;l|I0;63EjB)bJfRUvV8WRyy0W ze+W0-@TaR|2-(1yXbDtfHud-n!v^dBF8MpKKc zaeWRpb#66Q=om|#S&g?k$kaKnFtv_k>g-pz<@$-dLjy!Nl!BRN4UeLRYd5(gn`9vf zgHzlj8KFe6!gUMWjHdE>!VLWbQLcBqEc!a)q%)!^yWany@ti2bJ4Tjr9U17j1htSu zyP>JmUPon9X$Z2^L4kZc+5*O8C}0Kk=(j^X`thsh8**jPE4-pp1H9Tb=P>> ze4rC|*YrEYrjYT*8{%j$r7iITw%9REUOa+7Wtd~W(iyNsKf!#wleomN-h8o>@|zwH zSvG#Z8FI|mRiq$&toewF5M=nwY*mr>={e?;D*t_k+0gelpiD1V$ZhAxS4CSikNFu@ zkxKPNeoR%YQuCHSc8KSO1)Dq+^&D|fn%OIL;O9E`oA=8QS4^ACTVxAC@8Cn_3iV85C0MS2W4cI8%oLS{QCI4l7!_5nD``Y<|tOM&@3dpgFLS zU25Ytvl>}H*wULr8#&``m}W*k^Rn%K`i`y8#qlYv@o%(?-Jz*-2hpP?4XHDkhzk;N z>KrC&CDetP%|!kMbzv%)Xd?8wm$x8H(CfaW1(hdR++NgzyeBDbFK|=*8|FC_8 z@U|7g+q6*o2?%fJ*WnIBc$?VA3ZXxjeeYn7(O^xDyU;O<5!M25e~> zxs>}xf7gLlzx$@CE^RC61Bhy*Le@y!am@`!UCq=U#2#mUjkE_f+gV*Rtq1wNv%Ds& z2fY#UD8sFY5$H*|UrF;7B;qbP=rwZ^v1=ToYGxv*lT7UG)97rQU|U-Q3|3w8i)>Z{GmQV)}}=WdNr%{=wTl zfK-|e^0p6P-OzU}z>0S;){E<{l&-k9It+AVk=JAB1~ku_?zdC_QFF{azbU^SwbN7} zCU^s7ag*>T9UR`*>6nN56mglCC4=5;FP-CfI>_pZzK#xbzT8gz$ji$y(T>CBV?Jmsx<|CQHn4>@TU1)hYGHgYT3Z`hL>(uRddIaz zeL(Jl7g%Gb|0&}~(U@9d5$6(k#PI#hHR7aN3Z%b@L%oQ87DYVK>(|fOAx3*KY0Ls~ zq?eS&ejsLeQE99c@n|n0jdM;+qrAd*?ZDp^}i^ zLI?JsBiMXFkH4b#nNO=2bqs&enr7-S)gUTp<_^=Rik3GshiTu4Dw;!v8F8YG&2$p= zq)pTuM4~UYDVo_N+Dn_fIgG>zwAFaoTyVTK^BG*Ih}g#`awE@ct^8na?0KD+k8kCz z&>Hxh)~M&WFQlKRN(YfMrSnp!bwR7Kdc~G*$|565v0LD`$TS6FETmtIe8nLF;TMxq zp%IYTM!KR|0CkjRC=7yt-NsRhy#nHH(+b6A0cDnvpxD*sH_Mc(*w#jRY>ZbNY$H52 zErEU~q1Dtew)K{n0l^Z@G|O03ML#lJe?@Vj4Sx}GN>5iIE*iEdu2x}I>u)PgR6+Zq z$9Z+-J|R1f=txXmyrQ-{C6CBzCAR))MI}szV(%+;^?) z!`4S!(PmkKeGp--Foe05_A%-s*J+kZmwOIEW*=vRy|NEl0{#m}unZ zwnMk1PA;K=ean`jOiz!$I{Uaj9=|>wa5eHKTd|+;5=cZGk{>=)8FAw z7@gE73}0kNY>luZ*7I3af$LoFA={V0e}G-Ucfi-c-@rED#gU1cja_)!Nbo6U=gS1z z2xlFulLdqVKfjjLjB81(c`%#b86Lz@Q2{Q%1VR8h5CpJ+Fn|UG0xTdjX!hmq!3(vT zHaA-z^SN%wP1Z;Jscm(K>7)PD4Y-l|$S`fEo2JUj#CaVIYDFe?x$!feMn$F@IlN|- zKixE&2mRxB8U_DZ9Kw&6C0jI1eugYgsV?Hj$dZ(rH2zpwf?Hk3PnM-TM+8bTJ-hYb z&>km_X$FV3znI*;7k>ho1yLRH{#@GR9Dgr?NdIhJ937uiyxrMIfng5 zlF?Lg43CxIzK=4!7%OT*Y) z-FpkEig#DL#S&5#bys)Wf~w-jYmZy#RWb3pUo3>Gh*R3#P~tH9lHPjlQDmv*5Xr>$Fx2 zha0<2=e1B;dGECbOL%M4d)+rmL@OVsJ*lL&#^7`>Az%`*MY~tYZH?Zd`$36mjm*|w zhBls1;_n|Nsf2f1yIC1h5_MbWRH91wG1@Pc^pcnu-G7vXl8EElUCN-6=;ONYl(>?} zMcS{G?2_0;y1zk&IlBkkteKuet(37wtm2Cjzvmp24X+dqB<@xxDdPs>cWag@#}6dW zQim#|2jXUF<|@YwBt2G7R3;51Jl1SbP8>*ijtz&>oRQwJblzC4PRZ~cR)yDt`0TL$ zTh~l0wm#;f_JMP(XY&A=YzTGbS)uR3YVf3g?%6f~Nw@3mYn0)>d9UzWp?Zei=QqM5 ztHYgQ&&;+jTvb2`paOoC$J$elOxH4iimZc}Sm4Iy4FBn$*qY(rKv_jU;12`gVfDJ%dX%+1hQxyoXfY4J#n`M7@sn;P-d8rylq^+hek2)AeZ#e6v5MR3D4=bMA^cS1@uVKA|ZVN z?Wky$FlYf|zG$_OJxKjoG+h`rNZ`!g`yo7;JV@r?-)u6W*WPk!*4%Vz>VI;JKGf8j zMV;yL)bbDkGnvc;6C;>N!9)qCy>MCrr)T6t7P~}VmXBKKSRkL3kNl6LNIowg0>gFk z!XCsfN4~tY2UYB-mKXORzjKt!=k}n-IO@SYjriIzMLs7HwaTHC&rU@C?U*62NJIxX zR`klxAhtPjdrQusra6}MLiMF@9c8`cXV6KGb-gpXh-HQV^Vcd$2Q64U$Lik!9bw

Q<(TLy!!}+}`PRNga6tcMN zhSfS<_m6u`yXXLt=qzoQ9YC9$YuhE|h&*SwVs9su3!4n(!Z;;y$(myKsFI|!>M`#4 zl7zFGRqhOkh^W^FJw{Rfokb0s!ZXn^1W`leDK*~I*-JIuXR$h9@wZntL-A3Aox=C` z6-Hqv8y2|QWaRAzrmIut-@ra;OW}`6k9erAke1cVIEKDq7YBnq#75%*S4uOnoW2~q!{a*nZ@@d8(i!s{`Mq>fP1YcO zqY32-FQkqn5H$NOslEax^3u(dh^OQesG8UV1lzNkc*MI;2Ph{{9v&RH1qXJ(flRRQ zM8SbaaNxnG1D`DTaNr&sI43Fglog$(my#N61dB0PGzexhbtl4NmD zG)0z)vZM@knk7<}kfEuwjFzQbQIjkQs=PXMpma^s)M3P4X+e{87*#4=-ZX6(`Gd5g zDQg(ik{g@yNQmX&F2BUZywTfv=eVfnv@G!?A!(3vONRqLge?67%POx?`Y^(2$A0lVwMmhzbnOK zgb?}tOB`TD^fQU#B&%OP+awOPV)|Km;)zyLKj)qpZAGOq6U32LLK^$Dm|?}Gv6h0& zo}9+{T}<>QSH+E0&vH-fEvv>XbHwz{>Y_X`P(&2)+?zeY|%e} zLSy5(G1oz?8<9Gt-ap%T&L;4V;U-;2&Uai=obizx8838RcWNtdyV~H!r%oO5i!mLx zF>9ImtUI=}+K_zCWL+TiGMae+alvs;aWUQh7yS!cc`c!bal&5jolwHNuioqitNl=D5(c!PV?FHg+win)S{$wl=()L$Q&))K|jW*tWW(eNa^h?^p`Km6LbhG7If@_(4921b^7bb&aMm>XVh46iIcB%z>;h zcDfS0IA56q{X*|^&GE+grbi)Om^QiEWti=HhYR0~84>K%9+r%%DT>11kq~Oik6|X8 zid>xLpaay!wsZWX^tgwb7m{@~xeE{{ps>rDX4(PTUR!B%-~mRdZEZ8F9D;%2P~=*$ zLOmJEE$!*XCL1o<7d8i%)7ILGn>po-*J>!O(;4wbcLQW{I|P0oKqfb>kUIiJ=H^%g zok>Q`1WS5nLautfC8{$eSA(~tsRVb`IhI6K;$2OwB~uk2ul~%!S0zKOEz2lX+$r^J zOS~%SRPnaVjSnXCPPHK|hJCy%ZCIuL3Ga9tT4}h#yV!)-RvRw15K1H_qqeh$ty zkfr;}c>A=Qz_lB-Pv-#FF278B%)*kzl<5X70kViE+7?TwEc%JA--4D!W@tMs3|VZ3 zZrDQX=3UWVl8kFAti$h>P?}1IF{P4}rs84T50dbvxx?7;lF3aohhf`^fas&&3X_<( zgG+h?6}&=)%t6L2QG+mqNsSbVg>)wUsHjpH#H7s^6$;r*#?PW!VOR^5A(|?rwa~v1 zEf&JAbEc?N2)oWlqP0TUb&eKEg^VKl1<^uiutZxaDi(5z7=MXY3B&uTMA0-WwV!Sh zEwgg_X?dc#R%Soro@j$LB#oLN%Cgea=%+>VtwCwDrJ|Y83ytx+Xr&c8_`cTeh7_0R z=jgAT*}d7-sAbOonh*LA9i07QycJu=oFk642Gp^C6mzWTI@Sd7I4iM^b5%^S;)j{* z#VJ;S8x^<<0Nikm^#88!Ho~oR9dRWTs$GbW$WFF zV*+HhVW*-)fW57Ms5m1)-!`0AbP4b=`Zo$o8}#BdD$ciIj_dC#PPL(q8%`;%wBZ(Q zI)Y!c1=tL1J%axQ=D)!F7nuJ7b2iM`FlWP@4fEYF-wpHKFy9UHS)2NBv$g=67vpAa z{RZ=RQzho{7GN{5wG#6@;Fe#t-z~o`&KBAL7hrCosV0*mJz{RQVkM9VECuEO>wz5L zGhjBb1}FfQw@y}605@cE(;snk?G6dQMihm^OX;4;&7}Iohw1`JhUcV=G6}Zezw#q} zQx-s6bdl%FZq#*psr`F?urGT7{)I8bHKCbNpRz)8%e|~dGKib3?{eip?eVFD=p0bp z=Q9y^q+-tn0j7br+3sJ683{U1I6x}JHV{j((>I?%oyeM{d6AW=86DAV`++*eRo)$1 zGf5KANqq?Z+K1S3(o56_k_PpG5Qs|NJb>7fHA9^M-sbO`@UAjFqGn>z+?q%U!Z(3g z<|!CzcfF9$v40EaZUD33{DfEQYGSMFYR0`qHKc=$Ubt-Gvw+5#P>(3wu1)`;(OVyId97@;$YnwO8WI+@g*d~B^V`0IZn z-5M1?PM@+UrK?Xyda}IA;x8Dp? zK5tt$>7g-^cd(oA&{WOSb(5dd$BVL?84Ku_LBTw>o4-PLhEM5^d82*h4sFUBgePc2 zQyvqZpjzG~0X#u(^3Fj6Ihs^FsSuu^PBF3&#UyR)%jmjRMIo5TX8n+#(Ky}QT0%CO zSmsS7{<+5Q%q=C*oBM*fF&%%`_!oF?5qC|KMc7(e5p$z97v#^SQnOo-^KZG)Ki^!3{#p7Bo3zI> z;eS`~U0A{7-7c)|)rQshrxv7z)+| zz+_TxI}ecOgI=Moy`%^93i<6tJs=6HZZGdaCOFF5M@eG!zdtJl@8T}r@e-`X^d0Y1 z33}e0v?6<+m7K}>-9FRGI`d|Ed%zj?kMo!?_BY5Xu1Wt@fScgyN`= zR82pud*|f9Q`oPXU7<~?WU9XcmH~eNp};|4F7OPP2wa1>&2|dJ*;-JFJ_SJ8(T;4 z%!T^z8-|#!8d+3L)G?wj!ol!CTyyf~r^p;>X-$3$`i^uhglA$srZ&In`drud)NwPp3OGQpiazb`bCHqkb-kCDl^W?R`8+(q4B%j@HG(f_qA?YpsXF#V@HBHk&k zpT!{NUEz|H`Uu`}EJxtbmM+rvyT$R zz9gd821l{hh(^_NqB!qFgxc_9Oo}MpOFhQkAqw(xkFg3MHp4u|c_6}hL%O2pf#x~7 zJ8GV$$33PS{^z^RF?3xu9}@m{YD4&e-Elj0<$SDa&N0koX?#=8G2B{dkOyMcZwz(3 z8!`$(PcoZTL?%5@T-of;r0o?KHe;ELQgLl_KnwK;@ziE?3w^wJaWk=nwp@3fKTZ() zM*W7L(gp?YSkg)Ise|}kQlwnU#1u;-L7{=32k){MdhSUse>r$xYXP_h@w(bvxgyy znvyzw7^0}*sp4TQLpnKi>M;I`TRo_mHvt$Q!T1Qq(J+pN@dAt&U|b2~N*MogRHarl zg6L>^yZjZ(fF5wZWIshO7lt-jj zegFx8(E`J1ODn?hzE`-p7KOgibLs{k%&A88Pa8mOG8gKH_XFYj4a;164=xh z^R|@0rgjW(cL{82SMj!&z@~N$q-3M@vCq+7XSV0~0EVuAC!XR(%A&^V97>{$e^Gl( z87zyrs2fz`Wf7~jElQ3odbO@!Ns&dq)pjVuWwCE{!w~fm*JGa3@uKWn>H_*l^j_y3 zh~Hoqunt4~W^~isdh!Z=3TU1E2I&>rOU~K6OTM5%+6#3TXg_D12o35b z!3X3v#|^W!(|@1-qiAL=F^zFays{SGL0u!xtEF_%--(xc5gjy&c#hYvgRw)r-ixWD z8lI${l`4CuMj;PMXMp|zJy*J-ciJ(;Gih#b)-lvX>5|^OW5{dLvfdmfYJ;@07aSJ< zN()m(Of*_rn<{HT=%iCqB`v5d>EcwVFnUW`nmVNg9VuO#np=c8DwU>Y7op}$7p6`x zLjEi*Zu;>`ML@o1*KhDRhSpU3;BkySu73hA)ac_Nd%e+dVR8bUoboK;*K!aZj_v*Y^EU9s!5+bo=csC7_vG2EjWB91jRC`?0?i$}@4Y;>@&hl=10N$2BR=I?t|FXdE^g8i%ocvwCnZ4C7tvg`5!fUpAUBe1yja zAMq5=7bTeOMyIQ_1hw7trRy^*X@tHnKApBN-Zv(#xMoyZv1d-zDOV9t2fXVovhM|c z04@Xn0GomEBPX>cU~<+>?8eQ<3CW+>dYo`}6R-t<`B|8M4)YJ>X{-?je8D*Qg3<5= z74X5cfYrcs;B#Oe&;W>mN}v#^1*QUvfl^>CAO#iz#lWhnQ_J|_M}I657u9klj2uy& z7p;$IfY;{&-tKgQ(lnp9J)P_}>XwZs89r)M?)lUTC^OAGti{06lGl^zh7^&q)-MV= zY#ehhZOX4F?lip?8Ee*v?gFQ3vP8cD`+z6Fl^Tj@9Yj!h)76(8Q=1A8p!PZzH zdUEW3-uKFU;M^eUKhiL{co5B!ise(8$X)PQm9`*@rC9m2BII|{Rr0KU%oyo1d0s#E zYv~4gP91KQvqWCd2=COYUQs?Gz`3ATmXF@%4C$5hz&rJ*-uyH0PR;I}QoZfzQfoj5 z;WVd%ycpA;fA)=W=#W=0c@me+#o!kgJ7II0**rXEBnSNP`*DbUl zOOk`MEDNn9E=X5w@h^cgsbegGB?0=^#)Gzgdo$Y>aco3Rxim40H(Nk-b)k9!XrJt$%IT-=3$3_v z+ERP5m0ZsF-M-43+!--eeZ#pPz-rzaxLp-JLxBroP%6<@d23(Vi?HjD< zOzH%CmX(-E_&GF_4ERrDWd;C5U3ex%7vALuF!8q2y_NRgdn+eMaMm0ewYVN-F%*dF zyn*_NNqC`?11lG!G^L0`yexgfbV8l-WFNpRb}r`~OvgSnJpz?PXjk-ocrQ-uhG$)m zJF^>}b!Xg^CV1BU;5-buyTn0w)+N^lc0mZ?sXM+q@~o!IouSHkiulLzf_KA*XHq|E zweA=JI4UfZbA$_08;PIGBWSWZ0T zv@IsP7avpTKWh%-AIka7sG=<%<6d}dL_fQEd(^y~Q!V<3%~hO2dm=5MhuUXPqoMO@ zLUG~p;zW7A1Lu^6$fr24(avCViyohA@|hb|j24!^XnZrZg<}w5o4G~IsiNp+W)b@v z5vw_*h!rOq(@ZboEE5IvQTmx#;v^xdpM6UlDn#|OBE=JhgnrIZFx3dw2g zpT!IzGL6L$j~4o;alQ}}h1d?}OmTuRpo9HL94th4uttj~2#Fn>3u3$wU&mZ2jules z*nfdD3{l4-f-}soj$;C67-pE62hK3kF#Dc3+=?1zC5R_m3B#Pzc7zp2VlK7wtYi{2 zz@&PUx$$GwmF|hD<<*!-M@;IBE=sn6Vym(SHqz$UO029#P$;Xcq50GaHi?yyPrqtg zV4biNuLM2R+iWFBlpi@i$gV)Oj(l%n)FuZqbxug*f?dAw*5C|mD+dVAz?M(}F2Dpr z06Gu^uz@gu1_S~uAQWH#aD53}Ujo;w#?=wpBVb=TZ-}Xw56pzU>A$^kHvEy9>TiK0 z;45Gm@COhI90cY9&wz=*HDCkqFF?sUfe7C`9ZB89b?k;E!1aEY2EC@jYLHp1`6~J%1(vmLV=c=bzq6EBL z$oom_PPnVSB*iw(EW-RCjczI~!tIr^nhJ}sFQwC(X7!^^N|!aw=tq7do!eB@k2Xjm zn?z|iuax20Qblm<7x8viku9djyi--^c{%SMWqM|GpdU!rdy481J0u*Bs1Ey1GOi}4 z4u45PshK$pRY9)1t_0=alw>MAUkRvOO;m zIl(cbeOe-Vzcb!*y(D0)ag0J&LVRpmrTD6ZGRv?{v3UTq+wjg#_aaMRvw&3+TN75R ze^AD@#;w-8RE~qYTm6l4dDOqooMwo%@?WNqvmaKBP#US>x=QyKviGKxPsgMWE9wKM zZuGK-lCI+>I>&+S*2tGB+a+L2h2xP`u??tYjy|xj0Q>2mjt5QMSPKcZH#G0vMezAQ zz`2!h-LG(6GL-qC$fuS!9=p*Q0%p-WKe(5G%@~k?;ib-PV7ebnKf>2OHG)mR3eyB0 z6gh`)N(IwGF#Q>9VtG0h;i2I(Fg*v~Ixpv8h2&-ads7?Fs>jidL%i#H$O^6%h0r(- z`f@dwAEu9S>%Q-UiuYg2NQXdHe^N#WGc9l(k%0=fAB6Pi&kY@}<1+jQ+Dm&tGqRku z7h);ck?8YU6F(TDpt_ZOyl?Ix?t~<)X2u|taG2og?8a|5j=1y!(shp1cF7{}l`+v3 z(6oS9h^mjjtKI>QBzX%u-0((mqYd%aXjJTJBdpdhQS5IcU(^S=(1i?8LE3PIlrx;a zq5C8>tP8N`_W313#Dv}#l1NXoq59}OjIV4VeL+2-d93S0=CeZW3;O)?nFsBvK5Qdv zu3gZ_Xrx}VrS=7rXd7(2J`Rccuc$%@-Me&9^c+*i$%0Dgq&oOr#tVZwKw}UrWOvYi z77>MEX$*$wQR%#2)B${f4A`<`G z2bP^67F$8lcUnBp8aBv&tu0rgyCa^Xe{f_sNvlzTPIi;H8vD{Qwkf+Bp=DX$ta z*9U_A>#ZqtpK>yk81r)*c8JPrd2}D#Yc5kkq$Xw3@2}*BdMb9F^y{l?j$0n(f!oc- zd6A8(sK@_ldTpOu%YDku;cHU1!=Vrp=6X8$tl_Yo6RrL#W2nu(v0Y#``by)e)$Z8eL-_bJ~PgyYNj``PTH!PgBqEOZK=&j5^cFg z%#Y;qMrj)OqlY5Ts}J$k=lFD8g z6Ztp1jn8hud<{;nnJwS|3zQePU>8cIHBTr%$7>#5pAj(fXTlhJU?GwD?#^$T3)de~ z4?u*xa^so6^w$YVc5EME@ZBA#jZ*(*WG5s=W_~Cc=Q(i>e!(D#>BQv6l=3&bH1HdS zIsbnCE!!@SGq^M8x(dDgpZP!AzV?hMoIO3`r$@7DvWkAsZi9+c;eF_l;17>o$GNBv z#0rjf&QEjFYbMkm#{RkK^>N!X&p}!zXOb5G?pBV+CHDD+Ws<_}x}2XPRi5;E4CT5|RoR@zOTRmrNr>-VweZhn8+waS-qY5icD!mMuNBlR(K?GT@) zkD%*X`TqLoo!S9@pgwY^t`nS&S=AV?BZgYhMS0E)S7Vf;WrB-pi84W!cu^CjoFI!| ztzMvvl_js%FqPwEac|W{$`o1BTTPmBvMk}gt`1(==8=Ar)*FS_O1;X&ZozF`zLMXa z7^6#661wA$Ls!-e=*p@NQO0z~Ez*?#wD)bq!ds)Umw~l60T^HFTX=_M*f*wrvs#8G z7(2{OGCaXFY}R)pjK)hMVKa@%*(>sI4s2nTisG7CE$kmefz6>Utnu*H#c1JN7GdEb zCs?7&g&jmlHgD9Wrc>SQ-@5jJ?GT=W4*Uvg3o(TRHhzjD| ziB*F8nrztS+;*d?=L1@{$NeyUKryezu}b6|lu1K&O|Q+7z4gxNPH)qD7C)Hnl1m_1N3S z0mT75`nIW4p;e*tnO@tm_HO$i&;sb(Vaj6M|!L6Zh0($)a!TqwO z9{typ>zaQeUf8s7y0Uv*yI?T5B;-v%BAnh0r|-e(|G;S@oL&g0cIox%zs9U*++KtSSCP=XEw0c;=) zpuu^<5yEw_x&CP+1O7k&Km;g92;{ADY|fN6ZTKf3!{soHMX6Yk(=T>xnLSNWfgBnG)$ON zSmp5bXE({Qv*Bx&g8koMpA8?s1}FfQ!?mN~+7vKnO<_F+^FP6S4VbS7^MAoy3}&gD z;#KfznQ;0LoGyUVpTX(B;ItG@kAl;1br<MQZco70jf{3hzgCPgpyg*nG4WX z$!aQ;AE=c~r_LTkEeB<3W^=HEv(~nspCSW)zrS=+lWY)gkRqETOw3eiL{mN!_l=a+ z1ckZcq{60LCjO+uB8YvjePfy2#>0WXGg3Y^1hLC0 zmP60pQ^q;weVtHuaDsVDCw_?$Z=N-aKIJ|zlzBYhvweu z7z?{9{x|KS$_ULb0NaAB3Y^8NVgb<9dTgaZa}ii=39Jf#96Uq?1ZCRkm0rz#AlVWy z6f}!J#0HX|=)x*XwTFOt7RFHMW5EyuNVuYHsI+T807wwMa%ZJ*nLy$?T|{M^?g&t6 z3Fn4A9>b*paX4+ElFCiO>4Zu@Zo(FAt&+=4*`o6Y-K!v5J5|YSP0ZHCDM_vIx3!Cv zA+5=`b%9D$Yg~-BR7r158mk?zWV9wsLj2`elv+^@;fh)9@@j}Vu7+?0CJ&+(MX#{; z9KR|q`%q`7TWz`C=#uE`Q0(V>#Wo-A4C{q%l{>X0WjhG&C?L3_b;0Xru(PmzDs*Zz z{p><}M-L=6Am=#z+lxmC7Y$!1uKEIAQBT;W*9N_!-_SjClLjL1Yp=OO24e5){&k~# z@)6`DI>(Om#-T`}8uBEk% zdPX(`5*tH2bGhSP|4m(CtE}aO`2U3;;r*!#zm*F0j<&kmRG96CJ5baB)xa*Wr?>;t zBM#{*oWzn&*-%dil0OByQX)S-J<FVA|Z{z;pr`b?~+LujTJF9u)!r*^qZH!Cs zRH81;QIf-xA9&4QxB5NV6pDLdbea#Apq`k-Q`779cJom#D#LKZ40%dd^go$TbCFjJ z&E~6I>^dlqa)OIqXRw;r46HX7bk697#PEM_F4TQcMss(mH|w*>7AYHMRF6y>S~olZpuZizcRR0a8Wl^iEm9@t&LN1 zTH{yi7K3*r`K>lk8Quy>MWs-^Z%#dgG|-B(>3+X}5BwkZ{B}`2ift@_ro4eAiIebo zH`@_;x7rc+V7v!o0*nbTo`&%>j7wo$3ghoE{tn}7W4ImXWvB{5Ku;@#%bVbnmt(^XOI_+t zGT9jH+UQ>Hu|g*%{s-(~<9=A);q@TMO>ty>Dn?RayaTE|OnuTJ?Q+Lx&sQq)bw-Nq zojW=`(GtC|Qa|Lv)6gRVCCK&?Yle;6|^_{uS^Ca1eL~Oe_p}%89n23+b8AGcmF- zD3iU=#wcWGvi@TmT^N?hVcCd+qMl$cRI zkFkJpO|-f%c#yh5G`){A2nvQDEt9*GaOzAYuR8&!S>sSN6_AksIOTE?35|8u$YqUC zfK(=zG@_)=DtUe*(g{(9DUIl8r%Ij+LU*S`o()2G=K}e35V|{yZ;Z2Eo(DpA=M;Gk2;H4Zc>xIBoilnxAar-G=#_!c-I?1f z0inBd34|<=-#W{Br-0D?CN?0l{y*y8G^(kr?G{ZR2yIA9A3_&;5JgZ#&;(Hsh$w_c zh!KTSL}D8iC5@$NP(W<3!&J(KQbe$gikOs%Vh^RIl!cm#lu~MGqLxNQy-&V(+;P5p z#(U3q#u?}QI6szV%{BM4cQ$PH-fPWgK86N9_)R#2-^3rFterGMNQZ}1mUTQm>MLTd zVIRNU=2TCr16eL;4n~|plqCL^M8^O6I2C!mB{6^ax8zh$mz6dC4ZyHZH3Z}zr*IRLY2dB zusOe1#_;#q2=7&k1#cZV4mG*!F&o*e8s2)`6gItP=6dWEc4AG*di-OR16-rT5f)Rj zk6ms#AxEtva`neRraPUDzo?>{+=!%+FoEPEyUKD#j{Zs%>M#E5%57#M6N5dZcbLS) zKo8jorek907U^52rvZ{OuXjUj&le9U6ovm>|8c zaTf&=WM?!EqEMyugNANnC}j;Aj4fyym@$xT%xSV?nyi=KZV!A#2Cxg=XKY3P0G=mB zGZAyZ^CW*J>JRoj_tKe2B72rQcP6@tz1+REd|41&$;_7=6pX11xhH-qh^h>@ zC)qBTP#K&cz9$H*3`~%m7Q|PEo)*6sL<}=dOEwF}4+pIf-x7olGgnAD1Y?Io-iu!e zVul0WOIigJhl5?kk3fbS=ql+k#}0>X7JoIf=#0%0i8+xTlr7eqgXqj`$q(ky^pIQP zKg^NzfLoF#b22?RTHJ4D(gUL9mfqs&+=D3#7R`Ex37?05^`QDtw3n~>G&A~iospNop z3@_x7_)l{bFW`}6n|T5+I0byX0(pTcl2hh*UTBZ_A9KV2qepQmkQ|^3A$n9%f6!5h zUR9Jix&$J%iuQ-jmFV}9Ow>gai7%;5IzOW4OA1$)LZrN;_3MyCUmtRuE`Uh#p?2wr zL~kF8P!~s}`OvJo4@_|X41yW$^`_7!hmlARSsB~IawG%1V6H-jNs?{yen=+S3dtmd zk*FE6I<}YPScX%!e3@x~hFiA6*>tkk;g&qh)ZXiS3k>SIdkN9R}Q5ChHIn+c(WNjL(JfuiE ztf9yQiexPs7kTglFi7#02R@K#H6ZU9C$)j%oiPp!Qk*h^&VfOScLwtu7^L7cLRL$M zG_;I>)nJg~mJ$3(dROD05%@`VQh>+^#Yx`@+HWCNc=1V zA$L|g=2t^_?(BBl7=u@CUOV=bVI0)KbX%ZuQEwh_c&?nTZXIxbu8LAi1_)ltMe3#j zS1*;XTH)ZkqmI2Ed6UiSD_M_@VvG7_un|Yu?7kv4Y7x7dOm4U0@6Pii41A+3@Teg#N-_(pR=0fo4yO z&E1cZu@}Vh_9G{=i(+T)M_*#Ejx8xi1hUg(XOzS0)cn|@a^zfger$d@`U!hQZ0Qh! z#LkZ84xyUa)v<+;kj*ZQg~_)&>~*oT$%uG%T5KK}b%H$y91@UA+1%IyGWsoB7+ba# z;l!TWnY$IGVt)q?3CK*yfB6X#vK34CXCWb5PT(JCbI4arKJ)LXMoj-s6Nr->_?>fZ! zuJgrY;{LzYMB-l_PEFa4O|GiyPDB%q*t77$(z2zsuj#KnpVW7)t5|${!{@>m6OWy3 zUDMhemUl36=cswB+t>%Q-oBVm5YZ#u8^qt6xt6^m=SYtRnbGXn$xe3Mt~>bYDA29$cdT+hCiUl3SeivHBjD0k z#xAfN5&2e;AL>H-eXFPwbku%Q73BixBD||;Yaw$7HxTv+bKX$QKO^#fNqjB7V4mHX z(~W-*FEoqIXv=w<`$)hi=|s*%@Bnvnl_O1Rx`Qj^nlFx{`%owACYl3l*v{A~#w(^4 zI&QNnN9P#lvj;Rhc>+vgRJt@?K(>LqcZHE|*++M}r4X9B>29Nb{p=qC)rXnApqOX} zvCs4@+;7Hbu*#1bBA0Yhz>GMdc?p16VkZK&|lOSw3rg8)_PxgUANr4 zq~=1UQ?+}6*xR_x6e6T(3rk$8iGS;kY9?r>m!PX@_od?v%hfWi-(XlZVx_`c|Ii## z)1dVnjHpI_P!#LGm=i2wt#2~x6~ay7YMLVq6|o1gew3B6D~1Usp)f`?X%OE^{vbPK zK%2e;$KUj1Oe@7r_6!_z;_pW7q68D&0`f|D*KS35H!kR#5JwnP1=V2b)&6)UG)?mQH zlel|rXirrFr<@Ry7T%TIKNba@(oKixT95x_rbbEqdyh9uTFdgum;6*BA6obe>% zA^sLRGKCbVFOMVCMyJDE6y0)w?$qP)M0a11+~V;BUrTy|&)Q@~_dq*nv?1@z9T9uR zt<&A0Pvqy<`^S#I?A8)^P<+PbvP^9#*M^J1Ph^x zE{_p-q$)Em=;XE|cN>a23)|7fhSi<3st~`!E6919<4gC6vc2%`o;d>Q!%h5#0nB^l zP5!>@*!9*K4`fQdz)^n}+ z#E`F;E5w>v2>Ke`0Wo&Z)7&u z`jUIYvt?Cg=icbAU{zAsm^T8NxuuLViSUG)bzoqb{03uRFY1k|T`lg#5tJ|0jlD>M zY7Z>cV3o>2bxSW=sp?j*@5N73j;Ob?5Ytprbv+AnP5HBW4-0iorBO>+xNxOey@iDg zS8Y)%S=htMU(~x;Fe`Liy@3U@LT}XD+hA5msova%d9J*sZf!$7R~=SM+HhXV=jx_5 zD0;n1t!RUy*AE!M&&BgK(UsB9VZJw|GR#YyuL*$ZUWs!*{UmX^4hzWyx%+h-ji;73 z8vk8Cs)Si2&E!PX?5uQ&rg^H5R-!xHY2pau_oi?mQCn2v{6I0fGA0^%U!JKyWgbIV2Y#i}8>-u+*PPKh z4hB}^J}8TIYt8vJusEO0sK&b~$Ll6*&?4TTqaSglWQy^jDNg7nDj9TY1ry{@;}=ty z6(K50c4#HKNh*w&Oi5M;QE4)v)V)DMGd?i|TQS3|R&e${q>C_r*Ecm8T}rtvy=6|a z>=OAcBgTk3%pA)(k>fIwZ`w-hsNsN8U)95J8OEo87fDSVqBa~SB?>?(h?8*z4)mxLFel87&98O7teC^^wqdf|FX`FV zuokce)lyCT++uj_LJSX*H`<|4Rz z6?A!3kr(Phx~Nsu7dmQ}Ulqk$mtYMX@Qd(zDW|xsxU9sT7jR?`yEOV%UO#_vdu3-# z{^$Y!2(J+;G{1;*qjzuS^_y-(I|ePay|N}Ye{>=l27M#Ed^S^DzA7j#n+;0bl(`() zT!>=@8XIV%fJTY%+5?nZF#HX6RRNT5u)C!|`^f7*(42BolQy60RZg6jn$M+{lm1Ly z!Sx$*r=(_ci9;USQmeV1L&RCBrCiDo>DSbCTwk*L7$_M@CL9+t z$Wlsov*T(`uw1K&!Eg@^(nf9es#)VR#zBsM1z8 z;U*Y@)rYj?cOE+=kIYN@rkn)F?+CE!p9WU_1Q0;tUdvaQX7~Gbhjt)es}{oa?l{X2 zTEBOcv5?xD-d8q=!O3^)Q-x0SplYnlxQH*;`ql=$LQLl1EnQlYGijwH$MBG^)#7Wz zUtt3cYx(=N{`DSi@MfJB3l9UAl^gYmRtI{FAC_d8%h!l}vOIF&a&6`x7s1W66;~#& z(Csi6TDFN?VcHj;2Ze*Yt<0A2Qq*JRX8tabXBO?4*i%Dl2`j~(U{}NzcjKPQqxl`f zgr|!8`r3Y%NcV^ON&V>B(AA*(rSV|VELh{l3x5R3-edKntW;ZCx65|bN*%!(%?o>k zK4SRHKV?I-Lo)p-O$;yeljI-G!~s|=^f#tjn(3HzRGB_T;9#3oi%C%a0E^M|Dlk9- z&(VSK4YEb%yw2J6i1CJu&b)fmc|&DqaXoU4f!A43kN#{Bb(UozE-DnJm0-sokb+a?fRaZzNR`DTwP0-!85ag!M-E;FAoa;8u!Q zqWF_>hKGD3-^Mt`!@g1c3bkm^1ok&Wt;!%o-a>(uSTZr2n@bvNB1T%M-Z(4E5-0qWy6hne83Fv}X(7P3L|+)T=y z?2I2{Jfz-Ehs(4{y_t@EuIyL0($UXVU1|v(@1?Y=n_3WFDur6ng4v}6gTXA^O~W%a zEGV9IFOzOJNAyjrbtzJXF(%idAIN_)p{!9_NPDCy{xS*T(EE*9H3~5L2HD*_fvdLU z26mA#x#s&8w+^zCZk8ZGJ1#}9o?RqsK5ly)U;tPr(~7MkWJB)lUZ zf;nZ}JL)%SiaAm=84OIw&veD+WKnT>_>@bE8>ZP!*lw8J{J=@DNP1mU$VsVXO{90E zv*3FMiu+aIdq#8i_^9JI`8&g(uPGV^J)9tE;LM4IGG+VZ8ori=OQ9I`4qQ|{Iatr) z66&c3^mHz+o-$9L$aSr!{i(-rky&JlK8WjB3l30MH3VD9Ol+Bafhm*gTN}JUe2gNSpFZ0H9eH&`q5msEKtum_aknQUG$Ot4mYTq^gjKV8{i+B+=O}y{(-5Z@lG5k z%ic;ysv@7?Rq3)_?%X-^B-~P+bfbg;wzLw=c9lv`Xu?GpgZbOEZ9sKvo822n&Q!1FR18Zo@zP6Ix^yajf*HIiz#?pz~=} zvCdT(F%VXbK5zJ&e@2UbN%Vy}i=hLduTY=i@o22j%{F_`=_@=QO@zmz7%L)?;fKK+ zCz~2Y^pPk(8NZi`5ltrj@9#ax!+1U{9Z zr%D%~DkDRrAp(!e@Dy}doaboLKG{>VQy=(;6oCzBgmp}1)IqVc)ul4936iu^+Yw_`+f39h!eDs5?017#&3T^FEB6L!}*gPd*P_RaD^A5%S1?@mOXQ#GqMCSq4B@2GbqqF1ZxV6}(Z9q<)W6qcHzgnr#o zqqbFG-FNC?-9eO74u<3x%k?M=hE*qel5|@Wu6*j zOPH6mP(y41xk{48u_a=cbQKf(R5hP3sf5KNs%d=xf2-E!$%o9h9vV$N&pgQ@(}Eb5 zCZjN2@|BsoaA6oPDjL1e@F)LhrPDn{gl@Gkc!1G?ny5UY&jI_v!jt%m@`X?buBek+ zf=^Jq00|d*fLRUJ`P2EkwVqY9Ghn`y-V{OI%s#h`599P3mcHOKD)x}wZ5~EvDp@uuA z{UY2RkK58a=A@eRWVf%B81V^sZ`mhu+(Yu!ud*)rI25+*8+sHhiK;}Da?0Mc1glqX z^f|GQCZad26n_>hPKuY|SFzn13*KQqvSS*{-r=xp|J>YeBtbDYZH|@7Vm`ugjN>6` zi$JE{3Ijs~ivn@^!E}uVxib(BVqu*wIg)K0ir+q_6B~u&mNMU*<3YD(0 z1DgPd0pKeDcmVu>Az}gW2LMydv$1}gR#HTfk7k*yq5?@M0Il@^gahyw02Ow?&WHx! zBLFl2O6`oPH_*PuTFY^sb19g;gm#g;p#;cY<0#868*&-(dRjpjsyjMgS}mXqN9W7@ z1TMp2_oSr)-{GixvJ?Rt?x%!DWN&@3fFvreg6G~S)9fxvcj#%!2jdEhWB{201%JxA zoZgYnr`2`go!!^yQ@h-pJwEICRvMk@DsC{qMe73>?L~d8kPZR{=+nOhMjAPsiPR@72RgU#8Be9I~9 zOx=oh;;gdlZ9`BMN`6-xW;-~?{M3f(0VD5GT$d5XVcDM=91mm#+cZ=j$O?XilsJ$T zoYMI5LZ8e2(I5vHUNE~GXlbr=UPqm-i_wJHX6@Id_k%ISP5u0SR24BwpWjcYA|2JQ zXhN=#C-4tx5idPx(uZbd=adpy5FQNz59DX#0l1rb+Gdxyesy02C0PlU9a;|`xLM20 z3u-b>g6HmgQ>PXOrERcN49iVzT0bAsSn(5>%$PKY$%cA1VM3?GsA_Bl)F>?zj!%qu zh4=@#VyQ1@qs7A8vw`(i?pcsu_DX=GDRy=Utaai%Pl|rbGJuf>f9!m(Zr7Xu89nYnmr2V5CW9@IhW%0P9TW(E)Ldl+{4Yoe1w=uFN4<2c6 z!h>^g$}Q79TO!<9Yirijp4R&c0*2lFm(?8NVO}Y7CTPc}{A2R#BEw56LYor_MQc_e z{M@hWWx_=9M#D5q%^tF;DKSjH@E*-X_N`zhut}2hp?rg^o{PK!YcGJg90-SZqC0Zwx(8eIdxMjC{RdT+##%y z+&8B5MPx5qfU0_2^~y~>vZRZ zA>d!awXE}L1V03yTKb{j;fQSMI^i;yR~k)sf5xJ-zG7wOTzFNj<7e#YGzAL%oHso= zjoTg23MQzhsZqw3JJ(CE`OiuOhC8i#mE zM(d;F9A3hUu3sGHCB+|%N?8LjDY%P@Ii{6XM;pA})q!lR43=FO>EKyPP7F#P>*8N7 zy5r!g>N5Gqx%v>F=(%x79})>tQXTiW?~^nOMkP|tFij_Is6C3$9+t&}JK*-a&{8(I zU%XV^GwSX zj$hn9Rkr|1`)HUH{YLd#%VJQ4+}y~gnnvEwoH<=vRZR1mXr`Plv~nn(yZrQ zyRXq!vfeN0 z&v^Mn(=~9LL$Z3)rW;?E>^JzAj3(7B=`R^Ea-4)>)pj$3cGM6MU8K5rw%2{**~-AL zf0$9i^(rSLH#*wmidM_>dB%()931!ttK~De^pX&z_&SH%2i0~8ldLVBi5M$an$o$BgHY>ZH-}QAY9mxre$_3~jML7(i&!b& zrIxi}Uy)DgXKP%w#donErsPFY#y2WQ({G<44}4pR92d@kV1CTBKTfH|rNuu+VXs6^)HiU55$d zC4Ey*Vvi{!QY(bPqUj~rbMhl;1^uqwpmnY`d-csOaat{Zk(wi%FdSTsxM19*ZqPb* z2UO!0!z6aGIp1$Y#{%n|;@uMXr?%%>L+w6n?_y2qM+b_f4 zY9|N7m;4|9JFFA+JUf&nxz)3vSO8Abv zU;=FPpNagP^sR)wc6?kI;LBz}fZ_cRpl>P!_@UVzAk-cp+aBQmdZLWfbx(hl_rf%@ zeR)k$B)URyPF6Sfoa{v0Lwy~zvn{VowOJo#9^bP2RY^H@;n`m1Jz>+V_Gir913JzM zYalJjkW(^5p18CrbL3}Ebyt%rSwNz#GR}{FqlhXQq7|QIF-{+tRJ8$4*?=>gvMx_J zw}N;$w}$y}Zsj-O+`8`$=*tU8nB05_h#_|3bUSfZG7v|v0%GMGAodLb@rSE+;s!g> z*-rGd6Gz#JX#8hknrm^*g>v%C~GZ(L>H{Qm^_n|()Lw!@Cz6~m7D7Tb43wS)0- zfrj~_y-hIW+*|LydrqqT=+UD9r8ffWlK6&0mnW_qwu)uM!_O6I|6>21`l8+*pvWHJ zSo|p9_S?Djx9sRLJNje1J?vh4*kOCv^a^{}arUtHJ?*H^O+cSk+R-U?G-($ExY2J9 zu-P79>1YVh^5g$6bwm&f1e-A%B3M*=?b{97x4Ss-9Z3*BA~-Z1BFldVM5g`kJ~HfR ze3u<1**_LPUIxD}|4iTb|M^G?^A*Hr?T4Y*reENI+0$VBzXIpn0p@ypBvOKXb66$5 z8T;SBf4`^rIH2Az*r_D@_E}l5{eJ>+cCa%Oz)R7J&?p6^S}BC`kP?i1!L@AFyH=N|Cc_x?4i8X*9nk` zq11zu?{3&sG;US%U+FI8RMnk;mG-Dh#=;K%&wZS{b^qj78(32}4!Ur+xSsXa1i>EJ zQ^yTe%RO?_tFZHo3Ax!-_&<%VxoIyk6k~Mm)R)=my9UsQrO zc0qc4=7{40)x}g_A(S$~r%ez#+@;mYaOT*5Ibjj|cs|(ahPb|&KKL*pYBVV{ZK;rO z()|#aVc|}Ce3RY-^AY!Nk_5AlHgyl4rp(&W>FKdkZ)7@UsM=u#(gju^W!KrRumb7$ z0E)s9n!HQGz|EUo3M-KK2Z|B(1r`EUAoZs-?yv%hyRTxx3M3g;ASJumLRf)B!V0AR zD7-{Y--&9%lO8m`<%Boim1E#)K5x;y}K>;MUXDgc%Oa2tSbcK`$c zWCGy(qyka8c^^^))t}UP03HEwFK3ZuR~sxuo>L!b!bdBq4F?z7#4voM5bkPC1i6S)vsZqyJH@R-Jov$WFa{0Mx(wMjNnrt zLz+Eeb4v&~#dRHDm_%njkT$@=K%3U1HnIbC35w>D`dyuAf9nsKy<#VoIHfCJ=vcxD z^r&i(*>B>vYaQ!JV?kz5jZGUQgeZU41qsL7vIdbMDp%7|VW@4=Ahw6RQg#efO$&uF zEzDQw$MVnmnO1}?KiT1+Vxw-EHMS+d4;gR#k-uH!d;`juCz%~%3z8k5Dh}ytt)oEz z47T+rOyjLkXrdLbGCJ`UB4Q*ZM)I07r8BGBc^#C@{Zm8Y1(aeVI2E1Kx^elcX#S>Q z!ae1E{kVRYcG`a!iH)#ULv~Ay<;1YdI&c#>W_Ic1c7q`Cj1Ej(2bhnL#m4iX(ubG1 z*D_x-x)bvFS15Y;XNK|bN8%CG}vckXSRL9)HMysVU0BR2YIR$864fD9!ph z^U|8^TI4NNOw4pD{)3ot!Ukf2JgA!Rdermmti_oR6@`qAEiOxxNsJvWPD`$QTf}H+ zaeJf0GWPKt;1w8ozadtUeBeau(F;+%@0;?`-M~1B3-2WZgdCx&VZ% zn+F`9D`$hq)#bTr0*G9lyp(G}T%x+yt|0%@10qlAu>enrcm2(I7sW_(7Iwl$l0Z!?h*Lkc&7yE4FSD zkxIfIp$fq7`&aYqzHG2_SGnoOX#BPL&WQV6qA>X1Z%NHBc8NAZusU zBhP?taYY=I01uJ43Px%3YLTy$CkTVSS74nZ3;RL&ohc;_J?LLS5ivH0TKIc1Og|*9 z*Ig365>EkHKm$y+_^Dvx#SbQ7m@p|*4wE!LCHm;@2>rwrW(UOW%ZDJ}$bXrodjM1F zUaV7Df5XKXuXrwl6;V-A%1P5U+a77Qd8}nY?dY^;w$al2X0*dOLxt) z2>WL5!AvlG*Oxcqv|8~kb+ORz9dYOHc?rx2QC>NIF(gYZYVz(T?Mt$Bun><(-|IeW z9BhoQlZqPs=Wi`#G|2lN9=#6;_ls6bA1Z1}or{>fhSWqCKhYJ{y%Z1S&f@Q-O>5Tpw0 z30yOKj-_zKq%Vi*m}n(9z6&h}SjZlaVEug!xdjq77PCEL!Gz(latVJI%k7|wz~9j3 zFkd;1zrD?QzABu*xsC8txq#o==K56S#h0`>Qk6xf@m%jnkL5Z6mljFm7(UmN2k zo~MDu$lXAow-aybi~3RR;0&@_=*xQk;-GhGnlP}}UYL8N zq8dH%GRJ2mXq%U6_*^=Bje&fx@~%qkVyVOzq&6f+H9&R z8Pj}ml5<5(Q1lO1ACKM;pGdubX9%tM!#Uev9P@DyG(ma71K2}zXUO7(sVn#=uOJ<7 zT}C>7RiQoh*joeo_~bXKiHx-QT!(Vv_i6cDN;xQkR&afXKoOM9B@KZhsG93N1d55wWKaa9^}CZj!1$t{P9{!I<@S@wq_EtQtiO6IX^+KQ1mUdU9_#_*XviVM z&m#?G`Q2PszoMVAmG&?V+YdDvj(w;&sj5~VA9g$}FI6uXslZw0D!w_l`F-=u4g4Cf zo@D z(b<-Z->y9u-7wDHyLHB!iCOnH4SkzuZuI%~y6%bl*YO1*kL7P`KeWAEv$!vBe_D*t zb2zF4vz9$R_m=|;3N~8mhM6z7G*`4;`}U{tdp zAqx)UtNk!9*~fD1V^g8`qkSwA#x~odDBw5}cS4jyTdF(`HCK65!2jPuehazU_CYRnlwP; zV*>}`$sDYutIc79Vw#D>^>n7q(jC%-(*q7mnl$6+pmgZhgwO*QfHPqNJ@mQQs)=r4 zJeMdmDJ?->;@j-Wv4x{SZ+O|{)Q_nR+a*25Ce%jll7wlJZ0RQvdGJJYv=VzzagTql z7cv#RWAjSDchm{W-4I&>qj5_)UN=ZPAaQ6$XKD#3fv@XVYNB{S9SD_i8>H|NA}Iy3 z*PQX4Sts!mVLf$;5R!;G&=JOeKpcT=r;e450i{F!AV~F#b)Q5VJFivCS320@9tD^V z+2&#3N1N6U6SCoPCam9O5A~tmvmZSYv08cpM4&nEaL?HOHKpB6CyPtV z24y$QQH`8#m*b#wNU%otg3cky@R@PQh8O~!!=NUn7jzEYkdF#FhY_gr3pxj>AeIF> zho3>`09gVY&^bVsfD&{LkR`AcbPh8F7#8RpMhVC)&^as-II}?KKoIz}fzDx?0M!OM zhj4*M8|WN>Xh-mdUFfvxpHypggDAw+G7w%fMEY12qZwT zKOY1VU;r^+wzUNW`)Ac>TR^b?QGI|1g8lE+ryyTm&QWjTIY+x^>b?id#f)0FXzKOU zq7g(i@w)zi0ILPN%^j*)>Z3fD4)=w+ZGtH6w03lX>L2yq0mK89zkZB4wC1SIvm|sK z^1iA}?;;4)a;s2d6*o=uyRd`I)6!yNLe1_0*J|2$oiC)pF;+;%8>?$}44_|u%3*@Q zUt91J{{d7EUqIy`wxN?j<>02csJjIEBeoBwK{?qJXB{gl@xkT5DoV2%7I1v9IjXrP zPoYmT7^plmOto|;VoM>7TV-|@O&xI>r#xYrXZ06x_MrC~R#^`BZrwzgUXDAah*Yb3 z3Fn}!_3|d)Wu&Ckl^{TJKMU0uz2AAPf@+Mkcf^l6ZzdQ_L1_Vn6ns4#x*@-EC5LE% zdWnx{Mjc+0XoKpdYdKTEN4Z?+JI7U+uWfmfM49AZs&vY0^L~96NZ<<1Hvs(7`kN9X6u~$p=|jvS)s<&xilx3{I{0T1wad6EZgbR50lLlgyVq$vd7ywQK@H+r6*`G(pi^gnKLB=Wne~c;D|8a+Fp@hGC%rhgS$3)Gs(vE0rhy?-Wz~|J$b_Us zzui82cSSKW^iv?Di=KD@ke` zlpiIfCB!+F)AmVx1fzPPcIjCIjd8~2F+?l_SIvcu;L-ijI94rgArO>{(*ojL$lx)(Gkj1q)zHc}-wEj@%q?XP%fJugI{S_H*Xzc(zWksvjCRr^x^tO+y>{6=>_$YW%cickym=oCzMEl7qY?8#!|=@=BB+ zi0kGuv3|6x-?n`UWiPetuSB+bMCtE<#9c+=jeYI{w!T-UXOi!+0p5d3O4o+jkGaBqQ5V^EDM9S*j$g#ds2^oeA(0O zAZ&2(il^Ir12pK*hyHx%KZX8N=s$)2Q|MEnPlbLD1dmiggB?UFplyn8{agP5qRxZn z&(7~GDMv@JS9H!8LL6adcNPsn`doEq{t)s7yR@@(2<>eSN3W|t;%p1+7-f2&O-gpn2BlOOD5auWQ5B$+DziE#MzmtTDW2()tjUQnr5Oo^kF!^#&9{!~WtJif zIiZcyy9vitw-_5mE<@zmsnPwEA?h(%vc|hN;+(8jgYS)5Ek$W)EY@n-B(PkHe1zZ_ zcB|WBzbFM@$O4#bOG z5HBkHbvHr0$Z1EMQEoFOfOrwqM&k`>eQ7?ZmC8$|Xdz}WYO{2WA+F|FqU$SCC?wI5 zMNrhxO__YQT=9@gBl0F)u_jJbJR130eh}2esMnBOxVGP?7EHF> zIGKF~CsAM63;W8-#Yl|{ofVCc8NTOtYFQs&9n;clgMNYeHcV|~H1?8Vo{0praM2H> zIYtV9y%y>~7C;@y1+hgZ(R0~rV)IL&z|}&%Naza*^^ij6!r!7L)`nE0nvFMMzRd~d z+Xy}6Gm>M5zhS-&-@+UxUToOQKdgls;jeIa3={b0w6q%_&)sQW7n_-kn6JX?eXQ;+ z5q`M0hCqIghzu#NlJCtz%U%&G(j!M|G>1WoD_CDt=sv`i>yFb$nKlkY^H<%VbTXe3 zc%DnK?bp72`~39Na~_L7J(Ap+knYH%6)fva=@{luF6q}d2s!wPMvCu|Kyy@3gdF`mz!TC43K~@76@fP z_zHx_=5sFV8eSyW0y};;-3H(y0Ir7R-ahsASMvLdEGvQe2MpAK_LbcZsjuT|vfA-i zjJTRfBM#ZheQ?pnCq}J9R)F-itc$pZvRhXtfWnZc#cu@*mu%697YnyEy9mbjGFOP( z1);qmD=FLoYYlT!ui&R11!ZWh0IR`9+wkK#)D~X>)`Q zWMZ96V~%Bod<8ofV(ug#kVnPkPV>PZFgoUfv-Uh=SZ?+n+@D6zTremJSDsLBvANBp zK1-zt{bo{jE(yig)vB7uC)u79`{Yh8hte;n2d_3U9-D`?6`=)J09OX;}p_C*+ zGx}dbspBA&axICxCOg>%kJS|Y0gZCqzDj%vQLBL-mWyVKwJPUV{OxNMFMV zQ?}Jdo0;tPiW(zcV^En&tsdH(ZqzHoWLhXJObgwSP)J`S)?NGZpcTCQLIdxV)YXhcDt1l{l(el z$(F;zkO#ZYG^XEw84;aY0C(qToTOS1I~=`1>}L+_%X7wFFkDfeq2oTub9CNa~Ho-vvRUDfOt=%6=0_N4w!=#|={6exSR3QaY;lwK#lIP34PiU`EpnW;99k$R04G z*#U`m6nc0M&R-SAZ?WNOnFpnRKz)&)T5##|zxexGph(?L{>c{XW%+OX_7=E?&+@xl zph(?EzJ>=y>b^I{TYXy~1?9F;VELYh>LF+93(RXQr+B!7AnG0~NNNes7cVwWi=Ez% zx?@}rJGmWZ%!^_(+tDYCt7E5BA(k2&_^md_8&ItT3)!f-BZMB3x1QPM7)jl&_vpe# zQi}B-x^VjAJeg@DPsU%>p4Q2{$KZJ`bZ=LVamc^og;^+Yk)8s|IT&6G!vXggwm4{E z(2}49LmP9CF+gAFUIm*Zz$V48$x+zkG;Fd4HVK4bi1-qQSHSQZ7`_0*JuqC?o@ObA z1~ki*c71Z~Bp4`zCV?a3x2M&xApH1yj6|P>?jxjy?mmh!4x2A~VXi=oCqPpGtsH3K zK=EDZz6XZCZW`lo3n<@=UYKVUs`DR*hKWZj5Dvi=lm`ORBHl_)A zSd{=qh)~g#CM{wny(lRTs@VHNKGeQ+cGI5a9idxJ-1>*J#Vm6`;jb zfQs!Vt*-K8IQQ3PGdyfOX-S!?0dVb8Ls}J&mLJQX@hJqF@ zZrW4+ci@)h_Qmp{pch~}c=glvjO^n*Q2@QRL+9;Ks~w5~$lnfav_~j_2%qf{>L7wI z1IEytC0mv^0@Iaxb?UtU`*uzC9tC<0aQoW;x4&ACf@QJE9mA$OT8)ed)5C#Jra7e> zw^8diM?}#{1x2w59VhuECEw|7T9njLh{cee5FA2`6!%&vCls61AvV2*ffzHvp{G8A zVG9S~1pr~dd@LUtdN#9ZPwz;6Q0S;biXryXWzd6P9n#Kt&JyNQ_+sU^s8?mJ+qT)fmFf(k`s{Lj&JgbFA3T*cLV%t-KbGyZ1#+%d@IZO;5%lC=jAyg1B&~- zhxe1GhI7Mz_-Wq$fT`mTRC>*0uVHzGpZH}<(6(u7j<|1W47wWfTY2zbJ=d0h`*Fu|B(2!+*bxRu`%RCXp1=M}`sp)1 z?aPF~IvOhe?IryDKhL<1v^*XcJAUF~)1eWKBIi0>-U{x`l|iduQvjt zI+Ges>b58Uf+~KS;Z$`UfC&JU!RU&iCaP^bbZ)`ux1kKjm)DwpF2ihFx*tPu^l1NC zia8=@=)=P^I+f5hLw7wx|6p<>e;YZ7w}#-+J_DeWz;F-Z&BHk67p6&PWy2^9MqvX2 zjA|JA!$BFH;Z7dy;Zn@P#c9%04G^QCkw1$P#QO}a1pwEjNtcU(8J*GT3Zd};d%?yB z8TxU&M*goJLA;F)9_0RQiz$tK-w!;$9t}DxU(Di zi{MaqLpKDv5aB2(h_?{qcrP5fGoTCK{yKC$pqtUikAm)7ASb6u$H3RTNetqhfNmso z;ml2kBfJCTnb0kSE_^i-bnnAS=w|3YO={$?g|C(Z8~OiP_l#%x7O~?SevN4Y(BaHp zh|1`^3+b{1)599|Py~3j74p z;d^#5^b6p7X2Vg2LZoJhbQO4HI9rEd2!7Vt@bwM>J06C1!Vnz!uUU=!F%UHhe#n`? zJPk}Zcemg$pFxnf!2AfYm!Ew&F;DC?LRsCOAoY7ODXrnx{Jy!fgNC~vIIeD2VJF-R z26#qV!}6W#E9XjJC}6m&gZz$H0K@NLXaNjuQeSz_0GRTQcOT%*Qoke3z%K2Z`w-x2 zV2=fOHw24ag2W&jMZ|*+K{ zhBAS@9^w}E&D{jw>=?jYV9x?}QQzDPu&c`e-3O*Oz|#SS6M7+dxa$!d*lQRP0z4az zpcR6Y_03%irziyi`NOUoV5?9V&Vyk%-=ARjYvFwN!0?l$&e)#+hqgD5YU+&MMl**Y zWFD9aAwZZx5fKm)IYCgAFd7+SM8!E#t7uU(h-N?qi;9XGBP!0|h>DnKXsNXotV6W6 z##AkBtp;mrYEi%4-(B}xcir{fKX2FCJ$>H2-*XPy%{k|N_kNz6NlbUzR}#mbz-+bW zgpC*m3`RWn^=jBNTaY;6D~W8%mIIc%;C#J|gg^YBV?Q`1!i-HY=m#^#!i>4#7;OVb zIyjDiV*)s`e)09X^(~w~98OaWr#TISe?s)SZ<3hO=GlU-L~s;>!wECO!8sM2JK$8Y zw;`Zqw!rl{IB$Y83oc#;juYR3;|rLX0yC??`5v4;Ff#yVru=skyTJM1ZBGT~eQ+*@ zKieztb59+d{Rj1}biMbQ6MHpnzlMlzotrw!8M}Q|9{iS*AHJ@kcj`>I=xBl3;5o(Q z1h~P3dz3A~Y-hF#KlhqqDuSVN5J7Df&cTICW{NyZrZ*pJ<#a@U?pd_mOH*>l%q>02 z&n=DiF4`WgDVeiWbnMJlZt24r&Bsbu9nt?n)B+e@FFLkN1(ET&rDSGDG!cgVVe(;a z=>%!>vAgt+=uI9)+aGC4o=Zf>iY7N73ygqBxXff2z6`^;FkAqU{|&+9gZQIUuyD>M zI6)aiN9LCLdlhZ}8HU3|$L_#6lW85%kuaHoKYB9{PEZTc28b>N7u?tpILY_m@&i{s zxOQ+$=ffp;!;is+8#@G%70t)a!HxX_Cs_`Wt0A%h&RyPotdg5s`Ur--;c^u)xdkS} z9mKOcqIbgZREVAf(aXTq$Su881T(^62AumixW>WxwJ;3#T?w<&;J&9r^csl%Z@94e z*kkwuT>>Y&2q*gvqK(|rU!!wNFT*`ozzG(^&=nX8%`II6ldEC!O1Lq&-?#8XuYyVG z5LE@kOW>UFw{Z#nHXg&JUcsLp1>8iq?;6puH_hDA)$m94meCRY0cM23j6xW0gW<~N zV!T*u*DpK3Mn5QSPZE zQ0fsmLXv-IVh*zv*oA06Fx z>^|L9$5A_RpZmN$sU?vMf~k_JY(v#mI#E$Ss<8^p9Cv)E>@MouCiZ zV%y0*dNu*XV^`CzFT!|D?fq`xWQBr|{&J)RN z1_nAkeBJ>AT^@Yim9%2NhR?go5>ym?-W8Q#j>6|%c?o(xeBPCnV1GqEYp%YF@~o;j1zoX!MI0sQitSe~0S3=_vN{+TJfpU#s-4RRD+2LrC+V#JhT2R^J(7kw zlSuD3QMKq$n@r}BCgs&g&-aH?sz<+Y^T!3w&27;z(&d z!Gxg49tS;_Y#hQ}yk4jI!5P#O_R}}tT`NFP?+`>$gJ3AY8Z1H3Tagl%6u}lCD_kWu z)NLf+RcXT{0BLX$AAK4D?Or~1DYDE})`5DBOmS74KTVFNiaH21u|GAh1K%P}ql!C- zg<=AAS}kr!96`;lB_xU2)Ty=jZgC2AaxGCQCQ}QZ;YP&q&BA8{PjN7aTj2Geae+J| z=7GjVJ`?vfXk1KU5~4ukVhR&~6f`b!n8f+ez&X7Y_p3Mx#4QMZpmCAcir*!k&@65x z&IEb0Y5$kJS#}9w0?3<9Ex}(Bk87S>LR>BOX)d^n`$rrn5#A->#X*wXyZA=&LCQS`N_C`ahPOMG9g^dkW4v;J0y;gFr%OcVh%7NtlD7i4T^uP9 zuOO6)Ig)8B@b|>yCD|*8;~@E`z6Bwo1j*#LgwMnwl7e2?3GFkfhk=siBW#-hC5zgm z_XSE8t7(@nX1DQxsnM5EZg^_i?u#x5DwoY})H&8cVfsjDT|$nQEGG>MGBJB$`SGl; z_hnsyaK=c~aKxADSMrSE&$RhFI54YJyUU$#T3~dIC4Q zKiZ|2`ed>eT2i~&O`P8?xbEP5W`Kp?P0MHRu`s*+@>vy@jdw!*HWK~ZUepW8xYr`?Q~jP>dd&ZP?BC^nPvNuzc0Q$ap*Q-72KX(#MAj&*dVdT-G$ zcl4xE`luYsPAAVjr4mzP{KgTW#J5vtST8#L-P0?9Ks&%eR5C^dOVs;qi(O}Xuaw$9miMwsUgJ;a=8U{#aLoBFSc$tlhxElw^azh|@$AG8Y zSjpWo;Nvu8aQ6*(JB1^1wMBSW4L<--gk`4xLN^ajKPchMW@FY;}ov3lXS)q&D{fHGsXqnO`YUr27m6( zPS0h=a_-hnpErgy?*2~iH^w!fWJU2Z5KPT=AgP^W($$d+h6oe96jtjw0qd=7?de)90rPq zVAGCa=p(2$ZGnnJpupQ#A99zoR)ig*Br`vV!dkeI`#0oz?A9Tsqq#uvz&BmW^(xoZ znhxcX%k@mt`CQLOx)Km#^a1KX)74z>v<-Ikme7@^VqMU1pqzLa;3A^F6w;41gT~Cjj*9#ufuS z2XLZ|44q2Yu>em1Oa}TG#xYHW@wOwM;#HlVhEByXFW8pt_l<;Y3yq6S-#elMYo8b^ms?B)ML6)>}ZmbzB7aax0ly<#yJj+lpJqhIkrhXb1JsEb#yNIN zy-yiGb8M7SmKb~-JM11yjME%j?4(zQILAJ_*DK>@!Ss z?6Q0BGp=?M`&8Y;Q{%3f(#lXw0 zrqdZioz~!EHZB#Clo)uq)kvM`)QSdRtPc@Zj)dtV*I`EbZ*$ddJfC?@gE+@GOGkmS zK1*0M5}6zE5%YEb6KoO*5+2%E%~h4a2s*C0xDu*|e3~mB<5t_^nrA$QciW)mn#Xv&ZK6c-nAm8; zN~9S$u`Ntel0oRVF(j24_;A};Nl^yz5Gb*g_u}T*VkBj~gy*&ZNp&xtWlNV7_U<(P zoR6TkixG(!!IX+?CDRZP%`TB-BiM1`6_Tko)Om5fWU>wOnRt<;zy^YTm4iYX)<@hh zn9E0P7Ec;P_?T&8`CvXD_9%-6C-MIudz6D9QS->4HSJBsJu==fX;U$28{ep>A80Tw z7laJcZxSy9TmtZOfV7*$H2|vtt^&xoN&JcO9J@CAAK^7g=3rJUh2-%Zi_QMWVv=Mg zrULYQj?D(x1u&zP(gfZxfW!k0vJydvI}wJ+&#|*7{bQMYpuzDoM5VM+8sMUtKF_f~ z!>}8MLR%^Mr03W#06qg44iLQG1AGfGtd(*Lyk`Nv1Q-bryng~5m1K5tTPd;NH3D=3 zxfcH4S-vCCmCXD}TrXWPhxk5bY4ZgaLE#Lm1)OaT}Na3MhU zP2vTBK>+6gWZfjL1UM1kQh+e`Z-7|%dCp2Q74RbldOgQ(5-(FA9jN^v=hB&r-vV-> zJ-OIE>U`@?UXXiA2eHOTas;%{+NrxN7kOjd(`$iQ?1Y2Z;xh^)&-)Rw>+FE%I@%@6 zY2JAEv}fpR#$E@dg)#~(&({#N>!QHpBdyVL&77DTWl()W3Kc-qapcBa%)IMhaQp>{ zQdGARIEbI3uob@(nV^{2N}Pe<6{RJ(2S~W0s)R5e`mBme@E4JBii#5AD#S-I<1X$U z5~rxSOQ0Y@F3DZ|R%D_}dY4!LwHPJIxZChOU71WsKp3u~Wc+DltgAekxD@erm7T-A zMvg!Jv}e-#KOsp|xaK-<9wzKVi$(VPEde2 zHSFmG1&F?3A37*N9He(YM#e&AdwZZ2-ZUb59USLDOz zC(=A4AM=l`wz(!BjklFZfWfcPwn8Gk1;s!? zAdAZ~fW1O6wbLU_H^p?Yla!{9Hl6MCx~y9OeQM;(dVkZEPR}*EaudkWtRZlc3u?%h#GGc~4lzmWZ!OfJKoTp?k~l;saZXxvT}hS! zFygG)szNZzjW1CL!De6o5@et^qch0?*e0IvzHRyWsj=aQ!a0eivN73$EV<*YB#jg-f@^b`{?uT(JdqRoudVZp-MJaf|q` z4bxQ)9JeUD{To8>s}lOlUE8I^J`e*R zFUO{aroe+V!nM~9qP-RHAdPVsF2ZKPV$Hm6>?m&~JV@hRd+a{zIG@9VG{If`61yH& zYnCceqhXoYPX?`{BNgL?{B^O$k+ptVU_1SYWxmqCoi@)hQ^{^;{9;+H46cMXY_XD7 zN#ALiqx7q!&9IazS(S_jmd}*%{Yhl>_>m(NF@gDxm8or(y^2Kjm8UYyyX#imt4&(Z z3<#+AB}cdf5TJyBFCgFuZ(a2(ehVst<7xG7@#tmhtuZaQUUr@}poP@S`r4Y_!h8K` z-OJN{LR^a%!aOSCw-6Bad=aySjIe$cCAXjvj-QCs;%Q^<62-RQZS0w%z!o1H>!B#4 z1#9C>5Mc`8v05tP75ebm_eAtUET1(_G^Ws-&p9vhDuk!%Vqx?UyMz6Q(0_>2!TKOf z8w%;*%o7omum-UCs*68nrjUKFhKe#W{e z%2X1caaM`YN(z(tP82$VVzMdFkmtc?1hm3dnfHG*knCy4w;yjoeO zMH5B{t(>JI{0O;(`TF_K@7Xlr92%{J)*>vW`IRsVg`d$_cd0|dX|$lb^d#Y88sjdl zTUbR4xXVxq*U~u2)DdAeEhL%l2`ov}WSSmWl6;dHdBWu_%yZPQg;QGs&(WiVzYj(a zMx66H=W`PC$E4%Je*uP`^IAbV316ZOgEM1MRZyQ-8jJbSCLgSdMNhO94Hm~@uiBOk zR-8bswM_vnZv1=0J=1e-C_ANOdY;7W-&m$r9w?PH)Un#A<@gAIc>tFI zq?h9l1Dp?V4M18selEb709OKJl;d9jTn%tt9ZL#x*#N}=l>lMx4uEqGl>YP20{9OW zjL(5_Ryn?GQ<-`kz{LPL<@oymeE`m`W7(PI_!NL~02cyem*X!03<5Y0Ak19}a3a8^ z0AcRm0I>&39cKrl6^ZA(0=-URHf0~TvU)k`I8RSuYBpZ+ zYGA#Jc6|l|<6$83dKJFUXBEpm{Tb$o@i9DAiKBuy(4(3OPgTk~;2Aw_=DMdbG1q`5 znNo-wjWTGiAxZF5C4U5(4ffMGOR7==6RCzm!jPQeNo^ zj=nmNU|o*mKpiPqAK~b(^V+AI>o{6R-lu0fF4cKf>&hI5>U^pjX9x6>ze=fRY*`G? zR^n@9)99x?mFxY8Ja~R?qV)eLLe}5GBJ|m!+7VA3FB4zbpGuw9L>%hJQM2=LN&S54 z)OSlOX5GN z4>tky7~?XrbN`egL<~ckEzdlV2V4OHwkpcY}Kx zxaWfVPjHLDJqFw``y99*fqOBySAzRraBHQ6G{bY#7gBVZal6SV#b1WZ+#|(aHl8v4c<*YPci#9DBxSQ2fkI~6Ein> z=X*TGFaUM{oB+`CDW(|UIe-&4c;5o=Sb!%0rUL};a)22l#I}TwIB#2x?0_3OL_ewe zoi$xZJ8mj4jO|Lr?=XHZYfQzK8P3YKrxMGIf66xZpza%tvb{aH`^Il%+8#`b;kfLJ z9zu%ocbTyVeZjB?m@x4dj6cXGOYyRKU^Bp0ffax)1*-vDFU32AU}Hy^fy~>$ei<0Z zPO#8M=ne7J>VwX4sh};sTTzA-+7Ip@G$Oj1j=c09UF9{KA#^*4V(*p?#xAF zU0NM^9VkT=@FLtZZJ291yJH58I2z&9JP~KOHt8rInVyy!UZ}f*kNKptIci#P?aVyu z`dOjcVzBSQ#`3~bV~W(vdE-(O?x?(ZaXo@N>Z!bmJ+Wg{F}$#z=rQVrysPmC^K-?NthB!P@EV*bDJQ{eu!p3q30{MVK(~#L)=@;_B>xinY-n-^y3kBQX}?r5tpRh) zSRnFABHsl{(6}V@U7!RFO7gtR`a(1@34fPUEW#%FBs0GUx=?H~do0j}dMC5aipC}p zlR3*p-bs{m%s*id26c|@4SO&i=U7JAgTbBSOocrd(h878jO@m&09iy%x7P}gMI7Hv zSixB+qI8qrf-GV}H~KBeB8GH(_9mog`+(9f@`C20JiwQGL93P%efcXjR(N9aR%#E( zDZUYZYo5wOd_(_+_AHcJ*g(k9Yk|VSqlvxA>Z`;x@H2@a#)GmGsf0}0SHRNXojAS0 z^GoAt3vOgwo1hQd*fDGPT<~mbqRlc7}9GN48{55)6_q? zJk@Cgah=!U`e-dyPa3`<#;kdbB)hIcCQ_3uTOkwkY_Kb8cW@vRqahQK4A&LNM5?D{ zKV;%mK4!hq2C~Aq(U@(TFL-|L0zUBw;-x6>z|BLV6=faJP3fvN%6*Ha@-hs+N%`-d&9^aB@#I5l8 zD`nyekWg0%lW-fMxhk3X1bBJ_#o=kh(^cMzTZ%-v%32ApuZI;J_%9jXs65Ppkc`?~ zGX|1TBQVn;8MSq0FGxm>FKC)Z9n(t91V~0rs+kSRsI51XAsIC|b1)>M7BQnC88v(} z4U$n?Yxaa>)G*C{kc`?AGaiyrlWb-|GHO?teIOY%By$iXqc-1+g=Eykni-Ic+C^q> zNJdQ{w4_8`)>cAGO57SvhM5D&2n{NffrOukc%%lB5!;BYmq(d&s7cz)tfVTdo9lns0&(Vy_#xdk;b~5=!VH>YG5?D{cZ-?MTyZH?xHEv(P6g z_%4$nj7y^3Wk(8wMwoY5w}lf&0`GDXgxC>!GV`=BY{WmAy;R5;VJEX*3&)OB&)S%c zpyCh&WYsVyK~Jq5K`#b9wK4?z2eRV-Lso4Rds+XD=}M2+8r|ondG*JIr(I?0pPrt? zti67vc*9eV*W}@VZDs9GJ@T+0vhP?_&B=+0d>@im9#)&Z!O~0(O-x2SNZvHe4S+g; zV-Sx9Di4>_=%J>-&@c?;Fys0aAka6vFtCXgZizJW-6gGmz{i04e8j0RX=Q7l z?*ojp9Z0^N6-t&()?w{_PSncARMn3F3jhWsS|Eog!kRPQpfMElb9zPmaqrk#S&fTS`?FIl384Xw2hBdNiUERqVl8%*wuIxF*HdMwfy=Gm^aFmB z0Ru~6;A^;tPjLO|jX&Vq$rrs-!J$Zb9Pg+E=Rb)v9h2bLpE%c1_|vjBDI|mHZOQJYX3&k6+1K!`UK&Ek?`EGKFs|72i(1GLI)>ONEm^qX&-i% z?H$m@plfManiEcFF&ibFG1dslBS62s4px<3L)$3!8Ew6$*O|~CnUczOY`AT;q^J_)E5wrWO3WPF97$Ov`nj!CQeBB<**=pL zK1OY~O_R)gj48D(mXtn5-?LRosvcv<+15&mGf?Mk*%IIr|I9X9G9v^1m#tV*lY#ZI zEgzKhqBh&64oZ75(`*X|OM21Y+A0Psd$Do0&*w-32;;gpfS}6Ik_pH%{*c0q=R{uip6Rh*rv_j$u&SzFaA!QNsFKfgQY7yJV${zAq#M*34 z8Nw~%OtX@QNH0OFCVmL>k{xFa9`bq#6i=B$gqNJfR`d|LA>xr%YsRMX(=<2CKqZi- zy)EV_N><>Zr$$k^f>@&qc1#^&G=#5FpB685Z63x>*S)h|G$**Hzr{W=esmC%P@@8Z zX1|T=I_pbXN6WUHh6*BJS-)m{>YyZHMx$~x*K9=Ch4F&LBitwGmFe)seq@BGi-8ZR zya`yRBONE)%y!1lmeonHY{amLlVI8C3(K4&ST-vD|1lYFjxP0iKMD)HK3ucigQuUy zJ!2=Cb#dHdb}zGjG54~aEY}5b58FNE`YP@PyN^>hk$cMS?bNU3_Sq?P9hQ5*P2xin zmt5(=r)?4yDRF#IZ+PYundE!~0N2`*(6TyS+MCHQE)xm|fkD^0Qs!9t6q) z`V-(_AU2CfJglEbB%G!6V$zO?3Y7#VW1dKueU#UQfa~%8tojrMHoQyJI5*%rqfvG zI731rEocQZNf=3EtYCKwIkbQkETwQfjkAI?BBanl-ZDLf2`$vOY`rj~#kV(M8)!8| z4M%PRt%eD{+#;2l7w*e1Qd@cBe0g_N2Y7M55qH#2c@up@$EaF(VQ%67h?Tky3}AAM zCuB{)=uUrV{fbBQjajBCK;m6T2Y~%OL)JUs9ieNGnFlBldW`Ihz~iuPlI)m(bXd=m zT^4xF)yZXt1?0JUy6l3$^M$TRc1qy$LO({&-=P^kT3PQuTKN{QtuSq8jvrgr05B><}s<4(g)1atIQ$p>bJmCf_6+! zqK@(j>izvPm(drqj+Jd)D))6)0iX0JW$5Fo3979AJlD=tpO5T$maM01qQ83L{eY3` zcPi3V)3eWVR54Ng{nItD?eZ7yyN$nlw*Ti0ITfi0tt@cJA>a@Md;$_3r0x2CQE(0yt$bq?SR`dg-+0n8NL2~)=aVT%5x>D&PNrmo#|VgP?r|Jc+! zfQ{Dmn#=>lX#J?^i~w~^r!gH9;Ew69n=T753v_1FVF6)*-f6lZK>w!OW;!Ln|E9lV z>Jwo7b!SWm1Vn%R8&h{DYPZf{I@*cbt-ooy)QKtA9Wx#3B$VraGo9~5KLT>#I#4eA(sZQ`vqpE=bfk{3M*qTeu@3!Sw*wlL zz1~9WTGkNZEj&L^Lu3;34=Z;FO=1UGsY9M5)?RDk5T3-TvU&{pG%~FXP9F{YF3&YJHPU(-2Cn@#5DWv8VPHQDtp9Hy2nGsZ;2I1>-k9L|(fb#E zD(kyEMOyn8j(l)DR7@{(e5Al=2#kips2oNQDW+?_fhjYtPw+goaXGybruD$d6=4uC z7XnT~zz-0x3Ibk1z~lb{;0!J<1k8efgAni)1QbBPJ_yKwfcpP#j|TyBAmBIzJb2oQ zS$aJR|I@}|uU_vXC5@lO#v@JLKVY;brRkK$aGEUv)tq zR~LC7#GED$b5m4X8ztkS!q5E)GL4&+&%CD2bFNC9If_24 z%d(b?aM~h1g2-nUtQ~P~F(a+9BOz^Z)72B4$!#&;sa88<+Y-K0GszykPX!I+_V|73Am;>oRJE$g8E%iOR!?+}v&a0TTI-CnC;X(wIc!;y^x4xJ8@$tkoJ(tH9LLslgX+Yl<(B#2{O+jTyDY$XW7#HWTjK@g_FwKo^l z2m6i`9?f0ICuHgQj(IK2QQkInjd+=BPcC{LC(0VaW4Vhv2X>zmZ4IxuNR~11NV7ZzHpVG z_Cbg%7D9f3klz17=0M2l|3ZQwWDkV=WH{#7+gbK}eGOr~)r+U|=bAe`dHQnhnNAd9*M(n(8k)+}l`ZHUlWX3J*U$zEGO)Sa>HaI1*n9Z=k zDUC%>gALA-SnRj3!C83%6=$0=Sabq&(zal*`~*;zmJgPl!2V%dGg!R{6=cg91m3W{ zwz-2d7on?cWrL-Qus_;X4pzNHO|(rPEPjc(YFjc`@e;k(R_*Gu6W<#?nD(cl-WzXQ zP)aI^xyiy+`jXg_EmU9(h~A=^<@EK9-=d|#YHd-2XC}1`36=igV{S z5Hs0NwB1f3RMpcOqSs-5w%rD8UVJ|Fnnv#&pO`mFjxc6fm?M#G(H}A2+n&fSyNS1` zpESFi{I>9qKnoC+IJup$yx%XepdFO4Cv*W}vUfkeE4LD7><{lkDhX5jSzY;+_;32h zbxo=y#`ODiO?iwv-XGVM^O&%(Kd5W^WBl*^6T3u@i2?oCuDlG~p8l{daR#BHpV2if z1OG$+*e+nPOYisYn%axI(jU_`xtH*He?V73FaF>DbcL{Y#`X~=%_5@F0_iQ5Sv0>u zTA}3^UUX`-Sv{MVmKrZtB|!c8AsxPt-fexrBfE2K_()i=J*lJgF+45rV8K?z$7C67 zj!0Orjok*kUSnLxbII!%QI^-daCdeGX1(FABcX*b8h1yt9!YbZ&ZSH@kQ`Z1vP9oy zncw2qPMc|&*}`gPJhZHC396({u!vh2mGn!NIV}N|pbk~q!l`8ZWBIHlcOr!h1jsvU3j+`!?<^_gAVA)^qA~fE*lE& zpau!24ADC1dxZ;z{5oh=!txO^7A5TllURXBGjpq92)ST>S55b<8~ z(i}1n`d-^EM-7A%G>_%ffiQx$SMD(oxluDJ_Z4tAYBj+0$IsDRcVZ<-6Gq&>$<*fS zV=yL}Hn=@nnIYODc`T3)q376+m`?Z-Z|X-(y=|yyo!(?_!$s@AHl1n19Mc^&9cv>T z)BkF^+=gDD+hsc3hF_q6Xu8mb{Y`htbgGT`oBkhDpA_Y3suy_Aux@t$I={B1V+JUF%Thm!P=zX3v z9k&xM>;Euav7^`M_L`2^@oV%ynl9S0@1Z4WZ8y{z5rtVw`diKs$VDF#bE}0r0=ZZK zxyU53#K)8NnIRefw?rTXiAtQ(3d-7JWak9lU+R`Q!U}^MU~{b7%yT0pnEi&Evi45Q zm&Ri1BiN=x#KRlF03QZ?81P)ca{?l8!&t0Jp#KC zY|~=Gjt$@9c5Ix3+tCQNX%4Pz0~p{kz-55%1HKRVKH&R+Qvjy`P63<(_yXVyfG+^P z0C*+fm4H_^e!#BW^a1;~uIIdO0gdvKF=AcUf(oR1TIcbSQUyhtY}kK{y`u`_#kpZ= zY_gc3$gjnHC5~`44v_j_X>0~B#$8^EeWH8m*f4!mKvozM96VEyFe9K+Qq_}$a zMs@}z+q-(h0er%ZLCuV(8r z&KDN7K)(JeT-E~l>L;Ai0{Oa2xS$2{b*8Yq1@iTwa7_#3>jYs=3*_r1;oKI;*VV$Z z7EUeWAK}WDkY`jp5dTu2(Hn(J3Vol^#6bMZe8%V(t}6^=Qp1H-7v&s=t4dWKt|9yq_+a5{o_5zuwf8ioXd;o9(ICo5r&yO)4?k@TX~84=UPd zG#Pqu#|+W?sCM`SP$(kznHeyL%YjP)8=~YBf~1wUY%s;>#aIm8k7_s)DhD3 zzEHeNALcn#r(p3mg06ZMT4|bG#~Su~gIm+cQ~wk?$y6{*uFvWqy!H7E{b7OZe|Y|B{gupIu0L#IC;9&JWIm+r3-~03N~3#(2uQn#P4@_gH-G^?4EQkMxq#;a zo~s{`U1&qS(CKBT+Hf!QU(5R1Fl^mX83;nM8|`Y}zI1OOUGm7}#ID|UYdPOjo z+6(K=>y6aIg5?~Lj8iRJuY_3Fl+V5VE6yS-1q{;j_fcY?KF`YF+R&#rn;!%c>r($yaP)M%b|_JJLa9-UX8$FD%xIqWzt@q#KO zjAcCRw;*u5cp%h~UPx~U(O}k54_UWDCPo&rM`LfQYmn8htM!Ix zM?xWMH13#sJ@T3BbSHYcVYefz(7T;}-a5aK*zP$EH%~lKG5s#_7co{LO2%=-VT!zD z!p`h8D{F{Z$@Kci(79~bc4VDoCKFYPh$N*<%sph51b*R;LkcCuOze3?DXC~heTL*oX0&4dLgq_q zTG2kp%t1*jb~CbiP+EeThKL7CN-*Cda|SC*&~ZrVU{MM7B=Xr{`CZgvWZGcaUCbZI z;=$^>=pdwOu<$N+FS2%UW-_V@$sR0C#{7uP9;`}6Peh6bi<7Ze5$GvBhx+v3V3l}b z>B?EYA4^X>xqiJ&f@AJ!ef4U~)n8x1Kh6LBi`|h9LXcg*zi{l^^gufMrFPY~?{?jO z|K*bCW2(94=(>2i5Q;#{4GT=$JIUonf79kp(D5iY?d|k=WK09~HQ>)(WBQ_#l4c~B zjCCHD4LPPgb)?J22-BuIuQi6brk!=2_!P!4XOHLGt>5AZwz(MW?6GQ5 z_b!XWo-fN?6p@&m!tiD9@q}e9i^mYF3A*J3-J~Y=H&)+nuO`;-mQw+2jsyyWg*vmEy~)9|0Bs z4EPoEH^2`q@eW~!cdGBN7&5>c0CNHQ|BBfHaBEnVWh9rQ;N8JD(0|2D&$+BBhKLmq z!T1&P9l+%P8#=susJ~+30Zs+D3?Kxb0=N+18i2H4F`1iJp!dTmZ;Qt(idX#KyGxJh zS1mKlwc6=>+7+OskIcy6WudO=!^XlSkxx0W8Hv7ZJfKR z3AbKX=qO0?9}WK!*f*uFt-i#Mte+XL?&hxFj#;JmbSz1tJr0Xd^W|fDX5@pg{87ic zB%ciKVJ)$rD6Te0iQ9~SaU1QZYF2=Cp`4K$m7;yn z&u*Tw2!FIcr8#F2aj7oIF|C`?!Mb9pkdLp2Pr%pk+F8|2>5cqb`>r4DQm1;{(Pwk7 zrjo|!!ng-hy~gNg!)~o_gJ6p`7RnH#GocGTuequnGr=~$xwsvD$u_gOq8+>1wz_#n zCF&oWxVfehgNI#MNhP`wc44KJSTXFvmOMuF!;5&2o776(uifU10GfZ0UfpSG>Vb#- zY2k#Cae{`>P+CliI>|t1g+N+9G$W|1nRH0$FFHIb6ywSNEI8NqLV{ zhs<%(h)3$@=84kKG}U%8ORr_!de8tBtl?M;Whri+X5M-CpKZc`9G}4P2^_QFm<7jcaJ&Y`^>AE&@My$O|M>lQ*y|PjU+a%f zRL|r2wyM#Ke8hdiLZ zauKlrvMR6JJ0tvW)sg<{=Gf`P48{@czRg(l?S8fDm!E|eZzUHLaj~v#spM){Gl_BX zbK|FLnNCuDX*>3`-kW=%?m`+h~Q_ZuBWSlxnt#t6}j^3ny|Wm*j{OThP1 zUpIRQcm}oEj1xpmQ9I3KLFi@mHgmcle2wakIZ_bzUUkNtC4f)zH*#Dj_e<^nEI_kW zH|0HKNVFQzc`UgmtnmLfurty=NP2-0Dc}txM#PqDU^oPRNJ>j4m zfqrjjH|-z5zyE3u28#qIN}l1h2(;S03iJg|?gM77FZ&{m#A&qZdUne?1YSA)6Y&Q@ zgF7NV&NpU?Myb?V>kIuG_%~Ia=GZnUNX;{6w81xbl$qBC1*!ARW7?n~)z2K=7Dczj zws_1nE|6^jl^KJ-Y+tA63u8Hm&G@i$6l$?KUK;zGI^3Kojs72%ng6%Y?{4)t$n=JxVLy{0SwCtjK{DRalxKk9#sKR2V)`S-}@2|@HJ-kmxPMx)vg zM=<=T$4AS#;e=d6EB8+5kN@*e(Vfr_>)w%W?|X3e{>!x==bbz|_kP;gcVoGKPNZc0 zJ@H-jiwHiSAI|3|e-wny%KNxs{XtJ@^eAbE=QQiGr<|@yb%ZjnZ>`Y>@Y1+*xP=?a zWG7wl3+f!<_r?^N))inEoWt+g@WeXzK#-kxj#$x1mhBm&E#kmWIcZ*3@i1nkXO(ry zfdG5h3gYLDS(eNLM0>(p9C1Unqu{{!RKZ*HrVV|Lu0cv5?Y;Kkb(CvoA~ldfQ2%^g zrkLc5neLTsjhf|~8b>0|+dy-iNTjzja@2obuX9~YBvewr)EKX)D)M|O@!kvzYZk93 zIv?|3!`IxWTYhiD&>N3(^V$g8Jiiw$n?=YCy@iWvoXOpu7|_9fp-R49*<98}yyN+& zXw58ik4Wk<#=|JgnUyrak45ilJmkok<=2xZC7Wwk!A+({wtks2ZbMhQ?|_-I-6Q;iIC4=4v8(nIz{8qbe5M`|OT4_K;$}ie z=RQk+I|NH2+66nRHfdIYa?B!iXm*MWY-$mGBwFfhFuHnDD@^cL<_+Slc&U4G@=o7l zl;vGEYQqFC@Y6%)S8BAjh~brMf$4*;#{Qn(QqRTrkvXp0>1jpM4u-WT88O?m>?}#D zoc5}upr^tb3ac{?cb=vujOx=fi$olZc8mCleQ-Xmy*_Dsy=bM}b%JoY30|g_+onUe^Qu8)6W~5+s6O<^$@MQ5^lkfOL@D z$Bh0gY2x((xfJb0A*}eNju2O6`uzdLAcaSSxJ(-H5gk>pJttt3RY^QQAn{fS{`ipP zL_9N~oMJGC7?I#~$UqmnY$^Lz5lJ}F89_g1Dg0LXR64TLa=>3jT2*Y7^R7tU7@dOE z69h|DYsH?jU2ZB87sbZ=e&`gS%reEk%gXDgZ|&4QvQ_My%Yh|d!H>hy;`H*9R|O9J zS|h@wIBiKgws1k{UUNU1m;tIfsFS;^jGUu^eFW^lJ3wLqNd$x{1L_IB`Ucc+Q0E>% zU?Bva@Yd|&t;>$60CI$n7*q(%W}S;5#EYAvH)nWs zu4oFilQ=IrK@J>s2-RmxotIE1i;wDr8ifhn$b1oTKVIafTtoW(PMfC9-t}D@J-vlj zX6g?KR9Pg?HmnsI5&J=Ic0J+0u|@E$?CP|*%mV5GZ3U+5Q~15@PI`al zL8^lG`g+~30-9p$I+k2D=#@pvZL2;DeUXn9i4$!m3}vQJ_l$0)*Z2!KSfGXb#c_n_ z%vP7<)v`&hhT_k~y2+P2A5$Z%?UMWr1!>AY(EV4$SNSWI$kI@Y;xcJ9of*U&x@^mi zBuO;-IbnTPuS@EvSdm|n{AXhak>8?<aywd&|Je}D;b@yogQ#ZO#?EYNI$h4rAVuhvXjS@_&S+|; zzlfTG6Ur;=I<<&y!`xDjT#y(^d+d6&X-B=_F>#xYwbjzYIw`2NVk6~GT$qA46;k24 z`zYedfPks8YWfw|RZXEWl1k#6$SI@ImR>Q^d&SJilGS=;`uBvkz^S8KZKGnrmhKV% zMUJUgG(EzSxcl;lMV}y6{D@0%WF;^j5TsQ81{)d$K}JmHP^7W=3t(=pts^z{ zK+tvwnj)KYo}iy?>VUiw=={jgAjfOs{u{N}XQ%yUrdtBY^2cF_Q|@d20cQK6@1Vb;LBgF@BLu6DxJ{*3L72noj zq-|iQ{Z*(pv%Dif+t$wXs~{??ErL`eGp!hlYRzIt)WxHQ%|DAh5EmiqSIZ7-p^;OW zIuWPiWkQY6A}_L{B5wQ3o1$rm*(~@QnZIhE((|+nuG=g(C5igVX&}#KdXa@k11vWu z!8AM13B{dTB3k@4Bo!->8ClU}=W3xz3kM07s6~2s=SE^Sef6Yyo6sZi#`IU62Z$MT zr5J-YsgL3_X=^)MsUf3|1A+CT7sWIQS37%&x&C6-^2Qm+P6MjJ=ob2Af5jAw6v3aO z*z}K`A;hb6n|kvg1XjYPqtw;RKe%4%y68x{UzR=b4qct;T`%Dz`;(B@=||mJ?Ub}5 zM&JutHGL?vs>8%}3;irzBFQBNS*dU_L)=xuKRiaN68$l)H*18v%XJ4mhrT|^I|fCA zalvZWXn&tcAs_LbE(z5u4fPXI9+~09qJfp4_2vXcU@#zEt=Y13QYIFK9m|+AU%Ep3cxCREcr2RA*Ar<}!gnQH7TT3tt=Xy#(Lf^AmMZPI4j* z`aeI2gemR4fWVeTOQ%G(ucK#)Q13O#R-lz&d=UjjynwiyP-c_7n(S7>$eZDce3(Y!pqLRO##C~@1ai;ET)BTWbTZ}YFcTku#l$L8Q$bQ%emiqclDU-ebwe%21>O`}`=ceY3cDf}ihW`1%Bt`?}*K?_&GmGOBeg}SR+ zmg#ROX)NANZiOr3A-FPbF%%4kD`QC35_zNRHhOwICTVk$K#;74u%Cnsp)NDK8rj5;N&htbLkk z>a60zj?LBbhBvDgRt#U!ye5830-Ki3+V_sE!SR-A3aJeHI~Nww=-Z?^s)qJ@L*uUk z-I*2CaBXEn^Usn#q7Pj*Xv?(tJ(chgYfc;NyhSXCUukIa6|pGMoPo+@Q(Z=-=|%Ad zNuh=U8gk<4URkZ=U7ZwSg}Onr&=}0xIHZ-(ABm5+;kk@qX@ptj&NzJUB z){LfCaK1G@FkXLaz|^Y6TPxYGYBo6wwQ(KKy|v%tK&sebk&fW5?;=FqlWdSY!N59GX%e^ zaq5~;;d-44;VOwb=ipImrmG#I9sMf3G4lxZz^Fq#j62%p%+r2$dicAw2=D(GB3iE( zCU`&V26e}%YW*tRpovunwB=GPbP1Z7yQyB<>*`Hv1?DqLs0P}K^%k{AsZ48Pb&-;_ z{2-xc!9)7uu<|iTe~{Zh z%}U+7J+YGc5*)W2N60e^DTrUwe~=%+k=Qh`axw;@o`Lf&L@7g50|1oiW!kpY*LVIV}fL|GkB{AC}5Gkl)XeykM=@HS?q#`MIKCQYl z(9$;)c}n6%hiIgTiqTEs%bCFu_nK4-1y$-pWGDtnzD_{_5tt$fCm7m0q_ujSpdERU06YL-nh)?x zfHv4L58Z~`F31f5UIln6;0VC+AKety?qwU>?Uo6SAJ(`|%>icsI7v{>nGfJ>24^HV z2fNRcPyqn*6FAes=>g7-;4B4a!?2rT*!^tdmc26XRKMqL&NlW& z$09bkIu-f0sOu{KhErZ0PI&`wJ+#2JtaPo@@ygyNpQQ%HvYby;Tei3u3au7hOe=5= zDB56%TrIJfzA|f!s$VVqRHsCETh@~E+G_Mu^AhB`%+*?T{z_lvX((F>nY7QH8Cr#O z*{3EBlCg3cg3aQE>7O!tot;J#;#=v$Pc0loXtK{U)@MaK3swsm8akk8@|7r@ghje5 z+~}L=;)XhH;xxH%lm`qstwzn_8|iBe&0*yx(HX+o&LyhTD8k!pb8|5Un~`M$lxMZH>;`KrC4V{zfA zRI2R$G|jAw#0Gy@*k*r5Rc4&a$!h5strV21_(+Clrws8neN~LkTM>-Jyz`b(1A4x{ zrnQ1<+ILsC0oNGe?c%3hOsX}R!ILuEB@iwvBW0PJR3tx&yOC!){RcLyAU{g1az;g> zCk+b(FJ-PE-nCRngwwg9^WeayGQ=Z^Bk-8+FyIy{dO|$k*&@qgp^nNACC$$T7fy-CJbVIkv3r=X=9Q=tznoxZJ8 z+j57Um5$73kAzsN;`4vI2 z^LSGrbLCBW^R#!J9!;L`ioAGfhyAE{s708_%FF{1my7)Khti8g*Gg=N$S;ySsCYKx zkgIspPH(YeqSwfqwQYv=Y6V-f?nM+9Nl(K-=47W743h=bWLKwSU?4**TA?N%E1aEG zPL|V_uruB*f*1Qhw$fg2XZ}^d0B&csMeqY~EV3@^Gqs=yRiXGh{Ya-7wT%i^YUpVZJW?tHh1vk0)?o>mehL18HWSgaepcm|NC$wO$t9Fqt8u=_!N9$p{ zjJGyg#3(CD>%VvlZ!?%5G*e#dmcM+h0uAvaE0Bt;wtlLWBDq@pKWF_>g`#at$VraiIAzd0<*Rjyj2#Vd))=*q0=TtzG^qPs_RK6cfC8~g=rqv}k5fs%VX z-|w}VOVb#H4-6dl!S_3>rk`FY@!tyHJ1H-up)wy+T_U&BYBRGle^4W}H-4zi^vb+R z4TwY}`3{Rd7yG8U(I+V^N!3BS#JseGUh9u^(7U(4w8TH0spw#K57{qz$XR66mVR?U z$-#gvU8(#LE&+}2os333mTtgBpb;7VfTWt#&D~E824N_3fqJwWk@SShB28l(Cp^mD zv$+>|tFQbi>ki|M__6y*u_vux&NsJvpX6|c=kbnPSR=gSzdqh)<;Gp*EH+lg;F;K& zZ(WUjQ%~4>PS-sdo=3QMMM5?<9A?|!`qM8ygn!({W0!9@Q1x?U@%O@ZrrWRatNv%UjOV&-3)p?j+?_UgI56(C23Hg4v1O z>ShWByS^vx=wqG-j<>O$E6j@fJzqC*s}#X$jA7&0wsHw<=GaT-%%j#DSt`6>9PdCo z*Q9O7zKhS_RGn=Omzg6~Ge%}d+`Aa#`L^RBi8#DM6x)`4p)ybG1muL<=Kwo72B6u2YJ(6r|r12 zPMe~+FYrfKmhmo+%Ut0V+7_!YOP&wW%Kw;mnf<-1Kgqv$uBF?TYr1f|Ryk3wk-@ zT=<4}Y$z^#!#pp9@shcxJpqT;jAA2c+*9q`dvg=?`H9h6o&;`>D(MkVU+0V_wrvIJ zRO?clbq5d z*0Zlueq*;r5S(Au+(kG<%t<9|+wsID?UY3xh5b&IGC&? zMq>Pkm)8h))dzg#%=r0+?^7oTob^-PTZZroykFgKzTp)|6o-!BhGeW#ZE<)UmWO7A zuvkk+IC7IS)eQXaf2|q9;cP{%QvGmv3oI{%)y!mhUErA{5lMwTaa2-n&UmkKTu{|e%Lpiu0(m~Ir#lN1hWlJs>7`_jf?0OLAtJO8gmyfIp=d=$Hw#tmra zuKK=(++O!mD(o_Xv&%Yl&A&aJdIY!Fuic|LMbn&^!ua588u6F^9LObb#Z*aI%g6Of zYwNxohQXsHgFh@@4d&SpDfhr(B0dj}&~l63Q8*iO7i)0_Usv@Fkv^pOubix^ zX6#t{*Ly#;w3cV7;IFpg&o|=HoY|8zcOP9KJyD;my3D{YpX$v=akes6+%O!^0n3YF zDKlBE4jDE$30JkQnxv<$^ZTXj`G6A2jT@DNQe46c+C{d)tSIKixAk{cq!D z#%NrdF6IFWXDWp={sTKUHPe0HX@KN1j$7W{xFfh`X)CTLj;D|13A0LBtmJZo2hKdD z(<79B$Cj7f+PW!+(fnws`47urkkmIcl6@eVh|lhs8C|aH2aRji#avI}w5D**O=4+N zGcWG1A0T;+FD`es#bdZ;DJyO`j^~8s?Pi5ASxX7OWacynm?xL7t0yTGQnm`u565%C z@`6|)e^{t^b@4gP_e{<*!=X@qxfbBNDEPNSnA6;M?Oek5bD;$8E>(Qmzk`n_wzi(k zVHCD5`7v2_Z%92un4GEIL|#dc=GT91)|{r%WTV(`XxugJ+!b?;^Yb#_?N?4>>KNI~ zf5$%iQS16sD!jH_682tCpiEFrw#o%zIvf~COWyP zzX=V@<3_v&r~D*m)x%iPx#$6=!g9M6;O9WMf~wb!sZfLvtC_{}I0&mw^pf5UkutfL z+PRFdW)arxKK8cd4f{Z&ebMeAQ5;iKvAjSQ4tiufMQ)O`WxRj+8ry#qw+Ocz!9}LX zNzRMO5!g*nkA@I=v8OTp%ax5#D7Ib9tk2`h(4abeVIy8Xq}Z9~sEQ{n@9FB+R%{L< z|52~S_bIFkFC4mULo$NXZNt@BK4eii98Y$K-fGN7QAWVS&HXKE7jb*k#w zJam1d)1;n%TTgmVan$W%exra&O_Tm=lvg4$m z8ax{;PoKs6J>UQBgyR73RL{tACmQ)l(|}H7OBpA9n;P-uSz&n&4b2G7Obq+b@_zh9 zqZMoS;D;Q!$?aUTInBlS{)y`NNiCzXQuFZB35KVc-)Bu|q?oQZDHv$E4+_2n#>R>|NfnUJB)$ zYXNq|F%^O3Ik7C5tdPStl5@6$OoL^{02nv8tvjYFV0o!53l=LRfulAVcb(z4d~xt= zZLP~x;VCaNU#4*IgK+sHow{+HNXqKLjQ>0FF;lck)hPCP8e_*Fmdqf&dx&&?YRDOX z;57c#zeeM~P#odrap?0n@B0+SofnMd**82Oa7S#oBg@BLf=2rRgR2P*(&I*+C1(iw z_CtGN*82VI{AFe%Tx7I+X1;2W?l$7JIB!xo-&vcX#aMT-zcqeWfXgq#+7U5M$Yvw%$LtpS*{+y_>9*r4?^n>*G5t>U$Y2Y4^^J$ z@@cY(M$>~K%`}ZaP}#`S{aeyT1m}fys?t9wbt`UhP50u9`UCeR{XGaQ0>c`CF6h8aF0V2MkF z&m3-Hp49uF@j%t~apmRb>e6^z91H_g7n%D`ayCuQj7>E@{m*=$Dtx?j`IH)&pnZYM z)5_1|(e(M|tE9$8l2S;IGhY8R_W5#~K2jdT<>>-td}MMe&JB;j;tw^H3vsqtr>oR3$o+UM+>W74&l#oORhO`*0+Qw39(%nobkj!e$XBr{Ta zj~*dnntSjA|El8^wI)aBFlJkN`=_Qb9(d!C{AlGNGXi&q4fo0NVe$G*X@x;&c``et zoqJ|ZX8v)KYCJ__vcHj`xjeeZe5ZX;k7BR?JU;Sc3bPr1cqG5q!CXmnPWdhK+w#6F zY;9eH?qt5m#4WM>2C6!T;xv>cL|76B*#H0i!0%D(;wcqUPBJ?=g>&eKCUxoD5+dZa zGv4YnujJpEM`~+Lh5^&e(L(I!p^;o}WINY0OizfTXTyE7-16wplqKj;RmtqYcJ8Y= znZrFs-rkfcrM+x$Xr}vwGLo9hek4|2+q8WJv`0LBA846x4*(! z+gYwj`q=du)%0KRgXZMObCDTe3+laJ)u*McC@CmAqMNbK#M8M?OxM8U*|x*0GlWH4 ztS;*q=GANEX~kE4xKYKp^yw&O`ltQQA^RIk?2kJeN^!T=^_0zU{R-{IWbx{+8>n(# zyVVoA`H&$#o{7LQtiR1lc)fts@GdZg?zL7M?=h2Okr`KT4jq})E8r)s7_b9Ul$GD@a(1+R-GxUwEbe6TAzj~;qK!k*&I00#hYpzZA(~sf?dS59p=nF zivG^R3~}rl4Ynf|E~{6p>*1|~K&o5XWtXWQ~fIL43f zI0yDoJTLAu`;9by){h4P9rOKc_#jUeK){;Ee=c#kG7QZxY~Gjdx^n!h(Z=K@Qo`&G z4es}57I4qz`{4thIkb&;m=m2myPn6<9K*Ez;XTgxv*mq;*2(aCUdEkdmXCa&X~3h0 zFiY^E)%XDLUu}OTIR7Wk2E34u;{hK9&Uy$lG1I^c2v_HQW34|vYr$N?bm5a`dCAIE zvD~a|5=|X&%mm$*G&{1Br`3QTgpZSLuQ;VsnErD7>k*zdH_jICevVgVo~j#{!WeB_ zs>6?euYwxz9l%C3z*iePd7L(LcIg!9X*vEGKjcX{Z={u(IBVg^K03p;jpcS{lm3*l zDf5`#c|X{nq|%`)umPpeqNV?{Mc<%BRlL*X_&=j@Ttz&N(|?4cz@xF2en0w+ZQvR6 zMP4>jCXS1r8GG}R^qW4!d4b^_=V5I*KY7RBtl?oB@LVR3J*ApDefxbSux!n#<3La0C(g{Wt6V zIz9+}e&c@V`D4*h$3I5o4h_EdZ+ms)anhs6Pqwii<=w~bH7M;*P`*>%wxlXt((TnT&incKn5PcoRsrya)*gqP;W1wKLV2<%rF zxf*Ns;A*V)AY$Nv`w1=FEpM5e28AC459Q*u13NuF4jfh3m+^XMEulHv8xiVPj7$&L zIr`y?j?EJ%o%x$n9{bH~bKVNZqLY&-x`FT7x7CiF$oICPZ!PwDcSt9=~dGM+^UA^$-PeX z2#{*iy`I6SK_+c`E8GY(N2S+G>F3!;oUND8&p#27S1)rl4;gVWM)YdFXT&Kx4VXS7 zZQGc;z+7BWQ}WO$6Bn?ftZ&*!`$2PW8u>c0|r z(S3nx^IE>md^^FmYa4Y_!rG*b_mFY}@mjPDZG?Cup^oBM*?JLW^rZ4pl~ zZa`M$9w1MQZKr3oNTDLIl%mBbufRL^;3&Vm_O|m-QNB_1wvQ4WBfe}1oyx+z?)%0iSB%2dXiWWOarXPSZ(LiCS;s1MvbwOQ-c?b zc6IOe_wW_$&HaD9(;ngilSjT)n01E9Bduj6oMG}vYnVKe5oKlPVk&5mcLiQ=M_$bN zM!c3JRiPV>dfBy-_%KP~o@^Z9T=yp`njvi$d{dw<_djYP!`#m8rcg9YTge@>5L=tg#aDd+$DbM!rizODscQ2y`F&W(FZ5$5vccUO}{pH3ep>y1s_?A!9Q z&m0w||6unXjq1I})=|Z8+nCIzSloT_?773K7t@FDtoD1cy0zkFpWCfIa|4svX`{a` zo#%gDhyS_|rGH&vx_@2mP&P!Z`0IL-#&_L~?&oW*w7(h7D}H8){x_rj*Ry{1Z^i>M z&D)0i(?HUsmcmYV+14lnQDcF-=N!{~WqD|*ShFFbEnPCI!T$fbDofbJ? zIa|WDvAvd?J_}vV-vRFlt}HW(McwUEhm|iC`s8qgkZuZp^~*km#q>Wl7NJ@+ghV$d z0^YJVRgy{98`EwIa)2r7QX?Kt%7iN*$WvB*1ly=GL|l(0>PudvbesAUwIqX6>zZ`H zR-c0RSR{``1cMy~+XQw<(wE9nDI-4uV}YT@hCf?d1&AC(_Y!10Z0N=H(rdd7P)=w1 zQ7Q6OGE8eHP5QPJC+aLSg{;i@lV&kKD_9PO?Vdoi^C5*QnPEP-)=9I;2Fwfsc0#}s z1T28bK#(Q`tRI^d#6Zxoo+hc(Gm$IV@=w+>ZB89(G67qE>JWX01BnA2N|p;2%21$Dp6-hanU)X^>!v0+%?XYOfYJ5$zL zR+DflN+Cwq3E7+PM;05~5nnSbu9|;{n9G#5RvgJV2UE!SvIQ>YYr?v&hziqGp*mPp zH;A#uZWCK*GrXC%rprA3>af)IJorP?ZB&I`Dk?f3<9y(@@;y0x`hzIV7Ac8L+| z?BwR;PrJmu7M_!9PMhjJL`5d7ZwdG;7%PuUFYl&Ml@cskg6BkH7!Shc>z#Nw>jZt4-0zTB+Ps1 z6hUgyVC%&Spt?>|i7jGk^0swqn;#rf{wmv?Q86Z6q(>8e*tJuuxn<>7xy9P}q88<^ zFjZ7)f~KJs4JFczAQmOb?#|D4j$~LG>aYl_Jn%5RxInl2b7w_mgo{{lV1S-Sp4Wf! zMh3y#YsoxgebduW6!kxQM&c!o&?n>>w2S}wCz!*^=3T;`!NsykT&6;Ftts4(h6(Y#tqNg3hYoCZ1m7|K&rRHV>!Eja-S(Ws^Ao#^ zU!AYe2^Xo$d-$WPstmPRdA4Ikl%U-fQ{M}ruvmb6e#f>bF}oe6n7X&|6Y#6GwA%|0cD^rY$5v?JX<@s&xo>YcJT$RbfTE&ewJm2>a^ zm`-Dgh3Oni-`H(IU47fc<4bpJd=^tG%xEF)Mwh113e$zF@mGdRfA`loJ6(ThDEcVJ zm3ZSRk^-;W!ejxCUB$#YL-7;2QAAi#hLs~vJrSV#S?hV)N{?;v=L}J?O5ZxnYJ^%~ zDYoWZAL_9LbPG)XfP(?>&M}qvW;>&0mb@5r1Q`y}VL0x~cggm!?0 zgX#W^)EenYn4Mm*Mk5K<;zf95B(j{Xg$r{%sR;=VNv;(Fm1u+XI`>`ldoeb;gOQ2y2Nq6U%VktnR-ZeSTufzZ!=6>-N-*;j4?Zo?^-o5BRH z=1ahBSgy>-9C^1(4{pQgKDko34S$2%uuz{uNcx{K<0j`YDW$vvWSs=FP}2s)Mt9{V zm_GT1yKNIppWK+MK|T6fp-uY)!PX;=etr`1MQJDl7gZ!^!na+=1ZR3$gtm5r^|lDT z?*i+|6#9}cCeo(qCKjr!u70!djI>kE8R@m|UZ|CqFK5Vr71mtN*!22!M=zKGSRGjO z>*kILFlDe(uJ=71Hn zOC56`L1H{ckn1nUWmJJ-YrwjbBTADrrWWs&Mpi`L)`}%2N?)M(^O%PF6sDMrWhI)Dz{>+F@))m^|~5~wZkGlFi+Dx zrc{YsGEBR;-q3(6@U1)C--Rt^oOhCVc39?&Jf84;Ou%ltns9H98#T1}Ol6SVI&y-J zLA~)EXPaV)AmxWHQpKpZ=;JPC#WHV|{K|B+t}XttQL_l zqgauU-1X#lW1Hhow@8GU(2zs9$S+t_aMrAlnA>W5PpH(l%4U#+)k= z>7hvdyl)X_lcao=8Z(T>q%4Em#IEKxlM@+h%e21;uIU!H+;~&?Zg*?MnNX2%#Ty-A zw~>7c2Rc$18lhTWU}`)2Chwc5{BBf4?y$i5ywedEn6jqInuH;k#`O_XrA^yOFfPxp zW5?JU`U9p~o5CBdP&u#_Z?uwZuevlDgV}@WgRQ;lLJ#-NpmNHP9go0RU??l8bSbdY zU^2T@;i=hLbS;?EnSHV;@^7@5))2G}f@T3$2FL=SaxhrFRd0W*Vu zoe;1D0SjeFiTLa&Rbfnzwdk=fYthuaeX`R9`()W_5TOU;0pE;>5HK7>G($us*bG#I zfuLll1`mM?P}M@OwI~;Y)pEd~0JXe*mX|n2W7eXFbKoz|h7xz&*A;CnK|S;kfz{K6 zk9+79`KgKg&VNo!vr|Q*d(&LULW|6+c)?UG_4U$uu#RI(y_gTI<5*iS z1c}GtwQE{!a}xJ1dKSN${-O(VxrhDTqFaksDeh=l|K z6096=uOL)jqWgS6@tJxj{Xw~Ry&fQEf?UN9+rkgq4s?GGK->6`0YDP~*?WVW!Kc%K z&IbR{dVV4o5=n`PmOA$KDl6303-ZoLdwO}HcGbK|zx{e&N6X|rYB$)G$$JqgiQDOi z!F&>(Ew$~n%2d>4eeom&&Lz#19ksI=GdYQ=@mU~;ihUh3%GB;{=oO;J~eYlyn+!CYz4_S0tsA9(f(e5%=%Hp92eyV8EWwj#@b z6@s0aRH4?@e~pMPxp(l`x_}mPgJD<%4sA}~fi`b45Lae8f~^u)9$uLx!CsLiF`eHj zvfwo;g!dQ~Le<0*GHxphW=ENvO{E;E-62=xR!a=tT%5jjn~un>!@1~t&&>h{j0?S8 zZnS~$n=e=d4qQ(IAsqy9zMy0j6C4U*auDW~hrVZQ3`7|aV?g-ifM5hd2?&EAJm(80 zAXI?x8w6tzt{Z}I7KF?0;@g9vz@zsdvkNlnd^8U-<9v@IRJ94JIto?Ufbb3t!bT7d zfpC;B@CiQf(;PwylaW?7*N$_Stz*%3LE6Ucmw6uLXzLeJbtTnU_*C6qzgp; z@etqs4T}1YFPsNT4XD6M`z^@3Yi>{ZuIPJJdv{HB_Ox%#`dNw6Y2Ww%`_Ogxn>+l! z5Ay#$q#gf?VgLKM{=X0A|2{mO-mJX4CV=G|*Y`@7w&td!uZ+&nU0&fy4SE!#a1(`d?K% zdwTH2-8Ejet3Umo?h`Bg>yp*|>r#GZeR;a?_SwH0>#wF3_>7N7Z(IH8uw@ABJT=%S zcVTb7gdJ!D2jCqVgmWNl0%86R?4VM}oK^s@CwL9Py9xv;5Z(=Um!xmq1UVbnp-1@# zc`xkDi}~n#`?UiHe(nag4!VGSFa+-7A0%bKP64(C4#Iu@;qw6xzdyc$j`40g9Fdg} zbBOQdmmdxS3Iuf!j><#q8Hjzw$NBZOLq-BB-w8eJrw(AsQ1K&oDDes)=1{=Vhk%&y z5$L8rjR6VaBmC{S4@d*Q4iBg!1UwYLjzat1@lO*!5FUdt&u^9&;PZgT^1Ik&D6t$o z6jLZs39x49V2}9w?H3?4K2irrD<6UL>!$`Fi-7!u&i>PhpMe&fa0WgDcn#R7`6l2~ zA;SWXsup1Uvkd{8>U>|$``ajxC+8H5ccwgRe=@z8@v;)AJ^%=_B|h6w_OW_ zY7jg?P~r#o!KeP)P=9b-vHUq z7Yaep0pSG*FM)zJl~F0`5Vi@z&Vv}Ys}%77Dmj=Bq&bjFApQap1C_9$f~Hj<)`575 zFZO~c58($Oya7ZCh$JX;Iv2tnfh+{^F>EszZM6BmA8d1K@mLT(7hPws6{z%s=)JAh zZA^1pZB4A4vvlzt?{yyHzjx=Nzdq9oEHY^JcBypG5jp-l4+H}cNFWG-AP$0&E(kkn zwfrKB(;YnA#eb_A1rFBMdv7=wrK7hgFTcdvNE?J&5CTE);R~xkxCz2x5T1i@O$&q? z5PU#5BX6`Xa9eHdv)BBLoYB6+eAJkqQ2)b zhJfG*!aNApKn(hWunL4;sA`=Hl$;BNsX}I-4%B-MNoJAhQ)bub{1mA3)|kWIpCVi6-E^1i}Ic_8|N)1mPSAM<6y)3GfEU z%<>De2ps$>1a&Frl~_OYhPt{T#+<*w{3g8sB+MKTaX>=&hz}s|0BPVOw*Z;q7YUg` z{ywn0j{#o^_-AO&SAFolvj5idX<1H$6Bzz;GU$Y?+vzlp$S1Mgr8TUZ4dE_jfz$;aIRbA|#6A?CO*WX8c$ z$&capf;xVT8Pu@?kbXY08IW0iaBhk93MlQX2zcB8lT@z8r+p@sYN<0(dguXf$_{*m42;e$z8 z708OrhfEw~4k{XPnl{zWJ;7OBOaBF?85Jmk>8TYz)>SK>nzuo5x?qDMTkV`NQ%^q_ z9t^L;gW+{}FuV>AhC%RP7z7W7FgF_nCGQFp!9(CeH&}12I2VG|a=@SfwY&{BoCkNy z&KbiDX8N$=&4o16;?;r=-Jc78RWed2@~ZWPIm}iI{&8pN1wZg6)f$@)x-IDFIEGjl z4<4D1;wQ`@AJ2hxrK$NQgtC(GU_okihuVPW=4O<3vO1J#WA5 zg>pYOOx@OT+;>Z7@X5RDI*wtt^da$6=TOaooO^Nbgt+zLdG8(HKI;5BGnI2s8y*l( zwN!eq9AoJmx}lnL@78|9!RhzjlJ!0t(S>OWUC!|}NoZ@OLW2HS!a$IN;AVNh^u1$7 z17QwQ+jFAH&)|!Yyd>!g<4ROrt_wBMN~JHcm$6qUxHdk0f{uZU*;@T$^lJ|jXY=sIl3l|y6c}U%E1wXKS&oGaIA6S&q zW24{)7Nrc0D6vVS^XcJHD<;j(XE+7ecg%xHft?211ojfl4D1%zp(wqUoox~{_)7Q^ zd?mc`rXVi=K2?LE(Gt=o!^%5Kb-Jzb*}_RcCP#|ua$C+p*GX6=zt92G=FZNWc1u# z#X>s0)Z^TKbk1Z)gzH>{rPT?`GPI+L?->ortL`$$EtgRl`(9^s%z@hz+-tzC=%~^M z?(g7k^prs!0pvI!DX)>HvWGfcUrU?HtCQtl%b6-(BHsy>i14^6(zN=$P?GI?p-{yG zf;Z3H7kJ;bUEshjv$Vx1F!(%rTZzmHm7rz-?gqHkdm*MJnBjY&Ve|pP6g4n;FynSa zRqjm3L=-H{#TIBsW71znp_o2w;r26oknOp9kPo_6BSv~wBX;b%Ksa=}kBkFb1X~Ez zqh^EkhU!IR+X<)2dj(Cf+@)bHcd=BtZPburiD~(V9kIo*K=ki)M;%S(&aWtZj!;CS zp(q!fMRp1hXY2W7AZjG-44{8Pk&7~$u6<1XtGgbXb<3oE+s@(-{U{Lg($e2JY{kkU)?>zZ|Tp7#WL^Uk>_ zCWzZ@t`NVT_XfVTv9C9O1&eFIg#IyN`*XJvAJ|E}m5a`JRAWD}pdAtd!?Rj$#;v>imC0YE zfItK#3POyER8fdhjY>63#Vm-RsHmuEaG|c?ib^r5iKW(G1xqbes%f;9TC0h*HMMB( z>)g3_=FZ%?bN_heBj0)Q1sqS#Iq&{zNAZ9rXold z*N;DGU)Q~G+~=M##5ui(eu_Rr_m``*Tl5?iB-s}@Tp?vW-;qx66Ng~&o%Q!}?);l{ zkh@I~xYV#jm@LIUp?;<5vru_)&G@4b<7%oV+%oMFVuxrY^yRw!@GlX4Uz=_T=}Pz( zxm~=xuCLec0{Ad&8VahXd?L(NP9KXh-dlRwsBkt&gUh+OYNBLzT`Lcp%sOg|wvc*D z+OT^KYn*GOq2=N6>I8|TZg&^vO!FN^d1Sn9* zuuvNEnl?#TD~0A17p;FmB3CqwoMOD{jFI|}CO*@ZiP3c@t9@3R&PMl6@89F}Q=)n& z_IEmyhd852`_-FV4~Q?e*QSgm?AQD&UR!s)8W~E7g=V^O&TwVCO4fmUYxqvIMTz5Z zzs2mZzeS=Y3GOZdF@u#r%m@X9-&e=NY&fm}NH)2f#t?^?A-1&^x+-%|42O|NC$XMt z+4c>P%LyYf+3LlvnW^RFm|1$0El26giB86LI+n?sdciEWRILOVlBJi>7j*`lh$cCg zDU(#u&-~iCSD~kCLVMIz=;=DGJz^vDbd7Ci%_HUSh{silndpg~M$G~jF12jb=U4p! z>q$^tl#Zf)HI&&JltD7?Y6uXA$Xk2qiLCWtOSHPXWE8!}u*tS&h}{?S0)5u>uPw-h zbRSbu`XIz!YuaX;W(kvlU&d|)LRFf9ns3m^FRB=)s5>+lT}t;M6{;`g5axG#u^be> z4ctS>Ap3cFr^>H^cL*P55XpCONSUk@uvMbCKj#oK={KxT6#_4)kSdL>^79;UJn6Lk zpdfi?HDSx_0{3YZwT79ZS*6JE7W9$&*=N;%C{SKeK4yk-wtQPVX)~?ZTEJ(HCmz#O z+UwmX+mY)G`{b9~v771VZJ~Vpcya}Hi~frIY&-rv<4;>=58^qSXsc9E1zeU+2g$~$ zJ@@i&=Adhv5L4lba%(V09ox)J(8z*$KseRI=cQyqzv1K1 zZEE-1z8Y*ob1zR9`j*A5$#F8F-8uhyFn9ILz=Lm7X zVTNdJkN*YAzqYSIE@TuS3Yd7?M=pwBQLxnXbN&-p+&j!i2T-TQ$#r`MAc3<%xUv=W z6aNUyTEi~TvBFJKN<@9XnEG}6>+^W@nOA_0m<=UW3=7=_1kaZuS$MF z|J3)zJ-4aANdYG5-I)18VRs=H8_U=Jpd2D0Qeg4Ip8eISdz+ zWV%-XWeQN(yZvtig#{EMP$WQc0mZ;W?=hZ}@8jY3m|i(2Ns)cY@!BckX!kJzp)WdK zciA!I3{jF~;mz0t3DbQ>;CG5P&$dEJK1KcBwor=hi(R38BT4Em7{>iB32|%wZ*$K~ z1{u0XhmS-BLIY-xldeR0OFDcq>DwU#yU)r7>;+T}ks6}+>&{Au?(Kqr8tQR%t>bg~ zNddWreq8s`9^l-hj2TH@s0{-_MW=xHoU&YZSiG{k_yTf<>5`K@<;v1_CZ^itGv6k119fN-6t+ocV)THdm0B(X-scTSV_#RZT%~pA zMz957srb2TO5OeuG^n3+6YXKne9$^EwrJ-o!UTEGLH%@HxKfF@K*fv7ls*^e*~6cS z4Z&vJfN#1n@k6Kar}|Oz`2qB|%nh~;5n+PLCwR6V<(w&{ltcGrlVozQI1Rto$Z}3l zPUtP~K%Jo+*CseVa>gsudlz;f&rp|ZS4#5g4pw8Y>dy;Hm6OL}7V2JD$YbI6wTCPr zW6}3@4=w1i$aL-RlGr+(06)r0*B!85aaJiqdnFyv3y-aSVBZRA32JXvIq3ysulkz( zsPhHH;|~EIj&aPj^`yE=z?OQFTL_Q?iU4)>q`{PQ+*nu$nxt<=h8miYI0*6Y_y7qY z`zu7D7yz#e;dLRrz5}oC!0S8k`VPF-K`Ouo;eR5aWsD=Qt|z4?rsFuFA?PM}+hMo{hLh8ADn1|%Xz!2ntaIv?mUK;H%QuYukM^c6r)O2@Us({5AlfX~>} z)VvJ;Dw9aw%pp}UQ`A=!KJC!C`X2>H24+%Q6&M+qNjVe@S;Q>eQ3XjBb5r|^B3L!2 z&!>W&0$Vc`EVluD(G|#Aklcpwsi!ErthxMvzJz1yd5U;h(lN~>MY=4ZO8v&3@9uBM z-ZT6t|Dqk8Nja+}E0RZeziRv)4enMMVzObYb4ia+L&P2}?tRel3iRQ(>nrew4R=7q zyw<(di)x7L2lKKj_iittA*mntNMCDZ^`Q3AB(2oo+t#4eLx~Jn}+*zQP z{0elE&45CFs^%cz@De%dy4{M|yj|n_+z4pa_>QyC^btCm?p+kTVDHq~4D2Qe-+i3t z_cs_1U+4M02eUAwG%%R4%o;AewEFFX%qqlG@rIV-62t;a#6WaBOkW<`s3T>nB)xB~NibUlgod^;}DK zSet}&&$R0J_lQ`-7chJ2Y3zT6#GbH@#P^_GL_15Al#Uob&36v!U+w)8zq(@sz6)Vy z<~~6!H;g)KTJaZH8$>~^{uh}4h^9#q7uZ-4Ug}fN_|d$JNBR~Vj+QH<1f>k@Q^Wt) zCRuVCwKw}Ts#FY^1%TJ3@cIXM{ev;w3D%+Y)EmN5esDcKQn;Q^drj#Sf}zA~+7e+c zpY@vh*!q`CQm0e-j`D88^!>(J0 zeH-z{xX*mH9s2@yT<;WqDq$ZXl(E*9r3h8YZsXrs=4;+dPg>Po^PH_+^W^g3cy~2G z22cUSfTe&EKs_KIPy;9jGz`b5@>Vc8!50aAl#2wCVIj5={;C`B$e-bndUzbXH8mb6 zAs0_%R{jrezfitAUaN6nIi1P*^}Q0mT87oiO}STWojYExIhU zlc7qQ73bdAG}pPEbJTi(pR2j7XhYS0#lt={NC~I(fF>!SFJXlSZmBwOQl`_zq+u_>CT3O?~~_|jyoT43T*cPmjLVe9om;m1lR|F8TLBD=BA$+ z&nY3+Lg?Zim##VNmVNNWt6xtGWMU+IzD zvnnvOM2j|icsy<>8tZrr3P#jYQ> z;D4Rs9=|l!?;^Sf`Tt}2y%JRPP8w8v-(gQjlSl+?LHe#v&}o&NoUx_R|xQ|C7zZyHi;CJ-LaZou9& zowa@A3UV7qP%&W7EACNfHp}JDKXK zLj>zdz-TpAUU31HI%6SHMmdd01ltOU%fDOp9Gho9EdP82zsK-Se#J}di}6$c;1IbR z1(=77?6jrR^})_nt@OUgb5=qt@l?}l+{5jwaq}fp&?hCCpghK}lpx)Qcs>t7a-7~u zz6Zv&Xep+KlC9lt;dzS~=rDszzKe&EE=ogf(Lc6Tz&5?O!{=|qP5Jd|)O*?-8&XLV@b+u6 zEE{gTUU2mRcB=hr?r{Njsi9butPC7TD!^~B2XM~{=uhZLqRm4D24%TM>#8Zrxq$o8 zLgppj*G5=E1amLoCE%);UypHstKQ5GbguDRbDMzO#(Jum;A$wEUyitCAc{69sa@P; z9McgEetL}H=w$S6$1l!mB~~!2+_#xos)={4sO#v(l`wzMu5hInEvg5XuF|67dT{Al zUsU!QT)GO2N?(IZS8Y+{Yb4qJm-(|^WEyRX_OWA*6Qi6ckdC4PO=TjwGN?L!H9pob zO*l~*Tb=v@m1O_Pxm4*dkc<)wn4iFrH?!_)Z&*ioD4cllqC5|!Iyw}$+_2eMGZc1# zg%$>Re5w<0+I24JU_}NlUH_FR+k+X4I)r(uKQ3JENfVT2pueTx(f;XJ>qL1ndW%RX zw!!99cu>7!5^}HcGv@?8sXVz+mtgm)JIe7rL+gYyZ(1)DQ~dx!!F0Y~JvBvp#uYs{ zrwRX);~Vof74|iQE==K5U$b@z!}tN^DaX`7_L92JcIdkMo$Y@LT(9Id=CW}CEV@CX z$-kUp3bp4Rt$Yh!;$vsItdrG?u0N*FkfuWw7Xe1HLg+RkA(^OZ_0) z;(@T#L(C5SWvkFLSyj@94y7kpA1k6&Gy8~#7$>d8ib*Ok^u43M2*K=7RY9NsA@=W9 zZciwQ6{coG3{hNz$#QIyw|jj^>;qOG51$j436jO*@(W(*>U~((117x%4ZanO1GYR@ zLTW_=xq|gbU212giW-P9#?$hhBe)pTOR(ad-X4ER_mK;qx@g>|N*^LW=JmZzn`W!= z5Zfa+W6v5F$FU^HuT^VDc5ydVRq&`$C@06L9>Y8V|*vy=Orex@z#|tu4+** zs#YHv*b@pde` z?yg`U!}O6hoRm?+a9Fol1m2uB!~yYacMlKR^&Yhyw|poIz#cZOfwF+mhB#;tZHe&a zmY^z4oz^Lq2_q5BxJSk%*7?fBk(d+emv*IdlayHl=1TsSbgy(6F-<(jy{ikm&UoLs zT#6r$c&4qCOz+Mc#$S`5-3O|D{?^ZewKc<=)q(iiNVgrf@JC|ztKV7Tym=iMKac{N ztNr{OdyY%rCjRtF9qeL&?G@pi{Uh#PLC6zWDm$Rum zd(}qQ+@jg#xMtd3aKR$fUFf9+N1j1_WjqNnZnB`DoOr_cyKvGFxM3|4k%!2`jGshF zL+D}FbWz9H?g7{apTo(&yNN_QTHL9{+mQzqTt7-Z~^ee9Ok$uuu(G6s*iJ zWj#T#O&v~JD>j)4p2iVVddr_6OTm;ix7EKq`jzga1X;J8=W_;3SwD^ls;6!hmG=17 z(;sPHD1yeqH{+&1p0BA;o&*=4dFH~=gLGN2a=n!-y}Q3#U^${W@By7iG-YrtgX;r} zkLE$Ak7gQNr@{3aT(7}(6I?gJwO{(F^%KB50NQ1*O7t7zWJK*(Z<7=c7It9XnyTdL zYQIowlGQr4);R>|0eAogz}JA!0lxwE0e%Er0sJ$zR$f#ekP1~Ts_?5M4s8*Rv^^Q! zDA|j=0WtAN7XYrEaP92;Qp=Z?*hY9KUcr&Eck#Wx09VW z+80@~`PbBQ06U<<;IREU`0o9PZD5@zdNZonZV8aS0n)F4(w=kHAAnd35&0z8lU;_N zPXkuDX1fon2n{KRaNyqU#CsCG(hU4M{Zm_^f-(|^(;apYooqg4Jibx8NWmFNYScZl z`*deFVXm8`PJe#jbLJB3VMXFd>`l!(m&CnSh$Ay1e3i z)B?qXf#_rEQAPYfnsS8xV5%->MAy`_M)gV5$&nhZy@Ofs~A^fDr7s~T= zjV}6NP6MHteHeDgPn}{9wmPvNGY5>`OB%2Rv`Sr-D`>F12AO2P>|ENz?1;FeDR!)P zrg^3d7S-UF>%*-3T-k$jpCeA&3!RBQ^p2#>xYKZK-tJ{yU|thW>j{F%tnpJo;%YM_ zuEJn4v+G$~v}+xib%#d$zh!UH5bemi&jdcnv~5rzpl7AYTG8e4YqZ~pSumMVrTS5r z%y^j0TG)-%Z6EMI!^B!Yws6NH2%0l6r|DZE4TO0OyRkzS-dMCz^Uxv~i_BI34!I>A z54)Oi)HVllOAFetm%+<=zBF_+CSLsja!cE~FfSO{nrq@^P|XuK8rh6|V_YJtlwwC? zo6&DfC!9zrIfHjXw@plTcX#=|H7qn=?;_)1Ge(uNNsPa&ot8{hei~wjsnO{>`2mab2s6 zl$zV&JIl03-c*gfX*_QgKwZ1^0xrccS>9JotYH0NO|VRF=cYscC$c>%UGudoI8{=Q zD|TFw?-%&zv!Ch$T&UFIa-S-L$y%cXQ@>>7S!159Tp7?Fn~eVxYIrrhVB%4#k+@cL zgL~p0{Yu+hWr!^1s`?j8f@)4Zq<>yG$wTPAxQ*J&;uQC#G4ey|Csu-Tx-4!r;-xXj z7O$ksl2+qZ+LPp`dzpQ)tI;o^vOQgim!-VGgz2wYampzpycftYBglHe{4eSSIt)@u zv5->wTt#V!356oOZ8o+g%sclr{s35D?p9$Ml5pBud#4<#HX0H_@nsIW{D6wq5PJyq z$aLJc&O`EwGKiZQcQhfc4enhW%sQjZx!i;DN=V4-#?Rz?Ik*Mq$z$*FPbhRz0pEA2;cM>RcGMcv z=iD9bxL3yCxQE-3uT1;Q>UJ!__@lX{9evJm(%cPYv-%Cr9DW#sNfh#XC=9kq=-b0- zW8?|rdKhi2Z-fCo!EMa55J3L8sG<)7$WufTD4UIfvRT7=;j$i7aMFD35-6LMLD?+z zq)n+Hcg5d>z3}v+MScD&^dFm#$^2Is{DrG}ki*m<(IQWHS8OKgFGtLvuz?i#e#WGG z#hX|Ngz)EOYp*1wY`QlkXws3`#3eso+Osm?!J2nRPc17WKK-@4|34@5vaq+89AOWk z#J$k+l>TDVzuZ|Fh#ptCp1woary|kp^cLO0+ZB+*x+5~GkaHb*Eio0EdQad| z{Ssqb#d)+`!x$JQ`?gk zs;63_+Y=UQHdrRLr`%U#ElE7~AJ&;ep@}q`yk`utN8c>}dLH~2#@&GhVuByc+cRwU{SYh z7@ud~B>%h`v6)4-rC6x4n1}dpBum^Uy@>Ic{c0xcSQn+C6C`Wh+p3ZC4SVDltFia= z_nd`8xKqqkw)7#tQ|v!%m5|Nhro&;FJ`$C#`=5n45^+^~+7dJpa}|nd@gw0I;Uvo* ziQcID3HoJ})g=CRd*Xk_Ir8fxBtMYYm`4bHhE>k)5x-_|z3dwCZ8j`&_KuJX^w({( zJ^ljl!2C{;B8dE3bKX^1SMVJF)PbxM1!MNw!|No$xKanTZcZ?Eik)AV7mUB`@U6@4 zz^r$~)fIN&-Z%o>!VavzW2!r+1HZ$8b&Ja}g^p-<{+|B~-*6jyQ3~Tp?w($p!t^`0 zxfkg&9^fA8#kx!nxSC!x&3KL5+KZ>bG%~0VJB{18`&5{nrmwhLRj9ef-h-&K+H}s%#0fd->K;U z-^}Q_>ZjsdcV7;6jcJ&>BM0(W7o6BuzbEwHM4MZY3`&5_6hY_lCg^5H5P6B`v=LC+ zTe1}G5U(pLe}aexTkN?{P)EU*_JS(3je5nF7vZm3@C3WeK{R&^;BT46%~~EJ-pCe3 zODQF+t+0%wc10M~CoH_K7^9|CqIB=)ftyaW=wk?3hUe<0NCMn@RKCNM6wq=cs><5n zjJ~0$tOU;JP!(K)da1t!p19b)gcBfliB}btpvm@==Fe2*G}>9+8_CCmvOZ)crB)l` zN*XK~M*I(aw9ETIb+*uaw2QnDYNjrB5%25Q3(KVbV6v(G+mboPeTWW`pa*BCVGc?n z2PJ*}SB*E#2fT=S_8g)A5aTuDf5McZ;Mc4qVb~DuHS@G^#!%pE_9`o4h(%)jVdW0N zDK^AP8KROv36(k&@PC_G|77J2g*36ITRB7YCgvAb!B9{Gd`WmLDec_18iQqqH;07S zWf!`8IG7ldO>X3%j=>3^&WHGGqwbE~Cv{E}<~s+^eT75rr!2AVRU~?Io1g`ZzikSL z6z1n69vKYIO&%(U6i^fGUpce+0k;{&;OQLS9-WCi?>H`R_L6Qhzq4lWLvFJV>##0j zcTs~c%WxKCI$*BbkIZvihV?AOD{1iGV+gaZ;iJdnH)F1Yu3@X!cRaaDvs*FQn{^v` z(q7)Z@HTp-y}^B4hPY?kDnBU0+%q|xDLoK`%CpV#OdN^Yj6C3&&@D;Cm)#KfUfQap z)%ZV-8lm2t-952sm%Nk{YkQGepuG-*?|or_4g*tQpb`eIFYdtn3j=Yp-9`9o~z+lqV#dO8kr?cpL9zta79x}`$#UsIc`4>Pt(8Re%6Jc8I0VXF3e8- zE$-(kY!rQ-aLrKg5lWIMcZhI=_J?rAQ0NhAh;ZQ$^#~lEHx30Xh0yk_A?8xrPr|C9 zkfqe=!udn=jD(Gv8q1V{bPGu^A(xZNi6kbMR;`rOS4YFuTI&c z_EW^cjvjZ=o?<>P!(D~;J}14*dir(E3k4Pq*7)g;F!Np+cBAQg^9~vMt#O8EMi1*X z1fCEOcw%hPh!ki};$&?&M1|ug>lQ1Boa9Sdsv?LJcS$Ez;5kX_w0s4dldw*wbk({) z^WvM>o2-}~c0S`@YqqO0b@p?7m zw983U5*p$PbZ6}W?u!CqKlLf}djN@1IpUGYSfn=ZJKlSCKYT3#}78j70XGlEo4u z$2o;cKSk-WzKobGi*u=Gh|9Zk?GQn3=$;jY*kb%temVzp&tR8-kpofM**07&sfO{j zEv6M!!-})9S_w7G&ux=iaW(9ZY<{hN&l$hjl3S6_Spl|35p-|%QrspntGje5_FwyX zXJsq-Ir~Q&vK1Z7m}(1eB?hzZXd+!3>PnvAzJi=Z`4eoco#;F=fG;qNJ1_7M-|E@6 z1yV`}eXDhYlv7TTSS3-RySTl)g_EF z)en9?gBbllSq+poA1Gl!(E%kCC~h85z5+@HbsqF2Ih|WV>bDUT`X7Y(o^*Zm3EhZ` zOv&!^br~-UGo)xcdy)v{nViF0p%Ggq=OjK;M_H0{;vXWv7Ef;}?<3CDzvUiP`5&Q? zVVY8oP`3*gdNOlj19h)m=oV2LGC)$?GCK{uPqMZ}asjbGlIK2EjXh_$$Guh!b@`XL z$E)#c41YVP4k0sA(zT-&`aop5uFnF7fA!dZ#8X-->+x8zzuPkAOE7|!-x!%-$~5c8 zpf%2BbNd*y#zC4tteWB3(S0eKG20#aZjIMB zje1^K%nwSVuNAK4voBEo6z1{6F3^a=l|7UTRFkl*hjW3RC*0J-sHe=(HM`h@vlGz= z9cu<9+&-}f4wjC?;r62Wx(tug|0Oqji6pQl zm-kRf)C1O{o&XZP%v#sOY@$4{=JbR#(WY6ed+1HnYgSoLP!oNN&fhUZ-Zz4d(SISg zjNmhA|7m9{W_V@6h+Pg*sw5ay>{#qJ2vC{KY};}dr#+@w_nQmjE$_fo>WiIu%D{%` zZ`EfV3qVeU?`J->t#_fk73G*2`aF4KFKIKQ)S9nkjz^sVbz^#a(rWcoS4?}tYRv}M zKvHUgdY)4!-w9B{Zv76aF zwp=QHJlqB0<4{?WOE)P3=`D`JtdvA_7es*~iss&%Lzt{zD(}qkn`~gn&*%7F(wE3j z=8!KL(&X22i0kzA^5Z%F>kK6M z2Ymeu<<4%EUoiEKt}|kaAm)|&aYTv$B=@lp%*cI$KMn!PS$#g=8nw<29_Cv}EophE zWTVBolZQ(-xtvBG@{Dn(b3YGz#&p-&$V0C-?zJX)CiEuV(oVAk_a@xZZGt8xDe+po zg{I=g>#|);x2yzND4ypoDLEOqXv@njLXsy?A6J3z5r0f6>qENWh+6Jp>0?)@y_T39 z?n9ifB&j857-x`#w1|hXvmMj8`?~NuP3uJjY1k3QOHGLdnUfT#O|wvQ5+34z6EA70 zXh2NR$8bBV2@?!e-1F6b=kzS@$!gz2utl3Z6u6YVO6WJldcycam^>7k5u2`lD#>ms zt4D1RFK;QWN2ch{a1W2+UYTB))nmvC#&ig96B)EGM2m;;4C*H$>5xBz{!XOiBiblv z(QLj?8%-zrh>wBA(w3*-z+wp@F&|hgF~2x?uvkL=i35uzcDF;|HhL)~P@pi6kA%h2 zcG4B;-ro+3Wti@nVgf9d&;;RgXOhRaD?AfB$+6tIicf~cQnbo5wJWk8(#Lz9As%K| zY(MU$u~zsAsQ(MWdrSXPl;uHJM}ZW_vBTWc?wd)Op@~tjV6oIyDg0ou)Up(zuvqHm zE0C~QY9}kGuvqHWDSTnEgjRLS2c<9GoBF#iPhC z#D*1#g;LsU>QP~(H1IWa<=En(akv%GzHLEjP8(V$`G2%ylq^e~*@v2CjF-1{5oUoK ze17#tc@3bvdZY8k;A^WF@F~C!$o}j%&1}Gqtnbjf0L3!_a6SC#F?=R@j(-Dde`q^v zVNiSM!XR%({Y1B9EZ_OfV3W1cpH^Y|$^Y|dVDG1TGt(m7S=F=Tv#Mt~ zzXH;2Kp_wp0Dc5a1zZ7a0Q>{M0=5Dq0LN$lsiOf$BR=|g&+#1GX6o0r39YC^cAV{O z#3b*WrI-Y9mHXNNYQFK5)4!E`iuR*zMJxIgb*k-f1Y4GH2>rYLPx%)EZin1Q_}=69Dd@LRT>LjCvYDb%K!^EF?D zpS1S3%yT}X6w0^cMAdOWr3g4{@WBKr+HYGuGJED#b!Ye@YY&CzR1Hw-BJ4Opz)n;L z;b%m_whYAbnHcr?#lzUAaQ$=bQCradF?5r$NFrQ?H@I?k6WoJi=v{2>g3JxAm_L~4Tqo4JZWUeYn$1lWh}^b-0K{nY1NTwbi7 z=9Me8i`xvjDPC7pGc>vps3aYTdG^^w^Ey!9+dp!j5TGmQ%Wc2Af}!+6H^q*1AM5pb zNZTtUD+7jMU3lP%?}|R7x$jEvid?O}>WWcikD~svuX3O1g_ZQ0bGp($jq(O&E+UP# z1A2hQRVOO?B=7Vxvq6vEZ&aE0pNU>}4~hn=3s`od#dM;VX1viy!B=+9Gxn z?WJ}|f#A^hhjM}d?ERtM)U@fTnSgKrKW(~O3e$ToOz$OpLO!e0#^B@fnM-W3iePU^ z1L$-g+tT>Re1E`a4bi{q&N@);?J}Q!rpdZq!S_~-`)#Hq*hn6{S9%IH(Xqn4ONOr6D#M@8I;EQ$ ze$O^a`CVS2|2TDqJzYjogd~!B{8%t-%6g@V4*$n`x23`P6u|aS66rgvuUZVwQV(XF zg`%CJ-0A!3PV$jxS)4*UV`e=p!;@tpYoM?|X*(QVVp~WJJ4FmY-P`sLVw{P>*7*F1 z9O2x>*3gz&f2B-Ckyrq4(zfC{bH1MmKRp?+^t@eg1sZKnM$d=5h%kLvMn-)4h?IIT#)14*yg1Uu$ z=x_BKoi#r5>I#M-%(Tg=twtmp8=X6=G0CPkHs%ngoQ&r7vT(w>=_Ivt76)OQGZZ{Z zOR}ySqK#5dTNe!lj?!0IKN*6?et%fAVC{oKttCU$4C+B^@z6hCjNP+dt{0Mqn0Cfb z!o;BvJE)X{hv;_Z7s8C8AUpdLA!>*n#ds%-Q2IqN*`f(bu=U+5A}Fy(7^R|kCHV;J z2T`CBeS|qhl&&NmVP6*El=!8L^`aQ1|5DZ)5zB*E%JjFLcTopoLUqqwz60Thv>mQ} zxY30MhI48wEhA;FW;9~bK;&I@Uqq5hbOim6cw~zV*!Mrs57ZT!N_65u*l7zv+3%GZZpj6=nELt)x zHRl3eC&8zR>oHl9*wp-b+>NZ|sK}WBxOT#|6Ru0(x&*F|;TqQXE#~I7Z!s~S0-&jH zBp;Da`_uN70@umd95*DGkp`FKO=BLFe=w`JJP}ePsmSPx()q z;@IroEkN|M@U|%~ig$i6?vWwVxkrhUI)Y1VE8&<3oNwLf%Xt+l{sv8El_71AqwD0!9eu`>NcQ70%fQr*(u9m*JZ#k zJgEbQ3t;#-3{O;W1qnFKT1BcLrBO{(@OpWTnmmP|H*uCaK@rs(KTESxk=C1hQyrv8 z?2WsrDRX7jl{Mkl+c9;e`ItBMY-fKvCRD$}mc`yT&2TZ`bB> z8{2UTNDu9S?^0GJgq-pyr43k@;jE~^L(bv$V|^X#xvk#NDAo*hlmeFnA-u2c`7Pz+ zn7R4|+@tN}xrV9Si|xd_`VHLE?f!QSSnd}xp9y*iw^K%%V2I|Pml4kC4~yn|h^qOC z=pXHCS{98H*61Gz3wlsbXxDX5?GPjOqMxu%3PXDWpD>hL*ZJOw#9%36*7vw=S<(wDa#@r;F z+QV)G@iNwv(#88*m+L}wCHiSg?cqfbRG;Ud7C}(`tZ|8Rk}|k2xj_BQ6{X7RK-C(* zb0#Tq!<6NsIZD62q;Da$jOt2C)~35?T?xs$^>$`aVLA4taizJx*Y6>HiV&@&r!g)I zBU}5Zr%R7OR5*9A{0OYMD+cEtL7o;b99(z=y-K`saQ;%nAL3bq6-!YeV(Flifj=nm z9h9_T7D?g;=d|H|k^~H9^{m5he|N&14E^NU5*cyR8Um0EuxHnwJwe zi6^uT1;2l9rCfg%&jGdQ4g2r%-Y&mc`YF~NPYfseA*xeCPMtN3S>kvg@94s2GA`Ow zQhYw;W1HCH=gooi73AxdNW=13FEw!%WIHs0EfhyWCNr!dCJ+Qp=+s4N$it>%P9n&4 zk@xf`psej}^hPluXPPgGa7wM@%*via?)%z7|epfZ7|sUsfZp-*2M@|xH)whKoA4rYakQ@;W!Y!1wzLM0viaKK$r;x z2N3druonntfKUzuSViZ+D!Lz5(KOrtfKUd6%RqPmgwYR#4^Q~;JBWaA0|?nb*a3t? zK*%8LsyfFO)#2w*vT87VM+_ye2G`?YQL<~WO2=eMVGZ8n@M{q!f}=-(Cm|j=n#13m1Vpv~#rMc&`kuYbm)CVU#^Gr-x* zf1jrN1W3y;+`BKA7cKeu2G~6B>$}mR?HZP^V60`D3`ogW-o7f^wDDe^*y2mt>iZPkG4(kKR=BBgX|(4RA(=<+#Xh*-3`Q&S0#vr_`HUw#S;R1396;XJcbHEPVDB5A3O9K&#<**A z%@O`%j9gMZ6n7?r%Rr#fzaDA`*LxzysJCdZ8C!)ZQqF5XEb*=0Vm`~mz1=z&7Es?* zVH*Z5iA&{`WPrDTI@}eVTGB^q+`1LnXj&uxl;=OoNRXGvX2>seVQ%Vg$xo@UO~h|V z4ZIUtk0r33!s52Wx*xXnd!HD?Gw0*(G}}^7OgrsfTzmWqb>Wv5>|x_&+j0x5J!XaO zH;bRQd>C7)UvJ%O3H9do5&Kzx!OA(^eYzUaPbb^nSRiZD=U=72>CE;ZAtkLoEG|yX zOGBT}ny;B^!9rSEyTQV2hhD%~3t7gyua#IrWQq56(H68U9;UJce7DD-CwVfYrU{#w zKUr%n(`4Zr)sG~F-E&`qEcc;&_ZWJVqILioOYU0a@<#V-uWVeB^T~-S%*B zi#3z)JD!k<``59wPMD9xJ4)(u8c=@QR^yuWcdSxAIhgo~UofPb=q_U2+y>tQNH=}t znIwqpM=pnSQ-{~DgZ)THbm8lyw-I~oJDlg*eb49*TfZMXXuAmb3$Q))N6i66XfGTK z9w?B#^z`)JAixI&s=`-A**IOr0WbiGdo;0&zr+{b`1DcK3VVT<+bBaSjE_W#Jv2LO zi&~&y>J#ScR0^^_!Mj6|ADB#)wf~RMf|Nf<*Ia_8u348Ky$ANpsiyT z_qYJ+5h9&am5hv(W~eI?3`7>F`(VWqb)f#Se*`K@FiBY8wg{kCz@O%pUIf8pGV6OW zM&mc;_Fk0HbW*y~^hcRnif8=LIV*0p_+80p)BQ8O%#W8Vr>$_g3Wk4o{8Sx9d1Lun zG`a&v@^JTO*>6;rQ+HTCpOw+v80LxE-)jG^x{Y=xE&9HMlv-fNEDp9>KjV-C4SeS(d{!Fku@&#i8WcC-=QuEf`T3at zIkL@L+c67`J46ZmfD5c*VGtiAT!+=`T*ZSG4WO6)$lTfPmu|QNy+5#H5&f7&j#5a~ z2G+A5sY6|5gT)Q_jfR(^S`U;5R;l|z6;^l~^UhHy2Sp9e_@f*YH8|51xxO9WX#7Xs zE<-e$wn{_w68RBXu%l6inq@jFy{bQKlX>zOGZg{eqQo8Na>ofVpYB8DlO|%lyxR=< z-1a!@SBsA!cHPKF9{VsrhJmQt4Ci;L#p*j>!p*I4(;seTz)cg}OaW#;0dAzjjRSC_ z_QMS#+{lC*+u%k3Ja0zhKGf|G9})*2G9NzVISfpNfwjQ?6`pw;o++bL5xP@>AO(U8 z2+=^u1HxuMcxN;WEP#PmFi`p7CVYmBT19Ygf}6MC<_!4t=EI{-0b53gf#oo89|k^l z1vG912M$JwOgO{AEvsg&H#0nG^r0;4Tv4X-Bg-U%h zQsQ;xE@n$s3GzoM>yz~PO)xy;>Qv|>^jdA2E2$-S81c1aRZGb*(jZyXQq+fbnKp9I zsMvNmq>(^YxBZuE8=O7Wq)?+joU1XTpq@>F!#3r#b<$8^IdzpadWhA*4zVp5^6g+9 zw9OvE2D2C0q(kIj+80*jP|$e7Tk+4_x7sb@8Qo>C@zd<`ZrDqe*^9bolh8r-y6%c5 zNYC-*7dgcDl*iVW@SS^r^!UFg^$Khf?RV>)b&;uG^Je_FRGGC2t>^^hW*6UTxo7-idg z*IwQ`$2q_&z*+MSFZnes!Sb4Y@&h_wvsc1(i}r6tQgy;T$!{r>X8}H3vwusuq`s+0 zsE)b>FV}ql+#$hRlrig!&Jwn{+6OpGa_Pg zViX#CL}(7rrSnE0^$9fXNCZ_MN7EhWhvZt9w4yQ?akfud38RqQT-Ayjr36?fwNlHe z`>fHe0p*kh)~T(`4%Srb>{fa(`-)ZS2^J(C!s8{Y-Dd~ze;dc0VN%3%R*E*n!X1er zXy#ZrBV42IFAGMNkgJ_!VaT9m%p=JH_ksVj8lEjhCNh~eh155ZGS8MFCEuo=)h=*N zN-gg5tI|J%N*i=T&$zrp&zxM`M?;olrJ!Mj^XY@BIEQJa-r#v`REvS zzv-dbFyM)U+(PjSO&#{W|f6oF) zG%4?~|2j5z7Y0K;p$$Gv+Fcxse&A4c&+9-OaLn$Ob{Owgc9;M=?pBuT0hk0wbob11 z_)l97w?^UCXcGWMbgW9P95vjn%z#@Na4Q4enSpy`iglKG(sH*@Ph#`t*m2)Ug5CS{ z#7wHk%Akhj(+oCoE2fD(+a_p*VwJCLyjB#6{gJh(l|^FxW)-%EzGepqD_g;~V}Z!u zlQ~*6Lgq<+}z5;1nR#u_w!KC3>Nbl z9&Uxn1pzAZLx@2JxuV@yp=dk)miB}tm=_zb`J_LfG@$Q!=?Tr2cej3*U+?nE{b$uA zEAskWVld;Ph=2WbsNhNIL-aNYx@e$wC8^oF`vvhf>_3E|*H6<0 zKbKzB?y>|8{Brp()bTHMQ|i()ROmZzSLv+#-0fY_>(hV!X?|Tn$x2BV>};_^xN_2# z6?L;;Blg~SJhLu3V=KD!#-E9GNf`%FKirrYSr^%d^P# z_Ol_XQ8JF%X;{F$(oUYMp9;YZ;#|W92yXb_)nmD*Wj=Qe5(sXPCg`IfxB;2LEbe&$ z>YRS{9|HoudHS+AoVG@hI*{C`4OR#S;%2GK6;Y~!CfpqpA0#!zS9&G4w)a?w{>!>K zqJF@8fTnH^sNh0)yt|-+gKqj0v=N|*i=UuVfF>^aoR$WfxVcMVXSJ?q;S<UHQ5X z31D|sZ2n9DyQ_)LPn58`disA*_wI2qr}5i(bM7!R&3QUEO{b}*6FMoGshLVrGf6^` z5ke?Jh~_}%KpH{_W3+@&ZHS^7W>#ovtX-|O%+9v7yOy%CV>dkSzR&OXdj04%+#y9`P}#YeqV2Rx=Jc#M@UcG*x>mjduf|g>UU9k&=y(>Cl){0rk28q z#T8pnY494^2V3kg>y5O|7BL*~M)ts#Jk0iyUbOiQGeXgo%)Rhuiyoup@FPdFm}_c; z_`Zb8a!jzW@`~b_U}rKZD#HXjlgKDR0PIY%qqwvLHy^dvZbu<8t@b!3Z6{#Y zam+V6s!>Z)Z^|nCUKn-(?DcDlQ~KhfqG79?GvwJwdq=4DdPm42e#D*z>1>eZqyXUh z5Uwj=$XNYa>))_-$UJ#e7HM8<4QoeMhi}KdP#&-@Xm_p-&R4uO&mU0~;Fc-h!|ICJ z7!oTzVM>ddS%&=Dyeulc46)vvAC*&v`rEv*jrZEY#heW(U`UO5O`Bkxa8O-icqnnT z3twaIr?kj_g9Cv}fVBWM>74Z+(z)WLj&nxR9p|)#?g%aLPNBCtopk<;Kk58KtqAic z40#XIMSxn6E&*A{j?h7`6nYuRu7Knxko*O79ni~x4g(tQGCl!n3jH<6K7i~$kkzEN z$UlKp1}|I;Cjy)uq497hFObxMKp=uIvsogeHH zVVFQ`fc^^TbwCI12<`Gnp%(&u5$HIej{Z*t)`a?w(J5Cw-PKstnW^fids07LN1w*(Q z0z`dY53IK2tZN+Dn7qaMii6Bm=~jDSyNVSi3gM*o*e8f7%K}}K};w>AU z)W^OjZ`FHMKGylojTZIA(B{@?-sLy$f z{wNF=@g8F%)z@{pDjW??wr=T@IcyK9Uhl_&2xGHwi6~_XB2YM6lsN_WmC!|$)`^T% z{Q&jD7!CBlco4qR!1U&0Ebe)_k5& z>_qJzIz^St5Col3(h@R*!4Lkp{6@RUfeb8nx;e)H@i8eft^;T8~z)mUvaCO^Ecqp2(bumk;|k`lAuP;cBHRrUh%$0 zLNa5$p(GmWy!>s}a~Acyv9cXo9q}0=ZZ2DAwiBxZVFTag)TwzOW24UAelrc96K8mT1Uor;Z0~l+BaGHHLr2t~X7G#7)#o)s5ntgE)q= z#+cIX%cbB9k_r-+yvvYV;Wo~#lP)re+aUVxzPV!Ld^}=1HQ4A};XEFZtvF!ALn5gJaFhar7-Eg+8sJX1^0EKd8w=hL?f$fVRERJ3qAX(r8~9Zu5gIF2@T3hOur zZ_}_@GLADvEn#UnM2d#Of|@M*)Tdd8OR@Vj4A#z4Os@I|)`3z~uI37BPpRu8HIa2} z82v~S!BW^AOK6HM?>bI=TvQ`WbT}1U=k(>(mt^XvO`1Q1z3-C#?)~)rwJ9$~rhh)4 zHrw{;pS`nwtLYBe<^z0Z;(&-&a4rUHkc{-oNVl!Ox3&uYS3LwxGR!{Zjd$|CMgRicO!TZ(x0&+K--Q&e0ue zblIZW3}ysiyNS`AD8T=#2`rWtV6jlnq~1=)GkRry5{z4DzI?IGHL9=`s-i$C!B$8y zK2h9R;PQ=fLvd>XR7L59wG#YY$I6`~)J9IMVlQME&nUzAg7s#{An`Qh6Q3J&f(O4- ze}O%{J*#B*#siwB;_H3BtCN{#$Sv}+=jLf*TQx_ltHjfvK8ZLYUn}e`{jYnNc$10J z7rm1NH2MXl9sS+By!f;|_UU>!2A_Ab-cUBWwKHdWAvY>+oOJ51afxqZWSy}4vw3ry z=$SIvi{&FXvp25)Y-Z2syq(dEnXXxWYEK5+wIIkj{l5bO_mT50UaMEq*9x{a34Shp zd%kM=Q|G|>vgv|XrFR-8HUUpsz6p&cj)$BU{CCN8sJN(4QF=7L;DPm0TlT3NJ&U7- z3%XOZ=?k`-Us#Ay6^`Jjb!v~qmf5+y;MtxJ`&G;5i{7&lgCV){A~tm}e4VUUh#1++ zL3~iYD_&c$=R(NtHU|jd{#N!09?eM$z2Z3^q^T!OhQ;l^UgUJ6MO1BVj3?GjBP#a6W&#GQ$)N8C^s zcWps|S5f)o)-B~wZ}2MYJf>%1Hkr>@p#;kt%|oL?bkBMc98;ZTNFU8xBQ+Y8MDT7a z>P)^7gN-(Mk!JU!+D%B(0xq(`L?O)`hj{I!tebXv04a=@(oPAWoaaf}u>s^&{M>e* z0IDZ%UAt2=X#p>@ozP54r9vPI@O=BBS=k(T%&kqi|F%zqv)~uRq>OsA5F9C7nw?P zNU8#>kc^{2u7;o1fyPkr$XYaweiu%>ku>I6IPu0d(0=EIXu+uDFwb3!=?OTFiPXH- zwe~sPgB|hBTF*SvX1-ACnn%I#mWoL|Y-dHNp3@SlR;<(qRLyC?UQ!)0{Hf=*MBG!v z34|l3Tky{*b9e+XWLRI4T@`K+&FpbnsqxU&Re3&!6rDtUL`(1=vQ5HmqD502-m21c zvQntv6r|IZVxKd^c}pzL)vTw|AiZ~K=v3)4J+(CAx|E^!EDhNr%?FRr@GamN>RKB1 zuWX}!dZ}+m+|!l*%hDIDP9eb;)3eg^vod}wJ-?^XclBkbn5R3Zm$irorak5ilULHl z@G;I?c6#i&@^r`ljbA!;!yBLYqC{BoJ)=4BgVze+XKsTZ*NwdTGWnGLLaZdK^ZT^j z8W4?6h@wFhBm~jW#P7rdL?B`wJzq~5aUbocG zepyp9w`9fPFW+^ufBw?@g0A|!_pLfF!`|B)mV0)n=B;|X@MUjJ&z&!yinQ5Z4s8-r zmQ7~s4>Sw}GcAc5jxQgdIVO5~Y24#N^yq@I9rIh2j3)cEf7^5g?iZ3r)5p5zx2g~7 z3%q}Rsfw{&r`4oA^ff;%)v7mGR(BQnUMLuyJ2oyZQy#oD=r*l}c2vJAFg6_|2TK=g zE?E9fZx5gUn=Jz*UV9Gq#4|v0=hXYiY{AtFwh|iFmIq8z-9CPk>UlnC`KdZQZ(5Wfpx%y3H^Y@(jrpv?O!is74+$;RIeCDi4`#xxm@aiwN zjSW0o_E`S0E>E+zi+N)f`%U`Na32A@mD`*a)2Wuj>O10UO)MTav~34^T@G2!cC?necg7_W{7n{+Qmbt$-=P}Cr$_u zQ8Rm>evZ7jPMMrBWiAc-w}2eAh=y?yjJbccQy*UtkSR6m0}9ysz0mBI2YDO%b&il8Sj6vjWNvpqZL0nM|&n{a4=hR1AFjq9g``0E4yxV3A zeCvOrhwg~zPRw((PawE3t!)BvfSf7lFX~}y-6tEWoF{m-)r&UE=tuTOtt*&@{~?Qu&|PJH^f40Vpui@E*Id+^96T~G*OQkHj|HSU&pURFol&F z_W~`1LWX5t=v;wo`J6HI6G7$YdmAjC&q$W&@HpY3@|l7&*Pbyx8NJEg|E`;hNB<+J zjP2hR?Un?|S(9ANqLBJbw4#4nMD8cYvmC^-9uKblGv_-@{Fok|brYe*b!#M#YlZ9Rp~V6^Sa-9ag)N_}dKjX=yWiYIpEqJ34@AAx{r6EoXhD z%tmGgo>n|&PmSX2u_K%5i{zE;sU@5nc8?O0WuCy@e3i9dS;xJ{y@{JAsO%8u!-rIu z9#!9=I?U=;KA3UOZ<(w~65AK3|N6jj>U!hf?5XmQtBqi9HQaorGGgx1cK05({@3nv$_u)4 z%IuHlg03j$3M<_PS4o1aie4kX7gkCKJt2nUwDN)b)|s!tOsCRo-mG@lo^bu_`zuwS zbXSzbxbyx*`E~YG)L-5eZjY(yhHm!MxC=MqvCpZN(Uk;~(||~DrY(kY#_%6|YU_pe zc(4?-99}calrWGdNbilIGI{GO$ekohMMb!I=|HC7%)QHuxqMHuck+|`Lfl`1%Cw@b z71TOXZ4%U8ovrh8qpVNk#O$qIvyDxls z8-W|6Sq^W#VWJK!5TyV8o@_aa+a|1(FPcuKjxjC#8kC?cN@PMP1eNjDVzMiqY;l*T z!mdV^Ae}&Dstk_gV;S+e0fnA8VJuzlH2(w6A(N%QVW4X=Le7QG+3q z(w}^+Eq+EbqzQp_{av-^~kj%7*k1bN3 zw$3CU8~%8p6uj0f#uG1;2dxXp$6WtCRyy&IB3eIPd8N2kneF*W+=-hk#EtA%W^<0g zy6#8z)V|8PVdP8oaL;#}^`4E9`Xyo}EbUGzvvZ09w#dxz*SJA749=}Lt;@;Y{r_r) zA?a^;{DaL7POljWzYbUg5hG9t@inB2F4W>)y9g1--xKcour$Lip9zP^uU!w3y&M5}fHQyy zZ~_45-9ghpcIh zcOl?oKg2`_;jZ4!BEH#i*z4JZfC+->AmF!zjzs0rt7zw(lZbOL$AM2GOy1noax#9u z!p)WrkCfa-E4#uz>lnx1&{)`lhs}TDS&3y*#hJ~)mN-* zcK2pdue3qpo)LIZ@z^%EK5ZQPNKI!QvwJr)!}!=yTpuT2mL-Yl3{NK+kHx4OWaF>>I;dL7fPs54ghv6!&z4co!==}vn~ySu|py+xt%)1?B*fbJ$pEx zu^97oUHu@+S#v|D9K@;BHT>vyUmEia&#A(rfwr6%Qo#TpEuMRYPftLE^pII7$}dFz zW?Cv*SO^Bvb421o)B)25(Y$94my}0!>MqPV^-sDZU8sfhO~zF=pQ@r~xbMxVk=iQ9 z=d`_s1-9rGzvGbl7gDuA@bJ}2)v({Z)nw{p@(f;)me%9HPqu}fPzAA9P*`TEcEV&XD2)f!wu>34Q_UAAjCZhgu(ifewq z8hh$Ti?{bxwXsT)3fw07aDiq3Jrn4!fPM&w1ayFGb6?fSD!>AevrCff(_o1EB%g8e zB%f9omJh>L!LaG6-zYA_kn1pHTVK^0*Uv!4?%0j<6mr{Un6O8MUTs1XW`QuNZI%i5 zlMvaK8h}oOB&C@F*zVLrh?-pysLxJC*w+&v09=Z&?gTB}C@gxA}ksL}H#&aJj z{Mo4Z&`I(oY-)VOBn6dyJu)$*Lq3~*eOqF9hitMwG(PN{Y`ra}d|@7rY4Wt{tEh#P zwX*yApf2`v>|EjM@>x?*PlW5sQ#&2vz#MpHC-N#hIizEYk$Z*9M+B9K9O0AU+IZD!rinC-pJfSqx;bErVo>j@^#51hrC-#!D`N~Iv>fVr zc(jw5RiA;!s?4N%0UpwiqUy8oxVvV@`jp-15MgI{=m9rEuBP-_DNh1tIg z#_o2ENs{_l`eSq4&4T(F)#yo@87z%5`Rc(rS=fi_Qvdu|@?Zbmi%3Q!n9i`y*zrS@ z?>?XKdBa}W5{f@HlACh9n}2eHLbU)*>z^_4tDLgR4L6l@8e6Z(x@BJtuxe50U9kerYpd9H-Q2@g_Ck~nk1PRrLp z!b9X~g|h_P!dWKIlrXAz{a9CZg07>{#Rq&CZ%dM^1YC!`>Ydi;cE=uJN0nF@p+?*>&r_6ifCG8%9v;Guc8n2cu} zFpx$a+(LcOCsg-}8>_&d&Q~D1$<=AtRus11tA#s6f^&Aaw^E;08H-87oP!LCQ zu6TC~_8avd#Z6V%-OLyRT1?HNT{Z-WJ+l}a3^Xw_i~im)1;RU-1Owhe>Zk27a4l~A zj9CUR3$362ts%+c)z1t!AV;|coZ~2sU}jrElIuYw(~xU%=29;h*Ma>7DN=sG7TA{A zi8(J!Y)k6|lSo`!b|o5ovD^5SSWh9dZAK;Ls4%WgSczL8bZrYy!ItfjCTiJ6B&pEWVNN3Dz*ww*FEJkBNWjTl8?Nuklr9;yKO)fO|3UR^EybH}x zhIdol&)DUXtH!JCKUup6SC4Fa9c%a7=m`bZ2;0>^W2fI)qxc%ALg)^JZjWxl1L$&r zuB)Kl3f=x2JqvgLj6DQ5IRV}4UdMJpY}I+m^e(W+{L{wj@*~QQ+M>Dw>!d$HUiC~b z)Fx9!`P?Rl8px~mg`M57Y{eJT;T^tnnn%NkUFp@hH}n?@fei=YU5@*dCwRG|le==7 zFn3J}5DksYRW9OZR`@hin7oyvo*DkzaVyNetYck{k2Eu3Lz7xUI|Mllm=buGDN$|j~He4g}(u7yokQA&#;9voz-h+(nw!q{|Rpq4#;gjqKs!? zjwjG5Gw^D4fUYsaS*`gG_HZBv=`KG+i)>(o@ZGh}4fM181lZVNuHb{$Mjq{VexMeS z#F`|>34=uQO0cdfu641Np2Y5ur3*KXH1;8UmWZK66;R55&qRg7fan-u<8m(Jo3R)sD@w(+n$$&RG3Sm1X+kwbKc0|Mr^I>_u{Tb zL^5--ktt!=bNjK2R0-Bq(adW9mohR0!GtiRVhDl>XUL)@(QaV}r4^D8x5$GsCrLsh zCto^464=PimxV}T8bg1Ou8@Q`M*JXimrR>DK%6J>Zw!x>B}gVWhFy_vmV`A%UXfuW zi3OaEQlTWcfV)u^D2Xcw{UBW`i7bG)T)Jd>K?qSg$HpoMC(2@MQ3YXj5NE|HfapMi zEq;)bEuCcx802Ql!fjx~`arr`tSH|4m^Da_aom_jkQYI76EvwYjvt`84VpENS@EP8 zM5*lmsy)!X=}^`hI5YHztR#)Q$2dknR~SfFK8Eb0 z7{`my^n~UzXwqXG*Fduu1{{L{aWKF;#__Yt1N{Zw%@;kEdeQN#%UI(ywrAJe5}Zmv zgcCvZ3_(OaxCQ*9N@5-EbJ?ePp`BTN{W~Pvj72`{2 zW`2+uS;7e9GsMm%^so4_Vr&U>DW51N4$*$&M~D$ajQ@%F8k%MJA|}du{(mix;^LyJ zo+kagVc@{`9<>!*&@c5`;+h3QTsLk*-OJ(;Je>@Z4$IX<+31*C(=3qMD`0_jl)uHNOb#rj* zly7<2qtp5VuPdJEaee+<b%;c+^+sa#7lDho#`jk-Z!F8+dZ?cO&TL0?0N-tyPV}N4mo~rAl|M5q(Z| zS9iS;xl(ynce)V{9)H(eX+->?JgmFei1|bHqwZ!S%0qcgcd`-Zq57z6FK{@b+^IWT zfIgx!TUWQEr!Z$46D97Yeg_qs%+3S3t?0L^Il2P{gy+mvFeAh55IgIudJjJTh-!AM zJjWa~a(IxC%-jm;|IBK?4{#d)KUqRgl?v;s3dc_RE`H*uZ)s$mlxX7&bL!w9M*J{0 zTN+^t7!J*rt+7q9r!^s7sK2%*RuHQrA1L0~ki&iv^5ZtjaA1VO*EDlrK@W19Y1L?k z3mG#;74vJz1U$)NHrdE47d#G0%{trT0^QG?Zz*`^gE0 zLM^qQdd*NRcH@FmMT(fl1*ZyI6vDU<@CeWWcn44e?g7pLR*HT8p8_YX)EMIyu}c~0 zvN27JE2C^ME)%4BhEe>T=0wiRR2O~V7DhahMb)qWCC1a#M+&-rh)eF`Un=FXe zG;gD?o@$?6iLFsZSl3$U$fdwJ?Fo|s*?4>^8zdEpt)J|a7%PlykiFa1>?81FY~L~? zPz%@z*rh^5>;TjPb^@S%5!x4_eG%Fhp}l5D04~m7X`UWu46kr`MqGTzdilwl9T})i zsm-#jevb^FNGq&-<&=>qfkzdMO-LeYvB!bV0eU&me*-N9I=2~jNl=T{PXFtRmMkD& zQnawC%AiS#Vesil?QxQ*xe!m_EYXy)>iUQ_h%PwZ=VXKE0$Cq{qE2FI`&=mSze3gL zx)1(Wz*=#iCWQrS#auO;1#87zjf4ej#Ybui3)YH{G`TDVhcHP!$+|(zD53nuTPF7D zfy!QSLSely52DrXfC9YIT~fvDEA1C)1=y?A|oXly^TdzGb`atV(?MTKQ6&p4CI$Oc84O zP+`yaBt6kD9U5i66=thS=?Pi3Pko0qD|l&jr|nVe>QJqXih)G z877H}`f)KPq-Zu5ec8koE#P7|m?)yTT+DmZB+()+j$m>g5VfNBP`>oe77PrYXhqI4 ztsKZ}MSN?TKXRo2cSQ5v>fMg$BzYRUCDhW8Sj9gk#z1Bn=B;M66*cN_7nMQruM>tl z5}X}^4wLD<(ubx?5E~1%?6%_;DUVraLPl}sxYJW*ui=Sp?LhuG>Zz(ocf-!CBz5!C z+i{hYt^DQfu9f8f_;V{9DyjdUjK?ofpu}-FqE7B(a~lq+Q!KQh?ffS64RgpqdJ}e= z*?j;WHb0pYqIgX>lo=D1QHWNU1EU0m*i>Qi$_66QZCv$SHGpRN6D_ z60>(y_A|_{=4ny@^0Z)&+iaV-kGiHvuWzlokCqs|Rf49vG*lJ!6QKU*iXBBwr z&D91lF9inl2xw6`0?gmSDQ!Ff&P9lC%P^reuz(ksurpx+pJl?_hXs6!2^R(n_{;$G zd04=w1z=YRDPT5&`BONljUNCje&@Cs&FG`T&^BQ+c7f0XYM)}Jt1H25#C1Ex-B4HV zj-0;hKFJ@gd;vLZ^ZAueftP59@po=7b%cFBzw$}YaTYqx zOms{rVxi+2bi9HN*y-~tkA{w~pySX)$AlsVIxa)U6X=jZ+1XD0M!pS3$oqb&M(z>&huuvUo2pe5`tMBlKAcS5S%Q`nq z)T?}0*N2@X%7v`cc7zF>L&_{T6F7$yT3k)w98w+a5CG00DbbDr;2a`}_6;Dr@N(Np z0aOhfFS|99X2S6@t(kHkj+ecf$zi0ihW)dg1fAa)&8i^*>H9->T_J(Iw*#dfG;6UjPZrw@VOEz-70BzleB zY4X*z*`WqijZAM_E6N&&a+F279d>2|t(zaxj%#3S<-51LHqig$Csa5zFmZfLg<~FV z7e8>+w`!&rcz=l@851?fTp^m(eSmMXDCv9wipG#`z1# zs7`7$e=FIglGM&mC&R?8;4de`#2w|&)xyMeGRCpV_WS@yRSvLj)zT`NcVX|qYm64c zi_}uaS-G<3?3iIlLchrl9}a#b`^=u^#+oFRvHjfw7D6av$(*H1W$QUST|4K%Vs^*5 zNri&^IcdtibN4dH^X9k*vd@Q}nh@;<(e4RR#e`@fh=L|W0uUVz0MY&lks3r<6QUIp zq9PD2n-FD9h$imz^@OMnMEw(@%@d+UAYx32Qb06s;!c_gkqSiI3DHszbxgdc{0UL! zglOVU=O;vaK-4-R+AtxScu%ntqBIcwIB}<=6Cw?W$|gjsLGaC%Swm?mqDrzNlf$nu(S_w6;#X)(IH= znyIDlL$Nzj)`b`^K1oDp^@saEW45pyuzD=(zn^#EDhHLJh{8=6bI1g9__!Hm> zI0{$*_z92*=mu;B{0G1Rb^+1>cL2eFGl1oQR{$p95Mb`fpVL0GW6u7?5RkRP9WCq* zC25v`LDp;f*eQ7OJb^NVt#ND@l#uVSAjR@*ih@(j~s)AP$ z?bbj_<;{quHBfHzRz!O>ko|e{qA3m3Q@qX59(klCJYh5=kMgTwfh4Jg8zc>tuv)#YDad`!i-xb^wPk5#Xn|`5m|vtGVM=8x@4NYpv>Wf za*uU(yHj;gEbP)T2IiMR4(4k3$oIErmf;`}V-abrB8Rx`PHN4!g#dVO(2yla}q zrFeIj<2UM~#Z6sMaOS6Cc^4|EwgoS}(2W|RYN0rUVHU?1QSpabv@pa$FnoCEv;I0ASI zxDNOifE82AU~4i+>{$j|lMFGl47Mg?#lGWi+5Uq*+5YyBrnENyrnHgu@q4U?$~}yi zewiYFacc#-ch`508*9IF{Oov!Jnjy{Fc575(FqV;1oVRNXTUVT4Zt?QCjbhd0HgwL z1HQn`(kEaJEYB*$-Z9h5QwlM`=9u!#LfjcMp*+Z|vOE?L0Z0b;0ippRfCNCG*R1jw zKscbN2N`Etm0iQ(%=T2|nt0t5)gaqp7m0l&;6s zH0$9Er{kJD>&^`LsGnjzka5)i-IfPWmb26uu6i||b)?TxuXzHQ3Qi{4VLo>hs|eFg@#JY^z6QfP?;B` zsm;#AUK7yk7vy0!3u5Z$=HWg4Jk%d_+F=I|O&uf~p^rdy zp2Ne)=Zqr$dJCZk@_i>9`-?`sOQFEsTh$xgfnmgR<}@Qt&$Nri9g-faVrh01s^kXX=Iw5D4`8=(iGVe{4n<$ zd4$AkIP@FE8VS;#mW6(yo?%UlcCUsKH*X}N!+xh_$0bvT1D8pCO<4mA`e7%bY+!Cb z;*+UxU{OB`WvY%6aUB$KN6xFmPN?ZoUy=hVN%8;{7%cAC#A-*%^M-`Q!CY$yapB^MGg9S)gJF1^N zU2zRcwdagGztp^dz5I#YLizvHgBo`_2SYQyu%L~MX@3t9jm?#P71DNjC2E|f>uVqV~DNr8izf^zia{a8BNGUh(7!} z9<`mk+<3|6WuMiA>xKU^5aaxrbO;KoO|s7{MAT@`!=^dmHRXx1*M=PoUn8GpV+@9^ zQEamj2P5CeQ8u4=&KpIl4H3`vk$*AymkW}x>1JwqRublpd2)G55-!;6Ql8m^K4T6m zPwP3j|HTd^@`0+w+M~oqD2J_jB_cxAXzfs9E-DAD=ai_6sxIqwC2ozMrpEM%s{?THCu0HV11NB){_}fYO}%Go`E^8d~7|NfjX}0v~KRYFJ=A`fm&GG zjjy7=nUvsPgMT}TrS3!P)%~m^RVV>-t=x_6qJ)FQ0=B0zyh9Ps#wo+r$*b5*W#l>q zn(dmwc_$aJeKWZ46ajjNj8JEJiJp`Z;jEzP9Wz4I@;tp;Mz~rrMUT#aT)s*@EdvgM z@Ope790WZPCe+Vpz#SH1z~}(ZxDS9$83QJyqD=4H7jaJRrT6FyIj1PpWBbBa%9Her zzOa>wYCW+p@(-vX>BHgtp-9mqI9v}XWku$MdMG4%Ck_MzQ}phfkmoQV*Z-fIkQw_l zFLq=&A5oU*&SnsgfalU`EoBPxtRaydQ|k9fx=A=6d{0nsRb#pXeGbo=?na#7Ye>d< zZAb^)0R#ii0G0z@`Mu`-N!n=D0PX|MlQ!yRIVO&5a7-MC-QiuzyhZGEU5uN$qYdSq zIw;Eq`74mj1UhDi_b}rYv6>3-1c0>K_ZG1dK4de^q66Qic=@OFbc;(<;B+8<~!(8EAy0S)iR4My(pwF?bLO=C;kNL@hAI6`!{=%BU}7zUF_5AryQ&WtxF# zp^kI6$QGGgt#d7&<3ay|al@jv*<+|0oH zx&jZ%ev%|~`R7Z!C4pVR`Lh2cF;%P|q`M^HRRKT9?ntIpv16rYB>q)Fv9ecCJIU+n4^oaKQ3)l6EhZwVXdEw5E&@Z|FlFF&RJ-{tt8Nesd8hM|MuWka z0qJCV!2*Nj07^GcG8&n|-l%AnxMl=>kPk_GGyFa%8f*?3fkgRZ8!5w|sOYp!ug~^E z{B9Q4^Sw~+knC|i9x+L^A5#4=&q-&DM{W2%_5*pV&8siy0T_@W`(RVK$wujeP331c z=RW_7@*bNJI0KhtHWOGLHz*Ml# zVgn`tLIZqDsry{3z4y6x67J%jf_>IsV2(%h`^dXfUkzr0$pZ-izQdG#u9ZMv2l~Yh zKrLY6`&~n*pF!>e@`oUw3$Kt0LlR*K+;t3w{0p*AAVGlLo&o&3L>W-CJOJvI?{mci zT?_PWpvge@0i6dlygxS>y&LFnfc_O|C!kG0=K>Ayrx8YH0eu?i?|^m(x*zC#py9O} zVRS#??x9`l81RkjU6`svFjb*8Ip}PlgWpKolmOoXpt(Tj104qR9H9RIdKS>JKr{Eb zwgMdsv?tKBfPM+|OrYm9V}BBOjGW4FxuU7G25Ws@L(2F=wm(GI61US28`iQX+lzV- z8zDs2m+fMoU4p4mm08zny~q96NsHLQ_PImIZsD4?^dZDn;lj3@A=H1u?d3e015U^< z&!8c92^Wu?;2`UidTR>@Tc@&Fs~WSc0ze6%fkViKhrrz&mj~)~V94wGK;vwcw>UJzF$o+B;^G)dao!$*Bd!cMfZZDxHzH5jSHvd-E)0;sF` z>ny}((qH^c3!<6g&0lG8Y9=4!&$nQjsYU!P7D5T>g><)V0a#NLODHcC{c!Z0I_9ue zeVnBk#&oEC!MNA61RMy77UmG`6EDKzI|QpwpJ>t$UBOF^b{k@*@(|H98tpdEFWQU7 z@aK`EDKz?AUUUVW#(V;?ZQc#EIEZbdHZZP2Y@25TeG@OC0!+sK;bAI#^JuP+%SY-7 z^pV|zfHn4U={Z|SOVDxIAGU-Rzl9LK7T6NFQ1;Yzxku2TME;>}(H&P}|IiHUWJ-jG zx>2W9Vmvg1|J%E>OLtg_d(If;uh%;C_}_yZ2yWHf22{9k^T_cQhxsbCb(`3!n)Mv% ztG;LT7h|fy6D&s%Gjg_tm`sf^ywV4ihOd-G3RaJN)q=W7*}(S{yHf1 zX$tZ%%R^D8cNz{jB7dNFABIS%BH{XhSv{zmU_fzou|)dzmdW7-oXlJYY=P67EpS@1 z1x{=!aN~IdMM3c*)GI?6Gdl~rSEsLg?k-szKM|+o1 zCmZ%kf(D^d#R*ec8&s;8uDJ%)(_F_xUn*XhkR#m%jvLiNt82U4c*sF%uPJxrY612$ z`Mxot9XTGBFaKFWAN0>x+>j6kgMW~Jl6c3neo!bRsQ7?b`E3c+4$)2NrdZLym(#Cv ztS!@jMAWI4Si9nh*-EOl8IqY)v#p)+ga^vWR>=K%pjvP3iFb`qx>)sHjuEPv){ZXx z#T~cM7i$4K0lRLY*Fbv>wAVm;4Yc1t`wg_;K>H1}eW2~5HhmnP!FH= zF=B;qW_@-K?vW;+r6_foq}~X|KRzXtVE(cSsMC_Ih%>v_3!5lw~$Mu}3A z(1B)uQDzeMD>GG;mV{Yqo-E2v!u@7;5%GJ_baR+!M$f?$AHwz0d+g8_wqACQJ+&oB z0*)|2Eq)T&5q4}#piTOe9ns=%lU-*gw**t9|FZo`Srl0@;}K z1m#Mv3jfFb?=3{2?#w_vC(OgluWd!X-Pww~0M^X^fd7^&TwUS1*MuHvZ*)v1?>C&0 zOtNQXf#Kd+-Q`9|=J?KdNP@Lz_M=yuxFeSv2~Q!k?pUMCQ%FKoG`dcOB*gs%j#D*4 zou&YPT^*=9THt&WYDZs{B-pcCq4L84T{l#wP=2pFQ-Io{x@^U@6UV^+&9@yf25;zJ zcr%~i4IscL+E72ISkxC96<5fb zbNNjEXaGm(@i1rU&)~)4?<~8aj~axSzE65iJWDN8=;Px9GNrfm0rs2*WV&EQd0qqJ zj$mGSVFM~yu(>=p&*6+fSUx`wx!knC+S-DDu=6zJ$DekN*a4^oKsy537omL-+83dH zQFGl|+k#u8{?~f2)L{+O*{dr>zfpfCz9$`6f3 zG&87tk7%c6`hNb@XiPJ6HXj>JD4}^m$Q89OAXd>Yaj8lzgTTnuERCI9LjQ>$J4)?~ z*a&rBU-hkLZAV-)T>?87!VtMv(IW}&3;yiz(R@NDwY$)0HHs9Quc+WPQfTVcwG0x} z;PTTo=#r`2rfcp(WU7wpI=e9Ult1ZOyHNL3-MXGGT&VItoxaK;RON=50eKa9jCLMf z>zoHsZ!ubIp6ea_V@CiU;0z!FoB#xX3jp{jz)t~w3h+~ap91_8;HLoJ<6Y-o>Qm=l z73?*6fbBJTWKH}s-O~7F)*I#hyz}M#hW~zPl)0KJM@~W{7-cf}+%oKfe(VW#f^{-P zfLF{W-yCamXfGsAc(y)W_jS%}1c~C)=V1_yQ@@xrxn47PNkPwEU zSl$|mw>_;D_d-puc9RON|B(uHTOA_?{L#!|ce%@$vk|l1(TLfy%Zl8VQZBpc*Tw4v zNsVJf6bsFCbCbK^L4pN373h24f z!ErFPxFa5aTKUD0SAou=rW-3O@cpDa#;gitKPA{$R^i-FK4UDb!1jY%d36PmOL}EY zsX%ZkOrxa2iAz3Y%&ow1sdJ6%DhRElC$J&xY&Zw_18@ZJ6mT8zFQ69i4IpTgRL0nA zV2rwz(Q^#3qqK3(aoJzC1pAC0heXp%s6T_*PQFC9B`G;jc!oY6p_d(FPqq7JO$J}F zWsn^5t>Fbb)}C%cbPEbcE-7&vHTL4Y8BmXSNAZaa^aqW}D$PI=)y>xW3@lMIWSy=> zdXYnnmZ*ATJ75&xq-8YGem8_@eVQ2V26rvFiGE439>T~YCn;QPgmQ6@Qv^gycJw)4 zRF+xK^${5c(oS~o%(dMtH3z3xzhfO~anNhjtlAc|1j@*8 z#qK7?9G;h$ZlZq=MP!)c ztQE}}Lwqk-Bq|z1#RzIdv+)j>O+3*8Jh*xmi{|1H?@c1nB0N-HD<2T;cGzRe7%12c zVH%|adAlJ@qhJJ*=#FSct=`cPra?7!ODLrwK@g@v8_3LpFpU*fRJ3i}^hU?A@I&V>}w&F(phr_QZ zl5N2Qb6-1rGCkDw+A(-iuUuw}i^>>>EfUaXO?k*?8H_m_LKvG{ks8CRhN(!p z%u9i(NZG)Xz*Ho^=jFmwq!M`RU@DUK@G@a4QfBd1!c-)G%bO2VG583Sc=E32cRIM6 zyWw${QbKtv>(|q(QhQvV(|n~|n2K{?DysJKH^Nke+ARs@<*Y+66&V-!J}?!bj?zcd zTvjhkMdc+vAEqLddRq)r5lX%Nzje}KDw2wLGhixGe&(%!spx+b9(XtDn(RIe%%Axf zn2Jz|CeY&26S&>s0ECDN#}IQMM0C~|>U#(gO~pIJn0ca^c;sbswkSObGBEr_IZ2R# zK^=gSss!`ofs7=`z;GE5^gsqi*g#fK{*K#zdg)7cXjQrZ6)vb8xu`_US2tRxkzG0| z?Z*GuL_7F5`Kqg|{$$^3E=8IH)}Uvhm>Knz@fA2ng|CrC-kOWKiC;vwhgQ$g&9ZM) zuZzER74@_>Bh2`VqZa#gR|d*ErCCIVm={EV4ViOqqH)JK$l!V0ICJ3G;Tr_PsS{KAd5>JJu+GiJ8ML!}7H zBMKMjgFxR;jc@qnGxZjt75|Gv{?2lT^H72##?Q;}lte5pa>TVQ41g`*+%|Cl>S)R) zu%h3DSOC`rxB0lAZt-!`YZb&RyA;Ij&N%PajyUgeuj8R3Gpo))Sk+l%@6I#M<37hj z+a@Q?vF;tolTE)p8}r#Yhi*@VjuRx$;<-w~$XX?#EzyJ>q)Je)p$y1}or4bl!K>il zRd8_K1=n40{j&27vTg^U7BKPst~bbRXlFw^8`{~s_G2DE`$6pz?1P;E;3J?Np87w%Q{B+*68e`USZWX z5`8r8R#l_ZarHdw;YJ9cO0e#1bXln0Y(3DpC}`cb_kRT_*$jD$gsco=D263Y%Kt^% zdxkZYwPB;_p+kD;5PByHf(S@70R*I%P=riWL`5Zv8Wk~R)D%=uL{!8~6h*~?qDDnc z-bs`h8(5hUHIs1^9ZND}iHdk0-}lFN&UKxi=lr;@mA%&5o9MN(*Iv(aKlkn1CTZup z%AhE6n2(kD6-zWA+6yXPRz8T^-q?PZU z9?*z6WLVLhI)=He@PuMY*Zs81A_pk1%p1d1g4tLWywHY^BbLafLSQ(mnKR~iQn3zd zD(&aczJUr{+(5_&P=SjYi1;8*1Z9sfCsBjRXCTT+j50+B2aluI>j}AB4=hK|%q{f5 z8}zoh;2Rd856R8%z;)?ebIUrgbM=#Ri#zat>9J5fiJ|BNpn4LwT~9H$Y3xFk3!!?_ z>9E3GwM%1rSXr*xpmAKFn4)Ua*e_77QEk>Zzf|B=okE+J$~2W&2wEFKsurQ0n{u8? zE_B+Zps98WZ68yQr!2FSmEwA2s4`i%aTdX`k36JX!@$)9@FgEP;bur{CzA!*G)APA zt4HsJlv_ayq9Kqdxy4}&mignVWd6p?7IH(tR`DdpWNX=e#3I-TXYWV7<}4Uw?MHe- zR%ph4^d8RYLCze+EKVu}nw&0yO6FMzcV_n^FG0HP4a0ua_oSqg;XWF0QtHii8Z3W` zS<8+bEP0Ci%Jv$pdWuD}lcRH=;-w&8z$Ig*vwfor$+(}{gy;$~){o7M&L`vdv2CNv zT0xvRB)Yg2_b1ymy0R5ZVo#3FYsGJ4W1~w8F$L^^=%Rk$oc_u?-;Ju49p)YA##PH- z^3HT4pUHOdj&)<7$$#fv>PAy#=XpPM3hGnhEEMakKI9a`1Ecd0bu8p}@n!ZJ^Vw0vIKfjFIRvWM3DQSk zJ?wX0auKYDgDxSzfZ(;r>f}LwBAu?D5+A-A7S)_O_B&LX!P(e83g$1##ve!RvmyW8 zI_jLQL>imuV8q5UcF*>eyl9&z6isQNDsa*X7iKMfs*LY_e&3*B%Z^cm>zjXMCOO3hnx`? zn$nufYVq^+IX*{+LC|e4YqiCGz^4)G3POF$EmH>kS4gg#oXs1or2dd`R4L?rj#%+? zNEcF8SfU1eS4cbf&I17-BqMz90lyERmV+1wa*~XhT!MX^q!QEA+;k7*dP80=+XKx3 zN@7+A!eE$@JFNp1V3?nq(ShtTl;);(fQ>0AFIIq!DJPdz0XC*{b7xe5SoIOrNe%9> z>~Ga!4e~JTT+eH;3t;DZKm*#f7gT37_?NJAJtjoFly6jB5@OtBSHW5sJ{R4 z$)2kY36ZN zpfJ%4B$H%{t#%&6*IMC^I?Caj*QcW{k!R>i7_K#bTcyW2c7w(HF|XO7gO&Sno@}?l zy#3fc?1aJ6{rFjI++fih%p>rdac{A02%8{%3k9-kEPybaxU)rtkY zwr;0tr5kT^x9u}U8LzY3@tHD#C+@bVDpvDax}B*?98X?j)22w}?W}QVQwH+Z*Vq*+ zszH9ly@z^3=LQzwVP#MdHS0uWAI2Rl!1vW)C&@qRsx8Q2Mlz<{kjC3>rH=(CBOij! zQ;@}V*f$wJRe2u_ov_xT2HQq3lYPQ*2O9~;ahFB9y=qTuW*>f?(#hyOM0iEqqrI%2 zI2-}~F6yx1u=C=zCifFlCES*02q&4JQ5EmxBqtf`YI6Si^l{o6RN8k;UxT^|?Nw-l zpbdidAhZXeod@kaXrDv-99kN*G-!7~y93%1XiL62F*MY}WX+o#hK8`3qHzUK0Ix5T zbbE47YGMjmyv|j;Iz|VkvfIk7-0CxbV0RIA%fNOvwgPLE{0{3pRXA|OBg#vpv z=`5>WfY-aMWMv7Q^~8@5=tbxW&a4WHjh?iH)nLK+yX3H@SseU{w^)1&%AXL$%D340 zla3du;CA(a+cjTN;{&n|`AWLaCYkMR1=nYf%<;A|-bX5fNUzYi98ANBwkg#uF8#hl zaU6%=%<)H-a`KyJ`lEm2ENz~?A2FGe(VV#-b&j*3Ic-036{oB@2TYtet8-Jp#EFxd zo8Iqar_55x2A~cyE`@0c1f5h7kr5UQH)}p)N^rzd(F8_NaM)7uQbt@bXhqsFzyanT zaRy_mFp~@~zN}mh8CAsjA$KMjd5@EqJDrS<!TM?%@Gjh{ffrvCeH>VZ(o>MxQ z(u#KEtQt%&L~P=42HAzEnTB%JPLR2RE&8%NO26M>sBr`jio1vi&P>S7V9VscLv9A& z;PX=A3|fnDwK)52p2@*K*bj=F}s;a?+wx>QQLUyy*0Lq?A(<&8|mJH^lMUtyBlv z6EVTGCOW?!7ER~qvUjj(MnxBoA+A6XNB<}~PoVj5ywe7;($!dJ50wMfFh3b zbXYWh;vJyFqWK5!3>_BD7Tz&BESf{SOLSN?dwD<6VbQekdfQ>ql=BX?!=m{M?_4`9 znumB@?XYOR;GJ$q-k0s<9c{7X)vbs zVrrpyEQb%j(l+T{gPmE)wQZyv(JtY~T4(jZl38Zn$ORvYzjalxM=!6%eETuMcE$Px z+i}O`#8*xLTfq0v_5kPQL=PK)1HcXd<72d!{_#YB8-NP%0uTVMFXwPRuj}4stOsNP zY5^612Ea4`ACM1N3djH~0F(h%15yFifMUQ3KsI18pc2psU;%yr1A(hWuhc;UzN^I@fBal@;M+!L z{8GR_KLR!Y)&ty`W;5Y-0GvwYW^M~A932ReIhk!cx zHD%S1ccBX!sJ@`XF9oV^ba(g$p!x=Phi(9>Z+v%TDo}m>yF*fe>KoG?aRsQp;oV_Z z#H)c692F?S@fkJ2fk5@0P!oPY6vz*)2|WN*-vnX#b;J!rmU(kKwoLY$aTXKbM_vY0 zU#}Xl0r_Y^0oB(gnXCk=FVo5mNA8t%0oB)`A+QaozIKCUJs>Sw1k$2l=6hc>391## zdeDmus|T}d5w8uYgREMVr=faqMlEuWp?HupW^-QgTD9A1+u*lCw8U`BIK=`Aq~KDl ze3Ig9nKBx(LQ)CuDNq&uj=D~q4241^W9ZLNCU{zuhNWEH}lp``;A(VhV3(+!P-& zCANb{&kGZy-8WLa(=?$y;F#z)Q)s*2G4VN5LVM6+(I-m}Z9VsZmIMfXf0T)$57Y13qGP^IX-DPY*AQoPA@I8J$9TFQ`a5&=CH%$K}|m=LIZOksPNPs!{XL8$aNBiTCBA8}dvBky23X52*%aU)x6 zrayL_a*olv#p4w*1mZ>k!x8!7Bu4CT*lp2TM#ON`Z84gW6dyc6G@aoWA6|+7CeJd$ zlYg@!P76=|czE)Y7-8}7?TcMFcM*AZ%PDA1k3q`~4}Ih{;M=q^Wx^23pY~QlRtKbqJVqa4hc%b= zgZQ{J#E^W`gOX7%3|B!*05gZYSMmUU(A%sYbErpk?%+Inz)GA$s1o<69R~t0;eO+k zH&5?FPv)#?&a6kADnpHN>f!tq{?DB4(J@o6H`c?&Z00K3MoIc zVwtEynjg!NX?K^rj}^hh-KEZEc`}{uQvPHmF_Cv^Bo>-!8$;g4@?&CSs0Az%(=mo} zhc%Ij?vJRJJOd4pwClJCy@&Z&yL}n#=ac?x{jL4N_qC>k1Ixi+^y|emgttj@^b^d7 zxWIA<(>~`1)I=1Eqx1{RU0h%}EM&R&IyFSxm!9FHYl0?8KJZDSK9i)I`Szp!XCycI z?xT!GaCKQRICBhhi7*8u^5(%pifxE$#6B`CQEjw(z9UazML`K4Ys_}F@^Xr|C2llq z95}s^gH>bpIN2kfY8Z`^U*w$_#&3{)<#op+HprzsO*|%5_A_t4)wzk7rmYc>n+R96 zT!BXuDM%|65VKqkYS{v}EaE)v904_p@LXFc@X8|5wDkhQbzsnD30$ueOSH8D%5}m6 zZH2(|Iw?-uARvXioYhVfxQ7#0YWV_MIN_rUvSqP@@}Tf98Tu3Fy~f(P}u^cy>{jy(sL_U*x)SsYu|u^-6EF)~T8%*W@^qes4v zX5D@i;N$yW19w0^^2mr^O04V_UcFVr7|e zbGPFgMZ)QCUp{_(?%kYn7i-H&YEHk4mvhj~>=?`pj$dn;4 z4JAUm7JjXuhB5OI*{;ta@)=Vb;E^!%a5!3lD;a}ZsKC(Nk z6Zc*}5%#In7?)e(4JLR44##XVFb3J-xS0mxU{*NxhGD|sv~YX`ERPvIpc)=JnA(F| z1U>|NgoHj?l-S8McQCIXl^`#HidS4>@PB1xY*SWt_)sIML@ zuSKra7Y~-yqQC0@R$UgN9bA?`_GD3XdOvt7z2?b=q1a^~uU%uaL7B<>QR9%R2;;Q| z&)WDed9Jo5kJ?1|OUupkN(>1V3DgXFRH)ciJ)u4Lu;?JW2=HiXW(Ix3#Wf2TdgTx~o@Pck<& zd2#9c@KnR7*uY%Pn~~+tb-ROWGwc%o)tklRG!eM2voXa6y7(S5)wrJSXXZB%gdtaK z`aNc2D&jieUO2FQxs z0?DFt1K3M0=RgwF86-imRq*R^Z}Dz`w8&ewulZuILnFuzY*7bWXOPiTl_n!C4>cB= zA$h_MF|X;f8zUS=txG+ktr`k7uY^O=D%8V$>AWmr>zJGMN;(M%mWgN9cZvYA@3c^|gKRHM5WUse|ZhgS>=!`2W zqBW$b^t!mp=J(&GFshn;#O(;8Lhb_*O@HdP6e82rpHh%Afl2YF-AS<%WOLV&51Q8zo8&yKge#*|2gcjFC|C6G3rabeB0lNvZTAzIb zPF{rnVAcc5L9UtuT z|8Naw{3=qb!7cGuvB@Hz^z>)tRTg+SwGwBs<_|fx5|pgcUhqiEWUcD;D0B&9ae5(P zI)yd2*S?T&fmPItFC?vDE$?-{>+*#)Qvfz-c-A5T*r09H%{JL|hyB;6qdRJ|6lcQJ z1ZPW9P2`&JY-ym$p(gaEq}t?B1J>eH6RIX8Qc`Rp)mdnY6 z8x39zTg}MPaAJ(M;za~OHE)hTqDgTCpQHatIwW|= z%j%d&cc(dYk}2BwJlm!%OOm5~FFnz+^DagFNnD4|BHq)^0W~Ya7V#lvhVSL0+RNMu)w*Yn{W_nFARhj-j(;;xqL=KmbONo!G?y+u0=8;ukTL0Ht_ za9@@KYjB7#;sByY8G`tGHgFx}xY_>JAW2Twwc{ z7^iz^q6&i&Ak?=~yM|C?zGSVMa%n{#*ZfnuO|oJHqI`Vwj6PaJ=vK)shTgcW#iN1n z2w8PyH>!a`Kvi9Zmc&6lNu8x#*%D;F*lp7&dn5AZ%r$T8Mm184bWzZIq}!-uv*m3e{U6tC&I^{ENoUmNCBG37i>>u$SHF7w>P4WozL;T#N7uB}ILAxYh)vobA zyQESzHlF+fvyl^4$L_1nI$K=Vz^P~2QWsSxIqt1)vNaGp0%^MlZc#J;s z=CXItSgcFB&j!fN(9``lKyC(;&Pava3^_fp6Y-0o24wS)A7#Bhe|@t={l2^2>;F}U zhd=uV;qQfC@nc)wfBE)p-%sX~E4LP=61Q*vP*~V^aMI2h(|*a=N+7=OVubmv+0dMH z>X&1MAF>VKRy0(;efa0MFDuRoFHe1N&Hm!lTmL3*xoU~u`|;1jQ*(Yf`L#E^bm{h+ zTLUsDHNHl<^5X^~#!;*EUd<)1u%GnF%~h}PSUoZ~*8{5KeId7l<3Nh1&;x6L6iJXFH3s|@R5X1EZ00lD+SA%oM+QY zTd(U<+jRRVB-hx?T<{Lwq5L-`AP-eYeJ%}SFtASwbf#G$5Xxgg4ZPtSqqGySg1 z4$%>gYi>a+_JM4vu`JK4A}A9302tpmfvYC35ECcArn{jgYB+_svx+e7B2z})1&!TZ zVv*KU9jYlPM4tu$_q4l+mGUFHN9sULS_S&0Vj(L@;HfF8aDGFI6MZpkG9m>eVeW{7 z7xAoUqv5ELAaEBJjyQRdPHX=(IhlXdknYk>>$a(#gc&2)PU=b!`%5yG=Ft*C2mZO? zqDriBjv;@P()4IZOu58RoyCEs9|j8(QM=@6tYsFuFfY-mOctcgR43PjbYh~E2UEf< zF+xrvx=c9_LT4T|VV(HnAbT4-W>&bEpDR`zr&7v`Cv&O{;k4EwHLh1 zNc&jpm^cS8&-=nB!gi#IxaaFSE-Lw5P^K=8?`q}tK)m2I#0#7n0`Eh-0B2r5Y;%dc zTbt7B+7Qr)tWri8-Fqnx#D`j5uV+Kp3Xv~Ah#vY+a!bIq6MehHf6R|X``uoEyOepv zmATW4Q)FNzP+;mcjdr6hoeB^2NN%LU#|BM>^IJ+5^}_KMdJ>K!R+?#>$;L494hydd`y-Rb61N@Amo2wk)XKa(3 z+km$NN4pbrtU@-V>ZYR=U;!#%QaggKh>1`XRh5MZFtF>y?U)StFUDz1Y%8@u$Kkta zxLNoeGFMfT71>Ie$ePTwZ>62mb(zv~S=W&};nR)m<1yEs!5II`uk^gq)H7&c}EAt&m*MN7kLSQbzn5K~dwas&m-! z4P~9^i+(S>4AF!suaM4)i$>pG=fv<2QsC5?yW?%VNE_i794(yYfqZYsGw+YbbrP@Y z?wByb)Tc*pEd{R4b9SS-KN#UAlc;_Q##FkjXZht}VyGMzDbFE>Vqi@ac-2H6Lq#b< zRqMO$Dv9?}GV?t9!xxB(O$*G5ZqVLXnBpz~7szAirJz`Tyiv!EB>Os~B zDnU`s3KF=FhA+lbYCkR%$Bq5ssZwenySG4k44x>NBiQru3$T284bHlYO=2ev7L3@h zmVePsF*OdBCn7$}@T@9}i?Afo;j=tVyT+6^2$p*|*;U;aldV|{p?PwSWQ)GQINK6q zWhbJODYqoI^vjIPEQ!`>F+k$nz*7m44FPWA=)?HwuNkKd*)@@mi}KX5^oZX@Om&2{vfu8C;(<1P$f=2TTxzRMY7b5j%~1Qb zgHB?IdLkGzBJQwh(X;w(t7XT4M@DTAIWI1SeNTBkeyYNYw|NxuUzNO0A$|>ZUZT;mJW{TY=>56ncl#yhRj9Gf!B4m{;_&P+<1p@a?Qoa7H0?zPNkn{+5TMD-Qb{;UZ4T*Bc#rZ8}1z(o=e3 z-5IXkN#$IlN3TamSm4xOs?)QOlMRKN*Y!s!+Mt zfnbrNs{34@((>+wNc{qhG~C9c6bGF#9Xpa;av5uJ#)e*EEBS~P%a08XxG%9cB;=OW zB53lNMt;lRA(N(?rqu0xbfqTu%HAgAT#&`fZbJWMz|B)nrX&g^kz0qzLq4bI@P9O{NKT+qyA}sU?zfc2V6CjoV1zG4xsG?vx0DyJq?b z@(s8yE)vkX{oWu~%2yi~TM{*C^_b<>n`n2-L@C6usL0)Xo-8WGtF7&-E^m3EWq57|GW1O z5;1^<79eZdZ@QSLB}`B8%X5pN(qMJw4;EIy>O4Vp4oq;#f2AnvFNt^PyHs7>h|27F zjGBN@Ns0b1)$)Wis5)}(qm+nB?)+w7Nh$%;7CT|mo(HOn-C(!;Qnjxenb38|yPg^g zAxyVHPKEvBtPGAd6kw9O($=;l}^v@^;e{!WRW*@wHs zagUzfhn*@b(PdfO+5>(U|7Kcl-dE%NOy&d&@;EHW9mDd7DPaN^5)-ifpZ`wt3V-d?)hhl5>i9_g8}@$GF3>Vi+Jj3?-}n`L>%Zo1>cec+y==EVISN2FD>fyT$1Rju1)H~FHpjAge zwd9l`ym{7j+;f???i}A~!1tM?gYPvE&PN2qP1@fnGkU!$d?tzV`F@(*T2z;zx~|2F ztq4CO%G4LZ?HqR~bkl!oy*u<&uP@b;z*wU8LA-l;M z^K{%0@48lI4f>s8SyCTsx?Iz!KQ!8+e^JxLZkreK8Wdtr39e$TDf%*}f8VG7S@#&)w)Xe5%M#C?mm&jUF zN_gjnEJ6u0@TJ)5RZp6|uT~~dU7&m4<76R{4Eo?{e4;QdYjat^0a5aygNU^SImpK| zldz*ZR@<2?UxuW7yIUYa!ob^uov4Op==|Yjh&By6yuWvBg^u~qF%LTSe(z|3j=9jG zh0~7b=O8a-Ct-b(8s ze-js($kx&h+!0RO^Z2>!;uFPl&`S<0jvXK%6k&b}j$V$*63_DTUhjlj`;awDhR!0rKSouH~I^-2j z+oQ|3;JD%E;eUH(G^k(x3sqfdL+IC9_RB5*D*s5UvBrfSCs`4*N0R`+o|`et~b4ms^+|>o!a+V z=?&>Kd<a%0OX{l_P zcCx9W?#ux4CV4VzQj2}Z&FTWwIO&siDr2g(oQ${%kF`Q5U}rPyQpor(`c*v8iytRH z2iBv%6`~qb3>@AC4U`M*5LK~VPt0fqrX}`7aRsheso-tbc!bloYxDD5!^uUUtV_90 zx~KKX^Sn-th5TA(H#%D*f@8YB! zPxI++&;nm=v=>BKp^7w_@DYp}(O@KEGaBWE{i>g>Ixh5hN6iscs*^_}JCTp{r&LFT zPW6Oa(wl1JXs{cIyO7MgYV3YjRI5(cIF-q1tV{?!1eb}cA>IJNvrQ0h$nC@4QkLi@ zs*{8n_265QX4Z^iyeNBgKbsuQBBA|A(0TD{)1ta9qfT##NhynlNOy^AwTskI8cx6c zV&Ye=qbaFwbPg)__1Lg)@m1{u^KV+Keog(ssaK;vgMV1HE}XO&tUHEahIO|Db5 z(s3DzKU6=`kqYJ8lsu?yr|wf5mA!dpgC^L@PaJR|rDQV+@OI77(eA*=3m9p7KC94_ zN{-)t?=f~PtQtm^?K3J@!AOqrL_4J9u)ND$I<_i&lO(DQMz86X@Ug=I#S%|`5xJpv zlHDaP4O`WNch}=iK=sT*{Q>w>VO-xc;<@}OT*m+O&3#tDZF2!`o2hWGO@;Qd)}a@l zMOp(NrEu&0)IDyhgL@9sL`c&G3&P>nbA`K_1c2Ll49as3YD-M@Fe4Qm87m01vOBQJ z#CcL@_KN6~4q#SPsE!W+gK_a-=2K)6yV87S0DoRFO{ENWNKi6V`-1I$2NRe*-%Vh) zs!nSVeZ;PmOo4BWZ-(@l336Vo*iG!ogSoBvTgpt`DK$!1Rsn_kzZwMstgx`c){9yq z#&aljm%Hs=LZ~bWLS>m1=mpA!I!{vqgw(t$q88)+?sr{juerbXF6!^EGZvj--9^TZ zEn2QiUANSG*#8Z_Xl#+Q^v3v8rdcWUDEx3X346x6ZJw^!;-R_zS>aq6t3uRoL7);i!5o>4OZQhf8{-NCpWm!~ip?*3< zSLkEg$4jJ1>e%7kmm)D=ZlA5=t^1s8?~(pwrdax7ieFtYNEL3p6CteghYxArKZL`F ztKUB`;KRCuI#gtF=S-T77-eF=Xx0%=-U9;^%56rfUq;Vj0 zN-m@tv=nu`CcguJj2MtY5hQm*4s3x5l{>2y6{}xwT#)B>mrN5c)EkUt0$UA`S05-( z8gY3}Bf-B)ck8>1)p^JfMkM;ILSdXFn5>yqh&s)lTeqFu>gww|CUMio!`n%HHI_%g6cA)8VN*<`;$-U9a}@i6G5eKleQh!{u=%@)|i z5H_+31eh2S1WyGH{lS|d`GXP87;`LBY%|WaIM-~4?VQ5u+($hKu@`tHD=j;Didw2$ z$Z)R-x(S7X6Ip8n)KQqjRq#s^mM3 z3z_x}e$PZKek48onRtmIhbL=y-T;rEb}nRvIlLda4wp!oP*z87B3{$h^m;TA!n7ax z0d%043rzDsTn$IkyG7^qV7KMxVYe0JVGE!wfc6fwcc7gJ?bQ8hyXpggF2F&xU1Ju9 za(xD1CLohT8EaL9w(nJha+?9|066A$0JZ{l0onkafEK_`z;;Ebbuy*sL;@fXFbNO| zNCx-jXRoTmp;(!~h-OC%_+o7Qhgo7hnO%0Z$Hrw>w}RT>Kkcd>k&`kpX~p{IAi` zaEQZbT;`Cvbgm{w-2n}~BOnqvPdNd+2kdV`&i$M^5!8>clR4P$(hL*WoaXWhr+;J_ zKF7Nq{*i~JGztQOeU72997=9_A$C0{HkVz9=WrZzvnm`F;A5O9a18c6hJCJtjhi4z zlQIIVBX`|;Q($fxyb==6N|c7zMq-|QD`BPNqL_lcx24aqZPWm*UmL1=jM$# zN79@n87AM{(nQoTmo1Vo!=J`b3#OaVi77G^sCEf)g|u4|H`A)R(=~_%3Ys=nP0$p_ zARovN7*`K@jQCVy;uJfyGt~i_(k#qb*$iVb({&{9gXEzhIXCkaex(d;%!Y(3H7#XN z{m#>-+yi0uBYTd8*X5i)neqriAFE$9pg$h?*Ks6hG4A8RZO(~4i_y-C1f#FOSs1@3 z-Plsk5T_X~8hh)D#aHi?Vmn=&MW0`g(K!bS91;V(a5)G6bxhoLGtS&2bV%HhoosGM z_>_Xq^Nz`y#}NANkwaRgceUJ?5DAS?#YA)@Voio{Cn@{{=a|0`0d|KUV@ zL@G}nZ`TGwt2^VJ+CXS^z16*k9Ha{cz1C8YQB;1@x(V!hC3Eq_sNVp}M+F28A0 z1-N1Vo0#2(QX@?;X_#?Rder1`VrB=3JbCao0-Z@w0jws(WyOdwt_439`H$og!`nLj zDSjPLnxgVtUJ=%7EA!~DNE~fr9@)dipk?KGco3h9e^z7S88`81oEddl6^P9TkJ`ky zoM9N>_EC^QcZxA%+u+~{9DM77k?n9$|FWf0{tc|!Pb%zuc556ud_yG@4SyNK^K3gJ zLZz=vc6FOI#E!6IsL5v@<;Q(p zq^kV-MiRZtwW^_8YpuI&pHwryEOOIV~H$ zo@)7!S4R5t$B#h;{3pQ&uFSM&Mr6~NNdUh$Jejx2X+yiKdk!;f@jTgYf+^F-=xMC$ z@`yw2?&bN+s5ARb2Zy&RKJ^Ar?D?6Y)GKX;;fjbuT#vNEaG1n(E6?u@IJ2L>uy%&w z3`~3t6Q{t$6)^D?Oti+r70z;#^>2F{WRGBQoxY4Q-UI_-RBCS!APx`(mvV?<;X0y-K zc6ZxsfXsRw@LOC6>?m({LjEmcH)r`wx>P|Byy8m z^bGdqB_}mbS%j9ayF(Wv?&vr1&TDM1yVU2EHoC-QmsZ=Y?g~GpU&K2j)BdqVO>OsM z?1(QJv3(|&MUDHv6n$dkZ?@Mz;{7Cxe#*$Bg;QI0RxsXRGYxBbJ7pbzEwv!HzKqT1 zChYO;IeFx^E2=Sjo(*@8lOJ~*U^gJB6Y)2vNxsM>N4kk|;Fn4AdAe%C^m&(M&w<~4 zYJNFqI=D63JX|qk^U7tDE}JlW$pv-+m_fhLJYg?0Uy%8pf277*r|Wwj?NtYWC_wsS zuOYiv6y~|JIeoY<9QV2uecuz7B{bfjA8bBRgWoJ`_e>1^T{@eQ>aaz*+4!SuhW;{V zUR}OkYnrOIx0dwVj+2;Ag&F#x6G}1yV4pE|0w9eH-JX{iF17q)n%_p z!njgkFTa)YgJp6sgTMcDx0OgHF+Y`6G+=L%m_Fsx8qhas%(U`+2OLdCKN}ISL1fR# z`l}7pGCBNs4Oj2~Ho!C`H&w4)Qp&VzB{69gl}*SU3cB|(SO~ANvs_Eaf9n2XY-kCS z%fU(sbIgUQZRl!89V0TIS8%e>MVzMTC8UWd%LxT{V)a>dr)7V<+{K@yN!2@iIL&v` zWM2oqM*G7{tTA|kF(0qp#zicqGO>+HE@m->8Q!>?i&{)$7F1@2qgTr4NtX+H5T^|p zxoLXM=UJwCb!TOVKCIEZ<(BBpYm$1MM#A{1=}_k|4zw-(Yv z?YGwz)}pt_>Ce_xyh7y2+CLqSS|JYNB)Sp}oHfxi^minI%FR55Y@^*whzZ3IZh7sBJTG!(c;&s5jzWc` z@YNsTLyp(8Z+KXlvCDYb3!Z65?p_zKI|GZu73mC!%364+c#z7yyHr z1rzaYU2kl|a~_enE$4$EUz`K6v(fP47y*+T5s6&LEb6mum3$ z5B_X3X+PiqpbG%UjWae9YQJM9AQOy(A{m)*@uGg zS7AanOc>h(gA?|EPyxJ0uY>pK@yvOY`0db{@c!vMgM^>sbW*W|HHis~j}7q36J6Iv zce)88jw>vl@o7z%=ZZX^jdaJQ3MR;Ec+CO+*jYOMADPAHcst@Bx!mV+JH}4-i%Qjw zvV&ObiFTYq_CnR&j#NN}l7LC-0Bu_$e?`S6pm5}0mn(~}W4rW;bw62cTdDs7;{&PT zhGTZfII1SD!>^#p*1@&=6|&X&|0Q*5=kf!(gNw!247XHUxsIXASfgDpwIbxc_=tXW zE~gezWQfe2Ic9hGe{ok$*(+SE!Q1F&3DOjgVULm4Xy5arG^|(XIN0k43J@dVPLguH zZ{3l2hs9uj{@N7W9k5wEMK7p37>`__yv*_x5Jv*Wkt>z!bV*RxT+)Ghub*FcT=w?w zH>6VCSBASVWsV5XS!`||KzmVF>HHYp!osKcEudi}$a6{bwG)3^g}Q?H$tu zb5plXCuN89IXl4I-|gTg3rm?U2mq&5teZSk)!Pkv&C}`ag$T{w!V}9@Ap!z!(MAhsK4Iga=v;$fJ z9iibuzas8nKoQqmefWfG;o%d;qlG`P&KCZldwA$Sk~fF`bA@&VK6C;q0W0&@V=or0 z$F7BTEwpbB*x7&Xva^4jNuj>Wp->&tDb#-WD^?%4;tz1OeQ>3#aAFReuoosL!^DP8 zn81JuUBfmw#Y|%uFt{Qbq3kk(*F0>80~@IFUQY+uXyo>i95Q3Q9CBj4n$lyv8g?v5 zr0)c52kZfCTaai?z9)>H1c(HD|LhB30zv?j0RezmKm;HO;CD}Go_^TEs|FMURvfk% zuNMBP>i~=Z`V0U3&1WVG=MRM8+sFYO@EGeZU9*< z>)>?_V2itoaAO_Ct|t~AN%p|-Jd z$k=i=^F$FD{}5vD7lM&1WWzgNlLK^-gokIVI3ecU8qcxF1&}Px1_~*9oV*f}#gBMf zH27DP_u6DZ6v({e@xY!@3B5dsj@loFTs#O-K-wb22f9iBRNKb;?t+q%iFNrg_-`_r z?lQb7`BQUa(zl%B61kQKBX=JZS=5#u*axR?6~GCcI{!&sFR(-CLjDoxnPxqt1~~Y8YZk*5o!IGL+6bj>)gC*GjKoBqQhQ z52|{s4y~lUAjoWAcgRXCbU{P5I4n1>!7-BdM3ljI>4s!Z7}yYGFfkPY8ziB8dUxms z=>k5cJMt3v8>H8rrehZPd-jlFosy5+^K?c#W%!Lx<{t8x-IR%zC5SuYzH6Osp2pChPumK zgqMrGPRb_;)5CGj$^avwmz)^-L2^}}Y}UjhI$buVtQF7`LpF;J>hsKf@lKtDAnhrW zzcBl0{Kf^5OC>l4ttNPa)}9epgr%s!<&&#pf-5_4RBTLn(H{gIKl*M3b7YCv4d%a__Z8I z^D&LvJ5sD}4e&y$Dxmc0Z|xV;YO`2_YzSTq&aJzF)lqGhYp@NWi?Ms<4}sOO!o0p4 zdx^YKB!(Mwd$;2yFdT_9MMkHOIO3FRv}e_kbjC~ZPo~OfNb77+e$m-j5Z3HOn^eU{ zZLlSp9^r+Yt>0%{W1-W-yzqbONxc4UkjM+tu`T3w|4!UC!$h7!NX??$63;iSik=mN zn8==PmI{&oH-F_V>X3H7UE*`<$?ZXvn5kWD z$jpL`sJ1RAY?S8jr)wBD~J9LcGuatiyn@<=6qd!&7>v zx--MJCzZ2|9+t59k})t8d&0`@b$<%M7zazx|EH|!cHTMe9PAER?ei-=+gA#1ZYm9X zYZ5Irh38gwI7U)_1KTktO;(3}sKUdzw1reiJeM*T*cDJBvmMwKP$E;r2A;=L{5>`@ zcNQ5F%l5519qh0G3e4Q{$Q5A<@!xDg-Nkt45vc5NH+(V%<`GB2BN1n1`Nm>_uV&^5 zZZYkww9MoL(SOG`m$f-twg(!q~#`lJ6#u-C)i2;?!9OW6Kt0h!e+>h%dz1IE< z{N$8Aydwv1mWX$T+N4tDeN`IPp^M%+Xw7?pC-)r!-+HZHze z`5q(&kk<+Bx{6-g>!jVfoI~!Ie+%MlsQVYYgl9eS;kKSKcfnxJBcI`BIGYJ)7r=yPFrg|x&gKl9SvwO3 z+x{=o-aM+Qs|_E`9EJc%2n3KJgelB}6CxsLf{2KqGKvu6gflpzq9#G{BtZp7RMeEB zqN0MLq9P`3VyRLE+gfTJ@}*X(r3wyhv{dht@4M@_*1hZgcUkLQJNtc}z4ys*ILUk7 z{XPK40h|QzF2LCsee=X}_7H%9jc~q#bNuwtwH4DuYbua)>42QeVYH1{;4duDj|F0} z0CFxXkaNjE&ZPr#E{D-3fv^(>T6-OJ8Vyv4nM=`-nk zd|%w#=orBpnYgHQWLwG)G`?nuE&zEU$py^Y>OYdka4z2cP0|%t6e4?YRY~N%xFhb0 z%i%@;QGOMjRN;anp_CN9Q#gos;N1MCT6zv?-uHwjvy? zk%L9YVS>M{YfJ<3FAyJ$8Pa0RcC0Zz3~O*e*#*kxG*Es87=T z@#Z`Ze;zmFGCD%~A!kP2sjj0kioZ%=i6u;I8lQ+&H-2U7& z8R!(Cks7x@mj%dL|8_y=EU1?`pk{-52GkK<5`w{}jktuY5`LJV}RREF7CA z8vK{=IeVH`sB7o_86w;LJ{+CL;Z)a0^!iCM65-M;znw=OWJS#U{ zZ>1<}0DcKD`J@ZGYI3N+l5kdzAKKsED-{ODe50xDtP{wDtLh0=*JLrt z*QFocYY~dhALdnOiD{vS37ZG*LSgPgH6c9`AXKioOxR?v<#3u-iN(!#T{!yNzCz`` z8DJg&PzhTOFdie;OT^7@RD|?5K1UxS5Gu1W#I!TjAj|EI} z5C9;8jZxP1zQBk@7@@&>5w0BlH8Ge~aOT0e671isY#hjGtg+@G011FQaE4&+EbE8~ zV%i_6aGt>wrwKT%>_8lm;lnK~&;8%=?ZY92?!tKMlSl`B73R30`M;mG)tL=vO8!FE z&Z@4&wF?woon6Zo-tvu!`StO^BICSyCv?Mas=w`IX%=pF*;8{c_})-Rpx^KfM?Ykx zB`=sT?(Lb{sxHCC=Q+{t2iO1IbzT+|Gk5TR178f!`L3#~deigM2Wt)*?-h(39^?1V z!7~ez7hD*>>c_55Pg1AV_ktE<<&`SCvWEMudMWrXl>T36q1%6<%+gqGwGuD20|#Ij zz#RZ{02JXPvnc|OQ*e9($B#E^@uE+}yRjH!55e;j-i_Izc;AkI@*a-Sa6A^lu?LRt z;J9LSgur3_ApQx*B`o&}$8imxxii)eqZj77ZgpDU>Pc{(gL5358}a_Va)xvK>(3k1 zI_f9?H@Qyg&bj0Kg}dH26Y&rHgl}?pSsj6Jw87yF$9u`MmZQ9yZ#JZgz5iImS>Lo! z42KIG>2R!vg8`NUScUAGZx&;&A9KatXH-Od_6&dv0Dfl$F~wqE%)1-F6aWX{%vW{J zy&=Sie2mxuU>1NJE87|DAh55&xe-oBOydP04kOmMV)2O>p}`>@1~41I6K>5nFRjOY z86(zVgg1b305(|H@WUFjFk%b`!NOg*v+w;!DCRnkxjHyC-+17ukHk~|!}=tA!JG)75{o$S0a~B> z5loQ+FcaXH0B>2Rio~dF96=qxGJv)KodE`7 zbyyifIBvsn29BXRj9-KCBQfEF6W_6O55Tbr4hJ|!!O^=Hj#Y3JfxdJy#*f7K%NYO5 z_X}+LQ{Wg5$2mA|f^G>%=QYNzJ&BGVI>*rYlnPo9I!{3R2NU(M%!10aMVP#Cf%ew-v!5+K@pYa;}8;Y0p7!mb-FlWw*@6DgTO8f9=^0S=_Td(gS z{VeuZ+-29$;%11sePNu*+KUo@#RW|Y<%plqcJ(>JKf@8uI^pibt6;{!Rs#HuQ$xEQ zDm=Y=ns{cwO`fBN}h72N(eGE+0U! z@bpRrMg?P(y+oXqiV+3=aJGOM0Oou!Z>x#Zd!50K1aJy$I@osrga9arz}%BD_XUh_ z!H68IGXPEroTtGa3HD0>BQfHfD<|uebH*pVJOCgcKs%nv{ZuUG0_OvaSO(ys6~J5a<`CFp0sMrcc!)Jt zV~yXj#(nGSc@c{b!-&}!@q`D!I$}RYjK+v77;z0F-dRWB^}KI=9lQWM!H7*5@zJ^l zJ{R}#x%h1yfKLFZ)~WEee@KLLBAmP6NWfe2K@7(XIPO^;R>lN4rof@Vup+zz<>6S} z`lbos)Wi7{3$XA~y5q27@Y$Mg{cPC)e2poR0akGVVpL2rrrHRg1*3iicnimkD^b=# zJkuCF)A`m<4iAJ=AbbzP2@twK_>ctf1i&zWKLZ?(X}hrIK|HY->k|tC@Bx55M!5nE z!}Top*__`PPHO*?mbU^#4OFPI;gqs`2H{ z_h*rC!iC8oTm&H!;4FZbPuy}}>RfZ7H$phSWuo}D>?E<*7{LkF6iFJwHUqHChI8G^ z<$9k}*XdhMymB4dcWqX4(3&gK6>xU^@L2gT0Os^{_KpBOQy+)N)?J%b;=ksKsThP7 z5Pk(A2!v1R01sZ9H82gpO*lUvf8|2R5ANMEKbta;Sw6> zE|(*Yoh4@bUMB=!jS(tsUZ`z^&)6JsXE2yk4C@xS3p=y7&le z5A3jAblFH3*}$P(%0tz0)0!4mD|Qz%TPUk}p-Dk4cB@^-SBy3+?v^x>S3vUMOfK^Y z_m8B}E#&s_QOZ}SqvboQ;;5P5J!L8RHE~vZrI+!M_)~knm;A(KY|{7^`}UyC^nZ;j zr1Di`Fon9{dXd{R-KKlF5CwSCz?ta&bQEw#ETiN6KMg3aSP z7plsf-uw(#-E54x#{pp#rWvyxgcm&Y3WSB5>U_;VesfjQ{xNnJk>m5iW$@*%Wc6;?pnKtjh%^g^-T;Cw5VX=U=kOttp%&^ymYFVs3!2nQj+N{bW6aC5E}C{K43^^my#bd#`sePcHeg%(^-iz%^&X?If z3d&dhgCH*%L0(ZI*-7=lG^d9VFMOz+WISVv9`q5G`z=t1pl?1FImw&;T<_r z)uBCJ#%~Y%sK_>t8&LN-ykW(C#z&Nj&mbh^+xKEHk^)kND z?y*o-yA~-&8xj!-#cf^?|x%$b~;mXtJ#kLFvGb2RSZoWQpG5d&9i z9Xr^=bqCB$Bz@D0RC%T)(ZkC9Hq$B$o>947^r!0e5K^&axx7V=D2c_l*W0w%ln1$1 z)W~ez0`@~o#of(sf5mH~9Tc@`zZycK3Wr#M#E;VYTxy`|lJr6@^91o;db*c%LWRt# zUfKfUJM6l*uMNH|&y$7LhF^w??%JqH{iIdK^r&h5l<}$}efi+9T)}3hy>WSS$`ILA zGhWXfHI~TtG5dYVcqu)FU-TPQ8obEeM zBK|s6GS6i`Z@z2r_4~;UjZHDrLqa#c{&{G_;J-JA+ONNOw5sF9r-)d=^2z2vv0X_< z18uuFq$Ib2F-h!EGP8mHjX18Ppn(}AW|T~yM>~n?eEIVj3&pM_+4JZx#G^_I=P~(W z$CAuvv;$&FTNqdGQe$7>a#cUFhFQR0uXm_%8VYz*adYk+`4$-r?Pu8?|Htu_vltC* zNm6PitAT4wTAWE~-~=S)XWBJ5A5Yql$(YBUpOjofi&4$1&f81P6R)q%nu%W@l4|Ua z7$csKlvA>8eMk!L$Ha(CuV=0!6TLH?pSfI0OvoJejK3z4oXO|$-Y5E&hKj;oRtW3K zP`6gfursjWkz*>6tZgV}rK$q8t;Ke?i3Qpwl+6^|SBJeK{bo1~$6D`hZ6N ze28#*XGk8|pH}~qII_oMCVyF?L+SX&;D^|y=+%g=glohbGjif>P>x-9);uSpXf??} zJgGZlHRTFQMf_Pmyx9Mm{1(nFp*MZ1WOR4hYUX#ii8A3GZ5^r@r4PEbO?k&yr%Av` z<=M*Jgk3*AZ8`DeSI++?EkT9@XUy)awl&VJF1FeuDKsadKzpOp!JD^UH&GZ_mivtA zCEnbf$s-*RCk+rHTAb!9?G%IK>K(m`Nq%d!v+!_Nufm%%wtKjYxk1ven~R5IWz3J_ ze%-HlI2sS(b;iT-GA0AwJ)E%vGYyXMUMbDP zql`7q8@O0YS&Mu1{J}U&7KC~=i%g@Vkr?rWwuQK&^NyzWyA0PSMBDeH-U~UJITZX{ zS%HmwsATAUqA52Kwl2ut$A7zU3hH;CQb6bk+H!#Vw8voTu_u^Q`LhCg$%(Ms-p-Ge-S7DR7Y588%881_i&6Q58#N z_MH)zm7b7Sm@!1-8b(!%E~5Y?von0HGTyupm8~cr43UDH78f4-dQ#HhFp>Xe<~E~` zmguFb)i<=Yy-c;{U+vadQmT4$J*^SMrWjZLUYqLBdHFW zo52~A>lpit?itYd%rcJ2fX3&KMtTM`K1Uh@GO&^4lF=mt8lP*7m!5ql=PWb7{{O7- zHERCcvWM}Hv)C>_)k}Iz>_-85+gJbR&r$zaSk2Bcm)O3dtvfLK)P_}Cp3VIsxN-Hd zCri#IT+RCX#^36I&986#EqrtDZPV);IR)*c0K=4w>Ft!`h9w#K?MMKbm66?k;LjU> z-&WaZ+XKz#Pi3?z0H%l@osH^3dV{- z`#!(T^i9U-?rB4~=lWDn8f3bOKH<7qByF|~V{tyqzd)hrz;yY4%v+>eV{MbwTlAt* zyDHa{dbd(WmCHhXY$=3aT@{ds-lLQWEI$4`t@^sNPE<=GA6i+2<2ex-& zJMtKPk&thh^^EbEh&Q!$+NVSPZ;NnzY_Oet3pOyP@*wFjTrvEMgSwx)Azvn9+(lNo zQbdkW?>6lg(Ib#Wt`sR%V+Sv~JDLppr+#UKQJ+yYtRQ;@; zuz#-4uzW6d6cVn2`eIw5DqC=&*r6UG+NX={>s4z7*NUBFNT%A zXAi^%A1B85Kx}YaBBjUKpLZis*aNY_O^Mtdhz44?j@8oNXnxkpElxaWZ2Oiv^PsVfT5>#SY)6;!dC=Il zE%n(8jqTt;{D0Y%=st-5FVhmo4D$B!?4jWW)+AEFrABhdx^Nmg)RSfOTVjBjLEY%jX7^*-NlCrBL7D$VWSy5pl z7;fGkkjUOaPOiK~`f|ctdCwQoy4r3x=~BQEfPFlmkiCN(2zU?RZvpQGyclr!2u3YN zcL81i_#)t+0oMUu4mc7pMu!1*1*`#V1iTyYqGDDv*3QM~CcxQ%&j9`r@FBp<0AuZ3 zj9v-S=Yeo)q`$4C#<+OO4M_kOL@U)Y{`EZ62Qjl?9+ zWjU$?Ox3w|36Ls2DH~%+Ya$)f>@zjz(svR+n(SK`<-re>U&_2JsZGoxje}`e3kM|> z7*A1(X=97~kl?c1$Cy2^r%;=|XQT=OL zQA!%}b5#CnX2<#_kUu*gQ|>WGbz-;pP2J7SD|vO?le$Hv9(A0By1Y`?I_DR<^`+hg zY`#uX$|>L;(9JJ(FW}_pvPxYFoPW}-DfJrSGo0HvFn5>>%nRlW8wRstJ`CqFHp~si zgL%S)=HKQ8sVt_0DWs#Ct)^`$v_iGPv@eBHsA(|mNMSrtKO6IOQ~y1dKxrX~c|OV% zVE_>}T_F;VBtq`Xi-qAt#C?UEa9ml@JW{lAl3+h1xHV~lot-SRI!ti1)6T516DS&O zxaw3vO(Tn|2@$9o?RKjd3l26q?$&q+wiS~T*x~x^^)4yF8x%9^N2i2;l#einrG(y9 ztTxA|L`Fc#48Ow)DDKAj)zC0HXw0gX6j1YwYpSOXF)wI_3AVS{u2jzw9B6Y`sTnKS z)n@-jy-{$i&FPJXsg;-6*r_LJtIJq+njkGvW~Wv!)Yg_csx^G=)-u~vb&hs#nM0~( zw6?0u{l`S0-T1KIm=7RGuQ_R?h^Ds}0)Tl znV`NT+O+2g=1Y}D+mV7gb6d4vq|iK625n0UZq~PTXxVtTP)B*GS>OhN>NE&c2Ua#w_TVtSg+TRQ2vjeI`ZWZqYawsl1^EBBIQH%D zzQhx5a?GPY*xE!{0!{8USm`5Hng}Uu$Y5Kb>fOt`V7C;M%b?5xWf>@cfiek{;ecH( z*!2Vc3-Ct3n*e{R&N3x-hLl9jbBV?1awvP3d%#>_ICf9acYKC zS?gkn>kk>2+M8wirTL6*-JBf7>#xsI#)Gt(_p@%AaI7Wo8R;fbXUeIu_jJChUxC`x zv!Bto;PN@G#%CzNPJUC8G_XrV+o|~q_1r0+us>r@y_+S6$2^HdBuKg~6bF|;((Q%V zy#$hOeDRnPNV*;PYC8SE*VE}aXmim1g!U)2pSK;iTfP-m2~!<1U2demA|9H~HBw(8 zC$FQC=}mkzeNjyER#lo>ifKoQTc%URl%v=ae6^TSNIW;qcl*VZ1zQ8#QS9(UJ?iSy zBL!Qks2nk~+IYZxS5SX!HMzzR%g(D}W*TVhtTbAW!Jj=ljS+3&4RqAn$<&Jk`)eI# z8h63YT3fR^PjIx>!K@i0z-CFVdcB~g)`_d33sku_yVVlG!CcmEO@Lq<{y(zsAU-lC zB{*9?)67l@ParQcoD`h3uo{qi_YVKaPy%R|fiL*d0uj+kNec#ly#6Aw9J+%m5=RY& zcOvt6jNxy=NelTIcf9hEIjDNl5bKS)C^2C$v@>$2f`WQi8AFbCs`1$N6eJQZuc$X$ zi|r`QW^a-2tBT!bvM9hC#dtU(KkteQ!c=eEG-^*q}eDPkD_MRRR1q2Uc{vxKN4PuOWXceO{Ld? zL5!1Xd+iyjP15FGr%DK3qq1e?HWMW7OCWLIrt4%ENM}MRI}S?O&QQvB&_m+h1d00+ zNZhyS41lKt9z)~Ta+UUJfKLGa9#f0S$qgNw2X-FVHg8K)I>uH92wcyVk6{DUKhwLO6Zm z*y>7a*mZ1`?z31{GTop2xpD&I)@YK;t7smD#{JfDlz) z13d>Js;ma)PY6-XE~kBRc1P67_7U%(KD>th$Td&zRpao; zxf<78$aAsk9V{uWba%dCFlgA!)7WHl{M5>!2PH6&X2)e~2vcEV^#v@owqoT?|i zqpg=jR!@7!_#pAgNPI`9OCU-34!^WX8DbVqViaVgu^2`pJ7WTi9$<{hNM$jP8*MU@ zt7!9$B2=bi{AP5^NUEZ{7-KUgS26b)X&I6`XMtn9Y#vGI1CEE!tS&%#&jP zJtQ~LmOFf29PJRMZvH+E`kpW}41sFc^ijs9zdh zNLyoBw+KIJlZ8^{T!g|+qFO-*#o73ibhm|(=K53_Y#tkxRqk{`<)d3Y=!Z@Jl;OsE z()|{Cm+MXC7_(b#=w|9$!)s}Wg&M}*qTFVVL>Sk>6`@#esb`o=kS=C$d^0pxLk%~j z$7AW)s_&(jVyO>^i_){P%m=Es(i?50QG`bNWg9I4zlZ}%A$F9bcP)k3(NFqOgFd|x z%jI6?z~12HirMB-z2UFqNTyyndoa)j+ev_9Kf0AYKv-GzOfNpg|jf)ax8_Cu5K_D)v)Tg z-|83PM8ti}i#QR}8)z>iAtgx-48FvpWO4)jfF!O&(!k7-FiIc@e@;_n8jll^eNaCY zCn7gbzYHfL=V$$FoQTe2^qX)ZB6ofwPDJi{{al=goDcX}N{V-;>sR4KWN*_?!HLL~ z=$GI`k%+z zR=4C4efHO9DUZK`p&j?t<6zx?Y}PT~+0|s%e$5d&ytA!ge5_2N{tJoiPrmnca`ptN z)W$bTw3Y4VIl+bndF{$dHsxzbYX8S~tBwFX4{!+Ja68vuONa^5CK2nFN+@j?*##0Z zX}!oXP{oz5>>Cpm@XoW=V}oOw{W#`{?MJD@C)`sU{NjbKse6@lE#M%)!!|f}0e-%H zKiPi59r?B|=IiRaW2B|U?#=$KtXz){X>(Y)Ub^0*)T;;R75jYW=ubmedyNf>=`;l7 z4!h57vR`ETU^_%UC*&)4Kv(-4*a>KBuY$IAKtn*U$9?WnP%eWqc0w%g#lJyYsl6^= zk^3G0L;7oL327trwKw^C>OQd@O>)BCQB5;dLv_LS7katJWqV85QvSgD*gjJUECr@1 z>DC|kkGA4V*!iz!&^sI|da#{o3kqg2NY%Z{Rov$7U-dz`(GKG%#XaWz-?7 zdBZp`cbE&78H;76^+uS=U}D&oIoIWTU|YUkOFsD(4DCX+3(>wn`{L_wDSWhid{H`p zFH8sE&p|)O$SRrIMf=GZHgK%gVL36zbfVUNIVy8pu624v&`sxZZCv)uKF`de_J*vtwUCnhU#AY8vkPB67OqX(SQCb({~f5zT|itawu zQ#_ehjkfsW>-5YeC@BHrzme(q;yXelx+|Yx4ikmlh2~kjC?rBIGYdr#5elw3MihKb zKHVH53O}caF~^BQKT&@(IAjz)qPZBtQDz8=+g=%Yk5CbITt?O-=8pzy#_V|7NP{2F zVa6q#%Cq9>Yj7&(#53RHR4!_#IT%854l{P(RL*UuPc>`|5an{n$mL2}#j$N=*Cb8h z&|A5gNlT!%&FM*+#i6!3zpnU87}zI}DRv4+_4%9ScZCssLbKwWFrg0$U9W_`eZE}9 zQDJmnz;5{yVQ`<{ZbgT1OkdD+`6n|qDzO}UR`*J)Q|JuM4^kq9TB&Z8)}~;y+dJvj zPExXZmrhi}sd7E2bE|Q$LU1#-#-)n?vyN8dmBt&R^RIDEbG@qL)eK8>S+5&W!%yRX z(6MTKx_ES5SSGv6b(_vJ)2+)zqKnVub@7clN~UKRFF+^E#4C^T>2>a>>%gWx6!+f7CHDy_WJu>b|KD?Db!)_^uuWb6(2N z)<^WB-s_+B3BA4^@+0-WkRnk$u8;0TrR5v-!H^`8B?G65 zsR_=@^e^hYV#6L*_|;P_6IRoT)ajQnVARA;A_M{ZF1ptS9m zeGZ$sn{@Z;BQ275)SK#0rdl`BW@oDYwTx|<$YN|!`H3 zkG8AheW|}GW5ovCQhqPGwfUb=-a-9;;fabf*v5$* zoj+u*7JSDVZSX9a(M7+4P2;n=nClFblAJKw-?;Nrgsqzf?m$KueHWzda>JmNV>d9f ziFVHrGJxX7kp_=}=}q+WhPZ+JCgutQV<3BJ*x)(Frq5)KA&{N9lu=`FW#=uWXBtMa zvz9V@436yC{j_LA#yWZ(Wa@E({k`@w%_hOlUMI7fDmWTzW7bR*C@eI8s0fP9!>e=4 z9d{F73r@vScB>*2R}KcG`0i9X8FEY$2S-9E6hYoAf=d?bourCZlvqz6vbnE0mdF?! zZb=z}oLNy)U@2>!>rF+Nd0lnZ5cz^?eA3!Mey8sz#u(#x!GT!El^SQkE{jiRXu7i8 zTvT0DN1+=QWn|Qmw;A#>a_guP!}^Sw1rRcmWE2!o0u1vrrWcTp8?rL;3#juAYcjHj zpg%Yaw|8wrh*{eDHir<^SnY*2`_sfmh;2KaRxxo4#%3`wNqeFU$yq_#%Vl;iiG?VD z?D$f}hlrW22ayA@Z3hq4Xl+ZG{UPG-)C;j^cCBp=No>7GXVD9uBurjdGCeNB3}k$GA3 zQM$dDv=%Z52a0KHHMgX@iYb4oPe_jyGyc*%H_401j_UoU>SB5V=dAuDe(a>R(vLwC zsJYl_C+;!W_i)OC;}l=k54WVQh6>6#)36?g^3ZD)uf@ zRM@iIg5ys{9@E&1+3OO;rF=2>@5FhfK4Okj;>OnUHdm8pN2NS_Z6}jdy zM0lY*)*L{D7AnfjBZvXIqv?>nTaboE)DD+9a9)* z$uN+DjsFPt5w_Dcxq=;#+g8Uyb=&^Frc6*lI3;jx6O(J$^SG+S1vPH-IFl2zYk2dV zzfD|QH=+Dr^9WOUQu>pqiwl%cc)W`hN4xAY)+%p z>LC&9G)k>jitHAvW!n8B$Hf{h%0}D1R8Q9)6*;`r#Ap>Fdk^(WZH>suLu02^HQF3f zr&^`94{83ZACj+Ltlih>n6L5B?r5}qsLt0OX>@p~iPKgz+Q+FkX!kTa#Ub}fQw;Il zWbNT%)-_F_c6+hi2Grp_Q0%xtOyHk^etoI5#tr)Qwo+9s z^y?=}57x5wYl5ZQYN21hNV>1qF(C0!tLjr(G|9M^gA!nZEkV(WdET{YC$=M zVY(ohpJiq_E5Z4&?uBf4Y}jSFpDffeVJWpp{ig{fM?1J<^*_n@mgIiMQ}sC0*cN_y zq^k^_+C&_^;|{TPnxcezIG|9rAka zZwcy}ToMlxf_xLCZ(%n(y$g%j3d0N$+hFLQL;oE5=g>cg z{wMoY+($Mr77VLAa)jB!9AH+=X%#mfcs%fU;PJrYfyV=n2ObZ+9e6wNcDosN?T#>8 zn8S?IUDtpAeJ_P0_GM@C7&T%JJC8@t6i2gB2BSx8%bvZL7LAJM9U@#wV+8v}xRS0E zpxU_^)%A`RJDD{*1&TfzSG`&K@A zGvqU6f|PKEVuUOzCA3n$S|&<~tW>aMv6hr7lDjdbdU6%zps~0*prBy=Ut8K@tHTRC zJG~%LqY*oBCREi0U9}Q_)WoKWk6dQcpvi=vpmv zG4VlrBA2vSwM~0Dm-dn{YR~0TUaF33J8~Hw#BbU!a>*X5ecF~>+%x@Adn%WDNOehj zHJ6!Byw@J@CFQGjXfIjZS~-UkXSKMuI?qbn*y6I9{Y&Dc7O&OZv55;?oL6(MC+4&a zTkX6taa{}l9UCfxEk5tK%)}L??01~)iA969eL)HIe~k;Ja*HR6H%TWzCH1fl+C)QU z)XZ{vrRu!CWYEFQw}b9yTqoUY@vd?mFON1)f`&Z`L>|+ZA+t}gneJ?ij+#8g2t-;0 zZ;)9V@`?66(ju-|hIO$&%MY4kYXj0z9de6wXDm+EE&BLUyDt7oJ*AWp##^WtmO6&H zzR+_^$zd*heN3rs82^CYu9V)y%h89FIyAZdr1u!~$qijDUjr%a$mI$L1c5}at`H<|*;i>&8{hliEoejZ>PZwNw5vE-6WD zCo_$+kSdg;a1IvAr%A*E2MJmNzeYcYL-*ul>iI$s)Sp8&NY=o6 z(BWLF`&$@cNitB!t1YIW8q!c0S6L($4xCA0?Ns@jM%VC$B6cgri&qYuOd)@QP}WW4 zLip5hJ^80}wly9@_@5sn$sahM!c14aGqrY-?h?Cnc{Q9m=YzWSHSPuMJe{P*rGWdh zZhnnd0cVUZtH!y&`KoSB&9I@MH}YY^$hP1&idhia4!4t!{m)s_BZn1`(k=@n{rxpW zve{4UbYBlZQ+wML%0O(pi#!OFcq=6jlzUbR2b4RY6j~{9pp32;mW767mHi!Mp@tHI z({iFdgz!JD=&X+;gp1{k^?^j-Vntv5D8l!p{BP)N&w$RhcoTaTbhgD4**PO9gW|dD zBIs<3XH;iEXIs3gI=7WHSv;kBW-H}e@sjF-R&ua-76M1i1jzNK_K+L6UI-l7HgJw0 za71r#o{hkf!#oI)O+r*iv_YAMs8HC3iZDclP&;91y$=+7D?B098_ZA^K&m&KQ4ue* z=?tw@mdo5aBP%N?hzg04l@kyZ3QMjKA}SPeODU6iiXv`RaAg!xaG-L!j4KKctca1> zi9$~(SIRs@ktZtbWQ<190%fYqwJ~f#MTpF?G32>&vCO+M;(3J!^m&6_mH9GGW4LQY zoXoZ{biZ*IL_VP&uOBf8ZG}&$&@f(l zBGz$%%2|5Z;#2FFuDoh4ikenOd23wMoLEP;H|8~`)KN9Y_08e}(iEelIjw+l$2h-v zLIF9{nAMzGKs{qz)0{lSe4rjCJ5P(dIBpJ=Qe0l)seww{AhH&r*()E_;x@ z)OnX~?VwvfzKf*~^7^^=bjt@l`#F)i!a;7o^LgFoK@U&%3SH8mt0(t$*0VCTjcEo4 zMQw$Ody;u2xnlAp@yvm31fzr3qtE0}%LASuf1%bijALIOD#LeO&w(3+{bu%{ZkI5@ zGTEOr9_ax58k?aIF7Bz=TBiEbZ))b5oN7FWf~U)GBJFR*ps!_81MM4xXeTrKzfBHN?t>867s zhohPp(>9TPp?alhpUA0DV`tid(mm=_m#3RPcYK!H)Y}oF)5?^34eSZkWtePn0gM@4@c{E_@OMi(Qm)}nk=|6Xusm4Y)r8r zTV5#(DE7}*+>(tf7Cw-lkcAfqK2SWDjVtyYCEqXeD-K9toHYh^CohF^wrh9#Qu?39 zQQb*PnO;W6?#cbMBgTksNk3z@(Yt$UKmD;Wp?hLKbDS}$dx|IJhH-NDq&mtbI2WCxQg}^z(SlT>)zV8v*amSSDT6II20U)jikykZqIAd|Gy84CTxOcg2riROt?7Km%Lk z*Br5zlk0QaW+~uNfPJ>dP!5Cg9Vq)jSprJ{_`2)vOm^;<)OrbU8 z^6&)8Q=-Z=tH!oGD5m1I#C4#e)9!yc3QXiE&~6e1rkt8#p3duaD}<5AQJ`)ihD_5k zNkhn$81jNSBMHJOkDiVsh|l(e_z5bed`67?}Ko*(Kw|BugYKzr=58ZprYj?2^!DgoZJ$)q^ZtD7yD|w8TOJ`wM#Ppygxx~K){_Xig@`SMhzL}=ng4w`C|x_qmdTAfmE!`18+s8Z;=)jtRhrciflS_RuumpED@8axgL^IsQYX@pN$ua6W!JbZfjOLL*(@DLcZWkQx zM1IkbV7rL4Qmqsm5Ybj@z7p&bQQlN;>G2qPUq7-l@}ZnkA1)F-gyvVYC~Uu6C<_*a z?8jY~08zvzdVnD~V|pFqxWPRmzm7iNFeW3rj`^E`o>5qUh;2YdW&t9$E*W_Rh}e$I z$SOd@b_+yt$%~1@+7oR^F#1J%xsCdgxUN0dh6JO35G6u_(RM_MkYMx;qC`kAI;lNX zh6JM*+N)*ceBvi$`rGHLY(}o%EcQo~h`bEJqI3i4&xt~XBf_;JvoalVT2H0@YTG>e zwaPNe;|W5=E8ne&yQ;@(7ZM)bp$+zZUKIK}TX)Jwd?NnEC*l)65%Q8QosLbGX2iai z;y!~kCH5C-aV$2z#p~+A6?TW!&1Jz={ttyIjEu`W0rnpi$fFp7kz3AwF$o^7q{3qp|st{a^h`Zyg&7RJ>+;-FTHb*Z9Iy<4)3AI^JnYrdmP$%kM*HF z)OOc#`e8lx?JhSCcWgW|uu#BGdPnC-#@y$preh-C9Vs;Xk&6!{|H*jNV|#cf>UL*-KuW{SIa2V ziIXPkAgf$-v|_KBo)S=~{K4#!;#Y_$utQ4F6XiSejRozENOAP5A8U|Z>t_@+dHWtM7y@WlNq8K z(q0geP7_M)X%X$T>MQLv5oIw^uRS4RELNGdmqp~4#BMDLSG`1i!VVGDgSY^pTBe8U z4N8`h4iRdUETtV%-PT@hq~sH)Az;bKSH09;Y9v1-4r$Le(jTfGBG;E1M_fa$FEdW{ zQG2nNbd9Lgo+-xuw_Dol#gq-i3GK;Z#s<}M?UiEkM`FMBd@=o_>H%_nsSM(>R9nns zsQ!{R_mL`zozliWTBYi)w5^YlOq`Q8^f8iEucWPg2)^0 zQ$F$0vd}vGPD$UkntT!`{czvex}ULZ0j5c4uy14Erx$<{0r&vmP{3Ky+ydt>b!(zM zhJtR%hsna)f^R8i$>Q6>1Lb37!nV*r#YR-@j65M{%0kLSClr%pab;l(>?1Rp&0n z9aP8anff>N#m~e8znWK?o*ppxV4qA4BHg@XpB1 zWV+$JRvXK(Q$0oI;8>CH6G>t`rmeNu^Y~jTxMrV>>7LBv2HTAMA*a2ZprpLPNKsI_ z;*EJkqu{PwZ4PVnzpJ=yj&BtHUyQwXR8v{_E=&@V&>?{wd;fUW z-tYT9d!KVc4moG-s_i#&8N{?@1!qTXm_+%3+ca;U-$b`LD6~w2RaHgva_-56tXDTl~soIJiGwQ`E_n=ED zKB5+dk+tUm;ef)X&gpO5WvUn(5xP^EL5f>h^jBYdD> z)VbP-PAd%SJhuzKD28P^Z5ORpP&3`Kg&GAr(L&UBg8u6vmmJXM`UWwp~tVMC%mv!ORc(JGg<(Ss!RQT*u~&57bB8sOG#6^f79^ z=1C^{XVp&4IVQ9fYKvynHvgs$YDR5yy4s*5wOW6z+N)n;jU4CGlrxL`26L+^Yc=I1 z+$6mMu>=Vj4ei*Q5JG1(n4Ls@ES`l-jP@0tGpgQdlKMq;aO^#%N+~iM%(_Nx6ED+F z33jw&8Y>qRIS=Ofkt^YR`@>`cdx*WoXK;*PMt@4?0c|0I1!c%uYJa+e^ zor!iP+81bFpyiAv1YxD*OeFKl*H;~^zc7W^v*#WWx=!lKI9(AJ!|$p)ct+4Hz30zW=PLP>KNlTz!dwfqVW*|cVLjsWnRY4jjh<( z?8MXQ2^Hibcr^G83={iD(o5A-EvDF!2H??fVbIdeB0gpuc2M0eP$inBPUBn0IL!jG zTAiJi0lZdct*9hcx%MB2s#=}-#>63P-?YWpl-liKdq#(sTJGWOkESsWvIAp_Y}?;F z>@pnw^{;@9Iic z*61FG7vPXa*GqT-j%f7s5PU776+6ukttny@yKNC(DjHGja`N2S`$fLRRt@_X}F zh$R2bi|dH}g*=uwqr*31f&5gv(O2mJ^k;J6TPP>&om-yC$Z;a(SoaT#G}> zxK5i2zlT*575-g*4+Z}49iH4tn#;6TE$KAuv)HLh?xglv=Beg)n)WgNR^@k6`j}%? zt2&MCEY7Q9I_Y)@K=xEP4yJ#g?~;(Ti-IletVBviuyuv=8brONmZY0d3MBs^o}OI6 zyyaM73vd9(TaH6cmxPX*u>MKCRD`zbtzs}$M-bZ+96W5uV_(%{O?v;@I@%A`ZB@bV z3zd(HJO<;hkuS#%6EJb-@2WFS#?Dkhuwy-Tre?KR)EnNV-H>Xu^_k=wYj06F>ft4P zKl)a+QAt*l{uH%ONk$XxzS^cFuZj8--bHIN&F4!^wOhIj=F2vy>{|@GO*2g2tUf^d z9GfAcPZbK+7L6%)TT*qo$g|w?m3@TiN3;Lu*45DiV+TZ2ejilLDYZCfXlr%O)b`}{ zvo4=9^tl$h1Y+GHi}7}}8V$pOS3y!p17ku;SzJzmz#56?FAUPutjEGk-586U3Ui&< zF*D$!Qjaj++S!(x<8M(9vYWBvw zTY7>8I6|PN!N)@9;c+q?P&(&*N>a6eIE3w+H->{%rXtk0Sl{R+<)3et*C2 zpR*6y8+uM0D<3+n)@)ju7uaxNhraH?*=J4-ZMN1r%~^Yw{0lpLb$8EZxaPOnM(M7f zUFX(wVMm(o{7LRvG147~3#DRx4@rBWP)s&jeo!GC=VIgKrx3Zg(7b$l=o)TPYOb2> z&Yhc@rY7y>=B8$=Dbu+XsgvFGo^i*e=DU&E+@e&z8)-8)Ej8=7UIsTXHN!;zuG%Rz z&xAHoZIL?31g?xhsW~QaWi&{gQVmx|uhfESxH4LIq*lX~F{~rA8pT2MJJOR-G1{#o zHwpg&SRH9e)I;i!j_f4*Jhe&3s{K0huhHz)b{+g{)Sc>xj;w3+JT^Q^ z?0vBJ!QKaZAMAFp+re%JdxhmF>R`xRqyDTemi9effd2t%01jS)1268=iw6pD@C%rz z!u5?{fo5g@&T_pA9CMYqjxorOphZbMwKE4;LoN-10?ne5q;q<0lC9dM14cv6`JyO| zZU5GC;~!RUPduq%FqEYXMm0{O8mCl^!&h7IRY43>6RTiVG{g7 zFJnrgIW^X0mgA%8HLT-sMX;^0JI?ZsSyW>b!u|tM(zFo#Ag!!1yTce3<6mQV$6{N| z$Qo)oBP+(e#^*Il6J|&#A$Z}?l8*kwLSHUlf zb6zGdP5f;m<2P=?Z`Yw@wb^XS|82$#=+~5zATIXq<>x&bo3Ii}5jLYNsBHwJv(q zfPTBL4W5?AZ=4iD8jYQ%jN^LScoR1ABTR3}W=0eK$5uCmwYp{4ZK5tsTfT!nU$R8I zrks?^{1+<(hPjqIRn$&uJu^==Y``+i-BQ`6@EnZ)K#oUdnC4znGwBp1sm9=w#0|TJ zW=kX&oXvEm&3WexPfAu59<)8^*F&|{I5o$Z(4xds3lCYFU0}K@eFh>k zo#TYhidci$6$V?xErm6M27VS#lo12Lb-tHG53us%?IC)pn-nxLi8KjYt|O<~GWv+}eoK%|YVuqR zZ3AiE?>}@KFwQ=NQ;F@Wco3Pd0za+!DqD$hQH`iI^ieHHtt<_@Q(%WN@i<&?>ck<+ z0qGMAO>b-qvwszMy#`5m9W)vbc|7|6&%;I|Xj9 z6Tb5nZk)JBFN>SFk-vxZl9#=4@*c_`yyY7cf6?>i#+7)k{#jd<<6_(Dd{i{Yh2HA( zhPIWLToUsX=~E(0;+`TfjZ`v@g?$?5l5`enEstN4%A(NWh*eOlw}Lmmc|t8|3_P&% zYAKJ@-wO|VnV%FJ6&`Ce871{86g3*%lh9S46*if!2SgbPt4!zFqG!6P%}EjDEz_aN+ z0Xu8|hgCHwPk^nISd%SZx zrHnGp5utu3y^MWCa03Y;Sw}>l6pqE7J>+@3%8s~mrbM!!&Bz?j62&YEMtRw{0*@lE zV!t(lxT3IPuQ)vJg*19b>Md4V_csq(+_9V%V;by`&SXYs3>Xr=?^5`_!$Wc*c2!&> z5w;SNnpS~2)c=*(F_MjzZ$#-Wi$(C&Ww*ON7vvVLGPsawhmz92YGr$!HVxYRVsSM( zd|)E9!-Rp8S8HW=?Z>A7$z!$d?EaajoY*o-zo5xn#jzdNui2ngbQ#=`P1H7ZVTO~K zp&+(jGr1&bh_)UDA)Q&g!-LYxTkWy9Cj7}_Fy%k-_JR|_xv_hp0D7TOumQZHDX zQoYb6H?}1_kfco9gRqsnLSX_jqRmpqyZBqX4i|R1Fs;3Z!y(Yv+T$qdQH=^pc&bm~ z1q4MurG4i)bfi3`E)Z8Zjb~xob*vLSRL*P8%eQ)A)k)zLQ>&lH^X|y1rP*s%%29J8 zADMS@_@v_u^QwCamtuDx!G7NKj&bRv>)gd1+&g;fG_mrUMnebYiD(Mn_KwwJRdV2y zSAy+_L-fz)&VqlRXY@$NIYysaZ`t*+{iCNl&Y^xf{m!lnEAd8=_soW#CDP%dU~uJY zCa3?Duc21nOH2Hc8CcT3d5Ussje+z_re&BVeSx;v?wnvnMc=# zU3gANpQ2+&wAvieswxSs4BF*(FP6CTOY4p2Un&h~WnVt6U1Ik_IHt7z(a0g2ATOuW z?IvkrKc~IzMro3{+JGASJyxTmZAwEEd>0DKTsR5XT{kJBwPSZZsmQ7wyX$^MX6-%~ z^dG2mH|A9!$^A0z{9wnYEaT{a7K^7=vS^1E>!<7q(UC2zr<`xmqydhXm*v@-l!3`h zmz?W0HrH*Hz++|EEk44VVjKyz|bvpAi-meTAou$IH+~CwnEcywq zVQNmTzPT(-%i!DGVSE;x!AqIv6=6JYbZSAjxsCXp{P#vZ2WE!qiO!?gZTN+24=kc) zc7(0Eq5W&{uPTos&tjh?f*D1j<%xZy>ze!ymp5}d()#ErmnWh!3_aR+Ahdk4o&F(> zbANr9$wRTLc3COwIQz2lr#ec$Gt4aC;-~PFPQTYFS;*0Nckt_JJJrKFvg)aM>d_q; z_4GiAu~SSg9@5-9@^bNz#_pJui-)vI?etR95Ac-n)zK2F$LOE)nJ3nX{sZ3&bft63_&8nbh*w?qOLN_pt~g+X3G7~s~B zr9EFhL-6~+HS)!x$IAY$&>)vjrso{L(c&&$5b#?T6qfbb4my1@t(L5$I6~FZvOv2V ztbk9Z6?Wffmo8rr7(%rPs^CwiNz(np>g-ZR^#74b+J}rfBU+4-*auZFicIS~?jf&G zcu-yweFPpRCk+|QK=QDYUHZ?FJZ!=M69Hzl0!e`~qJ?^m(|LxeP7(Y_3qar-*2tFy>ryx_C8id z30;4?I5%2nIxRWXb;xO6RhgmmxX>vfVd>J#i>eo|sDFLxQ~tLvi@q%%{h}g8|HF^` zClNPG|K9aa`|$7eepyFf< z+AhN%EMm#o*3V*vvH;1@ygmtdMNxw}c6u^ROf%Px{8N0f5MJe5B)bbwhtVdBpJ)q8 z$^RA8B^I8u9IpK~eO)mrlVvLg|c?zlhTU99qr~P zWn@&*)f>Z$X`a9$pXE($j%Sfp@c7LsEXp@tX-Rag9vu~O6Kct8d9zBmwWN4nR!LGV z^)N5b?V@)IDwaDDg1JooTbN_LN4D^`hN?9wWrR)2HMwDV2nDUuO4b*n2HYsQ zwAC)1{crThPEtC@KE|}vz0vzH`Gk5Tb|$UvFz$=a=xC`}34JBo5ko0OlE3+sb!vNg zODi*ku~f8A!7TO&7d=-P7dxL3EJA_lh)zm<;2Vm+hN49Vmt5@m%GA~+E!M^Xj4{!^ zEzDfp{8!=Glif$12bZ2vTOPz#)V3UrKAV{(U)ki>;oK0~5!?`3!i!j-O+;B6ABV`2 z6RrBWt`CKCcq>ZsA`-M;%Qq@bQOsi+0-W}hA5dP`%`TY`QKY?Bu2#;|g_o?r(Dmg# z%ALBnk|_}t+BfBc(VnHot)30sj?n-LqnMSKnsotOkei^>XoA7T+Y|`JY@d=7+qD|A-1SL07 zpJ>8^Vw&ho4J~N=T>VWNk09P$TASD(DGZJFN#<#%wAc?>`O}j&Y@w_IW^k{PMra&@ ze7~C4AtlA=&T3_DsopgvUF8Vh-AQ(|_ZmiXv>mllGOh6UFf%I&Q@fxPu{-;fmNn0& zHlUWZANzrFc#XXuXSC9&hB@TBP_Wr0G}HZE)m@jsOxJgU6E0DiSQGr{LYZqpRmJfQ z=31^+&EV_LW$;v!`6hFj8r2d$xzEB~mCQHlv)rqi&!_b7p&3%Tu;=Nvoc;psIC|FFEtVw_7kUVh<7Ej_}^ z=S)?R!q+R{jKESc#>;y-BD_|mW`EG1u6_hR*mnjug@e>u&ZHP>uxUD$d=hn`CEM%F zk~wXn5o(i?eRYO6ERQK46padt|M0IS{V+uW<*Eifc2>;T(qz@#tXHxt@|dA|mj_2f zs&`RrBzE$acEj!B&C`!gpXD2!X*J39vniLJ44z%NIjZ2vO~avw3*J3u{`@xf$LEym+n=;muKD@p zvfGcN@jo9|Zp|Iq@L^JcZpzBg&p*GMJ>M|q{n8!Xe((1$z4`NT;HEE*FOBa6E`1)Q zt^GL=TKo5mZ;z*p*wFSebfOX63GGnN+;-8s6 zjOkce zpD#

^i3^V_(Y-+#W?8t}ST`ty6##oNBCE8bM4UB3BmPHnpT_nOj|(?!<1ddeh* ze_Rqvfbp{o#ecm#v9<2Ep2^~ZTVsNPN1mrJZ{NDbZrk_ST6gM$Lu^vY+hFzEmt)t| z)$~jgZzDRJ(Ro00HeIQz+W}!2gv@Q|WDuR5=!_&fglRF+*@ccNI$d=$%v!v!+Z8QZ zzQOtA9ujx%L`;4%WS#imSvHI@w?bT&?3=~yOWd2>mwY$6Z~Sga7cs}mS;>rVw^x{y zI+^~+IFm`}Bpf`n^ukZRE!Nq!-Zl0g z*rS!VUD&T@au57*6E*Ee*BrS19?!BdPbq=YA+0-K@0{Oor7sEyX0XUdB!6lbBODwL zvZ1`-euRGXSh*|5DBQ}Y)RNy~XMUF#r3d?KbcG#CZ_^zmM%rn7Mum%yAVo7vJEAmv zFt?s`!D6N`P?M$gEgdzOT~E2d*sMCGFzO9FAS5Yl29wk26rO1isz`}={z2S3v{;^f zP|_XhMXG4QmbcVn^S3zaKbg11kr&&)sTiw(mkRe3lUG?yRC#rpR9jtFS$C4F*)N2p zx`?3sder>eQmAOvOR}u)$?`DX_pje1eaez81#0)g1I5&Rk_oCKD2TeF*mR$4ly+&S z)isN)*xIwNbAKp$prF*bhQn9YC@3Y@@CV9WMQQHh#gOJF_WU*f=adNN!l?kiqFVcQ z&|0MfEK5fW#&^@UNFTwwoSqr*2AK%*@SGHyiO8u8okMeW8Ep=i(>%G1`kEWsoZoFc zO8lm9cQ0v_>q1%Y zZ<pBjMB!fgs0iSl~e9CCeYp1Q{l>bU@>ijoOIcNG#vJ|Ud0bWi$lwk^l$M?`ztLbvQ{IeL2 z0)=i`YElJRDocqm92n;1jaPm(M}BZqZjw? ze#Cs41Y6f5=IfX`wdABV?FQpw%=Ce=jV`NDBX@&*`=DVu$2HnC*zyi5N|as1YV?nT z2ee6}?lZ_M1LWB z95W%9lIt`>Xsq!osi`v!uv(;aFA6Aje=eAS;=98KJd6FGi$)cZihX~Ox5`$_cV_B8 z#10+3#TvP!lx&@2$=*z(!}tlmTQs^gu1W7cTq+h9xwqywkwcNuEUtyt?RHPNLla&i z!#)SwRynW8wKZ=pc`05Tl3S?V?k9z3;q5toF8wod)*S0HJR{DNAM7$cBN_W(#OG(* z&er#BTM#>Z>1gE9Fn`0OE5GZU%A@VbV>MIzgIM6JY^~pE1lNu~X#}puKga1O&Os+$JQ@e2k^NaGmOH_FbOD|Ar zf)bww`3Ug!RRrOlB^{9{aL=0Ho)v}i3~|pI_P}X$j3Na0Ea|P}sC-u|<6Pi;vZHv1 zYOT(yzowO4;WwYMOZ-T+UuV|8t(933@P=Fd7(kk z-NqM~f2*Q9DJGVm1uEUDAZ`Q_fHV}=m0Or_%mr^X7q#>OgF*fWS9#uL?LoWIHlcv>CZWq;2 z+AamrSIMTDC2g2$Hso;8u8si=1K5B)zyxdo4uv^5g60tvMe}HOqIvXh4IAM(F{z$v zB{`;gtQel@cc99B;4eX??mcP3llP>nXs@F6Mf>y83(8~y8sPE^$~`pz`5xqZkViot z1$h+YQIJnUJ_-3GKwfgG*>s5pxZG^|New{$1o;zWGstF;%^;gWUJrTwrDK%! z8sPFVO0pV&Jz3MdGyg27q>Gk?J?9O69*=n=-pve3bNrf?QbOr*DXk$;z#?awoEM; zf``^#`R|#?#%L;U=`z?Zo+$sL%VfL6Uw*pFC|f*Fo7-Yv@42Mvy(Ss!7G#e2Z|%4i zdam0ip-dB5BIz>EmQb{5r53p!W`cXVpkmkcRVQ^`t+{rTf7PWO`Sp7C>hV~%AWsp0 z`1_GB?`!h23@q9# znf>YMnH`}hgB=cd0Hc5aAQEr}f&p(}G~f=51pI-qfGaQp@CC*Io&YgdAWCa`*RSYGWRUA=5CV1w&df zqyiLY)U~!A>5v4o2h5XTE&%=j<#^+O_C9b5)a7>uyCXhAS=~6`Ep|S-Umtl{XUOUc z*SAxjOS=mj+9^LQmZ^5RIMxNe6HygGOhDye$oKSkM#i*tN5*?2?}I12ljSO zS03#hUWjC$lTpmIfN#^pnvSFeR!vsVkhH*|iOojR0wjyxtfZCNba`b9ChF3fvyQ{l zCZ{Tou+nH zpM|c9F=0N-tETB9OLDu-lf`u9_)ccMrTIR)|Ln9`ZB17Mx3nIc((Oe#S@2`uBian$4S#fyl7D z3cVW^j=~X|c&*<+a9B}etMXh591fgrHxEb2dxsiQxJ)RQdFh{#{wd$#MSjEP z#VqBUe6Y}{Rw`Jn1+_GD@o@QmFJlMRGi9tIqC7KQZv)&%w>IiKu=fitt6yqMOKIif z>J1xMGqEf&w)M(ZKN0IjmkMfv1*`EUu9vbyS2+ssO7c3=2bvsgcklaj>w zEOo1)_}3Q>s_Jj|wM# znAbe&>!?i5O4}w-MuB1r^F)}R!#n}zkzYr3S*^643-c0~$H1Hi^Bb5q3?g-VrEq0Y zWLKb76-5_VlIjNsIhT^mA=C9@jkYn9yk1hNENx-cBjS2|i(S2?@2zEG;ROvTOLL{n zAijzGKr?$|Y!hj;CVS(ACdz5e@{RFx^_FSkHm1xaf6>fHjh;&yR^;pDbrLZRW4!#9 zAg0073#)QPMWJ2+pQLH3DSz9y!_3ohU=WXe9Z(4bwOT%>#K}(UX5Ps1&O+_ zu!;40`(>N3rf5{}eT!6gj61pHWc!xTo#)>BC-;=SyKyQ^E^RkFfPnn%?WPA1kdFwk z*$Bu-1lVi@v*Ld+*-Yfyzw1LwdCu(SsmkQ zNiUG7I)ZOjA9zKyML}+jPS@v16SXd-Hm#ZUW&w~DMy&Sbr^4SHV`|42bVpP12{XkzJC29Q!?8|dZ^xukOw0XhS=RDU` zt-^|8feFP?{139Jj77o}y-2GlVTw8hONrzPk2v8?%}uRwuu+9~oahMlPES}HUtl~@ zo+^sy4fLoY6}b#1Cy^lY2$4|&a~+NDkk z8h4HCs+kKfE=ru_bm8$j`Xy{XWMV1O^SNlCh*anF16z>eg2wxiH=!blG}B}iV}de$ zz`V|5i?Be0ciK#|eKNN8a~(}p4O|17fIgre=mroqu5t`UMe1ob`tJebA{+fmO^AWj zB>?S@^|LJe2uNmG3^8vzW!eB%fCIp|?KBv=FQ$1o-~)sM9>6Fd0Eh&ffndNJ7!A1Z zQ&m(TBt`!b0UU7*+A(O)qCJat1=TiBJ<|59QU3lq=FR^7=;l71>w0 zuvpJWx<>w6v3@dho$95+Ff2BNI#W7B>(^;l@1I?@NPSvZMvYnU6ec>0 z(YbGd&P1YPiOxKtLvZql4#9zsOmHZ{i6uG&XByEVIFpDD!C8dPDRADOcCjytOxY8A z?S-sNe0p!f+PXkOy&CEZ2~cyO{$fe6G12?IggOc8L%eWxcN{wN(5X0$VUHvje;%DN zVsv(+bA*^^Gch6{r?Hkhcu_m=bX*IW!E*b4~KI)XGFq)>un1=3?5kRB1Fvmm`C zNIMDA1dx^zXS#_{6PGlz=0@KV#>;E-rw|?H%WH4WyX<`MZ@{f>e>7#Ta3FexZ#Sg=Mv)63oKrWU&&nT`76ioaXk4$(|q)Gkpf>VG7i52{i@kRbEhUAjY;}Y?NfZS>!rE2H=upQYE_Fi&Q_ z9MT?f+37emanF0vZC-fP;Ms&1^qJzaRVDj~js%^P=)7Qkb^O`$`)N??J=0i2<~6q- zS4wMtGz6x7T@h1Zq&+hDr)c%S37Skd$Mnbgz`#tq0)DgG1+jM5;2FVQ7vAx$lG-cz z0cjR1Rwq1oUCEQ?9ASA+J)gMe*N?C2epPPAbNR|~f?R~z@O`;+>K_Ye8o?h*lo->Ou3var7%V6`zAp)b79GCmOb`<@L4Ubv4^=+%OsY%P(tMN3{L(Tg|qQ zH-^?sh@0US@!mFI*tWk0t}ST&8)+;hgS|C!R9|1%Z;XL{i< zybblZmqoa|&q3+!{a(XQJsY-dt^a?`%;Env@uB}Uo)P~w^qBve7rfy+_;Jr$e!Dhr zS={rNoBnH@H~-gUZMimYw6%L$$Lu}$9CqQ8`tAgw6~gcMlxQ;6aU1;gW>-P60H5(n zfBf|(;6vR7%hz=L^)7>EbG8nDVF@_QZ} z1P*9tGBJZY#$*!$G2L`Rz}&N4F~K2Ba1~=t;7@%wAAe3d#@Q2-IAfAT2vdl0aTs?3 z;|_zi_&mng;yV7uX}|ISB^0xr;em1pl=%eZEGT0L3ck6o0zg>`$}2Yr4iI)?{>At@ z-p#-PL!tPLugWXpde&mxH)0&VNUun+j0JTpaU5p|=9pwT7h?h;Y`~c1_yXR2f`c~T z+xU_Ae0v~##SO7M5wrr(Qiw6c=^rC#IJb{@;XTN~G0qdyx`Pr(P>9n%2ueOdIRi>4 zK_O1xACv{M+C$5y?3sIah0FAVUnLL_IG|i0D4Rh!LQq^m$pPg%1XBxGcETb6jfOEcerYFXi=p@(iZz5H0Q420M~N{b zA2X)F5(mM?JFR|pZ9?gRP8SXKoLKv9e4(g^VgL#cD7Je+@f#F>L9q{tue?2BckgsP ztD4nvmDF}AfIeh()-Gu5lF{1FP!5A~#I&uH8JYn4we@*sbxasTmy9mV?^~#Jgs~DM zu5HLO%d|La*FOcyKQW3&7%m6U{V*ca5{48QZox1ehO3xvvKq&+J!{uI98+b$_zK36 zFwTMT9*)(7DZ4Obb1(>#KzI&oCIMmL12I|0tDi?Z$KCe!U_;RfzSs+7-lF5 z!lk5wFttXP9?z9dvS)iIFHXWmmqOvmz2dTy#w*q_|)k+#tj zU)Tma7wR(n(|Y=sI~1p&h=Af9q40#F4GJ<8!?{N9SS;`MNeO$LQl{4Y=E378ZfCK* zXQd_W*)zTr3U?@KSx_WGF$;?6go0osL$M4BHWcXuWBi^yf6a1npP0>+(3TO` zs|W1`Xy=I2=V97FVkYABeL=}5DBD4~Pf&={p9snVf`ZfkYYZqo1f>C#RfIqsnfODQ zgJ0nW{xtA+;k3UHCpQz6NPM419Oj+Y@N{O)JGb53E1RmVyiy7DA-V>K;ek7 zKL~391Tw6Z7@Oslc4Jyi!srccyerH6th`^eaQD<-j&gYxu|-<@KGOr%)3CCk_`!xX z4OX9;Tjq1PiBU~#@9)jYdp=xT4uvfgb6HS$dt@}b10w-{U@YJYi~xLrF@Pr!3IqaC z9vLN0KoH;sgaK|q2;c`q04~6Az{lh6hre$qk`Fi?OxRX6*JV2SsI1no-8s#}X+IRX zP%I=AuD3$}7|#9bkr7jqf0SeS^89i}P0v9N8=YXH!$HRoovkc%yort#I>U$#!5K|- z2o4pUDFnwIon0QcR(!n8TYh`_nuG<}H(4(yzRq$vpF1{w&(?#RdCN~{^Y%Xa=hR(Dj_Z%u-ol>4gwZevSdVOFIlK!uNE17vN_I5cEbEO8U z<0XRz$1NR26HtqA_<(n@eDNhsywI-X8?#q7H(t=I8z1E0!z}ITV0Hu{Tgf*Witoay}oV7N5V-Y19 zqwy-)YHj+)YFe~suvNF~CBY4>inw@G9WHX|#rp6H%`Y8!Nu;rgGT}D@yUT=hv<>`l zl?aFhE&{Ga9=+}}1o2oHsjI^_%OVxC$e}!Kh_qZ572_D}7~yVJbwL-{e>~Hmfgw{K z(=F()&opU(la?`@v=o^}`PkvTS~R+x*Q9p@JG^s>+{^Qt$P2K;JF$g!&i$V7lqS4? zeWrO2c6e75x%PT45q{PLAQam)lC?q^&p)ENT;w?DCJh}-x6|9jbEof$cc&MKFKVxP zy@o#ntHOS|eTD6G`wtvXm0stb;Z&F9LDtq|txcp3CbW2STC9RD`n^-6YVK7PmVYSh zUkStl(|}1I3WEsxu(8%dc4MupF(eK{rg3e9CLgChQ9mm@S8VPfHAAvW1CPtudLxSb ztG2aRDVs8l3zsxegFNq%>ul$cuE|d5{SX7yC-k0!W(-;zuo_fFrm^-d=%r26X19Cf zK@7>XnM3kpzO;Gu%q1`O{J1@H8h^4OZ6>5dNO=7>{kT1IM&Ufa5zPTxhGjZlHJK}( zP8)S;IAurCHo^6lYsxK|?uB!jESvo&8+HZU(sYjDo(WsNKY7{W3>A~^?-Zk;^?ypOT%7Ryfk}J@ACIQ^%M4tsb3^3Tf4{s<8JtZ^o1a`fyA+R z`S7_(&Ea_gUbjwMU(G#p@^o{;{ZSkS)C!lpN4ZIR=IoHk%H}OHBuL&M?IlRZLE1`? zjuNC~kggIWDoBTknKpy;B?MAr9&9&>qm1A|7W#YM-qbrFnA%MbO^0yv+AOB7>@1D98s z=^_!8M|V||gHX71x7sBr+YjD~mO;sWRHk}(5Wh)plco)8i`0G6yM@~`jaM;WE6Z9) z*DP#RNiD;!S>-70T1>AoAE_LRJnI6N2&hHoa2yu$6lEE+k9bkm^X#dfiuJ-x{P2VO zK7ZemyfLHR>?l^>%u21=-EYuN^H!#g3(<4uxu#}^P@i!}q~_<6ePmbRL`#(TjhR?F z8mR>IK59101+BKnE&snK&idn0Hk+p!sjzOH%%b0ySZbH^ExS>Y!WM4Bo4hFVt){E| z6?Ux|we(YPLt4UTbTf8&w@#|3y^)$*ciTG#^}5|a((e7H;g|QDo)IsKp28ni#>`Nj zSNQY>91u7t!dp}B=zW%L*OK_gA6O?;B86Fb!X2u)Y`M0k+%M)xxu0q`HhKIS`2DTL zCJh!7m74}W2z!7?#pv?H<9aJKr?s<69m?bC%^TSMszo|-|G8F+b4~%+GLoq#w5(EI zRj|u>xkmZxHdFIu0B4@k7B|!mAV-1q=i~IZ$i1A`$vDTE!2BTFYuLk?A~09q)y9^h z8cnWoq}6>viu$8=QYmFHsoNlu6N+6UxU!cT!%@TNg4HR(0`omPr07JT>Uh$B3#r zuZwR^8PfkGV`!_a4b7yxRL;Ths@kn$1k>!;(^SF1W_Fw>D#Kt>J&URG4*nzCCa&U$ z$x*4d(~d#vFK{_E3pRZUm(yHb=*FBR`Z7crA3-Hd$u_69I;xTQfm7@?5*5A^3D+q9 zRoWe7ve@rqP&XLKHUySM&R*VDf;9L};P#uYwoIKoM7e_GtmiUmK1j~0$V5`tO4Y0) z_b~ia&BRYtQVXpfKUK%zjD(*mADO9K+J(H#6Xn~xkeAtCzNQO#ndiy3bRjRZy?lKa z@-pYi8@iB}d8`~sMQo%i!rf^sX$bb!3=nZ0^`U-tHrd)|B_X8LB zUzE3j5x_BE5%3-`24uhl;40t?90cY7uK`%JmffYE-Hk{^nKm=R_b^}j< zO~7s781NpD0at;8z-wR!@CcVznSQ~>WF{tC3Md;Z3RBY~gTj0qt#M5zxSlnL&7nHx!JrLLoQ*+6VMDn;qq;gET=2~Co@8zBBIX6 zr)rkMWiTTh<%n)8%iyh|>hIh3gwlo+IS3q0#7v#a-ntMR;A{ z>?*3lV{pP9vN3O7bHW``rR)qs9_d@eU$u^%xNl8W!#fT9IO|l>-X!hg-lW3mWgg9m zA@qYh@A7>pTB)FP1%?YLh+|02H8hhPR8B1&8Rl}B6t6j04G%SqVhsh6S|F!WN+l+UXoP$EjqX~$}7M@&z+l+nigS@C|MglYaqzW)qymf z7nqtHVRS`yIhr~U*67usw^_YO8`5c8AMmwmgnE)TsgqOh-awlvo2eWPmyfInIG(?V z$rvCvdgSZh)l87DDIa!+b)+g=myw!tj`j+hoOuJZM$aBNWaP*N<+dTLoM_h;c8JxZ zXeJ_T*<+$dv@kj>+PS19%p`yjAB_jp zT%Yxb8!7F`uGgCf|EbCK@P_mo>CJcXEe<@2)OTZgy%tvaa+6E;wo?yVo>tv;F>j4~ zO1+Pyqv17~(|F~YLWRdE+E}}#h=Gg6%EqtV=YL_6+1ckrfusGP?b=S`C zG%B;)DqNwSj!awlfgOcRTgGQ3S5@Z~_PqfQMGgu|Yf72^XPK{(qD$;Rc$ouhifFBR zq;_hle>pdozFhKJwOdE)uWMyQ1k5L=a4+knU?1T8?rBw#*aw)@865q%*IN4$*akcR zP6J?tPI>q#`;Wjzs2*%_zYfvtQiuG2wOT7X~>gjRP&q&Np2}-Omh?8wlS`P$U`$m$UsP`A18#GC>*d>zajDyBk z7<$N#C|Ls|2YD5gebNQ0kWR-T9~z=vmlmF}Hdw_GDdBc|jTNi(3uaxjx~Q}Zw!6lD zELx_c_pcpf40*3Y;$4I0=xY5+SWVUrl0jViWnGW_EL26KRenyE(au@>;XJIcBb&ttPH{{9N)5 z&5UMu_*{+z{DHB6D*#{2W?x_o;0c5RfdKq7OW>c0XzRn8dN*m+MTJ+GixhPx!ooZf ztDY#_!kiNYn-n2o?pN+4M)l31ZA8Vt2i#>FQSomym$z|JI{CDEmeZ*)`=^YVRp)d` z*y2U?>0>dZQnPl8%`q{2D$6n>W-8y51rG;>g6-uIK-Flb%YQ94O_Yw3*A^Qm%C5!? z>olunpQ>7@o1B{8jo;mGF}wl2Mz`g{+v>!UGnv#I76(<^icG^MG*M^D7HjQV9MY|9 zquDKtboP$ukuAg0IXTg$E$ln2N74Q*_IIqtMBBG8@37BCk8QEN!&wncY2kz*nU`ye zV~7#Av1n5yF520A zR<5g5Fb6SpJH1GUkq^fd{!jc`TBu~W_IYE5b_;M1I0<|L)&ngZ^l@>r|T`3ImS2+Fv}CG@o=2be|&IQgln8&rlz>R-kQ>| zA)A^n2C4xmupW>A>i`)5dk^eAu=l{;1A7nbJ+Sw{{*`x#{5Jj&*^vvx0gxRb?}EGw z@-E1`AQx~C9k{rA*a?)n1?&OlGs6!X-s#i0%gB#;%gB`75rPIk)&;*nVz$!6N4M^YV$6<&o=(_+uhV)`c5{8Vz5Y98hYS2AEVZuBf=BF?xR;OvV zTJKff0geMlf)fRgi)zWYr`3|%E_+dDsDVp>1b-qHH zF^5d2SE4p9r8zZ3U&eLqKUHjECG#kh6dV0OHlnmnJ3nM2T38y8>3oCoQ~F+&*lF2h z$*H=l8`m6{tA7tKA7`wSRbPQMz$IWG@Eq6z+yhPmOFA9;EbNuZoeVfbR{gC@Y>v;R zev%$kMV6X&1skXLISp(-_<#eOR+(v3~c{XlV7f0OSS)T_00M0s1+)VK;bGZPi$y}be> zDQqlbDXfisB&FJrV2Anu5B&IY5dx-v!*Y}AL6K2d{19F=|By@Twlq)%d>cJhQSNHW zQe%hAW=n_3x6~QVmSxG;*O|VOj+Qsn8NZS(lM4nZA*@P-B19JZSyg%H0z1F%a2MqUbA{?lkz=v{{JqEbZ#MU56Usfp5R6|AjPsivu|ShW&sYpPWDaQ{D?=RD_p+#mOcUng;n zwH9riS!>NP#``Xrw^w}=Sk-+?^j^NelpZ^-KXJFeg$s-YqJhakBrpMh?H5Z55C(jT z8v{%NB7pHg9559~Z$SP@uh4u2wogmlnLauv{nsA;xy}^z!TJd zrrOCh2ie3wS=X#+rEqx66}+Dz)SB+f0ObclGIyG)T*<3193_R&4qJa!Ce^1kA@ipF zg1QU|^b|;-&y-n2k0HnY4A=|&1Y89E1vUc?;0W*=unYJBI0yU&`i+bo-L%&uyG;B#9YlBX<)>jD0piHy<;^0{Zrt9O%-h1etrv4O@Z>(;A(t z`OHQ46jgqCV=cMFR@dS)8h=LX&&9X;|4h1NSc+SaF%i8&5+W#mg8fU9GP0%5?*rJc zHMAg^I*l_y<`qldY~#u>qj3fh3uMc=2JJ=(p{sBw=B7DJ``bXX%}~_`bX<2=j9u`&93W4~#RuB`6^DhiYU)DucdqI#X&bmbeXH2lfjVzIuGrFnTV?n| zv8MyM3JjsPcfitppg7op{>OO9sp`P{8s0gZs}R1%MyIX{R_=9YYZYpn@u+iC6)xBC zyK`riEMfibKUaxo)rLTmHU1~i!AUOziDz$dd@ZFfE*`hW9>D>D)YtlK@rGJ|J_kH< z2XJ)y5@_0-#0TXwu6ZgydNMR^hV$c3AtLO%OzjrpPHM6Ct}7saTABB^hUcP5wNv>C zOA!qFR+HI6D5Or*es7O+*44%c(}!@k=@+zT?ZM9K+9+Yh5H{NQl^8^J3K?%zi_GIX z;xDNJ%rPBfE~#g`%JWO>@GB*L`Ncz@1fa$1-H0mAT1}%%+@G0@2{3LE)7)NF(QDNU zT(bVMWZZ1s4ckQ*0rtkJZ3%0k9MaDsN``mc^){*NvzqRDTp9NONLj6L7Pylwpp`V+ z$Px#-sZ}xMxIM;V(G+)dRYEx!Ej%|Ju1A-JJ;D?lF2LUSlA3eSq#6DQo|oTRS3)Od zd9UA6a;Pd0{5c}s6iZ11X({EPs?>4JIl=93nbY9=C%Hm3#jywOxeU1H`b>sf>Qq;0 zf5{tA$wKrOHQ+Dl)z0!nuR4}i?3aicifYuncL+vQY~QtpS5Oa$A8Gbbl4CcZw(BUS zJ#0b2%s`o$*qQMi~8@)hv-<~sasTKQ(eAc#4>06(D3%ks?#u@C!*B6fx9?||1 zr*a!!t08WdW|=*D zlmO6|EXMJc!%?`qN(B zflaXgen*+Wj`&ACpiE_l`>MKK9Cnni+U*jwf*Q5n#c$^$&RH$lCU?V<7(29@KI9ln&|V% ze}BL5*=~F#PW!Nmk3GtX(A27`X3HFtyPD{v6+CR;N#(;;PsA#~ykTyrOa)5D< z{Ih6AZ9+%HCG7(yCHuuQ5>z+sM$@@^ zLJuWDd)~!>?gHckz1DPDh?%UrW;!fHodPe?T`smID+pg~q&Wj>sbw*_>gST(xLDs7 z#t`QW>=&=9+0lnw%A912&;%U73Bq6QJbvan`JAQTVPX}F~hpTJf^-p37w$( z-6PfLJlfE0kw%i!}e_`D20FN4po;PWf^{0ct*2i-Am58qVz!RQC$ zb{MzAnCC*{4qnHTQjC7=hLBK!hZ`O18Ec64L<5tLM|dKE3CAObLw}%>bc+aAjEe}k zY3DFn^K6Yx7H4bj*sZ84%ofBRk6?%VKy3(h9Kyao$oF;L0FLo}6%smLFxG(fOfsO^ z3XT3Y8=J-{ZwE+1AD#MTKWF(3@`lR4^)6L50f; z6cgBOe}Vd-{|Otr6)*zZf5LX^e!`9hp7oad0}LQI#=8?@I=9Zp{pc8reh%jdgmVNs z0u?*zY1PbS>NwYuuA*M_dHrhJDtE|W*gN%p*ZQvKAHTF6IPVZ3___(_mpuG^>ypFW zTR;6&Rs9hDIW5ZXFUwWMkCT*R>!BCs0w_*{C&^poWDjS7YCDK=@)oG?C?|NhzpG9w z<2~R>@=BTF0Z)>Hpu!8DB#)J~k5d2oVKo&)%@!%T=@`mQQGRz2h882L>85TXpAcns z2W+A)7FBgKH&LF87tN{#-G_;yRkfh| za6yz?3%U=hMN4Zz_u;Lmyfzf0!*dSSd%ZShz;i$7K17+IubF6E2+#b!1_KLZ`UnPN zv1wC1ys}R;?W~7a_SL4QdcSWCe$c<@^{p|_r16lV4GE^tJ;Z3^GLyjrT~4366bZ+T z6{bdy&mzNBlvk%0W|7`%I`4)0LuWFb^1}V0cbcwvAp>;HrbAxX0DZry+Y9}LuFLeL z7yb+Vi0PsZF-$XSbf^cq4%0~;?ty;TbXkY|KuNS+HRwaIwQt1)2|FCdw%QEhHZ{@23n+<&jn%e*l32tU&=m`0ho8}QbD>>lxyH?9wQ`ng^<2MJ-fPV_ zTtp7#Uu$7YXbuf)t&@>&kvCg&Wb9j1skIu6ePQ3dOcr#D7GZ6WQDezRtZA}M_b$#U5Aop0vK2re;eeYN(LBhkc(O~5L`x{ zYLyPq%BYvE^9KUUAhlF9z$&BtXI(iEIz&cWXC#t`s9NiiMD`FR-8v_cK191_U7r|~ zOb)lECsLEChpqDx1ClB8tTPjt$+TasD-uI`$uw(rBBhtQ!@4-pzn3!8TAIk{rG0N* zml)hY9%s!+q&0v<$il?H2FeO+aU!dM_Lp^aV(2K@+nU!+9;F(s%esZmJlxNzoMt%+ z1!8tOIZk8vjngVeYK*%$o8;Iu!w;ODa&(&U9H&W+|Jv|3r)?1NwQ(y)Gl=0DzTxS!KFh*cVX=IkCsD~*>q+XnG2!+)FuLWIkx<*0?=NO+CY zB1BP*hdFv7?sLPhoV`Ni=f)kJ%|dK};d{<5A-ceLma|oeziar5v)_WaYcz7S7R*?~ zP0kJrYOL`D$7sQwF+At&vmnnH_i?sZu*(e(VbdGE-1rq|y9NK+@SY<|M*M3}Z>~rN zL*IG%`N?4DJ2PLNjF#G0KA6SuYs+F3vCL1w-G`{Mo%==({d|Rs-TUdq#eF z1Bzi^m@jKU?y?u>mo%V@?5p#OMiD>Q^YZ76LZjg;)kkEStIhxaSNlpR99RD!c?j>x zT{(2aU47=Q7s3Ch(lZNNWJ#TsulDb~oRPVyz2K*Hn?EO?(Hokl?znv6>G}gt*L=Km z>e8v=w;!Y5uHW$Vum9RU!f^5F9}64)y!cf4`t6GO2iKia>yQD4R#S@(8(4`srCq>9R>dE{$4S!q3wWrL5@r8v9&(AKpnnz*{Zg{Lzq}n0EXnGZwWIb+ z7WJ33Bj-qF_ZPLJf0nH6pHqnl)w4i?p7MsiSCr7=|Av{MN#S}A$CRT&jh&{0eYkhV z7YbFqKM4%EIW0627;=+a0!fV1s<~W0cKmA%g&WEq^I9u2BiM07O`@61jv;FEBosj| z9s8>^Q6Q${4;rWnGoO$`JFS^+rnf@p(?R21g@F%Szh@L*@bOp3zuD?!$c8b|8h>-cxzR;{R z`+G`~@Xw{*BgQJi1l=sf;VPdA`U%eB0cJJxrIk4lQq2gqE;EM@Mow10G>;n$yQJE0 zjv0)&r2g4FWiUJiwNC2SmG=hhfy)(FLC->$;`HmjJhbA@e{ri+*OXC0?ke?R5s5uM0eYruHr_c>59`%VgTm!ugOc}hW&v*M- zAlb3lCA7rns`j~fmbhH?6jzcZ=C*2`E7p>5Ta9-m*Yo3587^*p><4U~Jz}JA6n{W} z^Eb2p%|{DLsXGB)D>$Y8Ip@3u`Ty}+ajvQLYZ3hDEO?1BHhV-;O@wha+{?*T!Nm* zIgv>`qp#$AmFZi~93%2=p+2F1DT;3icmhh3G2A#PPE+@Cr}jmSRgJo^`Jze;RvHPu zzPQZ}qe<5XO-y@4i7lW7|C5N&61gA=4U;@oQ8~T519JuibW+Y_?@&h;x#RP zh2($5QW>Im`^rqM&nv{e0)yWx9mD|LBGaMz;3VoY)phe^kLVs^ zhJBwiT}De{oD+?c1tzgpioD&-sxgmnCnaje3rmnz8+$m>w)l7)i8I^;D;v7oFD9WGfdC}_Y;(}#dv3*ox19PClpUXgmS;tZRV!b-Q&6X`jOYu2R1poXw%s%J``2l}4J*{eo& zu|X7Lo^7IwCYad-4)t#5ltg4hLJIb*T_PxL!2Pb9t~k|7`W=cD8WORwnXo_H8UF-4fWIoo8S2E=>3$&q*pphM|-aqQs zI2R^{cCx&!3CgfR4pY5VnK;PXt*UT9GkYw$)V{o{s1wAUcPaMCvFDAUR^M*On=ZD- zcQar3uB5&o06u{CO6q9HAH2cPKX^j&A3RU^z3C$hJ9aov=SSIWz#-uApP2H{76!KXyEfL?q|6Oxb8B!JbyY_b_vMP+J z*$o~L5lromNL0b;)?p znxPCH4%>j6>PRr{8H6OzR@3%D%sWE`R8x){jykXUpp0R2HlWcC(9EsIYaPA<$qP)n zBVLg60(T8W@X}s@EpUn;YZM&3|5G#x5!Vf=)+kq=Aa@jd-KbS)gqRe@M#zr}JUKzg z9d?>JFTGzj*gbGQ+MaRutB-0_1kcU9}T zah^HtAd$JYMpTK|sAE|Va>G5@l_{gAV#9@|D)V=ZZ6wSi*L8c67qu~w;!JQ_n(XPX6{JUVg@C|^tI=;CWd zNDK26(fW5y5n}146R4VTWeip6FUJu>zQtH)t|c0=`cnuCLjHr05C|C&Ku89JB!3E- z4k3BcqLG}!6Vy1|MjKHH>6{!{u$?+yRPBz`f!UhH%+!I|n#b&$$$5mjW4s_vld*Wz zzeP&7ZzlHebEop)#j;v@sm}1q#4cWb*M?wh0WP@$cxhA5yLR< zweC;OX^+AYIu>wQlAw}e!Go$#pZgiS%wV7u6oi2umoP@ z2D_F!*4gf|qTDgUbOm#d2BuRxaycWx<2xF(bjEO@27Ga>(O@l58_xE z+Rj|25t<`)F^kmo;J}^mhYI708|keND^$Le=;&Q@W|=_kd^0bDQd0rckw z_eDO?zA&TfOX@I_BvH*X>u?t(tme`>>}lOn&h}vtwAwC889+aQU1H>bH=TZ295#Tb zGuMkL?nHj{JGIgb)mJzW*x>NT;MBzqMSd0uM9vPGdf2#cb-$Q8*~oA8Ev8;JHd;4e zGPBXq`fk%152ldvtEedPla9UhxohS~W2@J3;~kMtBB6Ior1lHfiu~*xO~H58Cc$27 z@m#k0^fdwM@us<$1&wntSA4E{HLw~fo)l8JAO@HML;(|l1R#|Z-o*g~03Q$nJRk{( z1(E^otg+;WzxyX43I1MQJqHFk-49^rhO&CNa1QEh8+ZubUx0nvK{H4Yuk9}yyj+@ z-~VF~-1fI~t#H7$wPvYy-!FBz3t#(_mTuX>93n);Spsus*Ce#{I5!ACvuSJYYPS5+ zj@_Q7#caRkPdcz^96Q;^hnno|b7oo{^(T&)lt{MIwW8QWww;+S3QDBg8P`O~i9vQy zQ$)E(_l?F;HJi8*nY;qEojX1gY?Ir$ahZ{K)laxnGsDJ$Lm3uqF)&|BBb%pH;y!a2 zIs5xica3{QxE4k)Bt)9HQ~G%2xV=)}5py5mjIPWX(Gpk*yB5`4zdqQt*dtA8PJe=* zBt9vl_In5`&)+;$z`MyLQ)nwumi27pjFC+Mq-)t;z@G=;Vnp&$s zUgLMurdC{*A;Ee=Ihh~vDb;x2)FVWFt2=D!^uU|x4bhs~ph9w#NLouRq#hH^uMH@q zEEE;hG7D)>VeKZ@Lmv7EhZO2HydTl`h-SD$J0jw>4_xq|dkP+O$B%$D>K}$c(*XAu>T!+28;Ikrv=;y3zv}Y*W?ZO z!2Ix;>mIGY_5dHEM$T->@HT8uD|+T;0kpzJ0PhNEsYU==R;+D97JS>(u@R z(}v~-#r({au4rDUCyW;=j|)+ccO}XL$5KZ52Q&xMM4FYZi@1hWVR5##6xt}&Osgh$ z+paesR_xGuZ}2%poM7}Qvirzgd`^WA8enjOFQamqwpX) z&&(8p-963hD;)C(b`ZKO zaWlCe(9<3Bn{&#L-#Chz)5_3e94ni%h7eymW;9P5LVe~~(wsYleCC+bEFOZS;d{>E zdc;`$7S7pvOgVkKnCZs!@(yS^UH*NM2Nw4o+4X<-nf}l3Gksl&x^}G+6%J!KjE7-7 z4C6c)=fQYz(>Ivwn}IFgU?O!JoHGYPb3lc5p>j+sZ@2mzsAVeaM9#Et;vk1hj`?O5VJsc)m98IyR(Ckk9CI?M?LrkC?I`huN}E8L@vM2mSA2UqIL@2w4Xqmtpz}+)>{`$VM>m<+T65{C|aT)*uM~;QI{a3x{OD zA&23RRG=FUtA|6l?cPE-Ya4{+LdZf0ISbPlVY-)%h}Wr|W;W&nLu-pj#Ee4S%uOZR z!fn)^P+}~R(=^YObW7MY?LH;R5^-Jg&_%1sXhN?B!_>SS#9OG(QMY=9817iZ6A8)8 z9X6VKQhoGM)k5W>!yVJ!m5w1piJj8$jI-NAwKKIl27n&>FWpV@{!XJ3VkVV*%9Zx#+mXQ`H&dBgGL*ie0eX-mD&8~PD(f(-YDIbR$q^L@kk z4IY(I!%@+i4`wQx6RlO7iEQ3+P4fA_7b&_<_xd*KCR+1l!6e#vYmGaS4c;IvW+ppg zk#@lB%MO2png?ofG#RUj_P4d(?c2(EggpoaT@rVSC+8l1hXgGsNx~d7PE#1FNJkBR z)}#SULs$ytd+E%PBUQKsP^b|zfNF@Ftyw0C5zOqtJvK~mP674Cga_&p^VHV3$*M`_ z@Ya~g>NVzZtqGT)eFl7HE~%yFDe~A9RJO#gtMCoxCdhu5y}`vuLc0pyU{Ba@IPn91 zoy`Ai0f~rC2HLh=N%l-9;hw`@#921+N8?;4D>0}V(x1~3snwAFJgrO`jJ>XkQ^pQP zry#HDYZd$0V7@|7Z1K=vKp$JY+kY@FQax2Ub}%Ld|K3g?`Kp!p&lWSmcaxd$!AnXT z^*2O%V(2q!1OT5tV`Me|3ug_G2~+_G^OJ1dfE&;Q-vD0%&w#zaPryasUtlxf0InXY z(qsc4(*`hm(g!fbFc!mjAIAGIPK0qHj2B?M0OM*HSHt)g#(c$qfzn);{xS#JVyL=vp*3;g8!!Y%9;Zc) zry386sofzw##L3N5}g@8K|@#4GRI8N7Aj*h<2Il_`}!FAZet$4-8_VzTG~XTF!TnZs+zhQk$5roT=JTO$7z}@G8agP|^0__Hq#8EBYb-vl8 zI?8F)DX!lzlNmY;EeYEt8~Vdzv-@Y#F_$G_{ZnG){owJlUMd@@m49o30-<+CszSvl z;2IfzIDLVltKNr1Ib!RtQL5&eW7+ZFswrlW7``0}@35G|(g?w{LfpJ-pW#v{MK+<# zr^zph18Wj-u<EjdBGg!$xT8%HeOfk_8@LCXMi}s9ne!pmaj*f}eSuJnoWeJS^gvOX^VR>X98D zuTI)j>m75HJYlW6Lb9w&{012)t>~KGK-$3g&$?hBw3?vyJKt!RuUGZI;4jK8es1p94&16zUb`d?V%y4gd_Y-?~geaMFv zJmd|qplhp}noK$yl1v1A0m@?Q_x&Tbv;8CcrfCNo{gk?uoTDCpJN3C3UKw=o%3x7~ zG4BMm$5q@cs>amW+kn1ysVmRoH9UWtv+0m@TYW_XlVNv+IljBRBZZU1r;j;`L zXHg4uFx=7J2V36RJk)%!<( zM=)OqkA&jN75%w6$d``7esK;u*ugUGX!V_JOc1jY(RGXp^-|a3{y7ck6zVv!pF0j3 z3DB1zlXRgK`%d?h>2xbPg}FkUn&|h2^_LCNjUdszZJcf|=vuLnyD=n2Hk9Vaev1bC zByx;-kQ`&3ij?MF2*CSyI5>xd&W;3Ny+BoE;PtKq;`aKKqO z;4F+QU|a#?Uoid!qc@D+FdAVr!k7(XHjFo6ya{6rj4?2tfH4&=I14WLBaA;b<{~%1 zg-7X=v2qq%cv2m)D+Ml|4Hr)b<^eO|m$(nV#P0yJHn^HG(YnkPIT*QA{n9mIFzip& zepmcp#Gjx;o-!C7qPpw~8;lB3KX%OPsz^rS?K4NV)PtM%o$(95(XpK-9ib5}ii2MO z2je@V#W|1S_l8Lj=e0n2HdVV6%Fwu@@dr~u3twi5j^BM`~;%oj zm?9JEu7J`&qLA}Wyux=~x z;YpqJ9oFTE{;}}&>QEBp{G*yRu51CMP|re#%@p_e)-hL*6~?{J>_qQ|um##L!A-sF z7Iud{Spf1HzdDp7dO7O4?ucTi95+q(o1#@tIdWAV4A6kUBUe3;aY2o1mQ~2p4Tu;aX{IU$*CX0oA$jyI|&e74^j0@;1u>*f>QN_8<`ocR7a@^F$Wwy zJ5^EKN%gz}6`mVmi7HS};f}YAxvPE7MO&iF5qqT5YNl7BSdhWYt;8;rOsL7K#79Be zvb7Jn&Cupt)`AbB|8M<&A?G#pdLstIiJD+De=yRZK4}&Xf}z6R23-M>AJ|p`(d=?lcI~Ti|tznOF-%IBR zX553Ks<$?t3|_;1te63E6K$(iKEQ6G&ain6kVv%eY~%qHi5h1^3=kWjvn9nmbvR(6?FijyqsFMNZZA=%h3Cn0>`v8;jw4oNoC z0XBFhg^Pm0_6DBP_bHP);;*X~DU&-u4JpetGhdv8`OUu2)YOW}(tl}cZ-ouh1d%K; zn9i)wXu#_W{kLnZJnEF>S;Ep!Oar8VcD~D3&ixZUhI|74C?w-q z!U5GQ$IOwFpZ?D2e)xRm3_JWk3lhw*V`oZ|1toSoP0AIBdAMICRKYAB_ONuUpn!+J zCRrqqv?H~W*#c2J`aj9q{^Cl+W%zna?}dtlSksAmLLIY2oYCUbLz^THZ1L`)UKD$~ ziB*xWResX)emNcEBMr;1phMP&>kO9B?=c*rV0Z?P$Wl*)_QXqkpK{iGQIU+flfkfs zZS*fD?EGifBImM-FMWND0jBoUS%E?BT^DRJ8H@h+jYsH@o-8ypabU3jbw@far<2f8 zk`>MOLl^txzRQ|YlhuR2INE*N*>k!My->2c`RCB**xQW>kE|C*9D(%%p@Ckvx183` zu02BA@Q@g9Sag!WaK~F9pZ4+Vg8^mCsn%U1E5j@SS2m;XfBm+^xz@9kIOO%%U%l^_ z;t}U8&+T(Qlc-l#V7FgeeaboAbDNRu^Z24>;V)C}Pms-j+lfz3=# z`O}|ZcS%$7rBCohQe^%NI_9*|<@9m03t9S0WG5tNmNkCwe~)yG)DhvUegMKO89}&Z z`f%rxTKYYo=K=R^|UwrE#72qOoCAjxw~w7xbViM&vhUdv3PJ{8TY4Qb%SLz5`i zlRxVH1-$v~_4p;R_K3Y}u|4!+aZIgG5A(h_uom6Jm?)l7>)pe;Aja3?>*%Y+QMG<` z%(r5e8&Svb6Hjz|)%nF>8h8g(A+9mQV-BdxT^CQ4eK4?1+jWo=l*2tf@C~h2w2{Ih zk=<4iC6q)ZSQYMMHkYb71i$25;1_^0cY(SEkO2c>kFBlE%M{hH89u!aY;>OlvO{0{ z;R~pxd8N2G=r>D;Rvhu5JEpYwz`?W*&C0Ms z+a2H??8fgQA6KM%6?G+UiXNn=F-8N@7}dUqi09#uQV4$x;Y%R&9|+5UuyGK^NMpQ! zkbfX#9fYih=~uu9IGf0;XavGW76QdyASk#gTKMU#!D);jIAj|fk^zTogtN|rL)>tP z1j5E{S~Sr&8-AD!@w7BX8>B04Z_dX)g;;Nhb3oiwbdUoyu}PG{K33F=mE zj4tAgdXPIs7haC5mHLwNo?zV4_-61PzXJ`6X)Smjb&Xir;>V->D=v^Bcr+~J@x9u~ zo5fi&OgmL7UL+&6Qyk*iGE_S)LcA87;y_D_1+{dC`95QzmhLRyyPW=;h$#!{#B+VJC0FdSBWE7|xqY<(EzCb{1IRaC+5T@x0Cm6kRo5x;L7-y5ry=%|b^|;- zRJ=i;C3%AUHz=)SnLz#qnJ%di6gH3=^&1ox$N}n*igvcwG^j`IVUw=w!W5lsVhZJ~ zRhSsq1X75YM8AgU-?e%r(vuSlnLw_fBo=wlIA37^8*D=bq!5q|VG}gZlr&GlC~*N8 z*Tr;W8{*D`MA$09%om8qhW)T`y&Do%!NUDyI;)wa3~i0sfd9+BK~NC{3pZ48$U}>z zZd5y5968rleZ;k_D<=qd(?RJ<3j%SFRK+eKZUgy-Z4p>QQ=)9MYl)-$TM0tmFp{vbZLI&h6ux4+8tb^Fya9Im^7_s8V|Kls;tT7A)iVMYQ#J=OS*gn ztjpu|JDsU5#4_fWHbx7sjPaRme2Z@x>zU1`1vvzA1*P0@OWas^kR4}df*WQ#G;c0~ zQdLT@c=mvQJ8cdu)G>V=+&@p2q`uv_(V<)V(RgKP8};MIkH39wJ@wXVUbGGKB$T{;qFO zTQIV9x}_USctq$(7Jy!W>InDJ*#&#~xZOsVVly8(%P@R&f03(bM9as{GTyfa zP=5C7nY9y{Y8VbNACye$%H0XZi$ulc4zCRqutAggSJR8Ek(8e;Z@?69f^qo6(Kwe+ zSCQm`_`k?SP>y=3bkSEGgjKrXqsRA~Ck#fI*PUKvykHG+PZ*3bzd!eneywvg<>w#I zrFN2!zEF3iSwrV;R;6B>i`lsOnwM`Qpr7VkMp-g(Qfvw)L(&uTTSpb{17+a%UGvOX zVZ3>2d=xZ@6-Y|w=0f90PZcEHtKQ!#83<{k4aD#J%N%aun7Kxlf z?t=#_O6u^%k{7C-724-$(L<2C`;lmMZD8IT)ms!KXE>5HFe7S>uSR6}v*>8xu zt`Tw{^f8K?&~8c3oo!bVGb7DgKl{d! z)SM=Hf4=|{gS&L+FCKx+V1S#E8DlL_X?e&{bWnly&>kX#?lKbt$Lv!^}VLiE!XX zj_W3Twwt=ox|sXmX36YoP#a|C&yu`Py$M^eYbZ--CpqV}2JV9yv!}nn?2`68>oE-a z95+-gTQt6#)f;b)>s+RLEMD0idXGGC{{BR;fjp{Pb>m04Aw1wdkd$TwLEfS2^vj1X zK}U=^?&9Be*~m8Csz0wlZu%|crmyH$z5kIFB}P-05SPMzVH)?rlp%Q=HdI$-tfj#- zGvBix5*w%1!AB?1m+mQzi!xs@W>Ew~UI_RgKUWwQt zeN(^Xjx=2$mE@^gqXV>`ao5W2EHj}sJ_S=@7)H{@LG|)3-GOxXxhk+_9QZYQfnk=j zlrr@P*OUQVLxOor#VzVfYc*wR_`Geyh~Ev{6bEz%P9#>;;k+epJX<$I+JR?2Ru{|s z*+!*Iy*2O4VdQT8@ZQjy(uA%w$#z@`Yz`Nh6Fg$cc3Mf?JN0<(+CTbcCn84q<}Eu$ zc{ue-?%Kw0?kK(F3FeIbqufTd4|i?DgK3GVYT|s~(SUD*27>@906%-;c24U0#8e<0 z7ze}vQ-CNsXnN5jN7nIk6dUxqhLG+<1rW)!nhE|r!YQ+kp&|Q#*dA^qT@IJivHkz17GF~5CEU~ zfo0x+-~7NKFMtFP0bhUs!2A%*55asg%qNo;UuJEyc8c125V*`OX; zRE_@0zN&f73q%6+g>D&yKFyywdj_FT^RkoC?e_%oAic`eKFEWNy8QA*qXeSiswk|M zOlNKpQQQ;x(Y{)xE0!OBTTOK(^T))iilIy{Yt*}(wg)r{L;2zH+A}U9KkAg`wJV^5 zb4qJ);W~Ir!5Na-!Ck67?(*%3{8Mw^F?FQT;&&W!m5udYkLcT>#EyNBnkQP~rr(45 z=~CB4OWA83+jL4t_(N}Z2De~(V?Nism5d#^lIi=0k#16F5&{f`rj|^f0OODo4f`tG z|Jhe5e1iTCvV&!GM4Uw2UqFYR%enot>Ckg2>z_r3o=dQH-3dLHVC`Cm-!Jh4B^1mY zNkV_o8{E&5ivBro(4qPdrY&r*wT5p@ds+!=jju$ZiQaE~(oqdTXIO8%3EuCvY{H_` zzSgM2=GsinU5c7axq!!uWd~51B$#f5>2R2Cf$0vIu7l}Fm=2_ZX)2Z31R>WUga#pb z>0fK~5VjC#htTDj@{u(V9>I%kg|p>A=oK%5_fZJ1XxxMy2Zx;g1RS=^P7%xu!ag=^ zw61osJ>^08vvz`@v<L6^ z;E=10P56CqNE{sUW3$^W{~LZd5666^!>-Z|Dvs&UtMnGd1s(n$okwvx6Y-D!sqGav zY%qbUM$i3LmHPEgTyPCNRBbZt#qB_hh< z?cCYtm21p#HuaHigW&I^7Hk`<$cB~ql+l-|HcEYJruPy)(8k;5%e>1dE3HLtrX}(Z z&2#f4OW17HK68X6Vm6erkGF(BfKv82OVk4>WuIElnXKAk4zK4;R^Kp>tLI*VQudho z$V<|x-o0ja`Qx8+&h)foS=(I!MP==Dhrv|h%Dq;rI3=UwaQl%Q>6crWJDk{aqCN@=T!CIwCHKx{5wHB$p3{L?=*p89bfA8LQE^=vSWCuPwwHmmBtpy@Vc6ntYnQ+z&;>}Vr(V)LQKD^d zcQ@V@BQF^xE-`*VprT69VvCE}n z`U?2?-=)Mp@c~SjG`?@z0qp0}iG9)o_)00RZ$=NyvTyc8wGduXKNA;@@P?vKY3_TY z`*Igx*GbcdyWEu5#+~BzEod@zff>>VeZ){6T?8Z>-fKsscoQydip z9D?9)QV}g5Dt~uc$FxNr)tr>f9R9)vpSn-#KlAzzAGc9@VF>7zcZLw6cuq@`gb>BE zQW_>K?7==ZpL3v!0uz~k*?A+Bvc&t?>5$WTL>-aH_{&k(kLaNKJM#MdI_O&*0bc!3 z_~L#{2Q5PPg*DDsl!l&ae60Aq2HD7lnbv;dD6d@aZ}lG#+||vnhWTcm!S9yhJEf~I zbEUDva|L4*@3D3$S&?f?P%H+_27C-CtPv0W?4mfCUHxyyrpyfq;m|>D^QS=W)7k{PpFk zxa-S@tK05*>Hv9wC4h3k8o)*6-6jW|IJa(tK-Gkmc* zXf$#G@=jBSr!X?aH{DG+L7HIqkBs%rbz|Ss>h!y_!d27Vq!pxp>|v2pyAzm*cSNjX z%08;En)o}9tCe&3Op`{ERn%N2z-{+)iHjdLHs=eF+ey)4;y$J(-CSTp+$6EYxP5fDU@~G1;ZyOVeMC2}6gy|b67Ft!*n+?%n$WBn%_TN$9U(wB zcsZN9ZCL0|n2dTs*rpr3Jl~>`humU^ zOwUB=H{4Jd`mkiy1>L-?@xurE!WyDqBEH(NN72+r+RV(v?Qbfy*E}o{=J?2)S!?vQ zSrNjjAySOr8~dHC^}-@wXg<9c@yQ0H!X%{TvvArEE-QqU9MmGeY)Ae)PFr*XVG*fX zJK?fQIGclfOo(!fzbp_|bNtzU^X*yl63h6T(dG>!3ZoF2$m+#iYceX%3ke<682zW0 znVorU#95^8?ZNXP?c_S*Y13z(x%1d%Dc5l!O%oLRg@McHkG0=k9VJUvc9O8NY8T=0H1Hx1LtIOv+ z_<2yqJOE|P>AJ5j$EYL&%i2e}RaA2OBrT199+x3e+v|;C@hl{hrtgL^Kd; zAdEnK0>oh;3cgMkv>TVpGeYiJRPUdv0R$UBVD9oibSn^EpqmNI1Yq)j`2m;*@1JiQ zJYN(%SPl>Fh38uDN*7eXgWa@ymf?@#!Kv`z`1v8s6+jHat9LWtX~x4558x@*cKKg; zGYwvvkN9yz6L(Xy-y$9?gRePJ+ zO!iGJFKdmw)QvC^g2c6vjP7bTInQs7Xj)`~AbJ7%;D%?G&CTdmR-LXcD_LIU_K){l zEs{p2*!Yjo0e=687w$vYcudU94Oy05st8*$6F07jXE`Ki-lCh3xz}CVsK@^T=yux5 zX&%FQrpj3noS{rchoAo&3#!62Cd z5;jP<04hKT@B;_{95^f_L)jP#Kmq6gA^=|P1iacEc&exHRNoHkIT^|o@UF*W zSJdxDj=%T^di=$2wYz^}+rM2g-LvQ%OLh8QaQBbP(Sy&NV* zWDM2me`z2M>i+R})ZnvvkX{7oPaq8kX(31gL6WMnz9sk=>qJgQQ5dS-MgiF-kX54q@bxQ@(m*By853l| zAY(8pIU#^RKm?<*jmoI>O^i7%Oa;UNCIF%V;{Zv3DKQpd6kseM0Wb*=3m6YbiLngN z$QR9P1c(9i05sLj^PG$?Dp2j^$=HIVoyfyGu4zlIvFt~F$@AQ*W2u&$4+a|DUVZ}T zJ3v1`9p3R2B>wg{o*Yl3ilLg?9n>8$h#Cx7fqjlYhkT{Kr-iF0&;>vT0v!T0x`Egm z=zG03V)(CDjh?4}phr$}LpKMcgql7~Y6yH#?#s+PIh@^4^zdt+;d0@~PfcIhWAEl_ zPM+F^icm(Nd%t`e`0jT){94T!61J%o|Q8^~M!|3-Gm*nhf73 z!}pC_jFA;4z*c~9XGM2#VR`VItHw>!yV(IdhZj$-xLiG=BHa1;A$^7OtLV`%c(4#2 z{8ruFG#7|-Ks*OxaLcCYzVVGFzgOPFhIbcZr%aVLVeFe`!KCAHIx?a>8kcz{57kif zDbv>%A2hsgW}PSd7!w3>ASedG!S@0*2-qM91Hl>)kUKu`yQU)~GeKSLl0B0$gx0u%_aARvO^CwxQAOpdSbs2`9;Kq`TBz1#KD|8%-tqpN?cbbx3$q%Ao(gZ_{*i{sMYo`0 zV2WIJ3%@~%mP^twQYgg9Ps6#SjAq`Icl+aHF}t*U>jW9UT!XPj8)D0~8P;)z=(`$@ zHOUZjS1YhiG4Lm9&h@{G2y-m$C-g8scdYEkPG(g)=J!MV;7^Wq{pdl~6vy&@(jfCo z$4CA63f4LZ$Vu)Nyw?8douZoc5`Wl*P!*Fgu}<#rHV*kMq<7b*GD8_(**{cf_@q4n zjr0gjgmaU}#zFS7B4CVGHhhqS%>+|Ylrqg%x*0}M%T%Jx=zpE+&fI*&MW>`QJ0Eq= zxu8>;kKFAn?S!epr_NQKIoA=#ocWzlZ+^|WtW$CwX?9k~FVEivu9^?RoewVF^b6eiAmh!SdcwMhrL<$>nXnbeSStRgi*k$lR6L^#c?)b1 z%ex4-XuHLgUD#WUx#D$Q{%O>E;_NPT8hw&@eitc?bx}OF3!fIaR!r)GmBoKyBOY;v zjfahRzcZ}8ntE?ZUsWg${BeCn4Y-NM@5GBoutN~fQt6s)*$q`_fe&C8er{h$15^|2 zw?KOgjBP>RSnlu%AK@iqs{WNT#c~PS+^LteTJOg~StwfXiclCbC>u>v9m0`7PV!sG z$60U3d#i`{Wgv45?;zQj&xtG07J1WrW$noQt~tV-cJzGLYGFYI;%ApsD6W8-_l3e~ z706FqGlkL$bcyRD;fz7V_pTYj>_OC6SD0|_Aaah| zBbY>XVbqwS$>`~>KMh076novs7~VWrLT7Q$RzKwku7mwy)Qj@bluTMQ57q(4z!IRw zpBFzMuxe-UCJrPjHA%df0T}OE&PyLi_G-d-$pdj-Z6z;rAc?M-!lMnu()Dk6gbeO> zot_tz!QZYgln{rH$Osg3smCDm&t!aH{}qBOvJRlDO)otOEy#wXF<^^`89weN_cG?# zi&`M-^^`V30$Hza$aLFolRm;-GEMf3ZwVZQaq{`RslIF`;%ljR_^<%;mcGI9 z!0PAAWn$l%l%6I5&VIox8QGmz8B$6 zr05d8R5uttesmt@Ud$lZ8KSs{edI?F1T*L*HRm=EUYJgbGDpU_Q+knD=Q)eTM`6-) z#q&m{2zZSMscW01%|~DcPSw-BfpXX<&V#Li4@M>lqPA*J!IEP^DE=4MUW?90DrK(M z=XoRKbHId4ixsiJgd1O=TjFKfk_+^~Ua~FjhOXQjYD>DIpXf!|5|VXmymVVivK|Mk z`GOO=9B*(<;t73>7grO%Lbu4vu1Q{@XL~6%anE(Lyx}!T&-LkEWKBZ2uHH+lNePGf zI>HdxMGL$^Ly3Fz$zJSGe3fp6mjyl`eYn?uDDJ+l%DbLmsGt#E(ptpTG;B|TRoBQ1 zRu@X}Z=__Q2=@4-i9#9d@xcI@1orr(lZE-P$0tR>x}{$x)o9BVx>(6(%?4kml8)-W{2sr;xhqihuI3- zceq(5ui{hK#WQbLY!P5@n{FyT7ocyOPbu^Qe7fm{;u9Mp-E2~rZJ7VYd=u+UOB6e8 zlzMY8Vuh!y^!%u>L)kE z?b4r=kcPjgA@tIYgBfC+uiy+a7ksK;*5DhdzdN>B(Y|S`FjLJ1p1}T~(fA9R2a?&t z7l&|Ljn6#+{pirZH;%nZgl{?-vmQDhB2qb{2^%#GrNCE6M#s8Ts=S_n0&{>pXe2Tt zVUgxfWlT4Bk=CqC@8&<&TvH}@^B!xD%{!ud3J3x02FwNA155&31gr)83%~<50dfIf z17ZP(0gC~@0s;X$0kZ*j0OJAY=0S_{P}t6R1HTv3;+hR-Vz7kuKvUzLORa3cD-HX( zhYY@*r6UsOr30oEUe&2sD(#OS7)HaZCq}7r?O%MJ_sccKw2`VQpBdCA_f$xFW*0{C z?jJkM1X;;@*^&3MwD+>|_p;C5%c?;39T#Ma-^<1t4dai0CUx$*GP5w`jsPgSzxa0&maOKaB>wca-PVc54i3ZnA(EevD`| ze0}sXPkE6=`}uTnth=fVhsY=VOeab{GZFKHlP9lC#Eo;(>8&WU|x9e9|z>?>V{`PLcJSFsG2Ec=(ht?;3u z$rsiDiQg^W03n1sN5K4Z4P0WQ-a>`pR7JZFqTFKbn30G!L4j_X7m^bT^l@HtTl@{( z2j0-O*p~2Iv)Y^7mhxPS^=6iFUO-f8fWu3@uP~~~J2vvc z&%Ry%i#Mo@rVQ(Vi|J8tz0WXw)H$mM`Bd^vC`K}*=!{$?9NGCYbF=XJ z83*t6rnr%J~(FAPx$9gqs(){Kz?o%czO-Qa6^q}pMer?ZrEII?)}wL^EoQ5pb7ibyiric%r#% zg;Vi~)@scZ!~|=+Fvu1etle%+6-4jS+_c6CqRPPzF-{PBSM$P}WaHk|nygc7uwxN# z9czQ1o=dC=&{?1jwobCetpUGItSxDcb|ScU5?*W8fO`izdi`Mx@>~Y)Z^O@uy*|dX z!1a2)H$o+AN9;Adv(Kv~HpCR@zjMt23pl=)9tabcJm0JeT&{~X{AD+;k$J~a=#BA7 z2T_+ylRO{S28P0{RP6|2G_LqDZ>=7wc~GST#|_`m;R$n%JAVzjE(Hp3}UwXb8 z{v8`72^ww@kaA3QidI2DCbVeO6wx-r{7YF;&}POTnh`6pjrts)&-}s8FAB@2?8F{w zx`rx&&48r#ptkTE}IX$f0y4=mQ2MKzacO`XB1JY_p z5to7mKc*Mg?;6kD=wmyX542@ofg!0EU+WCup77C}jH%!|T-+=gMbS;wV%|uIEU^(k z&6(OIH46cZ@sk1Z@7ryOU06CwnKl6IN&%yfN;S6yRZ9H#`E#3+ataw|pRU6EkXp2*7%6T!iB&BvDFWr{l)vVzq+fuw*951s* zK-c8(B5M-q+8ADHP5gGvB3@h#qzkfn6KWt`aF&%{la!}Tx2Dx3P_*?>^i|bB{9mOB z6E{3Uf1dq9n;iL%=uUHkWv(m}l5HY}Xxjr`lE1;P0s2dzGl6~pkq|$Dq#7b2sz5fC zAHkt+hhUp;@Hmj^K^6(g5q*#vQ6|e2PUc6bLE_^Agdl}yY+!B=*bMR`zeE?JAj@A^f92v0e!c*VRg`A_|0q3WrHpik}lwVE~9*d zKil*OJr{J#f&LrlT%gAS&DtLD4CudsUJLX(px?s(9FZ(j1%J{EIJ$uj2;LqL%8ql; zTHvR7vHm-0@bCs1sh9bieKvUT$`>FW7&gN!AfX|4jDA0lVG(r{c1kZv=M8TYpx#0`GNFh(8f(&jV#Q>z zb`jbs-(qewErPMvAXPyt_E+fymADljD8;I#m11^Eqg7B*GTSxDv&)7$VLs~FXv3{A zJ%N@uB88WTh#YyJLu` zG_Qc%8;aKy4!Ji3uepk=9rCA}GPx~7BsyGV==}kF)*sph)~PZGfMY7TG6;YxRSIQE z8#QT4fh=JoSf4UvDfjU~PH?OFs0-*(qD3P#H!nxmp=7#aGI2k;YQSiMoZu>Jp1v7< z-lcAa#j4e?zh9V-`p30EF3N|d-cq?NADVhs$tBm}jyPYQUx9bI{N-7Lm}pm$JZ}(p z&=n@n8N@DhO_3K2!VNK6E}o2GxuWFLCgVPJF^0FQiAN2KEl1Umml0^Wpe8Ia%(fg- z`!6w$x13dzo*3T!5@nU}<%JU5Us7hDNP_j3ruE4r_$^X$pTvooAx-SdcjCU4hW2GS zu}RX&eR-|eFB$%hwN~0tO0XVjC2$10bYg1|CvlfP(u(Ck zk>@-si<4Zgf0aF76OaXfue5!s_FRCwkE1B9filb*vT$M=j>8B>Z}#7Uyt3g6;%AT% zK;i^RG0+pzaBcKpbUx6Bfc_rnAfT@UJqzebK*Q13fqo41pFm@Qz6^9x4Y@NT4Oa$7 zCjz|#=xac;fW8X!OrYVl%i!n^pl<{H6li~-uK-;FG`#iz9K8(avq0YiI!wtPh+Cwu zR8j_#9_ywk!w2A6woVCC#=t z`CBv9`Jd?W^-#&0Qi~W(qUhE;BZp7=FzwV_QRF*wNwwzP=%i}hCtiL=bb2R4OSwvm)Fft)?V|D=?0JbF>ic#%n9w&c|vUnU^iec;2vNS;38lx z;9me9unCY0_!wBJSL{m>TjMzm%m+|7Nh-|Qv3 z`8Raey`gU24Sk0f<&H_#J@eAtk;(dYFVP)+LO19Qc1NAi_jthqxk5MUWed0~^g1s^ zz<;j0>1F}qAkX%d%_F0MOyW{csN_MQg@ffwnZuR7kCs~tXKCMN&~oF z{YD?1;4Iy$R?)bhriHJ&PR^QA- z)K5-jUu7b4inF+{BoQq0b$xR?5bKx zXtSg3cF4Q1P?zOUb@}(CoYe=wKfHYdgd9VqC#vf|byp(98dL_{3f$jmi(H-B(UBD`X zqZ5H<13ew+gFv4GdbRtgu3;SfX+MPrj=}>U8Dcxros6I8c%b3vXFyYc#si%VbS&U7;32ipBQwMf z&jGA%EB2U$2kkrORV3 z?FL5*)_&5;_03?SE}1WT+Thwrl{#wS+8JlkUy=}p&j|=`X$Qeyo|X}LRr5|VM^)U4 zJ}gRT`7OJXx(8-2)kCJoPQw*pBubk)Xn{#6?Qo7VZ? zH&CFvxJ>A+TlWsfGoIki_MNQPyWf7khil@Xt)?#SE)L#m9)T%VgwkY$DOL!T`-Z!< z4dpc*;qGa}dCk9ZwQWec=`(P3V(I1|!PSZ04)d;`fw>drT{n~=iecXMQ!sbJyz7=S z)J@Yt?&o0cH0!zg45$_ z=ekpHTE{-uzwjb$+;E-AOSAFA^;dc2kvCyQccz34ff$G%AReRg0BYRj&$PQ9UKbr# zEwa>SjFrc>2iZbykZ+L|pt&PESvf0jzSEn5Xv&2D=ewL-DXFIkw33FJiRNckX(0m&yI`3C4# zpqBuhgb8d5*O9IRy$I;jK>rAIJJ2hDP60Y)s~+nu?39mU*)XG}90@4ny~R#<5jyin zq4MJs1r*B6GJOv&F7zz(X$2I@KsUPr3T1Aa%?c=#NjF_nK%q>!`IrIp@i;?s%q;;2L0A>7?r&ab*CH?wcjSJ%D8;n}OIHupHOu2OtCJsjxxB z_DP+vL6qScPlF92FKiHH`m*`3L6kLoSOyzJMu=M`_;UHMLA1crB%{0~Z6FtH%)%Yc ziqwD48#~Q22L}r;a<>ZtcxEEkWb?C{^0>QfWUKjO5xEHKWX^;vW`8I9 z2XSZ-+Q}Lxo?Jw727Vz%72*GP4)b5|85qH5`HRQ&`|$&}h>87}1I!r^i%vek{ubH` z;D$8`+6#yWpz;sbk6Qp`oH6~B1?=A;e;K&|43+8q5XAAhn9`4Z#HaKk!9UiuR6aw6mvWK6V^D=+>}0J{8tRulYd z>G2^-sS!Gp5@X|MqCSP);jJ9(QNuRz%+%mg#(dF7sq9Ny0gy*b?U} z#ZezJpD|VUn>SXNJxX3=sMU=^E_F`moYjth>cnBw$~emlEqEw#lyo;LWuC>f5ZI~ER7)#{cN_4Hfg_I87Q|>IUH3DO<}2aj)*JZ{V%=gbJUGH zVSMJf00oWEV{pigT>(7?XWi)Mh91ueH~zVC)YB_KghQe3J^@UgUiNf>kkJ0%Vn8y9d@YtDt}R3jzAR;gn~&`cWKxrli6uS%6P%L16GAaP4*-N+~U28E61(-}oOB=_}WENYRIOIH2q@|Vf zf2s&xi6@L81u2&#v5l#*`vjIC)5b4G&$brn#3w?jCWj3{C&+LFC+!Mo!$B~wM8_Y2E(L9 zqNOy}8Ct(+E)8Z$Q$=fOj8)VwQ7$cH6}?upm=?H-HX@o$i&({Yr+MzB4@I8P?C~ZK zu^LM)ANz{5nGbWZuQ%>3NZlGWx=M73`a$%Bt<(=J>kVl_t|XYl;rWw^EjZjJDk?k6 zPrEO&$=*rLQmFkRhZ_N6z&yW5A+L!FdCOEzP?q0jy6c)M)QaIGfb}B*5&=F1kN(l-9g2@+ z(>r4hM3;r!q<4@$Al%+`1{0E1uG<31#)p7j33T*<=z-vy^kqPw-J}v+`?Jsw57`9h z0oG;YGs;86-%TpS+UyL?y2wy_V+$gbYIKNOFrmbI*arGpk}qvppeiGDVg{WO*1!gY z189IC0IPSV=jrfqNN4|$jU`u0KU$TOt(h>Mmj6)d*@x$T)GU!nVWt`y(Qd(g3Pm|3>v(C3cUUpKs;a~AO?^Q zNUmUKM4TmcQ(@f#+x&#K;7BF0?^g!5q(_HvbjI37)fUr00g! zo)c{W&y845Z<$}XLF(C8Mh-Vdd%DVqdkhObN6ILBj4aRjGD4MMrsrUpf0c2Z=ZiAZ zeZxnd<7L(9-jN^9eZt*7;Kwu1;F<UJgJGQyFfl_Qt5iJ>smPPeK zp7I^;=RVwlzy~@qWV#lGVn1`f;-2;)7tp5aI=r#XGe*g$%+(^wNP;`A5q;kI2N!m5 zUKpl|s%k?YG1kMKY6=*&{E4RZqR5dHL1KZ12f?VN?HHFcPF~TDi*^Rdi`%gWofG8c z?f8XGtbA4lBmhOrt12LZl_f8#z<%l+C!bS+|HBYsF?AD;n&w(|b^9MRPqJ+6CM_|o zwe08)SOQ+Ht!lp~rd-P&HTj7-)}mDtgH4MqEow@zInZKM6Ly(qTRv0!?=p|KY*3TR zP47P4uaNTl$|bnv5+?X6vA;{w`l=-OFbTO2ez<=wN$i7Sj7mvp-%Ka=C&}c#N+*7b z1l3o3Z0(fSrTnR>)7Y6&LOKd>uW*ksyoc6m!U znGs}Hwa|J}#%Kd1i-z~PQ91ClJ*vfjGxJA>patEKSO-0quzOnvJ(mHT*cZ@q8PNur zPev=EjZf5lW2Lt7i25T|zqS~Y?l&u^Ez+d_%!+A?&eQ#9Wwu4->CaoqZLwE%|5!uI zxL5TXtf(@6Jk+Yw%XsnngWj;t{8HpK>CDcoQgnj!qt3irh-1C#RJ z_WoBoR|Ub|cKI zq~`YH8)9GU_IoRbzZ`;Ti$5SYa{cfp97H1koKp&GBsk5L9(ywf{Zn@ zJ-drDnLgbTl+}2gdtm^!75{I6tZ%scG7xm5p4*jy*={Hl6_3EYBSrtn>nDrM zglzL)xu5z_2O#?@4V+c88lXb&Ls&FQa&vppL9QaOWkE7ogor&7<&JMe{pkD-ZbGq- zU_ziB+?3NtDRt)g+-*Mic>A?Db0pRch2>c10~mV3L*yeY8({#P}~P@gklWHd#)nF;9$NTYA-~V8dbP9DuadUoBl~QZPEf^`6JYP$qM1D zN64QgRl=%AXojRwSj0qpA`uJcFi|CvdBT}Yni7Tj1Rt%%c-X+r2x)n{5tnQSTBFqwLXIUv^8!zlE?LqG_#w*U-h_eh!6bEgTS;k<+7dFCe zL%HI(&HuJ>BIFZ56VDpOej7CL;1s88{LUJ36vt}FXN@t6i#5c0!y?7u8cMy9tvFXh z_#2uhPuBSVZA@2Osv%Jf^&U%20L4i0F#2f?@e{RQS;uC8VemT`UOGR7>!tqW*lET4 z@?K)9%~hU|{*VUPfB!>Ltty3p*uXdT0PmFH{X;PCinf2J=SwGXCqY=tu2q{HFW014aXPP&0x6EI=550SE!Se>`FbwVMjy0GNPK038qvU<1Md zGyoji4aarEaoup78jeweUJZIR=+&TCgI*1KHR#pwdTKaE4X>w$n}^Zuj6~yv*w_9bXg2f|AobwbH~v2&v*oPwpc&P^A~V$>U6v? z0sp4{G7l}_jnP?o3_;8oy_ZK4M4r-Z=YH7jzS2_Mx6n9w-i9hS9JL&@;mVCqEMM4=cMZEN$8FfV#=Dj; zZRm-H3zq#h{6ynx%jp`#1;a+mu^P+;;}y%r8W?9fWI0@eTVs4|Iah;xZP;NsS%baL zIxe2tkL!uOt9xq=<8bdn_BfiupQv+K864h3{XQ#+16PHI)(~~|Dtv??+>_nU*bFhQ zxz_QVxYwE@Yl^R|hm>P(R6xJ=X4V@=Mk-=+AliXR^~-1K9sE>GK0DjNOeI4Tr6X-5 z6LJI)W1X86hd2=Yzs-hNNP`K;#bH=gOdg=pFi>l-Pl&S-LNktby2B`Zr4d4DM~6UKy6bzpXEH;)ah5 z_)*N@MHwJxGUnQURVMhdmcc0S?~px&Zh%SF51q-w#|Qj-8EfrDuw0$;w>HArIJ`dt ztWtQ#ck>E#)tB2bmo`oyG?G?AU*%&y7#jJ$sO!^IldnTxWgGNW3ZbVGgzG{04ul0w z69{iX+)f4%0TcjX-^XEjMmqPDfM7KgbB_xA ztq`ttK|oR(>bQpl0ZJp0d)DUXHRN$m*vMYv$D)QJET1}4oYjxz(|-~v`@{IOFU7_E zcs^sDxNam_2JQ2$-YLy_FY!lQh~^wJ<^vbExqyuO%|&e%lfk?!Y@SBOe+FTyW*=e! zD?+zSiE$@qq9!O)YQ)|WVOc0H z+qqa_^M#Tb)tZOi>BGG~Vgt7q7h(F)?l+R;lQrOTO#2nBzVL>`M#O&CHpONiVKZy0 zzSbKktQsZ87#=`hz@#=9Q2Yi46s4i~64zeEE*~i$hPr~h5yFyD(jp^6an=`b9g?yt zYKhkwhaC;IxJ2q=M^-H*k^ZYgS&K}h1v-jr35krIj=EZG2X(e1uhzcO_OLWvm9qf9SV~b9 zJi`1cO;(8?;R2=MDu`=@$|)7ZHO`hIRS?&BM;fWhX5z+6X{zZ=>^W(wDt0nUbMfI3 z#2~2<>uFTSBLXg$Ak~~bMEm~XQbhQs!>D0CNDhN!@_Wf@kfgkq1cBrjNUGjTa!S!3 zO8+`atHxD#>SWd!L*f#xz?yD|f1;_eCL5BUK+|ldAud=`W{or?1#2^`sfL7I8nrdf zkg`jQ0gH~HTqChY%M#1AeCs$_{9VlgYmzMau9j(?B8!`-DYZt)k|t`?tYc*f7c{G^ z39^(6TC#PLTd+oxZ;f>)uF)o1$GhWSYnEA4+{yQ`6C_icOM38i#<$#a9K;KQmV1(e zd0}*LFL6*r!#<0JgCiOrS~}GcP*w@q<=OK5%?Ot(7nX(yd8Q&utB=#l-Y*VH#kI2L zizlQ~S|QZ{n~E%DGQ`oTgi@$BnWQAzc!zXHmBF@{L;5F5oGo&ZZWl}sM=#RfRZ?tG zk98N6;kMZKy#dG?E?c)zNvq+r^;eXH8r}}wA!Sfa%ntoyCAKDVmTrfVRTDi+e_QEa z6Lnj6RvA_kdt3jv50jFy zjNt!ULRl!BF^WB8zT_Z}1hmDy#r`L(V-)|`@QLCCROuMMSM+9}*@n}KeHnPR@lQop zH)4mutT@t**WjW}!Aq&TL=oHc%}xTr?e8xAWDt8w+lUlr%n$iEFc6(`l$zm0bkm(*yA;he|f zs~&fwM1tCDaC=H<*id#61l##H#8_c+h&;T(K8s*nrWzzLIm8*|Z->dD;$gE4*U0(?CWo-lB#Zf45pds8jmWJbXrMo$gOwU^ll;Z{`Jb^Izz$@gll; zFZ9Qtt1^ZNwi;@8BvHTH>en4@(%rLix}!|`i&ji`Y@Y64D^tzQ({Hkp)%>fvudShK z-c|i!D@q*`ulvg{e_5G6e3}LBuXm^ zlmz|-nK;TazTz}XC<`hv(KVt#=OA)&tdhgbW z()$A!1pc85wMMw(?xW93);G^3BUU>_&9ljhYal4os(!6AV>uYsIr?ze2_ps$*`EbScpRr82N*M^8U87O0Hl3&9wO!Dr6C%#-BCMkC z7BB9?uA0Shs+n#Q0K zvV*iU_ieU6k>@C82%w%sGbS2Qw z`=%-ihax&>J5PSQ+Ju3YS3e4zSr!?YVm#nsv;;TA-_(7@3(Mf$)PE<*89wjE^in@_ z?1b?>xUN;3mw7^3!Wt6l;5M^BRr(0^05XyOJA$CyD`^})EkM8sg5#u>3L^+OXsCn{ z1mat&%Rcfy8Y=&Q-|q!HfO!Xrj1O6|k*YC|C>bm(t{qCUZZxezF3!H7Ss5v}|I-}l z$ujt>Qu)|!=4E6BWi{eNlOJOCSGTZtvM`#b^ZIll^S;vUo_AI^7aA&mZ^nBH3<%Zu ziYeWJl(4~obN=B?D{%o|VfDEWYaBnT&UmI}lniuNmWhzu_<5aFefi# z^>-fX9J@QYj(eTlrMaOkB)WtiC0+n#H`sBoDboxwS2RP)L__2iZJTnkAzFFoVG0fJ zq)mgtA*Pe@4Ga#2@~Lq!I7H{ukBF2l!F<{WqT&`dpYfZht|j~cHAs}#LOVeJOthpW z=m2ewsJw-BfbpYfO-tAU>I6|v3u6KOyl4>=RMJ+9X0-$^VEiMhZ;5yW%{c`{)JOCU zq7_A)M>MIZs)+fB;Sx0#g)*tpB5@I&Nk1rhUru>#YSk))RGKT#Uxji>7t6B};cVr} zVZQmGt5}|sh+pVhZ)wkfg69&8E(20{##**#Knl-FPgreuDJ@VmvzAs0`C1><2Hm30 z7R{(--J;(SEw2r`MH?@wtYzF{oD;394M~Ge*X-KBH2N#ZhmJ_21&B&UsA-ICqLm|@ zGt@%S^bzJ6`gfwGBcW$#DWbU}!DkpBir3PPgg=O&VOKHxMX@yWDt4D>XS2+53>JWl z7|TUi`DyZ?rog;SRpcJgAHWp)MfQzx@0-xo=BzBqi^&q+0l)4DJc_%X)sBKK@r zB+}hcV%2f8biXRcmU;IoNM-S7bqkdtWyxptEG51yu3k4&8CaH7uOH_XmL+T*t=?A8 zlFr<>p{T6L!Is=z;mWyiG2}P-Z#fr24o-R&!~Bn1d-`v)j!X*s`1)VIEpO_>AHRMT zj^E5CIXGQ@n^|T@Mi*w3JqLd&_qN05p4dj6gI_PX?Qp_xnRD=;L_JmHEeOls@Xh&? z)1!_mK2uW@w{6rwnw$NqAF+(}FQQ{BvWg$nvN0i=~c>z8#gevyC3F*cP#c8+y zS;J(-F*oTfq<~&@2h>9f=wX3hz4U<{{$)R-N$vLq5d*O%?Hg-G1~*TmxAHUid9do0 zmH~ZH`>lx?F;}%ew-l(dTJfl<$+7MSy3SU6}D{4Qgy@fit6wJWU?Gg6jlNT~>i zi=*)rlSQftIBqv@iT0Q^y*uWK=BYKgJMsy9LRdGvtp+|J_&a9w`wc?$(ei%GAnko2 zN5_lxCvlB8k*`dTx!M}!b4HTmjg_Sqoxu^LASD37mcpm~>iEQqlEo+M4m+cp^DA)QxLD0u71%h}xaR+dt?!I$B74J44;?}pQYEy| zK?H*$Wl2N@Dbjm3Hf)e6VpNorRZ|pKMMOo&#sVq=v1Sz^Srgs0Agm47mW_>7RARxX zsQ1nPez;%mhvzpr&s%0D$s{x9yk&L?Jl5L(I%C|vh4MqNNY`g5M4SSX0pkbpX-b5lD27H(I)VrQ+Jh&H1YUM>qpK2J)H7z~==ML+SL8hk(VzGr*|=f{9T6lKDP(laQQ04g zy>!%3xl*x-j*F-0wE;?uIi~>=%?iv+ZNQyoO~_1Xz^-D&W@gl4UdrDogzX4dnM|?0 z9pfs$qL8+u_R5Yb_O#>TiMNwhW}#0xd5Ie#zJGSDO%X%}Z-v<{hz#D}j{XNCgT*kr zCHn$Js}WP>n-p@G-I85W?5jYXlOI-WhS{x43s4t~lY}>(OcI8}_7QJSVyik$QB~qU z5FSPx_S$W2C%KBjftr|^5>Zf%O4czWa?(&2b@L;j2bGU5Bw}vI=kP)4c#5~nvz_*a zR28AtuSU?NPaoYngzY7t*RJL`n#)G836k}O6qVPwuY;gfpEN3GU^b99Yb$t|Erb+p z0ncs=QF`p%72;jHanHMUTedC5`Rk(5bpYa%y~0_%>zb$Ph3wfSQ4!Id6PhS@?b5cr z6Hb8ZGzzX$)@RKK-K&ZC488II1Zc#P1 zPF86MAM|J@OxF|+5}Sz^G;2(;>WRGwDyxb+>jQE(tDMXFfX-*tap%|}?&=e{$#$qZ zxfXJDFp!BW+Q)HG`)v~6=U~)6GeqY(Ik$f-JXn56a{(4o5^L?>5FZP^>dzXGCXbo) zUc6N2Xh<^o@F6tMlae4#(S;kbOu_uLEx2v+NP~4G#h3CV(HUyV%^i2xAu|~QBayzu zI;eI@o9XQ@Y~W0q>33LgixV`{=diF%Up=~|3E4u9(yruC_+{5{;gVPbE0Wsd`&_tN zUpp!*K$MYfwM#h!KIEZRN&F0RRHTFCtD0g?EFS`3-%55FN>w&JFs1lO?>Z_hu>D)Q z%8;yr0-A1#I2B<&X$s}!tYMCx1N=hPuM`=p9`c+tjMIDtLQ|z5tvh`;04#8gItjttj>}fqz z=9-CnDSN7DnTdQUZ-6S8SXbFC#rBzKS9!HUI@58l)XosKoiLfYC((BMgj1x##Nh4Z zQUOVcGB&a3?%Je*>j4`RS7*6= zaAGB9WRajhyyk_HVg9KBae*~!Af*Adp0#)&qXGGiRTz=jfJW<6*uT;padI2Bq}^(h zY&N^8-ENaSi2X~u%?#NRb^}zuBSmZSjq^rNn=!S}CGfFquVGpi)PP?i_F{UB9%#4S z;QSF9*~Ob#lM#KgP3%(@m`jpN?2Zc5CFx)Mn8bLKd(aM5jk1g@3NPjZ#SoV+9v-YVlr_A#A6`-3Su;f zwJv)NnRauyj7|OwuyzM=J%}%Zm)(>hgK+P7^^q@8u z)M7XJtHDbNh&w@i7sPHLehf$e^Z~AeY96S<@uH zkI%jMu;%`8dfj@fAo(_^N*UM=p}@CwX_=+hP|-T~%|6RWc<}KKF-yH9MgXV&};TP?2TPK-n_12Q1kh z7($Lw&zGw2SK=i~#ZJ0|1IbUD1UESax6qp<7qs`jce)9azs=6(HdP=UsK>PgP6GE} zg=4)lUT{FKRh(qFR})gi^K_AhfGvfn8~9Hp<|Hu9%$xWlnyq-?>zke$`6F)ru!^6@1T{e`yr zYHn7U3G!}M5)w#R)RLev)b#gC#D#YN*7|{z$%yr=WbU3J{0HLJ#JWM3lCZr3I=ARN>Hh1-ikLLbbP*WVL^ID))E=8Yf+^UA0CldIcc{;tG7_wbTiA6c5cDrH>13 z44?d72G!{UxF;D-c2vBu_}wMMSy{4XxiOOqbw3_#|KzC7c1ON(P7$5gXBk?0u4^tR zqtqGI$WJfqtvsDBi}3F&F^?KL6)=e4U61-N(Q%>P$e?UHAm5(;6?b4jSX_$jv&?y)KTafG>YE(~f zCkCqAuw^b*hh@jKlX><{VV&40s7TbJcIXN3gyk|f&1U0_QK;h@PnjajIAIWHoHU4U zz;;jJs5T_h{Tk)0AoiY(riAC#6i|tOe{z=KhJHU=sJ0CutroM4p4|lxY@%4NA zwT;aqn!HHLI7HqpH_jPNsi_`a1lZk#_)Z~e$3{LHS^! zZaGdX6X6dx$N7EYf=I6%C|P@ygKYPwh+c9C?cNmeUL&-N4MDDxg+tXODC&GQvD8E! zN)5q()ZJxQsZh75j|DiWb(C3)A0q@O`Ix3xgyadKM{GsV9l=5lgaY0XQaIBq0yiLz z>$WLQo2^d~62$BE;p_wz@)WsGRKxM92;U&TlQ-TsMDoa7RzD-~3^RdTeBib0@quab z<%2rC*WfFCYoxQJkF&z5?y|u9%w>1lcPWoG?v}lEh;VV+(1fTRL*xSuL&x!lN=e91 z5Y-u$W%mFgf9^A_2ee+fP$aqm^;Q120il{U?0ZFkW)*UOrQ;naSc8)a&&X-OZ-$8{ zZWh7aJ4oomTEJ~+N7YkulNM#!R}*e)BaLy~4j05Z*-|Z!44NPb@%Yjogts)@}3Z%{Af z=e1a)C-*lswZ!)gdb;kLfn!3bnQ>NOWJh6(@#lfmIMiBsN|Kk!WjNRqzgrrRm|*f9 z4sSv2mbYmUCOh>{akibL8^U9{_iP0pSrS@?xhvVNIc;1JF|!BNN%YZtk8uF3F5$vg{0h~+$C$RuOfW=`bU^>7D9G8L9Z{QFQ zjvT>>7dVLr<62sbFhjp^bk7iKq3k#tD%M`3+=mvw_@?0RnD@GJcCXp37UmMLMlZ;S zu%$>n*s3AxUZ?XKXVrau$t0y!L6A&Tyy7ro%8NAQCOD)@XFu&$B}om*vv*}H3`?>Q zozCk7!H@{_dpjbGn49R8MgHJ?TXRS`Rb6lkL6z<`)MnwEgI|hH>dOpJL7+Ko4A%yE zn7)Hn)x@n@Yo#?KeKPj4Y#}^&In+DDpO(^|kv3xMK=>*YGm8giokDx)zbh^<5U0rd zHND2ffg*QIkxZNv#bZ>^>JeLY+ZDetY;I9g#A~6*gwHwAbUjaTnBkd24GUb{=n|J>|gd7HTD|mtSCdj+~C8bniC(`KO%k-{AVnS$bEqKmw(kxGyWWr z6^DA{ybbDB&trG+-M0`j1b1~&hFnvKi+2k;T>e5UH)2P7_=Gs08G<(5%+X)?7-*lH1U1ndAAEA#DmgC9DxCWXO)<>%Rz!!PA@{8Qh zFyG`i9M(AFBegu`BQ+SPDhsrO#%bJx1ru(UcSv7`-vRL}pg*$fOt9v)0d^AuKKp=n z14oY&SE{ThllQ^PK z;Uwi`dT0&a51#!?8n7%@Z1=JDWC+9v64f#NM$fj}eTPOaFJ?7Dy;Hk>osSUgaU6@DE_n(N>%)?Vnx9zlr3{dQ!7yhKrt(WQE<8Jz(0c3v zW`4w6cYGP;p+>Hp#xHBew2%YDZTdjNEM5>lt=Za>ut>OBch7+2IjA$5ZQl@H2&cg} zu4WMZASeuXQSZlYQj_cm)8P^eS3~eCPP)P1I_PCb+AR)-;)K7uSbdQmODgBt4~KSQ zr|TzjrD}%~U!2%hH^&eciD~xPBs!^!;BHb+Xb!4G2gqSPgNJ8iJ+Q8)t`>G0D+gE) z9P25L0w2BDz%@BBa^mb9DBA?-M(gfAzH_xx1{6V9+}+BD)sh>cMk8yWqzAKCwmWH_ z$z~{J3&LJEGc#igZk7I(;*1L#GPx7&BPlt=CrX3dI%`y~qnnM(GbcVmF3|gSpXX1p z>20E5%hR@r!WWisvZVn^O+T$a%usGhBGsB^0Tu%$3sNR1BNm0#|H#h+Guji@K^`(VbRn-%ARHeeQx@T%MNv zI@3HrHee;dw#hq2u-JGPzD_Vy`5o;Wa=l$X?r=%I9Lgj4z|a$hL;zeUBZw}j4DY83 zKH5jG2|T-(?$AQ<)9i#CrjiEKHrd|>?<|M7i8h+`97papy5k#Xvc^M6Q|IPjFS4Q| z(sJ<0O#6s}TbL;j#P@5vZ6{%!P^k1&&mFPHNxmrhDiB{_TInnVO+kXA^Pt@ar|a5{ zN-P5cXkSRyYYX9CeN%;PI`Oq8P&s`#%mEP~OE>U&6NiHwP?sg*q(wX{2Gbqc_<8<= z?S_ece`9N(<)0HhGcvmS9^bLAeVw0L>q^QEq4`(E-3LCl8xSi`iwztJ5e zs+h8&IDGU%`G2-~(yNKYzU7F5*ZG~OrMkp{fhsr5o#(by?9$#*+}OMO@M!sYQdUO# z)X&R;%~{p|LG{2kaVyJfH1&I@7RR$UN0*0T+}`IhMvHq}urbe;X0uoJF2tO9nmx*1 z+WY*UeN%UI{Vu9|AD!RrQ@#>A&GI6+eC2BopYyAs#@-k#HLS@@%R%U&CEZUsC_mPM zOjz+e#LDeH-;TQ?At*XrtglG(lY}nVF{du!JI-WCSjLm}E}64>paP~!A#=eWl}$;C zj)Y(ND#+tNK^_NG+FRb;X2#v3JQhyn7#7@O@Uj!aQX}C(>!RinhtVEh3B`q$D}GaZ zjQG64Y}J)2RBE@$ginIQpPRB9Md~+w+>l%lFw@LtFnE!_I zh4DxqeH;9PPH^#CD7p*tuSM5zUGiA=mL}UrPVY4ZkzQMft>P(qR76<_rb^0Uiwf-g zW#O9p9BV$*hxC^oGCT}9%3eXqjqsBPHSJ}Q)2=FQ4ll~WMxAIEeX`(WyT}o?jVUD%~T-1Pz0C2I7MZN|uo`Z{4fGwzO1QjKy@F=;XEJ|*-uRO>+ zNgh-HdkX>8-YZI*Mo=vW1cQ2&1>pTHYPgTG|J zJU}*JB_I|2dxQUIdC*W3i#*=QA}<5~F<@E@rpLkbE#OSZQNuRC4L}#*Ex20;<{5x0 zaNz^ydsyU=E6TOGY1I_ybUtD)gQ@eT3WN-%&VL!gH;}d_1`a~R%^b}eCDa4k3-!Qa z=>bIo)S&Z-caiX&GP-@@KLQ!2Jag6vK1hB>A*+CEc}%f)7;#iyuh=x?b&G_D(qP1) zY>O0loIfzP8C4{`nYffUwZf+#^Hp|Iv%%;-kk@SckMw=gIv#R(Vi?MU<=EY) z#<))m!|rE>a}~q(4$kYfTH_=xpn!D&MM* zo9*2p*Lj|vGLSp&P=EFQvWboh9u*pX={c#9u303kTysJA2C(zpTKFsW(FCqB3A6Qs zhRHm4MrpHE|DU$j&3mZMjMQdKfB!L?=AHRuMt-wR|J78x=GNUV>cnPb*tJ*oB@K7o zhQ0f7)E9bu*k5wTlAwO{^!Kwd7v2H%8xn_0^*T3e{z9c)uZZ5z*B9#kGFmIk(Yws^1LueDOC$ty)R`OQk3&|S6z4)E_pa@n6E?e&! zF{cB2Q$IB#xdZ=J>ZSP#rHh4T>stB-oR_p*bD4uS`xZNxCj&x?9h&xp;;%XH(~gWJ zui6ea7!u)nQq~}f1f4Z` zgEkFL$CG9a;u@U4LIur)?(-G4S0puxjta*sQU^t1HD;Z}Q_-V#$#L2(k}0X}zH1@+ z+_9bZMRZi@)$acVBIXe7-kq3ey;#xcvNp7C`;qgb>+z-9-q&A#eC<0F^26!J-D&1rudt7OKkmN? z%{5nj?YUW3*E~KKef9gN-9h8U;}bof71wNgV@}wRJ)!Ht>$>q<-=heZU(Rm(@$KyI z?b)@-KYo0zs^0d)H%b;kA|H(I;?Jb11*Z^DbMlj>6-Zs6v@S-f{2gR_mkw8NJo3)1BC zx|=+u%t)Vpo$&&LDF5_??h`LTpD;%_{AoPEcq-ZUz@JvO}!#BoKQ{DU_4O zUwsx{_D;D5f+n{q^-a{x?vV+ga2^zP@%|({24RORxxT<-v%AXQA(pe;eTD8E2tQ{1 zs&fQv1EmDkD(3`H9Cto6dzoae--fMo{bFVuaqiQ5r!145^NYE>)&GnRUT>xOZ`hVx zKSUwV87FLZH!T8Z<&jKD9~|2mivuE%RY2CONA&%$ms0S~OSKDopHOMo2N^I-5;~d1 zi%4rgOp)(ktLfNE!XfQ(&T8&H$vn}^|u?RJR)xde@S}AFo%%TZi2>IsnyU$DJ3F{dFkbH9%NNiqju_qhEjs*_+LhL z1dI7}=}`tLjksC(pOG7p?2ddyOc8y831u{IvN@C4KjJfptqq~ok4YJn+92BLu{{ij zYa~MDKEHItN!EPBb4ew;Q_}t*j_2GIo{npkOYVj`wTU*;=JMt5Y<}|&?}zT@+w-jo zb~yT&Cwa~2o(xE9w(WIRd7LUKvFfF$n5TaF09Db3qQB&opn8d_9jE4gz&w@@l@$4{ z72k%HA|KBE#mCil9YhuBblC^^2^re|CCd&0+3Bh;g(cP-2rB96Bs)x>tYGLLdMC0^ zx>V~=NG;o^U&WS7O1qC6aiixYyHv|L5$0sw!h5Y8D|4z2_0MBiVG849y!MK89}liX zPGgRoc}+SiEHx$sa~Ifu5YuQe&t$UmiID``ReJq&#V?Wz=XV(6GiU48mMu0RhDzhC zu1E_03l8?gJ!3BWPL`x-J!uroRr%#4EMeU*Wc^OX4#}#ESB#4@S-Lz9OnJ2Xx1evc zmQA|j6fW3Hqj)Zr?$)%>#%N2SgSQ9a)y`G=nccf3p;yJd>G zpWv@%25l_PP0mwDC94JwbK)xeIZIFd-h?QU6of4ge1tpB98sL1>`Zhsg$?EF9=+Va zv8f2=TsXbI2{O%wM6V|<6x7oi7kr|=6{DFWw`!cdL}*sWBTwIW9A2KG@uuBbVQ)^~IL8#FW{#u6$f})>pzGOMX+h~* zsIRb|xlH|uyiZQ{Y_ZLVRkRKjb&N_kS&F-tEJLH29kdQ{?;u zqJQBsmrFiHsdF2!XQll6;`=P5I$3w#=Rx2V@p)#C^pA(|n4FS>`Xu8&+4z-7=JrWG zE#fEUpdDp=x6PZG_M_dB)$91oTkw?4pHhEW2X*ENRsWcNVK~MG8ZS(5ai$8|^cxKm z2ygN4I);%gMAI4X8}d|*E$vb1Q`R7{$JaP#W*OyzHW+G7&;Ml@>YVaZcjmJaA|NBA zOUid^7~~-MrMmNnwh;0~QvnQYu`&Q0Bd)`s^+HleR zxh5s{nsD37CUoo52z>nYpB-u&FSHg|K7msfY3m)Gd3_;@xeKHjjewpMfYvsv)=oe3HI-h_Po;t4wM z8349-o&uf&V0;J08~(hEA8*>`XbuDiE5Ud-7;ge}frG8F%fydY4B_@!uyP1j4BKMZ z_Pyw~Kl7~H{`;RlyN&O;7-J6JynJ-E!+rL;vT26ao*GTxn%4pkz9Cy^?8 z_^?d#79Izj+kGElcj^DXDKe1V&G#A!j7OZ-Z&uWst!|OM#20h{iWW0zBs3n&|PpPR7`>Hf~in3#kpK?hhtsg(+f`nhhUk; z?i9IPSi^~}2)`q8;CKwv?})$Y9SsP$wtP3B@DMPcWTbMthY${gK2frM2Db_B`NLv_ zKDve6gF~o#=VF-rTs=^@3|*@8GPsxm7oa8u`LsLt|i_6`z6!M|Z+JEotqOB<`SVdUpvUqEm{?Vw%B z#Jyr$mMwRCh4orVo`EyyT0;9rkgD%hv<*3aa9*BNHR$kx@H(k{5Lx0sRkVRqH&AYe z*)3nHLGVJ=KiQ%3Wv?{3MqEUu9WGzKPZQ0dtMlS)a8jyvm2m<0f(x?pPk&_PwSR1J zi#B4XEZB%mKJka>x5A&b-5uSA=N;W_eqI4L6|fXg3|I$90W1RK16Bhv09Al;KwVzJ zXd-|O$OLc!GXWKV0s#0MWdh~{asbtUG{7=I37{4rGQ0NB^8~wfQw$4Cp?nsk8cBAs z51KuDg7Sn}oM`@>4&;H8m&A7gk%dpRZh)Z<6T9RNe{|s;enkAzIDYWbxTasDJ=3na zIzH+L{N?KCzhEo=?ZU12onRISCbz((2-K&8>h@^Qp51`fXwOo_gM{!277Min$7!Fe0G|qjt6>?qm#ejJdqK=oWG* zv$Q*J1X3v0vM&tVZEz~pY%>;&?qoQOht%WyBt#94M>FSEX83haRSq8v~Ae-yYp^{TukUL{eb@=xvK5sAcnj<5!3X$*t^w1D;U@_ zrJ2kUTbV3L(WG`DQSU!x&0_0Ig|? zldwFuc6ud#7ZcMSB%e7qmq0jjG>BH5JBRt_(Q}ehx%ND-_CT6AH#H71MskE|f`8|& zV(L0y%Kj{W?MKVc%ZS5`QMQS{7_A2qWE zeIU2Tv7YE9c%t8Iz?cY(tXjKs(u~B_ra%VtK~1MbX#+VFM(#CSn>5x?9Z7BWelCpC z*K!-pAy*AN;p9YP}zpXBuhq$+`*>5lMp-mkf6OdORktm1+k zaNDHGnkU9g2#m0c3v|F|NPQqMVkQJe*u(|b<8Da%4QmFmJrnDZ;j($!RAn%~5SBs+ zm&F7lCSq>0RT=rAhCb-g~$aFN|N@jDJLUA)Wb^@p)*>Vw(ofJ#6aU_(0H@V+@yBXXau`D0|5 zomEra&6qaTOq({fV26iC?H&)0kn8mhjSEun<$$Xv4~V+}jGQ?)3acj4W@;) z4re4-_OSx14OF=%M&&Z@-HWJ``5D4Ze&*s3?0x;D(QRg%@!&?WhjD3lb_e3TZi=e; z#O51DD5o+lGp7T}seDqX3$U{#w-pBq;E(sT;#2{?hWct=+l+C<6`5C3tcgf>N)S)i zg)4f75J8giB$kSh2_!XdWPVjw`mw%z}X=%sOWX7Vz0G<3Ibgc|&T-yuQuv7&y+ z;iarjQ)VpB%ostelkZpb4q;s-Lvt6?WrFq0tbv&%^i~#cfZ2eU1EF|udw3l}@qQX{ zD3VQ5?4sKi$yX@0(h*-}(={8EaTUQqf*K{QB0Nawpqw;JKPvdf%H;~$q3P61Lt+-% z9cDSitWd6Gi0B@)M0}Cy!Bw~0);q0(D2D0Wh9Nii(0bf{)}jHHJG!1ws#O^o1G(;S z$p#3Xu%>WD!w%`B0CALj zevuq$aOYte`6br<#C+jkoSEpgKx;zSGwR-!KGoCb>R zu5_q=5u)?rgejomLr>TE>fb1l#79G=-vK4B#lF&EEyOMR<)5iFS znGYO0iH@)!D>mRvPU@^UJDfa4Gsg%I(Q&v$LG0l7AKycU+ds6$FgMw5X{74?=7%9EDzV%sW3eOy_mQ1Lk6_Uu9n`5 z@{&F?%rixsnH|vNp-QtT)?Ty+PzbmOhz0x(SOfSBxUtd|W4i(XTRCjyuq}T&*{TuH zdu_2($aTQg#ZI-mZcZ#{xjE51wJoWe)|NCnCtYl~-;C3)1}D1!Z^7^wI5-B|rLbKJ z+gGrC1=|F0wgH@tH{4`T*zgl*mxII&(D!_@RSaMQ>|)s!KtJFw0PJ=gb~n@ryYzwG zErQ*3!R{V|@oPXG82**=@>az5eNeq0hE3r&Jzhml#fB$)7UR>sf+Ukc_z ztBuex)Oq<@#g-wr2J*+G*g*tIvWe}ea-@g53T>2;?ex8Z*~-B7kiF1gBep%L2pVko zwTBf!gN^9+z<;2!S$Q|yCElVePx2UaxJ7=gEmNY~1Ez{DKtu)9_M7aqAM$Y~4yCwb zT&3cqc|5BM8b|v35sBaPg|x<|eewcMr8f|VfXD-)*h2Vlq-)9vsn@?M~FeDtiOAnQUd43^XKa;m5* z<=V{>^6hD?j`l}>9M;E5Q2At0$p@A7pz;<}z6d}C4=VA#pz<@QYy*|`IiNBRRJ<%I zzko`BMaAOfzmZ{BP8){hv|+>VfX{%9Bc}{{z#+ghz^;)~Y-UYS#H4AV{OD;DU3Pgm z^)z}oZRvNgD!bueb!k2xQw5lJ5-sB0Lu&T{%p(HB@eu)AB-zz3rR9Y_rl^*Du9xIq zon;x2RZC(Ebw{6(2*(<;Ssg{`L?B9mumj?)wBU4YISlZinm|$|n72#5X}7UP95>K7 zb)(sYui{qekXLgcr;ghQl6w#a`a%LkFc2+3e5NT4t@4?5;ZEDXm(gk8F3`QO-Hf_X zgM7SD?DzR3uKf8nYRL6gdO>3Xb2Rt+1I-Eo;dK}5;E8;R6{pUJw(i?;iTdMen)97t zmTyPjjagP5R~)SJ7U3~VPoPDo??r0PjkvJa*Vv6F1Lgs;0V@HiHFn+J({SeK;Mk!i zzvF`JbV^vapDlH0??&74mW{T{uKt1j{wLrX;0mDs5A2ym|Dt}(2Q2&-HSGjf_`g~D zcXa3QPdTG>luTk(L?#nX1^Lq|a!Z&4M}Ltha%T~ej?JZs@(!^u$7`q{^Z{WW2%AAD zlqha{g76s#gTOkML^yTS7ZeIXK?VxBV7&wsZiB)SP`GVT@S|0nZUfE^ils!d5t-UJ!nj zf&fls3Ansn1_Ciyu6i67D$2_RyCC`hJ=UObIM#{(_k@2|Q7ihRy5{HM-xLwLQnM&E>E}D=N3pv_f6V0nYa52$_?PJqYKe^v`uWCi zHHq|mz_y$lNZ)dd+H07b*|a3QR(nVhF<$mR!x_EZQz)bv|Jkmam-Msoe*@h6{|z?u zStOUnErWk!5`V4=p_jPQN48=&p2u#ypi*$LzgZM2gGghSM1O4D^v9!uO!cQs^W3zg zf7deqO^w8EEGBKd{7&@80{I{F)+GI#KI30ikAl1Ez-U2PruyG3en>dI1QQt7NF}|I zlVT2t{y4qke~M$X{--$W(Ek*#&gF+dkg!$J9C&lrrZNX!!tC`i6}&m~;LVu<@7`AU zyj%lm6(8YKzMTq!aL}F(@Ak(nKx6~qabXX9oP97t!e~F(Ie@dNGr<`foF!Wb_=KjW z0l@{r2Z(x$#%v%efanLaQVR*6!&J*R?il#u0eKnrzaKueRZ93!r-0@gAOqkN+aCg? z<$x9g(Pq)|2I4Rfg+MH_5b*6?)d|EJAYK4bYVq?M5G)|3!`{Lugj463a0qk3aSMv2MC}>)~ji*6N3}hz}wBVcg z5(ipt@Eyc~=|lKpN5jsRw1Z{@2=;+slSM!SbIbWI2lKNQ!g3~X(cCTtVzq^^oJkiD z>w)+L#B?~5j|$1M+ZSmka<@tT%$p7m#vhx2cmhO*g$M+q0f?JG+^`VAKr{pK9*A%t zF3^G40mM}xx`5c~wEZ}I%*7v%0!b&_J9?Kn|JV#UXok^>qh~PBytD^W1=>$Q@Q4K3 z;h^0J+87W>X~vwl5=r(PXF}WBd}i~K6A}je1n`a?0l`hC?#N-eB>TD(2s4 z5y<#!*$!rUMy%lpGy~0e*MN?{4n#;sEPEmJ0qp?t-kK}Ol^}TjJP?2FYPLgDYn%J% z8W4&=xDkYM5K>+Q;%m3Jxp(`5tE(V51cIv|=)IEdP|yMvtH44Af+`DX*+&@cV*!w# zK=T-odElbsFVNlxZY;v}Aan#_0_=Fa0bHyEA{+=e(B1$phMIsZ2J$fYy$*t65S+1S z!w$Pc;YhZDAmM!j`a8TQUy9*9IS=ni0=yqTWRmRHPTN`k1k&WEQpW@2IfFhZI*lgJ z$zlH5)+Cvj!6PKKIMYONek{zI*;1=_4HUxDd!-=U0>VNNt^gqxuucL(D&gsoiL}LY zvzUJ$+#xwQYXM=_&LG+j|6G>uoTJj2dvmDUCm-P_#o+SqxuCEM6qbTQ3@Ch+ z&D=W@0D?Ra><7U*5IBIq11!hDDmD=WJ7Hf!Ao1YJJ%qM+g#?J-fY@vy!hrY%i0we6 zScp*YR|sZuAR~di3_GcYJ0LQB=cL2&{^t*xr9k=uxfAx7P5`Z3ASMHG z6Nr8%AmDv?8Un;g3t`d72Oe_m%Z;MiJ0SO>zZAi#m31m2w$hv0y7K^qNZCj~TTfZrm}N&@mOoLWgZXo*2< zD-dGPs&w8yc>?TgQV#R)`As0W4uXpo0UYP=mNSU~^ZP)&u^gx6Ou~RTZy_uimNSV5 z;wuoIps~hsCf-17wh*AP1{^<)1mZFfEfx*<2%iQ5(Pkkm8t~CQjRoQb5M>q(_+mZv z17f#@0F4Q7Zj&r#xj<&Y0d~QuY=QGz1MmI(EpXIsK+a=5opnk6=guBKTE?U#X3Kn~ zB<`>Kw0FL5C4cTrbKV}XCLhQXK#~Y+b{ql0P3F^Chr!~S69_YyEl<~jz!AuJ()NJQ zGl7@~M23YR1Ca*ihrv7q$a`SE5(Lj>U{2f~uqT|B@$PpJqCmJ2gmMs4z;~@T?S+cT z?0=F2H2ZNkUG`K};nI9f*T=P*zYsv5Og%?*WFKF=M zsO5z}ym)DO;SDeLT3-0Ui|MSmuCij?`$yB*mDxvMuJ4ck%T2~TMA;4jRdQL625~4L>N{-uB0J&z51?M57*wf^p zhEB4dq;DZ63Mv3};2dSq25%K5F60gb?yntfAF}c!_6aZR{YQTpvTM1v*1iQ6lWrFF zXrnl8{FvZaei)!^99#D135PP$eQj#t9c?hcN=4j4GG)E&TIh&7Z~`yB3mCj7*1iHX zjc5&9N3`r^W9*2qbrJlibrCMD(_MOYO?OHA)6>o$OsW<+;@-}8#O(yL)vVfvFY^gr zhvHeflp`5r(|`ZnWYwbo*T`Lhd%#upb5y%f`g)4|Nz8Ly|%;tz}!6I!#r?w8zXFAX$C zE$O3z@YuT;^s)Cb=%uh-3fot(eFfWur_l7`+I_q6hSk>Q*tgE3sgL~FVoAoXZ@Id* zE0rYEkrRDVbI!dvwD{bblfXZu8M7Chl>zmbReN+KhR_=Hb+bi38}z^Xf$#?fjPA2) zHLv<;@rG5KC64@ufkt%MYUz(!&C&&}p(qw}d1lTnq@G!tSuz4Ms%sSoVG_pqW76V5 z#7LN{XcEUOGjB2!F_@P*aj#%HGdr_vGMda>nVEG8v5T3SS$GPS%Uqh7dkT45*Q+=O zlQ2%pljguAjPq-43rxae}Spg70{*$L)6hCvTBi!2&oWTM@pky z)sR%~X~c5ze@3^_7Bi(MJWu4P|77q{xz^I|2r?klF1rJNQn!s=Z??Wh?h|~55WA9P z7+YvxynV>7*Qr?JoOK}Zbp9>OkNF8yavVt0F2`Mks^UPwh)JvvlxQtV<_pMso=8kqMjEWxEif~8cM zFzg?Of5_4=d~i(Z%$SpdYm)~V?6Szsfk%ZWnX9;G+L8T`GxEEV!pIo0?x&vCVwDc+ z%v)IMvw1daA>PPXE71CVMu<~c=z%D&oD>%y|R(laafnhZU_A(BjzWa26b?5b~&UWzeuUfMe@>x^3;vugGP5I$2&H3T# zS?*SwBw|e_FT%y&0XapU3}KfpYL>f0B{@ZKU$@;*!y~DE-goY3PN#)^BU}LB_PTA&E!h&#Xo}%HJ5a_e5(CKwj%*U7&#n=_fxm<61~<;x^p` z!(yI4e?~L5g}g}o0)j2pz)DL}Gro-U0zxmbh6OxtKC1*XL*mIksCMbuy9&R>dAH~; zGa~{jcX!Djv9}DPA33du^;QnMBrkGwVP0fJ{4p1PsvUx;gWyZGb5Cd|>a9cw39YV> z&M#BVBsJD^Xq&Z$ok^*BC1yu?ihe{RFX>@!gpZ94YW$#4$}Y{}hL5lx&7{ zRzi19oK2(jGGz4gx=Z4ay_5_Q*%&ywmG4>`+yV_e7HMaj+|6Zij(Jk5W{HVb;8TWL zs=vzK&&PI9eKd|7U&v;)+2DLYbHf-7*{t?4lDm-2YBxlGgy@s1*gIYDG4d%|N~Gs9 za+UD09@9N14O1sMt8ImO^xaLE@07Qq*PKb_lr-cI*%9{UCR~l|KlbjX!H`Xa)_fdG z_f^quMv#bJqW_gegL-JPjKn|_bU%$ppO^1ZY-z$?k=;`?H=%8GCEc5wp!ULNg~;rl zL$XcuQ#s{O#7ZhxKBjb#pVJZ`x^HK08C@ev48rp31?t2lqn6bXC%H zlgCgobTrq0QV0v662on_mkKx8c_~y&bC^tcm6UBlSNLzhT-RqPHkzRtL!TgzgRThO zfL*Se(cNXX9|?#Np5a7Q1e_6!ae^V83-6(i>FzV5-6wv>?AMhW5=~PWGne7<(6zd$b*sPTZya58@$`bFhnKOAH}d9?hYa2|u*v zcO{XLk%oLBKLWP{_9gVgV!6(4z$e&C%>eiUCI@>B@7d+};mQ^K+C|UM>rcEB_7;BD zEGYb(*w<03sLPAzuE~p!m2?s^{N1K;w>l%JJsNmWofmCvUF|FR!uRd-NHw)&=IMVF(>u$?D`7KbJ-u89Z52jfORPdaKOLP6AXABT)*zv4bCZ$u(W7Y zJ`BOr^E6$?g6^zpY#*fh`}5+4Lede%Iw||uFm40&p*Du+Y))>5?7=ocpYDjEisw2M zmJU%8QJOwuT6c0YwnlbR8^%kQt8Ldm$CDsuPid@$hNzJ8V4GykBhUlaLM}Qdv#11B zL)|T!qzs@#rvD1%6uQ%Tji)l49v%jF1__y2AMm?$&lD$I5Jx4!P%+J~-MxzjWGjh4;=Q&rzP6*0xAOEppNSvDo(5MrwE@!>-Fo|3A}`gU{Azromg?f zY+Vh@zx76HcOS$wL5qNNjt?Vq9OvM?Pow2TF__Dco1u?qglQTB!UR(!37YN33~qzj z);;t)ZakrX9h-u0l!CaP>8u+T>)Y%PmR62>3GzBhms$M%wiD48rVA>>e z4LMn^&Hgp`Hu)s@!=*F_UV%ScBm-`B`U!aPO1-xsjz?#dyW_%~Hj8cHC>hrR1j zkaMc_gHjDS)yV1kNX2djcV_jO;}Q67ZEfK#}9==-tU3#Y4JdBfkS@u zXFc)~9QG49>?!(Wb`RgB$IlZ<)E!_e`H+|D3A4lj8egaamLG@phm?Af$*w7=1$$Gs zl6{Wvc@5%fKk4L#^-#4Tu?MQ%bqTiVtVUHX*71Zc$Wx||LVuKNkiQXPn%We4Nf2wa zkI3JGeXnCgl=oQ2Q=e#2CQ1QRwPtZ>1tE`c<&xDJ=Sb_?z(>$TZ+>@L8nRq^g#CLH za_pl=TRfut>n=yFjS5xk(~Ep8tJ1DEPV1iA0inxpwLvPI4$3jDs|wdaUaFm{a_FGG z(mERPqX*1{aR`Sr$Kw4*QytRS$qs3TyY5YzOMt4_2;m&SbwDWKIN`ojB&;p?-=LH`FK7&V>WxOy+oTycGjBn`H`nd+`DJpsbrVG`IH3Dm99GQ z|7z>R>%jl3Vc4ye^|vUjXNoZDx#occFHMgpEV8B;+A9bYuwL@T1{&|eAY+69^sCgE z=G;;DS8hi|*vIigVLP_5;jE#%ipFBx(ipE1rP+NhI=5XS*T)luVP)9BYX#D*K2nz3 zJ+b#=k_&seI`%Qgg}YpH=9-^0r_b#hH?2Wk<&n?&QiGb9=N zW8+c7W(V~SYrm%Cnwzw=*7do|X;FhCU3l+8GXbH@6weFv?hF->|88U%RL#tI7ETlt z$VQoSG!=pF6_Fjp*$p*@W6l2Yo)eW`fk7yHqUzVw#e=B>ID11EZ~g$Ogz}R(B9L4W zMNlWC(kmhenl;PW6)yn@yPQ2bTByo_@S;!^4Iw-m^PoX%xE$-+A1qK_Xaqml1(eai zY~oAG52g**Y8+Q%@pZhLgaFD}QSRdRMAD@Kc{2ofg_aUG!>cw3@T#Gdm=3R6A;7DqQsNDG)eZq(sY{6=@M?Q0 zk$FEn_NSA$JP1FY#QkvpcRA%wrei0A)n#Z9Zr zQteAQY>GZGM}8qUtoy5@tl{7DC@*064GfbT=v7i7k>+~Xyx<@;k>8*`lg zT3nSXB@5s=MQ~)N?%a4(@2f z&$eDExt+l`6+fO`m4gzVZERP&rR10;0Y`1yx8+s+^i^1&{Ryk=?`q1ae-nxKV0c2R zXH{MWWx4Bjrq2RN_ZbTFyn|CSStZI1slI(N77aOdmP1-W^mjdJ{^X#0Ex(tT+qlNI z`{gpn{eLFcKK{?ApOvJVoBFK#-nT#gGo=|BrDZu!Kj0nP{~GgkLkjQWAh&}KY=G2y zI**Du)}Z2@i*@N>kTkv5=*>A^l-q9CMWh9Z1HvZE?uHiL*;vX#M!Kf!npg8|FSj#p zPeil@$u6uAC2s-Wg%hG`PsI%cXOk{AhViz=vPal=HFeiW&E>-`6qmgs@q$T0K_#}a z@uUImc4x8AYZTXL(z4-y-%h8mQEk7roX&rNJ=}1`(A(|N%6OxZKE}9s($rOt>B0zK z($j_@Lw^-3pT1S4yH+ionTQQ{3l*~;hjfPD$NksTg>}r5`JjEcg2g{st^dzcdHif z_eRHVin;*$x?!=QaS6$uJc<4i(62E3bhqj*geSXI=OH}Xt$MTH8|nj8TOo|>R^7T5 zKPYLVU7}19O<52!8hsP@0smkXlKX!&-I|iHxc2AdpBLT9fBMVju^H~_8n?_Tw;x13 zaOXdG@L=BUu-gw#cFJ*CF5#Ja1Ml{O{;$s7-Eco(+)+A7|M!dO_cqRdw!dfNs}Jv9 z*0=n-V$s77?@nuqpy~QY!;T_+lKz}QUqrs7``fU07;{PgITTeA*6Y4A>>37dnUjWX zz?{|n0Zk8Bu#=E0fjO&tAa5SVlk`{QC@^Pr-$RiV(JT55)F#e~ol{3l*PaHKMNAWe zY%Use2b?AG30QEco&MRZ8OoVppI+>X%d-IQ#~1s9C0BmQ>3x%)VGgx>wS{ff6x#`H zQJb)e6>kEDO8X|%@|32rH^c8_kP9kA-F{Qarh)iPelq?k*Fh z+Pe#iLJRDaqNw-Kh+oiMScd=I@<@Kg2`qzq=JaZOB_NuNp@Af1^1O@nyn9Y&p{Jy z+_C7yFuOXB@9!+IkiXOtY-?NS?HsMi8%)&$w=2)`!xBTctN!AHFXJ4go*&#Nc!=L6 z2?q?V`zbUYsuxrGz#4iP-_Kdv0Z-Lb+ob`QEM>>nV zLZYo>9C-TSkN^ea*8yWZ%Kt{$X^cd{_;u8nj6#Kdn~{$OhpW~ii|D0w02c|j&65FK z?nhCw%+JD|EW*h=1*~%-zHEYkvs%QIaRuzZMH6KK03e7aGeOC*YqLELgq>FVxZ14J`)!Lb=LC_@tPs*2;EPdGvqqL z+-D{m-3FpAsgr?q8F5KdXCx2AtXJcVT%mBiCKXthk#E&}V}LOHtwy212%|}Arh+aE zBWXU?Uq@|*ZAUL*#AeM(1wj~-uBIqhox*fYmV(+Dc>{Lc5~Y$Ra)FfqfDyuvR)I7v zn>5+VlFrHoW5#%CMmBl1l?sNbxaY9XplXBdfS(L4&@rLEXwX8(gzjI%?jp<{eWRhV zh>!w~>a%(Xb&)B=la@JyC3U2q(M5)nMYNx_Y{Qiz*Ce#SaHz;VNjuqaw#e-gy4rA} z$m5dM-Eeu>Wj#6@niHt&wNZu(!<4t^XNJ?mw6|J6!tBAr5PE+w+S|ZL0Vv}#21=* z8L_j+2}kq?%+zXD9e=B8w>_~fI7j&t@JBM*39GDOvAN@vU-genxUp$P!W=L^qZUQJ z$IJp#%VUY;ow~nlld7?io?HNnxOUNZ!Ol=hfUPfGIo!p%>?tT}xHb~We zm8>bIkg=HI$mQxN;L;Y{$NggYtb5*l>@>^t?mWmu3%MBqFdY5^g#!hFGA|L@d~oagxnX$C5^Wk4n}hfE6?e!h%2 zQ!YQmS!}^&Sf|A+co7t9@z`z5w6zsX^U4oW2PwpGBIMi zY88KKV$2++8)TvoG7$-{D()cF>*8&?+5jLNs88_y`a*7NzLbyvbl`GIpKaSbKz+|H zHqCBfkB6R7l|m*)tyE5fOpI8m+F-|5l|v>X{thW*A_5x|kckM^kq?=O1Ukwf6A^}E z4rC${=O}?pgeK>NR6WEn*oHHjz*0NgCGQ8Gh{ye3Pm z7-=?@V72n3sZ>(1l`hSq5)WHrrRh{KMt70sG!dQx+d$kz`X7suCg@{IbR6{ZIF7m+ zj<>lS*UmItN+c{rLk&l2DND6W0FFm{j&cEx=lWbb7vOmAKIjyH z_*vBn(Js(E(1ohCl$D9s9$=@ZcCa5;HDuziq;{wt2HqAO0M6_RG=4Cqfj%0L>Oyr1 zB#<`KaT?&vnt?Oh44l~tV9Q1}(7pVDD+|Nvx>V~_Ut_FSluj9E6naJIz|AnxD<(_n zY8142z42{hfqX$skPnCh@&_^A_&NnIrp8VJMS!M(LMy#vr+{KWGb+8id7xO3u+Ai} zb}G%=9av57buxA5<_6+{Mk(=IAk01mgsct_vWoyA8{QFI>TfG|saj9JrIg}Drb+39@$;RvRB zBcI_+uHiZ)qtWvE(P-PZRfFcaj}4mB*TrP=H4wx{4I0{2_dMcNH;_jjagz&(nn$EH z9>Z<9p05g8aNLv&`W`eypI|Ej{6MmWl*mxv$C(OzJGBR!(>RRza`T_KO>Pf}z~N1yE-71-8$N+#l*D2lM^Q->m_Z!SAAlJ^lz|f+)X-fq3o} z)%18<=%u3U9=3(~i)or-8XC1mnWzW_Tn(<;x}2W-0y}1%-z<88cLj1~ixVf{{3m7t zNjCf{?rY0E-o-@B98|+Q3?2>eoOR5ymUqtS-@%xn{KvYDcQTQ1TYH^%B@s6fJs?US zn=~NUs=jIW8sKl$blC|5A)By2S!XtZGLHWS{oT;jhy6xd zFJ4#enNR@=tJKC!?uT?$rhKU(n8dC9QX9wg06CY`f*N=uW8t1XkH zbCba?(oI_4PjI(H3`+Y+pIasj7WETnTRvo+`N)>dMo8aDSc9nufIun?har_YaiR!@ zXD@IJCXCUb{aCYxPpgP|Nc2O>24xofKBR82Sb&*_qz)Dca1Rj0;5-3#Ix+{Kv-m5> z%E8hO%tj<@aBe?vyYdZRW`es^JQyVg0BzPRZ=nHg)+}wo0&Uh@)#BO&435keViU92 zTmht6#!u#=7JQTERC8^MTQ)sFspU^;6TDJ>&5vp0zfv9J&u9ywD1S5Z+5#!6Tx3CP z9t(RGk;Rs>@T-vO*txBkzrgaRycMj{KJAvaV)aOIH?*$KLe@Esbz*kvbhb${Aa#8K zMxuex+NS)%7+w^7T=kQ``{O zm;27-IutO@CnB}*z1Mc#7#4Q8b@tsTsH?O2#FEt>QxF>VobWmO+oDw z-cZj{`1VEK(9EzBsw8miqn!z4XX+_%kz5hS9tYd+-xW!Hfs2*()==A#HrMx_XH8LY z6Yg_Xnf&6o_t}4$rpE=`2Xixg4?UTsHwE>0C39w(JjcRn!*{Be*e5y4BJnYnm5w=G znA4UlM@biMg=MLuuq({FhqUoMw#AY}FYY4CEF^kCC1J=CLZ4SjinDO&d6mQ-OM(MB zbQF3&-qvB)hwRIuvYrVR&kdrw9ddx9^#!%B%MK@@J=LR z&uGg?`Em(x~k?p&%MyvrHjB zT4S{5!uC1V3a)<*eUvQSGDE9#LM!Kka-uy+7_nTr5;~0L-v@kqy}{T=4A=f*IMatu zVXYOjt3C4B|A;4#Ve+{iV)rqZJFIQus4>DFPOjK*jCzOtJsfy}Z)=PgKSqgXofZd; zk>WWkppEd$Iq@`xYrJQRcol=#OP855_&yb3M$I+}bui09I4Ox7Oz+44tQ!XCEdFQx zS}~cytznIcLKy)y99Qrkr`NExqA4w2HQbpZj~3Qz*4Lt#7T?#LFi}7Y^ELaWY7?Ig zv{?<7@9rghNY)@Fu|*yDG-Q2j{zA-kBt5olAub4696M(r_7GASTe1-U39=@(a0K%N z$pN-3&eKAc8wcD&w8`=_18zsqI{EPdk0V-~{F2aRF`8=glzH7`NlcM4)=iGp~rIFkS~>y`j*re`}n&Vxzf382kt(*ZL}U4w=IW|p!>yI6jq zg7yaG$WJ?6UU){CpMha|V+HYz{;qA-5D89e)TbrWfC5b>OURDTM_sOhS?5~gBtm-)cFUT!_0M?t)mqIfQVkA%yUrGTWuh9ppCKW!!HM-5DAmST!9K z6d&kVQITp}3@QZWfR=;igTx>is2VgA^eLzqv<@TzEdmvQR)c1PKC4JQ9F(wqwhO{s zD_U61MHv5x@~Z<7PY+Se7~MkOCdwZ3vM_T^)9hhr)P7Z>eQNF8eoVD>7CpBgH)dVd zEb7O)T1%TV$4^sdixAvQWMOkYg8drFZ!SadVMtB$91G^9wvG1%N}Qu#EAnAX=#Q~0 zd+qa@b1cN)B+1QU3)x$OYn~|}?3VDGB?3~hgxNe>K>SHEu^A{IQzewKr5JiTUG|_^hGU)7Jz5W-aXI~;@d)RKsiff5$}eoQIT9E2vJ%U{33n`cdDLl@zi*wfG6E~rYy~>mn$L)erGg4eYCCkkOWoDHe zy@}J~Rmq-ZN*D_pj^3&Evrp=V)BVeqmE8b^*kH-(p4)}{4?Yww7U8ebmzg*9(CWhO zYED~7j&s17MQ7U#P*lSxHC+JCY)&Qqk^T=Gxd%TkT%%5dL;1)xnjk=p%mmbkext3T zbBC=6R0~2tyQHD!uR+H^rw`_+AEoXie7PmbH3xL7A<6Z<%W7u3JID>>v6@*1ugj<) z%IY(b?`GTq8ZE4n1v(8Y{0KS+dIT%g!%Fwk_7SE){IEI6bvfugta6_MqJdmNu=4$n z*I?yjSUDM1PKNPh7*B@rWEf9|@njh9hw*+G@23<{`e`6nkbA-LO62d}ELEm47LC*? zg+?J7u2WSQ6Vd1_rI%5FhGnUWjPbP@t=Jw(F+HOd|5Epgcd8R(*XnpzE8Ljq8{WZD zK(R;jdPXVR(dE2jqqOZ>f8NDW*E#5X-r-TO@7*X0AL4d!-ig4NwLgZW>{akGLrJRF zir4|(W@VE?H~^(AHx-Ek{B&iPLNLIIK81TXqs(`J5ltHboBEZFdVD~G9)Iz=Rh9hM zE_zs1%`5LqY=$X9dNkl>xnv4VX@p@u3=dUR8``{xI-uq?c;T~vn%C+@&eD%Tp$N3} zYN1es@Qwa!VCdn(b;k_b+eqR1-=Lrd4}Ry$@qh3i4?98ufCZSw^qX_yf%{ z?2UT9B49Ksg}l+ig{>fux7rwS{n%tL9!;sT^SlICu^Q_IIqIZQ*^e{}?Bv+8ahG&7 zP2Q16P1gp=dx0to#_BzGp;zcu)fnvY@N$$|*yEYm4qHKCv66Ah5#1wGJfLQyb2Q#| zsuLNU~0lwH{2KiG})Ki?5#YB34AE%F_;garLp za(ylCyzZ!cZ!PJ({u%hzW7p_*$#>Nf*XZxbx7Fg`=q|_)ILjqDK$g8qZ!rVot}e`| zK8?f!N0vrIy=(@+S2B7`7od1(AL@RUTMh8_d5%M<8%qb4cq6AxL3w=D2sXD}u zOAOhre8LY)4BW0NM^;wN>A)OCvK%LBJ;p=ks2)j{R+V&MmstJ-+$^V^{hMiOi+?-U z+eB<(l(BZ3f?K@HIK{xAjp*Z_QGJQ5sVW%3+(U947Y2y$83D@Q`BVA=Un%P)^Q-bk z@E^3}ww*%Ea=qG?1Ekos*YmJ_-2D{OyN@v0hNCz~Y%*J!@ew9V%O%=o!jxQ?Qpew;E9rp$#Y{U4`%-br|<`-UB~*&I_O7RZPQxX`m??g;xwal8zVa3_nYGB*qB zoH$ZO?hksd9ya=;LC>K`6;GcVPZ&dj=;iSwSH!bf8c){iG;s$OpLN0GW--jQSZMv(o`Fj`>uQ(VSC*`%SW>SzLqlmdtIQS%cp#S=%gm zjVYGoHqU;I`$@8*Iqfxes-(Pm)@yi<{eNN2dSP{Fi=h+J5?m+xAT7}zu(}~)7$GgSzZuT7VN+NKl;Mz;;fqyEAuXeSRr)|$hW)B4fwYX` zD3c&9BRHz{iZ}p+0Dd5EPzq^jSz_pcv_zj8jzL;#zc5@ZB9@>(LXjn@m$l6N6{KbG zT|my_D}oXLIqO*wngGaIw^81CK+aAW6`a@Hg0$qX0pu)qG-M4RXFWy(-vDwpU^F;| ze8tLzBNLCe0795C22Zh9Nq7r3R_aU8Sf{9L0#M)vO zRuh^yGgNW*C9#FR#3z=x*!jNTiR~II8^?uccgW8q5|5ze=KQe4&< zfRd8zYR*mOGgD=?|4jxLFcplOp1VwS)!vcxdUYe5g$Ul`^wxrIqzr!moOI@lc{sDn z$lqGryUWJi3V|wn*y+&)w7I3#6S^2{O(oUbF3*2V>#GAQ=^m!^F?uC)n`!ZwS0y9Y zR5-?}^!(nmX3X~mJ;szX#(cp%ZCXC&^MbL$G=Gfq!t=uk%NVQ0#N|&Mjxj1@B>9dn zP|{V-kQvLs`?BK9Q}`TbVLu)m*UbO$X-;v!+a^}EIgsJu%Na8>S}@}Rruu+{UzIN) zeT6Wq$^^tvWTHc#Nz2i(Y^|BDo8XJVBP1Ls(C#_Fm~Da`*udNBvw+DAy{-9+kMHA6 zRO|VkeS(RaZ-JDVw~#m&NpS2Mpq0ul$bWkSjphbnjUi~@QdOHV0S$hx zT#L-3m)^lwBO4v3Dlm54X5Rh^f?a(|9LGU2bc0cwlHV5sl^@8l_CsF6W&crJX_jc_&6) z&uHiKE|0peL{oU+TC-BS$%Y>a7~$YW{&DmXwnmf^=QYAj6Jg_6EY@{VV4N?D6C`59 zFKIxZ!2?9R7dgA9X7N$ zsqq{z(C+b#XGe%8^)TbPCq!;NK6Qe-%AJZRXHggaq-FhJNhJnqCw7I*iLF#yKGWGn95(G4WPI7p}gc7QT1#RjXhd{NLtjwp7%oDz3w= zk9C_^29(+NR2A-20~K2jd%1G~C%O!9qK^O}J0lQh`dhl%{5c(KdnBzee>b?mTz~NY zftPJxUlrq9Q#GUgGY8Lii6gdM?hyKxI1)huP&_CSlnmm7CdOwv!eLGlCRQ5o;Jm%C9L$Gha^ra-HCN4z`~+O^03I)J(>^ayWjCiNlv|Hzqr zsj~3dnfy7L4!(P4$V2kil9J}s4t$toy<`6XW{$RrcW!`i8@*}U*h1`J7mJfx+&j2G ziAgQ^g{-OKkQTRvoB(AcA3*J|l$Cq{wZBsN@@EW$QIusyo>RoaU6d?n&R}8JNo372 z;|C`@pIN^$C@Y8*-CaX-1)c&ZEVRf)r;`}kif}spVM9YAIZOAKp|uZ_rPmvp`Uv0X zzBRNvJzKeRMZ_^~r|^_I%kI+|`5uZ*>!JF}FP}X{Gs zK6bTbo^Wz}F=KPJku%+XToR-((C zyc-C!z04ueG6uev(Iff=II{a{xxL&m5xE6ZLp2kV8oO}&(vnrtfi0qY^i)IXfZyPB zAj~pu6JNtyVtpeOdnK(>nGzT#dPJug$`cnG>VYuJYhNE5>su2mZ2v4a(RWF#pj{rD z&mv!kZPa9HfEVLeuvrO6SRg}}@``Kz!)EfAY6$S)19fO)@A2;Nt<)=(q$20pm2UNQh-nG#QO6z;#1T(Y8wJtnCb6DZ#%&sJUYf0!Xt0YHQ zXx($_Tnn|Qp=5q?Q4mde&dw_ey^8%ktxMw`c-MS`{<}O6UEMv^k~GXz_*J_1(9Sm& zk$-_tZb2C%ArwIavgXXKR*xAF}iHy4Fhsu~#k@}sze)h$+aC$SBKhc?l zgeLNgYE57UeEKFi6B@#uITpgBEmZ7`hE14F@J~%^+=QWRsljHzfny%(1MFz**3I{^ zUm;;tWv!%8#M_}4y5#_zrd8;%iOn{xfSs^;`M9H!MO7gq6P#)J*d^dJ6fFoyj5)4x z1A8suQuQn&r!R7;W(HVmN$wEqwd-xiJ3US{juPtin{5F@E@d7EDa+9iTlo;{KI=PE zy>V(^aEbC4dr(zIFJWyOmhew12%-l>4@s#bvlqWjvcZAWU~(lH4!|mZ57o%2HP{%* zTt`+7{~ zqJU^1R}hS6!+17~-vk@=Pz96_odc7UsaFwi zD^wD#?api`>8;+~@ZC0ry~I4HnpPKbOS8z1D~gVW4i{!oShS|Vp4(kghFu0=r;~k@ zyISXW>qcCEp;o$ps2~c62Kso-9pnb`_=Wlc#$Ukr3(D8zHCsmT8&Y4Xu0YmaZLYKZ z1=2(I)@P%NrVaQ~B|sh5-oJ}T{! z@=)MBQ%`fWtu~We#qV%)26wn6dfz6t!_-agAUBZ5Z@3&t?Im!_4A5D)2GgTqO8w><>|B`gCFlf9I|tKVg21Y{4ElK78ibocxP@C_JRehE1U3Z zEwxp1voZfzkgD=*oCmmFNwcxrEcuS2Y;)F5xf#|Em zsc?*X)agIMoT2ovY~x)>B)mee^G+w?UTF`AHjPaZ^0ungb}yk|tLB=WAmnetN~|-b z+3h&1^|YbE>E6ovQZvU6e5G4(2;(6wY$raoOqI@WC$lX?sjQ6fg(X-D{d56vjLNPi z=CkWnOYPyE(Wg{CaEzKa4lE!*0`*~^qxItd!M%S_y|r^Hc*`}L?X(KPpXwWS{|f$} znj>~>MTo!pS39F3(0)Pww~k==Jk#Z89b(v*N&Q(bFlaI4zf)vCS=Hs6rt7GTi0q5`8v9de39mr>~K#Iyk z=EWA=!T*44jGY&cnS`V{v=xNK(7Cd+0=HOy1o~J=zv_OK@2|lAs^4Y;rbQ$xKqE1- ziz5Gb1517{ah7D7V|RtePAyG-aTE?-a^#0csfF5T`MFWbU39tpX0HKG2~a}6Ge1l;IFE3OI_7O*6gZq)@;Wz;U3!sX}tO6!3y;cph=(^ zsY8S%X+wl(5T8NhLgYf+1#uU|`prhyQw>Jf_Y{d|nLEf$;yLc83vq_RqSHY^u<#04 zcroZpSo|dD570i)1JD)FJCFu+9drov1hfP61L!R1FOVMeE$9U3chFwYFVgr@q|R;m z5TV7gfL`p2m05Cm$DQ7YXNI_@nrfkcCC;wKS(yK&*o)Ka;CM7fWLz~}zC z)j!r1P_E#9TRREL72GDGfAJ2XKWty(-Qzma`&k+8-@2!iftXVooIOM+^w*@?rwSwI zYxws1fIKs@P@0Vpt&$pPs)Z0NDUoJ%;Ag)(F-dd#>UQ^5##C`}%Y;_Xe?{wL^nAKR zERiwunTy1WWB?;eArv45(t>>QkH~E4ygQ`HNU1cBNG}T&A1xYZ{pAvYz@a-%x!V4Veb}CX(85di^bbw6^5e&wDnqF!&H}IGukX7AtdNC&~8S--#u6NOG=8_>wm*+|I`XN%KOEED)w?@9B!XrUXlj}!a z&g*jIdq=6~_0jSzqm(teylv^9Ev`LC(!fi+!WfEa5}ny zUOJAk1E%V-osLG(G_`gYG-ead)$%&$Aa1)2E&uZ?h(a}(S*?o4U^QzTV7Uw~sH3aLzh{Q{XIEf(MdbR2_j6nk9v-mu3DSV=0w zW-r20o!PL{3%68%(6H4Dxa*G%`@Mj>-eLfBnvZVC(BcKjn!V zF%K-M-~fP|Zcz;8MPjd5jv6i$L3!`@qVgX2EdEwp-vgh;5oS>jd={TD%X{Fnc$rz+ z1E0k}5$b`@;tA%=9@i$|=~VO(n;50$qMj(ehh&^3?|WCv{r9X*(*JPi6i z^(f}sk4ig=iGUaZ@dU&Z5SKw*2Jv@@zeAh=aRS7>5cfhXg;)yl7l^+=oCa|k#LEyb zL)-vy1H}Iz9@vtDz3Q?L_rhZzuFgA&=nSu+I99_CF9OLyd*O$=06)-6nEXh;!A7nQ z7#I30)9itXk^ZV6dz^D-GIoaksI9Y>v{n1e)>n(oL3i1>W0ZE*X>-^ZX*~R)`jO?s z&#@Ev%)}_ZCJq*pff%3#{3I{FLaE{>j6(6iRr|cbQVS7WV+QA0$n&6*Y^DI~2bE+w z0{mxCNtV@t3DDj#T+GDp(fuR-q=ggD`c1U4#s3atw>YYWc!yprp4{S|&-h8as0E)- zzbKyCLT+WO6Nj~Uw9@|-$H_1(jzlDuu|~L7(R`Wj2q#z+En_a^E)o~YXbU+{#pyC^ z2bV1_k-2to3c#t^Co}N4W}%S;+Z<}d$U$SCtNM&7Xpj$VGN;k=;&DTgPw0|(atq?- zNYFj{Rdvr8TpN}``d$*@K;RoSvcVw&i)tjJ3Lq^PkR^`OqqI|cf7^;7&oVg5XAey% z<7_k$<1p=>cqrhKB8jNkHU+?#%rvuW9Mjhmy80FIiToSNG)1IS+=PFmn`tw^(-J4o zv+v($8#-o#C_R(8+3i7mC3bwdJ7u-0|wM3Re*wgs8%U@_S0T{@CXT6HP z<{r?9?QXH67uz!Ht9fwE4A&kZ9bY=ZD(8{vmi<3?y(Q>&xi8!_mWRC69}v%~X7$p) zf`!_f;HGf6=``cFm`(WA)|ZC!iGD5b?PW0UFSr&B3-!5#>t+G9$rr9Kh3m`U`unZ0 zn1_&m3LZz&;aVwNTb==PJz-MxK;WOMO8X|b{uNx`4{Ox=z;*A;p#7SB_-*%AKLxHo zOotz`y!3WCf^$U{(xDW*8lgJ2qOJ;E5${qh8DaN`7c$8GpI3%qPeYCR907D}@EveM zvQ5Hth&tf^URG5`KY6(}-*zO^eL1vHO1_wKfOwlsV3png3 zl(|+y6KFaVBE}qt(!=ngsN*WOky8}16v!I1BK~u=#OPndd#<@?jBAV9qugx_YYW_? z`qVhJjbEbF8rfb@XxL+P_lo*i-D33fivC#>Xq@CFxTM4yBSyh!CB-;xG$vaw5Hs0z}DA?+X`@* zTzhz8RdIaz3De#^i2Vn9A)28Za@D_dt*F4}ooeb~kF_%iuZD!7x0Iuud zdJN3%*THq4F4hXu%CQ9gJ4x#r-^mPiB=kw(7=AZB<4nE`&zr1j6Ll=QEyS)4 z>>5`-)+=JWa)=-16}DaVm_OAke2&u05BB2SR&Ii)+l&t46(nget%LjkAq^HU#QI4J z2j?%ue5wQ8txqAb-Zp!A7$T*tJfeS9uI zEDDp++Q+`_du3=iolmNFW))Pyw@XP~X;js%xzE*q8U2N}Uwl+)$upa-3}1efKZH8RqeYu&Np3 ziwXV>r)}ozj=jq=Rtm;{rOa=9RA&C&u{WaDbJHr~it8&*`2~evKI)G!t08AS5XP7| zzXgMMx{7OBTr700IJ<>lVa_y9Q?SvfLQSH=y>@OFvD%tM&+Q_QSxL>JN`k93q&c&a zq_uLIkxJrBYeI8&CHZSBtvU4tA*xv`I3A1?TMt^8*^1Oa&;!Z_kCY06IDB?3? zZm6jW-vQt=WGnas;Nlgjm^cuAL|OTG(jV!p&EK;|Tn>Z{c&FqwN7Nm$;ajL9oUg_H zEw~YOm^fw3e;{U#3df%;6y8>*+BZszI>37^Nt)k5wjv~H*+N1v5+a?mkaQT~NJ|zH z7aQNXE;!cf2+SBS31jM{OjS_G7}+bB&=8jA*M;< zW3v(RbqO^VzD)*6B4e}q@#*?udA8Te*aYfSFYadFCXZ=u*)AX|i1IHfWJ?`BFZHVG zSl}a5Z}STI`hh92L9jP27@5%K{R+Na{YzR5Q&z#0i!h}hrfeFR(#;#0P~`Q>JragF zTRtQ0Z1{|n0!B246*HXKb*?+%bYNvQri)HCXN~z41z#nfuzJWpcQU%zTTDsSUR~S_ z6KTwI7*LCQEwb)nUwo;hy1Sqq^NS@$emT)~4VouE>SVuQeyMr@`<)r>qz!4E>WzW< z=4T)-=so?o?OV_Z(C;9TOF>mE91w(nJ{}ZAgHk|&pty$cL{=)>mv)e{wLwap0X-x$ zwn&K?u;eGO)EL~3xBwUxmY4`r*{SSt9}oxRpUQ5B+it;a^`Iqi%PP3#U$`X|ro+m8 zuyPqpc~3p~^WJU2@W(~KMYzAr4K98ha6L#l1%KQWXj`d=`}e~A^`Mim#u`{76sAu| zW#5PCf5J2mn6?Fm55aIX{8WO5@LE_c8HV@6a10FZg5i&U@6|92f8W{(spr-rhbHBV z1uZm$^P8B}f<@Tg;`kO`@E|I zcqZDB^vZK0vN!d-`+H*{8zb-0>i~lkCFxk zKA@3-kCFsAs@tXvhToh}5w%C1u)rz$CAQA6uLAq?mYD>0l7xKT29Fs5nZXaMYj(5IVBvG?TC zwq>zJuSsc0Ky3bN;&o(3Y}spakOdPvhe|kP;l-9vNuOBgv9NLQ#1b1jpGx+$xWvku z2sG9CAzjhuwEL@Rnwu9XA{tt$tu< z4hKbRuGl}U@B3aDg-)VgZ=Lt^?G0oJ(lK*xQ_ojkpETXbpX^iFNl)!2W5qoYM#X8A z#lW^a-0aLCw}o!0Jk!>nC|y}P_DDP zv>iwY>K6Ip-K^Dcj)Ku!XF^9dZkF{^;4H#FKyi0!J3d0x^CSP!jxJ(LKo^^6 zN|MpLxZZNj0AYe8uzMzpv{%CDmavGWlDO{K3!zyhaexzSqRE&e=p6Y`q5DdSclWGT z;$_Ly?u=IQ1_`k{H=ppIB)CeHPa;d$Rhjw3Et1JqNIp43;$D?~hwzmos*3L?F@0Ub z!XCXXBedsaFsi_T*Yp!ycm@|n4j*z!JTUS0jJhP{R1do&6oae(=M1G;irnI7uf_65@PWXd`>H(46`wuFS-n3uu|cz+t)*tEQdQH?sg1+ysRaLzqx^9|EEyt-t~yAD)hWv-c?vF zEIg6uJ5=baJshzW{#>Y#(?5X)wJ^M}($AiclvXDh!60a;u>JFjh+C3g_Bt5;7d_A4 zM7o5I+lVqu4VwtNo!CqIY===uSdU&a92Mf`GbQG4jb5X{XG{^s#M**Z%xUd*+iLjj z7?`D*BJpWH>5`>ETJV8$0^&-%f)dRkkA{nsCotI2IKcJ&=xb!d_BPn;epJ6;m`CutUP|4PZ8 zLYK=g&h)05UV(J+>D?1QXLMzKLO5Z)BL5uC87LXU6ond-&dl#6PC(+bp`6wf!jQCn z2j+beqRt;#_Th2{2BZ|Og<51i@0;r>cLn!%=@hF*!K`QCQ-<}l-D1)2Rsie9*g&3LIa z8m9jG_a7=K({uMqZSBd*<7y-3mAA$BD%UAdVb-uSsCbFc{ct6S?uJView&V#q^Vg-0$ z8t)9JHj$$wH36kst>GN18~H*pu`dhRapvD&&yt;fw`17lJsfg=iFS^>FQ~-Udk)>d zl2M8OM<;YX3_W9hBzdiT$(?G$GFCsXN6Q8akrPgF&xB;b8|4;Bd`kf1Khq&T<=a0j zSVtQ=|F0)@dTlE5?jp2>CZQEGKjiu1{Uoi&K0vR3j$Poqfa2CHsrE?@Gah}{n&`Hl zBYUVF|J_KfO=~5t&~^UuW$-te3zFVfj~Hz63dYlS zYbA>YGmx)7Oo#UM7`yvs56g7JY4oTMJejDrA^oF{p1y!OzCTcnYKitqL!7#3dk-38 zNwdvjtjMYZ*RFDX?RxtJ062P%rCKl8s|U+1X`DyeH*)1DhNP< zQW;~dH#}emBHV8MJiwYKZ$r0C-K<|?a$&q&J1*Fd53>GcTf$hesfjtyRKdvAG%@Cx zix@Fzljj3-EyLsUYr=ttRyg=xa+ z`-y{1&(Ra1`6D#5pt=7!`T{inT$o0m{3HJLjCaUM(6eAau{Y-(^2$%O#y5#U9TCt2 z&Ck&M;&s6!hcH2W2k{$3Bg6niC&UPZ8sa9z35Y+sRvY(0`~q>QYjt|1%Oh*8%cFgr zv%N2{>qY{Ybev2fqW5|z(~o%*T-0yb->|?HeX2|^VlqJyvsC2GjN7dA1fh+{I@D3~ z3@8>R+*0v%ZQgFT)GS@MH{6X%bb4=Ml-d~*yP+~_CKUTaWmKf@auZZWEz})rg32f# z-GwISIL~uN3uJqTJeOse1-#-u^j;9#XemL&kzLsvI-LY@R3%R2T+Q60$PoEd$Lvvt zi?D`iZI~QORDX6G?njGn|Fkx2EO%mc*lO8*23`=kT5*aKR~@oie(Gz(S5)?mV~GD> z8JpQ&8|Ce`W&JtLAniF-w1P%1xHxa7i_De77JtXY#CdKqS@cc@ju&>XMr0kw z_jfoVMswW6M_ZlSJNtO+a-{6MxJSTB|IxdXOosGPS|1-a=P6D$!}$U*eVjHcjM=4{xQXL;`^xUP5vWD zNcsV7+vy2awHPXFNKPAZE(uM;YAp+d>QVm~_=P=BG_?ezUZX+d_2{T?o97eIC@Hra zk`eb+N+HzD-&cPxg#R2Ts@@tiMy5!ojUigRrYdq8M}#ZprVe`g5HDn0S1yI-dT5e- zh^wJl0nIgYQ>9Vk0#gHgh%M@R{2hQ_FrJ#;HI7&}^-Ap`=#Cs0*zNB_tc30}8P{Lf zW(Pr|p&q|XwZxj!7|;!MgBQ8E_HDh`$COK^^$eo4>KS%DC#|FS89vCo3;9`eOGcb? zOV$9#1~}%yF%OP+;CKg)@$l_dwF^_F$+t%HeQRTH$(C_S?WdV|chz53T`R&}t+95r zVz#Mn!`%gUg^@y*UM(b3TOS5N^;%C?m2&uWXJ1u8h#dhux?yQDI zKLFBS+DB}63BEV-4d#-$f_~B9_l)$9tQ!`qBHmy>S~k$94-s8d_;mINof#U4+GLUP zIN1oUg~Q9vhLoD`b>B)cySy3{`J!>m*kiJBq9|tcG5K;Ei&vQqDK!KhzYkJsVtA!} zxCM}6w1h=#2Ftt^mRcS+22p64#>Z@G^Ra?aU8aLg(L8txNh;-MH(CWyE@*+^~jlCe7D2lF*z93&M znp_>fTIMc_0GVr4fTgB?W-2yCdz?)hAq;~;%-OH46>je(;#!Aio5XdIQWFB zW;|83P59j~hN`|T>>NhzQMC(C4CD5w-$1$#vPjhe=|0#Z^gC6d}6Zq3O|3%B{%mQL%2*)jq#~vHa zSNLym=7{rXajnZ2@*(+b+X``6E%LVN-%CH+|BiI;Wn4p*S{~33jN<0fo+$inh~7wd zg}~;~8|$u2wK?@hUqgR1|3mK?Mb3q69wgYF!m8sYus!WacF}23yU{x z3^@zZ+9DF}XE-x$Gq)qBVHAd_NdS$Y1iyjH#^=TA@)Qt%Hs|ZN6 z`Gd8VBltRvU}K z$-Yd2H{=dE#P0p_JziLli`8=}8Ke{cR*Xpkc6J&1}%TW|sxiY95Cgs6gyR^-W=Y6Y9F=gmpE`Z74Vpy+-qgHI9WIik+`mZ;naG?Zf?} znPg34(T3um%kkz~yRHO0hh0t5PN!@St%=i#$@a`LeGkd$oEY#jr3lZKI3H6L3Qv}} z9aG;h%@MgZ#obrKx&}43EBtQIg{odE>>PC8 zqY4n77=(4|3gM+ew<6VXSrZm1or2X4`j+61rp3@Ml!<##5rH(%1`Z`?@7c>()V>=|HEO;-bh8 zv+Tx1r^o809^HPA=+bx~)Gbj8^B|T1Q3=Fr5LY-7(O zdM{&^yiK3j8-5FxiDP<$Z^@fsB{?igHl%0thD6D`_2WP_1ohGK3w?Ss+C{a3&E{jh zJPX<1@ZG#f_t<VT*Y3<2R+RX?9u_mF0vbY?SNB($5u9X z08`+Z!=5)lXb#)2JjZnvZ>x5`4Ke|g0p9}3()0-jW&sRTZ> zZ(x__UtNn7ahFC^;B3MDyn6grGp4^J8(oaffd=;psyfeXHN+h2UVT5Bgx*(`|znP6Mt8NkMn$GvWUh!6{F>-zm1+jQ zDRjAF3pdWL>U9gGtToPUq-B#n8VeeOvdKPHNE^wfVNC?SQ=iu+Qw$%|N9CIQ`Gh`- z#gxiN^);y7sb&t|b&g)ig>NTY)39)6c3DSacx(xECpD&FrfZS;2sV1oPl`g;LetA8 znRTQ|Cd|oB@0b`)FQJh=+8UglCYmuAzd0yHga`tTIV_~j)p$w*cWy$vyN|!dU!~C_tuwbM;L$CHC}#Dr75`hW!90+ zA099!wDqWSoNE2Z9{wt4C->_Z%odSI_C1SbY;5wjUV-=wu?6A=#Bqoh5PN4V7bh}e zmF2k@S~Asy{i3p>HfD(BPe}sn8K*e=8h}XvtF5Sr5QDJ?;2x(qag@TfdD|(cWO8xi z`&D_tXBc$t4DP8m2IcfwbwSsyxcq^rEdVqFu-pN}0?+_JHvoS*fOr6=AJG>g8#P5( zZd4h?^F(!F2>>JjxDJ2}fB*nz0Kx#+?O>Dv-~+%s2jE~-0)Pd80zjdIQF=rVhLM!h zx2Y$pS8ao*T;b>;K~Eu2Cjj*x0QWoqm<>QI0OuWmgHa5?6aYQ|Faa3%cmRX|u+;$o zWB28Y`%JqVO9y_8nKF0o#I@sR$Ap}-A1Gbd+xr^3Afqf}{)Xgp@3%MpGVXTY#rnQ+ z%jf?Q9CGelv(IXuefOUC{WLveK00Om$8qDdKkYlZrM@q1)qKMIx%b=0&q)Y5ckrNN zo*2o3y5Dv*{_^4W*#E*p&Uv8Nn zBgKCQ^0)sTvNrv9P;UP3aAiy18PT|L8v`3Z7G`HWKf@e%=69ccQ!n&(?^!VSOL)k; zvX;h=KX2_@yf))`eCq${#N7O^vodsr_q@4Z+Cu;1yxRF6r=j3KPR~5xDF1}F>Vl@A z>tRg(z{{ENr}l$C^=aCP>hneLMm~k!BDh@2g7XI!?SnTn3;?PFpaakXz_Gw%1zn56 z^9N2|f)*9J%V4%eVE~*5U?Kny9Y6#CR{?Mb;5J-1_xSMEM!@qOgy&lYZ|yzD<&gxy zuK;{-y!npHBOZXO4#2^1Tpm*a_!j^-U`&9^;~o|!jPrtPtr2F|LQe$)UP(UC_QH8y zhAa3U1t{$f%5I>Xa!^8mQU;U=b-U~ff;E8{QCtlPHjrEQO z{7qig!AwL|LDxFRYnkpCtS#u;@A&KLfi@F>K=>eiP(dRT#^gX_0vD(SFz#p0%c30G ziSh~4kJQ}DZoFK04uBu20AvCn0N|Yi0LJ-r0Hy(;1t1m}=Q#l61Mq_ba4@C;FbjZF z0GtNK2g8hCPflR0I(1)j_jFlA{>4RC0dNCgA^__g^qr1|`tE7Cb}lZ`LhotDy%QI- z1;-x-=O0{je8#Gi6#%RTVBM@EDPWv>??emfMDB++=y}08IeSWc{=t{n#+L>0*JJVB zUKjoC%ZHX5qa{Be&o}@o0P6to17Mj0pa8HMfXM*7bpSK~>Hugl{4To+V30W$|5{t_ zKAKQ7$$A*ThNpYbX=(rx0XPT19RRMW%H0QpVCXy;S`5RgZp7ki$p9<`Kn^S=fLm;P z(C*OT?-(8j!#_hu5P)^{07L@t0)Q$2w!x{4`NHsW82%Q9-T?3mJjv*IU`YY20&pYD z)$9SUz5!nSPWV%Np+MshG-fkaHRfvXa#KRS^k@qPqfxz#d|KqG8Qhj749eQG+Ja{{ zgYz3BjsqY7V7UVb0pJV(wE+C(06b3g&Q3qV$YVEZQa5oH?f0UdSeVZ_a%?8QaeuhQ z0nh-L2Ea@JjsmdD!N>rh6oB6yfP=vXfD6EG01AMye9w#=@bp}?zd0oT=Vt=wDRlHu zfSLo;RRBx?;I0<{SpX~rV3h-KF!BJ{0Dv0+iNN@21^{ya$Z-I`_$eEJ6#&En(B)vv z0$?!!OC5lNkqf|j00IDLj>!M{$YD64T*ic)6z$!_KNtX<0-y$f%MKtIfa3sE0j13(=Bp8)Wo!|SMp3DNMP1dhfuXwcMm6EBqEZ70|8+u*U&7<~RyKApk`HtQEjB_`*{z zhO=uUpICUd5&#?ke%zNkmw2AYJ6n1r?IyMHQ)syZAOlbVfI9$_0eJ0TlmjrE@nL2Q z^+euZ^)oi?SO7h#5&4Intp!@V>TbkZK2WlNQt6;*fKm*U?r@;20?IN6Wj0XCf%4cv z*#?v~4vJ%z4M17XfhVItj~se7Fg~QFd7XGM(l}#ELj(8a+FC~bou{)K*99I@-+ebK zIMQ;129&?G(8z~IF*L4GPyA~?J>$CwG4rR>a0OUDM zEVR#Fa?<)@u)q}Gc|vg*qH4};Y>(xbOIZCKb3B*@4~{w>WWa-Yjt9B$;CIIZ zHaws>9?XOXySeLrTDNCLUfCV$A2VM~VoVX7X8iR1y_1dy;Xj34zC7cf=e~@J-HSCV z3){nf`qOY>2F;ejs8GGqtPF0C`04z~Ycn34#4-M?akGp@)pJl0bS(r>FZhKl^*AeYef@>na^dpqPXFh=khpF z+He$ARwD`?j-$#0MXce-J+ewsGUIz^5m~9KMSD}V2Iv={T>{A4AQ`Q)i5R`B*oOK$`#MyM0f`bIM--~F-eIGW+ z(`lQV_<)pLPg*ALv@I21k>ZD7jbe@FA6O;b0DBqeH>CBjmw_}CzefL~CYt`Wh1g}I z-l@zqBvGAbl8*Q^(bMo`3r)CVkQfLX*O}&GD}Q7{Q_MBwZ1Y9o$z;SawbIIxIAzne zfbcq|4-$uBMxcJV4!y$sR#@|FLQ}*S#JiAg$UO3osSm|#88=NL2`WZig3p4)n;20* zo2mpK4aqmN5>L%aHxqCTHwd`JBAoI(OKG~?WD;)Z6Ltj8PZ;zb@1075@EPyDMB_u4 z2()sbod((kpsfd52+$%gD=YN)!Ps?*ru3{2&fMltVm%m<(|R zVm-uXh?Znm;j(6|o!yM>h`)^*O@LrRBtwKkBtpbM2x{@yJf0~31%slaqomrfI#d-1 z&nMYv_ND&g#q@1H$=){z{+c;B_Zuv9rmac-))7yeQB35s$vjF%b$UiP#P$-6LTTccq-qs+4HDz zA>X%|H1Fim~F-8RAYyaMD$ zZsGRelX8?kg~?nbo2CzE#w?OE^b?s;FJ&Tq95e2v+*6;%jP#Y|>w}rGzVdN;7BhOk zY`H#?8NXldqMy{tEQ4gBu-2F|`8m#F@r7#iZPHTHC4E3E=N9uaSE5&O*YNV*BR+8R`qST|+_=m7XS_#l}&bIA+juWkO@XE%dX(Po{Y8Z%23uG>}ZehZ4V znujqbHSN01!?;D7K;4C55Xh|4eK!pCn2y&&c<=c=dl?J$o)p5a;u~-a3cZkME?+EX z;^Mq4CaxZ1DA|SC3m$6ud|v@9nEk*l6>nnV+r54_73xujlrP7uzIcb3~U8tw<-k+&PzacL* z{i_d1bWA(D4ZpAM$UjwHl!YWFF9)rfjF$6+~j|Q0GVJ=!&xcZKMeby z8;mOlXnm@~&hxJiV9r6pV|g?2IT(eu8xVbDn&KmDiKGh%R85wVA&D%Bi9=_>mdFVM zDh95G-(gE+n!v3{v)C9Vi7g4Z1luc0P}1~nqfCeN;wdHZn-y+0Tn8(kkV=ZgwbXl| z4=^mo`7D_~uJ806qX*)r!k0=! zCv^;Lq^sadrCG_IG?f-kkW(ho>Ub7A4z-!^ai>~7R@buk;n z(t1NG@oO!9^g~keGukpaVFU^$A0x4rE4uAcq8Ih8k|828qyAQiKsHwJ8XacdqB}C` zw97L{`4iN%WYj|H;hpqJBa@i%*ANtQ9ppqgN2$-G&l{PLoK=gyO??9H-bitKGGtIp z*PTp;42lrlQIW4WxI5iuOTT>gfUGzQt#-&&B5%0-Z5E^hI zz&_$m}w07NgN;ZF=Z3x-*#=yvKI#bU-s z*X0~3CtZt!nSAV=>UCbtQA&=1{s0@(mq3fAM(+t0>-!<#Cpv$bW+A&@&Ooh z474^@04r<3z6O|cVDKQo>j4e{*ykqx4UAZm`Ime>bQz#)8Fa-%SI|v-pz0Z# z2$OAru2GmDo-Vuo8G0u)zlZr7LC*uuqRIaz{ul=o;NhgoLW86+z-oAg9uKTO7!-K8AyY_FZY8Y{i`~n2YGVRJ? zOr6(3Q=Z;+v=r<|RW;VuVfQ-96yq{|#AwBP)D5^_*)oj&;?HF&`f&s9 zndbw=kS%3Z;rh#Utzv#6-a_w;XlPf z3tG2d>H%`>a$iwuYh<{rOvGr74VR}t+A(O@y;NtiH?VvXJ!Yp*XHgP8e@>qRj>C*P z$ZX1k&#O-&tw%<+L9JXJ_Oy8!6v_m2k>ECb1YaHT1@osx($5~l-X?EUZnI&AL%$#o zT4wfh$6POKzNRNhTvpPKvxySid#|sjayaT3-Njz0MqZ*j(+g%p{<@xCFdGtBYa9It zUTck5M!bRd3{3-7Q&A%_j&$01R6oIx-G$t%y?4xY?@T|6 z=mxF;QA43A9+|nqdV_a8Wv}d!<*0QVEX?1AXoq+M(bD8C6l4>`%OI*CHf9s-=@3E) zUN#{iEgaY6K}KiStC8UrGlF=-jA$@JTsI?j&JoEXVGrOgOi>2(5};23I*E+#1NwZR zp99JypbP<}61t~`<4Rz*80e-#w-&m?p!+B2F3l!%q(V20ZiDV3=spGAHy|be%kF1CJxcZWz#G{T4}Z@s#&0Cv z{-jJJc$3<%js}i-xROU%)NuRkm~88dk|tw(XM+4NMAe*cP(7CaVd@$-Is0ojIggQm zIG!3VP6hH_X2F&zP$3Y(A=w+4F1e7dR>k)piX3ZhJL&ivs`@(?%Q3#IYHSC_mg?eU}F+R2iI#XX95q>#W!)>cqJ=Q zT+RX>c;Z?(#XP}EbQot1FaJH_C?}5x&g}Ex#<|Ua+6A{te}OL;y#_u93TPCE`XVGL9MsuE1V~f?c@1+#>l8A*Y~b zxX^m5H%eSMmtsgc>mneRV%tGjeU&KAJM_8SBuevUP-U+y(-I0|P)RC_5X1&IYmW-Q zEx~1Iei!a4LDqOhgBpR$P-v0zfaR%`+KC&Ax(^@Sl!S~n{2U8T41Q*BJo03dEjz7o zoz6qC6P0iYCgd)`{-arHP3sI8f|M$}IoB?nOOZ0qy3{wcp~#F=MssgeLgZPORR2`!i)Y1@EE`wq^RhS7UM}(L`RCvIW=$ubDxtcKTpaNR+z~pK_i%n z(A-MOv#M1Q_PJ*Apcg=ysq$E0EQTg^1akqJ0nl6kP09#nH8hViT8ux#pnWiCiJ2^o zgQ z%=NP$1#=hAKjAxe%4o()#1qXsBXWS`U;n$8zXoC(g!*@{TG|hhqiK6)+o#7Ix85kp zHRW|SgB3PO3j9^R1dW2kPRgkz*nj#P2OX%Sid!3#zNw- z5tez@Cxd598#}9%vmt)AMTs*674ZiJhkBqU)uT1=>%E%o_co66noB0iUfbB)r>A0; zYewjMn-I&jYI;Kx<}b|_C`ondjSs~7K+Yfi7$g@*F+-2Z{|7mLoNTZVBk;2Oz(OpB zH?0pW#Qb@)`oKagm6y?np9e~_xiMfN#^AAEqbQad9^~akfpc@I%}0De3Ln}Q^b5@k zHf03W8dZt8XU_U#1-%kC!5j^KVwAg{XXFoUt9Y5+$d{TV_LLE7Yy4xJn|ZSCJ1H&E z^DW#UZ|LW|M&?*5`?G67|D!716@&!5dK5*rB)CC#f2f+HJ3Wf-B5#Br+{wfA<#!|g z<>dDBpP>$NX7-ovLRD}U_D|U6GfcffSlKWKx6aH$Pl0ufN=aY(TTr>o^B>=z1l_b7 zg!j;mgYFlw1cC;ND=dMy!chxHEgZApm<7il;P?X^qv040$5U`TWf6(Df@TdR%d|@5 z;~lz3X%b<*8AXa$mZ*e+He8bGhA9CgMc@m*C9U>_Kntx`X^H? z$3vGAy1s+1G=*z6Y?qT^U;GGcm5VVnX{f?A)z^d#2WBKmAY6o@HNmEOAPoK)SoJVy zyu!6lV?}|}eL`lf({oLOF?EF16rYB4H6Is#2T~E9hm;nZYX|2wEP?G6?i+Q9ft3h~ z%@0&HU5nIc;rV2zK-w0guLOS0W*SqVd^Y|X-p@=Ff*E#da2+a7bI5ee8!KE@(T9Cb|%`-02UlC3@?L}^ho=8k%!>78w=U6G8f z^g3?JvJs@EV`q>r*mIF*G;LPU_vns0t4tE@mnY5HFTV)Ki`*K>=0!iItTnA?1VJ`0 zemw^_80{h>a@ZYtUZ^0=|EwW=aE8<<@;EH}38s4*Xc50)Z8y;%zIgXqv-n^Lb4ziC zJITJ4NxTLUoJc;&-)pxqnD66HT_6vEJMx3c_}ci}*r}>0YbKvE7J5>C2=2(Wt;lVf z{nlc>>lny1JmCgFksNlTYMnKYALLJSGgiSJd3ho#f-}e7P>ssf9J5vp5XK_*D`)Gm z$+2aM@%lpL`-|@{xSmx_q@SvGJ*!?#zfw(Hu5zazPbNO|3}DxQ4JYX!yK(^gj9kI4 z8*rPedZUvKBV9Bty6wYI>hoBq8b)h0*K|$8c#Zap?ptpJ=+d$ySsuHnG!Vy|#w!wl zw7ZtQR^r@BkHbk(q3h*b@Y~5vV!Xi~L zWEutD^_J4?|kJyVP`09{1n5C8q-9dw27wsQN=Jij`8*)w2d`^#$OdXC1L{ylSx>Hi8 zmE@=FH7w+N4>CKC<^0~0sC*2I-kGT`pENghhni5Iv?qkJCfsdWgIo@tRq1^Qnr5Gs z!EzPE%vWZa{lzDmT;s^^jK7Q04AWu|#hOjlC@55jr7A%LMSQx%rJekPk>2S~phg=# zIz0uj?ERf+f`OgtzF9p%Xee>bP^}UkDIsRSU0g;dsh*lAJ7C^voey83jETx}3srnz z(B(SZ#kCDWMbTtqfGEN+`!#Bf`d4cWB-&@Dx?NWDk(ZO>M__slnXUdzii4|*+Q^UXp$1MX>XN2B4QJm zP?Xxx3FWV0k$Q`;eblLs9HjhcBX@wRH&t^7{2(Slc`PE!T-Qykc@Ay_s2 zHmF!vl1fdd7=F^6x%e|$f2&&~xjS;X{355uegQt2q_w6i@X5@327>B@j!ZAadM?{8 zXJY*SHmY0OrjR(Lq`R>P*tj*ggs33HLrQ5V!i)p*)9;zcCnVj z2h(G>kqsYA&js@DZA-+QW{|sop?J%s*p;m=bzb+3dHR&m(z}Qr)qZPhE4B`PDlgN! zk5=5p-r!8JZ)qid@p3at20X^XeC0jdIpS%F$giqn)+5!pFO(lm8{vZ)R;Kt_Pl2?e zmd$@G`(ro$|80)@0U+(zv$7;@RKew4m~h3oQ|!_JaSt`2*HIBhwo+iGy_ zqO4J_wgvWA)}sU9cXFx(WMSUm51P@sb5c-xAj;cCsl(ynvNs~eaB#T1#kQ(HYYe+i z+rti$px#ryLP1REaQqi68m^35i3Bd$g>1D%w4L|)GL$#|MH&3XYmK*PcRbE^mHVy2UP zGUHq0L4ezxg2ijT^)N(0m(~OIk0v}8tU)6URf%Y#>baFYfJzN5Q;g^-P4qIQn)SkX zq-llqH;6`vfue2Y;`yD+o{Uz-yv9AkwMW8ANDG~dGRhQ% z&|GySOq%+WXPdeUvp6KybQwHB(|+u*qL+F!A?fmd8d8LMd>Q-L%ONT-1G07%PhbLGqCtU==8 z4oWHMGkyp7ZxAGwPi@38*;`A2UD<@IgWu|hK;QOU6S9sp-o$6Qjz!H^J~8{-uQa)C zQ?IoWSwUlwR9G|)9?gnDZPdc8aS7@RDGL^j31iWFVA0q`JgW_J+yXboZ8f{w&!ip1 zl$w3F7q(%4F{f;=Xv0r3Je@U?$c$@2hMCXlj!4~idES8~V=OPD+v%2OFDx04W5!n^Ddtl; zz0|G1^9)#Wx{3El$<48kQ7=>%O_yu|JZ`t^Nl=KLFp}09_cvIn#J5JKAGQ;NDU-BBCW8SMfG3t^?u2Md?`Snk$1r>O-Zg;X% znRdEvYck=XCPa5|)V+)LMCoqBi(5uXE4`-5b8QRyOUF=`Rflz_Mky=FJ@PlUHT~25 z(Niozy1k?1_tYEgpb^?|^cUQ}X6OE@F*HuK6Y_cEy_sLoG}UbDG>NYOEaA15uVv$f zT&nm)uS*>H7vt~XxjgL|e9JalqZ(ZWvCEZy#7p#FFSwidFiclK4+bHxXixc^jXnl3QUpbTBjybHKtCY7JnKwpX^)mfuk! zz^zvOV9J$X2gBMiS1g6rNXZ0gnE>5FdZ1VU#bA?Ikb{qddKnL>mkES=8O2_Uo0Y;M zbVqGgJ}^7kFMB&b_Bd=jV9T)Y@pgMmO_HxM|7fj{xJvVeTprUd%BP43gVA8GZvInv z$bhb8zQF`RVZ;qvja_ZP*G63jA&sln6_TLQX+y-nwRq!R*d*F5b*hcMu3Tou*iScs zEgPuJNxl6&8)V{+HSOK8RE2}bRiwpWtJ(^#vNi6(W z+;hboQQ+wGKKw=v)@mq$->q8X6A>#pc#mwOIbWO~gZ`@d-8!`s)$AElEhjpu*G2CVC z6IdT6y!YBDtAur{a({4EsnVSp^?Of_NCzQ2Z+focoux;p8zlI=OD#b znNFKE)2uj9xg~RrV!l^B*zwHZ``5!B(N=w^H>?33;4BoMW1?$7qWZKRDo%Id=Wwu~ zMUA|B`wT_ihCASMreAITkZVt?Cl?y8>Z1&K1?YdYFRe&EIu)!6ws2xWw;D(0 z1b}Web|>6}b+i%#Np7&=8r&4)E05H(nxgj07V0CV*@@_%xhoQ;cOk}evl6npQ0KUd z6EeGyE4bwed0pst-1QwGr|4=~D{LHgqH1%6>S4kjO`LGwu*)88jd0VjdyytkxO>>S zNLy)@41nL#24mR(_$~cwTsHuIOK4+;BuzSvfXUNp$#?dUt;^|_Yi-A&&tqgg&!!&qM3F*S%Y zs&A}?EdOp0&U;{)Vc+bHee9K_xM;4nUobd#ht%NSYRZl4ZAJEL2DjIwImSvBwU%Ck z|12Ue`r&Vn10JI>6N8}?W*U^jd~5syvA5G%envz_Row{3T>CGb{(wg^5R<(jydeA` zJYirQ4BP+%x4@tV7<6b38I=jqb3GhWr_FJKTq>tAA0P(;IU2}ofXsn71OskD^uVC6 z@Jz=Y0RtDnIUNY!aloS&uF5uB@piZ>&%#ydE+IUFt5RUg+YVRdT5}a0uF7X{Ro0CV zdp#o9$dPy^d}C}fNAgOVaa^+xuVCyP61dHtXtpv9~ls zy5?T=Ep4|>(Tk7LjOljtB6f3;;yp~K+tf|QN&1B3VlQMNhtDhYLf_*^con-46F4(@ zvv;A+a~AT-cOh4CN_oY*&>uK!c~u1nHx9R-SAg2enb%*^jNPv~$DS>5uMWSB{gF%Q zpH={s=o9*972r>Ud1uC5%u;S_f9_q}pWJ|c_Fe1?^*Y_*R$_`8r#n~ebXk?5J5^1% ztPa;*sdibXny))v4XV*p-NkC>PpTr_nQFIB>WR9ZYFC_UwY7F2kl+CS<0{_%Yg`JZ^!HV~J}E<&|-TXo|FQ2_jy#)yf^g zNN1)xS5glcqebJTAmMRFecHOJ)2%!B0;E2;+xHAQKc-$WPVUTAteJBV^VX8${J3G2 z=W8{@)>WRh9pO!?JB7mF+GC19B}1NI^gv2c*KboqzuF z6?&hTQ=G5C6#goRdI$}~HVBxy)-h!ou&2Y6H(<&VZMquBSNH!N4&pkfz+9 zDUJbRCJ^rcaRj0Y$UQ)g5P=CP49JB6UmOEyr@WFW5CIV6Qw5*9)?J<@kI_f=M(vj` z(NF4)E0g)_!+Imj95Sj1eim`EmH55UJW3r!tXE5##NZT`U|t6Dm<~jA{Dnz^@r|w6LOt;pYCE4_LJ%t z-I*rzC#Y`gX~N@FAFZ{W2!fZZ2`eHQcriGd`s*uGAnHwm4B?b?Vd-<2SJpxOgNQQqR^9nQ%tI*N zE${T{f*&F4J1HwY9bQ0ftd}ilK5br(l>}3+C z_oOZCDhcL2I0$T%5C|SOOz9F7!85`ngrDQ2<0hU2M<6dSi6!p!9xqHYB*=PC8vKU> zCZ&5#B@%2sx!5F?xPh*ci6cR0dnTFYN?fx^7fl5cd^UNFX{ChN=kbpzdj!$vNi;1P zaq1&Am;@u3K60L^c7zb)aR&q-P%)nICeesX4C#z1e*_mpUS?W8;@;-*7NixCZJt4< zMI+8_q(i2%5o{Z|%Cv68ZHdPdQ^p8-iRVGP=5erFIEmsC4nC7Z5Eqy+H#jk3ff;r* z{l)oa>~T)2xXg@Sz(I+NLNPBm3~^;Bj>hp6^Fy(FIpf5oq4;8si@5Lz<^d;4TyX@K z#PJo+K7zdnPHp8!@M}0Yaq(QtKb&xJ)m$8rL$&kfVjDOU?Im;Zc^r3p!86PqPMkfJ zhqZEbF2*0y!8ueOg*byQL_EfvM0C3qAf>cclsydy(}8dU2zfv_1cYlqs0G3(6$lj= zd61rG`nuPIk9G=oQCjs*PQe<*cD=V#m`2&J$2f(|QgrCOoFZl^?RtWWeoLX!`>R54 zDSP!O6(dT~3L5X>QOaSxiz@h}qDk+o3OlJB)Z0Lc`Mo5{W3)~PR%9QW*ZoTw}ih6xO zZ|FmiO+@!HQWR}^a&LHw^0nTzH~6xmS?|{yc3F9dOWa=m9%K{aw->+1edGkeo|bDJ zf@nK*Kk)Mq@Y7XUw1!LIMq|3E*XgfgVBy;>}C~YM<=52~Qf(@TDxgY{1V~ zeHI>*BIc{N2ro!6&s8^s?NZcp^>N`fDUPaoA^c8?q^kD{JEhn?st3XoQuH46Md2kW zzDV^C$gv=b)D6P(qnP`uJHpeWsQc*67IFvH>Nm}`)lfNra%(%HHBnKBRRjOHJXqltiKoE6ifmi!vPbCg!ZSf zOe_*42X~sBN%&?ji7ApqYz7ZfpGHJ8#o08e(W#lHHenkv0DIYjX>O=)x|b(6zH`x-%VYHuRL-G#Gv{bTZ3F5Eh6l)@FkI3cnxx=zlcN(Or`I3!+=V`f#W2sMJLe(Skc|91aQFKQkbm%@HgMYsDKkMZev z7I`RgzI+=LN`yX_-G)L5#&cNUP8$w?42n;v{^>E8DV77ey;9frRBd|Dh`S-H4Y$GK zE5102eotO+nj#7sj{jSUv?08iizfbN_d0K+^Zf|aRYng!jX?QeOprKx$GudN^hoc> zIP78bJose$*OQ86o%&$!h<40VZix6$HQ@~{$B2u zYalh&7@`l876|aiR7FwwfV4cZfQU#GF(N|Bs3|BiV?jm4h>F<28WABG6J^vW~cY+OjXqN*IQ>+%08u+jSmW_-e)<%XcnE)GGhf$bXDeuV8u z*sjtn!%#KTXzI0T^i%TDu$N=xFRDlMm>jUouhZD*3et^wAVNs1Pa5lGV513#)ms#1 zIrQ7OMC~l_u~KV%Z(|QgKB|sF9{L_7qQ?J*Fd%mQ*kL8XmqbxzE3BaQ8_FkkY3G7W zppxs*+3|uVfqVR!^o?#qI(mwbi>-y&kr)UzIRIfJ9sS;8i4Yj#AjQ;zJ%0s#ooW?v zhHfH();aSY_Jq6=`PMZ}wYi_r8N@}G$=VS6TdVe@h^}=Hblg<^pNAI4DB$wZL!^`^s3G1R#)p$xtKcIAg0<&0QCSFU>iUR z*lJ>BFL$-JZ*aA4mY7&I*PEPK9w9m5%%TRNmQY+-GlNW)&Bh|g{wSK{ zMr(6B>IVF%b9h1R&K*LTBBI@`R3MK{PRkucWyP*c%Nj(|u3J8AjwyU>(IJmynhzic z$?HUm^j>LsQRZ(X#bAB*ZTD=!?*_t|ja|4<<2eqDqi+^S0WfE%VP97Tpn zu7GzH$i=^@ll2H?u07@=1W}&^^ECufUkSG;r+BJY(r=zW;nWBWEUN_;beb~b1=7K_ zkqK3uBIGr#at5Lsf+NZsvsyQ3NW(-araI0@SlAfZx-Qmym^6ZVCu1Tvy3U2r3A@%J zH>}e0SLCOLt7c{rBLw<+JAUGt&;qCJGRIy2ui0h|(QLD5qkrsONA0)$fkq8AZP(G*d&%dL~5fkw4{GDsvMA+xZcb+*rFlgrNF-}=EXF*xD z?%)tqJOy0s8WF~G|3Ej$zNyH(7=BU`q5*8IBrcu5Aj+r(C};lAyNo4ioco_2{}|d2 zWG=HbncI`2AOhgE>Nk6wTeyEAB3>)kbv79v`AWKlx&y}5SwZ+HcweSzqQ(?WmW5WU z1iQ7UF&W>f$2%JFhh~dzMi(ZDmZ*NKcMWvqI^3$y!Jnl+P>)L1271Eo)*Spt*qxHB z9gEXM#E2`DHxGaJv5{-Ri07)-}CZw7$?aI-Bn3S zXY3MuqHDZ5p6)prK8I36UoB3BU${8kNpJ_QKpJcVTnkje>9iV3I(nBpQ6=kl=v)wr zD3T{a_+s$bNu_;_=P4*3vl+_AxKE~sqGF`ibj1Uh6S5j~pX9i@lxIFU1H!F|zr%uY z)?`7x=>r*+vmfeR$=|AQ114qUlWGs%>>>LB;|suOv2Xx4MLY;Lf_)GnMIGB+VmeLz zTU9mSI7+w(R8%v^Qpo~NHeemV&^|qE^jVt1=^Hk)kofCbhfOS`<+{#ci)|7|h;W8H zghHKi*gQoNt!q=h-Bnqtb9dOzer$e5R_nY^iC;qd4wp9K1sToq=LPip;e=7#!0;?H z=CQB3r!|Os%`X6X=$UVO`7twoKYlOQ<#=Hiq=9FLB2i0UBgOSV2>)6 zU4=^;f|n2Je0gR&h}YGB=`rE)&6uTHua&dTTVcUKY*x|BF!CpCV$Mpp-T`rin-q+8 zh%1yS!rR^E!!?Xr53>vZ;L0ypj7reHpGLkFs9Fiv!>v47RAkJlL(<%v%bJQ9V2quID`r6LCB*X>{ znsd4dE?B&}HptkXU?~(sbvZXj+!n2?PQoYKQ!-RR+4|O|CQF$-jA`73*a60(J^JLd`90YA@{8(R zE|KB-6xh|)!NYiV+CpFOAWf*(>(LdxlZ1TdU4qqINJorD(PRxQ?6`3|BO1F?Q>=ri zopy-Y$pU9?M-I-PS|lvx&T98x2%cc~cCTLCc}-X=ggp-up9#D58`4szAO$xD!kRrH ztl7+;ava!{Xcd=o%uhjyxy@X2R~>vz3d=5PwRxwjLY8?3MoB zdI_G;lsuN{IKBkPLEmZ)wC!y(5v3>y!@efK=4LePEfbXkp}48rh);vF0$RQ)y;K+$}z+HwjD%#az|yQKFkByTbS6lPUt$p@_tJlUlV6XU_=De(47m19$-kKl=01s)u962$>n z&QivjhmBfj`&Fij5PmuoxR##MEgdlLp?8b3*_MIHpD?GTg`DnT^FrE2l^KuLjQ zt1l1C`vj3#vpJ`REnh*!(_U+%r-TV8S5C-b;K0C40AH-hQ|eU}9UMWfae{T=2x_s$ zQso1>jX}5?XK=@A!#iW^A)Iof&XpH4nUjP#Ai=ASa_Nu(U=&UJMMZ;k!C`~#e2Y3; zPwa$z?}ZXCgZ;e5&k=zHHhE0WFiGz8Fi9TE1LX-&ehBCWdG$YG{iR*KeeMawEbaX5i8bb{!FTF7e*0weP02b|;kaXXvMMA}t@V>O&3+3Z6R0$8;R)nx*&pT4^W$#eC?{t=5Xryaz@;TmAv@%KO!F?MLGl)s zAJ2Y}dh~IMvBw!vH!vo8t`;T6mZuf%K$>g*(oyT)d{iH%EauJ-_V)xf=U>r z0v~WFB@E)XYG1ZO72Z=2z;#?ZLuWf+7E1Y3v`pb%;tz>B+KRh#>Jfh0go^#v*hSJV zc#vA=2Y3kWG*{tO)~&>~1sNu_(Jc!Kx$=NZE%A8;(OKqq3ww;qDXWB!G>>$j!%Nf- zU3wNi{f$K-Io-Ar0^x3VF_^n^$gRi2!9={2wT|aM>~b4|JifHy?zFRj->>qK|sYd5mp>KBQPfuKbPwzKB(}p_9zwpS25F? z=;K&VUaMxLTh>!|3xaqYc$Y<5d-`LaLl}B*c&+L?T+OUdjHSd!tqH#cFS9}LGAr1k zM?iSveJD?43y~OJ{7{Qz2*bcPXn7`%G(Q!IcNbU+*Xq0mOrrc2iHkM=fPo7ct3 zz%zC0c_heaH7g`v6zq=88#_~iFC_jAIX4RflON*>Nf*Tws{yym9zl$DfnZrsPhz-{Jh6ls+FJ%H9n4rXHuzd!?^RLOO?&oA->U+n+K4I{y-krxI#%p zMh=kc7HJoAw({+#JX#Qa*UNDaWJgsIKqi84h(;UAz7i9|9}}z)B{ZNQn-&p-ek0cfIf{K3Butp4DS{Mrz5d8h7c3c#USR zSHF8S>XP=lbHgO2**hA$UR$gS9iP=tC=&dk-R*oJ2lqjKnu+X!_t=GyJ>br8>&0!- zhP6s2sbtDCAs3!s`BRAbKxaB{oD`lhWj0@$)p~9c+w8tj9IVf-xR3*R`F+AO`gIkj za!hZN-m1R9^NU#qT&8hpg=O&Q{Jm8)gz}c1Z2fr>o$q!5Qu6#XV1z!e?c=ul6Z#Y`^hXl~ur zk1dryZiOiGH?j=Y>_%EXJq7&_8&~3-g5NEx(FHd;<@=imd^9$#2N_1EpgNapI;^MJ zu%0I8yF;QL+o@u!HDa$!*t$na3xzcB^W4A^m(7A*P%a_G41H2lad%NY&$l+${CIWxb<)KRt$=*#RL|2h1fGf`h}5`bWD6)pIe?N!sY&1rP%HzbOQ7@-lxUz707`|R z)MJ>*9TZAorbVE19+W---h!eHU=JV{@E2ef;1Xax;0quOCNeeP&=JHcnDD7#Lip4i zGEC|Tla|ATS70(d;2R9E1Z5{s+!YIeZ8Qw1fg_kSe3xTG*l5ya^=q!>s*)qMFaMuJPL@SfxoHI~k7aC0$of=rNP5W=xDM9v@uN8Qce0lXFKE6ytkp+t&mry^K6Clk3_ z+R-XhTIbU{gxz2xaxWFzDlFPP-iSIBj_tnwVB_6baZqUwd3l15?70=Elvd5I|6|#3 zKZSH>XQV+QMa#i&tL(o>wH|FT-@QU~m&T)qNPt$*jdPgpz66VCo&d@U42 zJq=_Aa_b$xvdnNXG8f&3AaadsoM{u_K z(BtU=5pi>MesH^G91(q`ZLA1~UM91`5 zW9OQ1E#%X}>)Ogdc8`T46djq%wcw}S!dgn!>uQ6X>S?P4U0l}uy78*{bzO|ZeSA*< z%?whOgj^no+z$8U?7df1vjbpOEi)9yTMpVwq09Gh-=sHmTa=T0z_}X?yZ(S^fD3?l z&MHQ@OaFSuwEoW>)7)ejl?MR6fCsS5FMtWW4)+Z;-zzGcHxh6`^iXe^mQj`n&7+@a zkqf@c_j`SZas{Fx><#%7XimdC%=vY^z{7pvnECRnx+ESPTX-W>Voe$eNEu1^D)(&_ z^qYK;mgzzpO`E+}iyzZ1`@K@If3XX$U`3U#eRDGPT)MZ(_G^054W81zl~p^!Rlz~$SGfMkrUf}?1~bI zBD}>r6(%`A?hz1cKDMXbIGT7~6|a~HRZRsG?2ThBC5WfQ-J*-IqDbb=%5gr0_!zr5 zFg_G{R+Giqth7y{{rPWzSnfGQfNU2m4;=Ul&!O%}9zgWuY(9`eACc@+yXvWdx#u9l zED~N4@DuV#6@LI(4;&c-3Ri2d2w2R7Si>rxrNCP=szuX4MeSKF?uBZoeqSN1-SKY0 zCwzexaW~ftrQLSMPS@J5BFm*?)?d@ z`lN9}2|VXF1DEtAJ|-Wyr2o;9A)DN|RDP71JRaH(F~c&DoAB@=X#3JjK}P{kCWKn17J4 zy~eb9@}&MdK)kKodt?qdyixlQYfnnd(8*H)cNPHDh2z*Ezg`TKQHKFHK>01et~0B~ zbf)~hDsFtENzM2glbSAXZ0{uY1ogPud)#Mo-idj_fCs`=+Ul|MLx_bCR^!uUT;nwp z&ay{vmc?XzmG{B6PmSkB=J-~%eWG0k(QG*5p2At{)C8W9OaGpDhO-T>1ba9|w~4bg z#$!K+@3~jB#Qq*TyJD*nlkfgUd`*EYfphJ6kM*B~ ze0}y_LDAHW8JzPaCZ*q2`l(LW9;W2DE)u_~&9fWZS9A$G<^NFRUHfPy=VZ|c;(;`h z#qK+4n)5!pTWqetGhSc(2Wj{H#uLsj!}#0(Ji>{7A6MHASvmCx&rV$+a#qwN4eklq zuY3q*OOFC03eR;iu@YT(J=Oeze3}zqlW$aY?P!JWz+{x^sO-)W)w{`CMx)ZiG0v)* zR}XjEOYh{XyC!c@>>HpVg+_Dzo&3v3etvSuaS8FL_ypal-{S)~IN!)?m@YvyGW8>zc1~o< zDgl>^WcX7>R$%V{3&U;l3YOQvX}pc+=6{ytLdo`eYvbG0L+V*vU!Y!qDiHjN7Nt{j zfQP^ovPPPljBZ@t@KDaEpCD&S0EVq>f}9Tzts4sKcDf8WnEvGoy06*O+Reb0O768D z2{#?ITFP9>gPJq9k!#txX^>IwPkb%>s;{}r%t!cBUaFQVqLh#edx}6|&P^xgdwC1v z^z^$qnYiRwO2y80<5Se@;5R&X*=Q`hnROQMF?Z->hl`hm-%JdUJ-&9@k%MhZRYNz(&lAxNKxQc690yo(_t&?tMm-%D=Y0NrFA1t)O zlo@4s2d%~3d83&9aJ!X57=Y|a>plnrkY8!t0$~8M@2$Hb3_vb!Z3xG_v-&qG=ds^5 zQEk^8)oe&r4M-6n^={UTl#!bwr~6Sj=?RF%#ch_1a@zZmo2AVhWj{7f@|e@skB*aO zs7o4Y^-{LQwQF?zb8e$}ysKFFje7?*alHlW5!(w%pwA#{J8X>e!mf$@1?LE+^j}<` z0ZGa#T_cD~Kx_qKc@4TDzE|i8+P^^8G3cs%VaNCQV4k$~iCL80WgC7<559#aSDWfL zjwvP)J#M?ey|7?RHi-fE0`?CuTg@1s)#TrcI4uuQn{}DpA{PmBxwD%577Au@-J5)R zaUV5doZd-lk4rDwR5QUjIEn1>s>Q659#B2f7k4t|P}4PGT#xi_NGwq@>GVIi0U*9VNAEXtXR8qq^-x54wdqy#@%28 znXTF1T6`k?uFnI;uwR5Yx{ISO=;E-72FxEj9H)Jf-#2|noXz@?bA!Y5T^gA72|01C z8z<%#h}B>i1ai2!+S#0@Vav^OB&~OI%8mXD#xhwsdUsB*mAoLN#aHj-N4Q@ziRC z`VrS5$L)c5od!2{YRKp*X`hPQWz!RI0W2j8#|}=SeTk1$3&uSs6Q;1yU`|feRE;e! z19st|)vDKBF9iWyAQYB8;pC3Sz#4+)+wi;53Bot^d>*34&qB0H;{q>4X1%0%)r6ig z$+Abs$e!ywd8jGh6j7Fb$A%nJx@nHz8Bq~?W@p?Ie4o@_x2}jk<_GR4`)KunX11%0usv5O4M1z5ChVW6sH3Y}BB*{GFP zU7n5_CAzB%c^*Ta^M&6Pq2bQAQTXSX7<*X;u_8Q$t!fOXAma@JIS8UaAO(Tvp}20( z?+r(RVZI%4MkaIK*N!7Pz{L8XbK6t>}6nfUc=^NPLg#=)_E6=0(J z0PBR>0b??uKxL!wVKBOda}<#b-)bm=N@I9`1x~{NhQA}uT_ZtG6(9J}_e0uSH2w0} zNPpsw;MteklfP!Y+wtS`fj{n1y1O%p>wkQF_Ns4mOZT3>$n_syNHV^@{C(<=vzoA} z)2?|`UD&ZNQ@#0v$f>=m)A-35jYZjm+_2X_>ZVS|1l;^FeZYR&|NB70*zAT6D@^)& zkAM5|VN=-K>Gw`S-|D7luV=Y`>>D~TT3-8_FD%&)a_I*r@DV1*j@bP{k!EM zluySO?fP+HcD}oS*sz zMiy#9Bb*lsFL5{S4J6cwzHn1*V+rwURO1!bx~~3&CrJt;eq!^^y)Ch`IukOJucRyd z`PrdK=I0c-Z;o1pwMFOgR+L@_I>9aaQ-k~6al*sg%2K@*Nqt@4HTc%O1$`jSv29mp zc(eL7ulY#tf5V#=*yZ|u7%cRyO?a|QAJ&;)HZOTOxA;ve6`%2bZ}?%-@xm9#XR;90 zI>?7EY&NZh+kbfwP#pmJb zrO7Q%(Ck#WE@ByZpUR`lrfeIHSVqhP=XoD~>3(aY3t9!D4qmHIhli@4aWO;waY6^k z9P_#+tkZ1d098oU^=kA6jaz5fw(8E*DAZ@UmrlU9wG&2Ib{B49L=EDqS#vRG$<^n)!L@b znX;HKTdPazLhi8Iw;-=!2zA@44u}p?fiTFf*|kb=Mh_*Khsl`jj zX2-M}z&&;=$A!r+=%7ODIm$&a`&ryAd<@3_{i>A;Q)NLVdY#0vRXmJ+N8ZScAD~rQ zePagByuHj7#suN*rWe=BL_Y@)`{NLe|%@rI$1ymEF|+_w)` zui0WJG`869i?@o9U2$qv&Y@!`Js*^mzLn0{`>>k3^~i;m|Eop2mcpSf-SeeIS zHLmS~W03dxeSzF#V-3z$2#!AAe=CgDfn z=E;d*P2X;p=__XA|A($0Z-X^IhKyFv^{#v~UAF@BWhBOqh5K=5t26fOlG-nQD-d74 z#Mm)|>xxaU*G-?5t+M+N^TTh`^uE5A>ij)*Hv@hY*WE1bT{-+?>LPi%;mEG|HQy)d z9-aPizx`h1`yVH}+^-Bayu4ACnETNw=I)QP1&5pKM!Nl*TPDj-;rrrGVjsx1atg?W zoGU|SGh->ZnX;3dj2%ljXNPbXVmIP0$of=H=_h~`ZA155R%ZE#1(sV3tsOi=D;rva zm4;R-w8(rztH_|Y0a|*dL9do`ARO~K-T{(FU3DDtCf)XMoJIU5qiqtBu4IQ?*A(vl zWXiepdgVuS;|yq-{8sr)!8{v9}HTKPK+)xl*_&;L4BJ|KxtEE9qXn z@m2do-P6jQUv^K26)BJ3{*n7fRzyqnP~|`G?@g~;e)-(lo`Q!R0hIWo59|CUO9?Eu& zp0{@QWN1My<-lQjQccT|5)JJbom6zh%eKpRlAb4=t zJLN2BsgtqC-gi!=0Se+ zhsY{&fsj#kq%dbZwS%fXHE8Wqb@X2P=)7+yhW5Ql=n8-X`IeFHWzklc#tY19(x-KQ z@Uu9&I>UZmMyS4|W45-dves?e(K(sTa6tMc9rM}quO2))9baWh%2ii1n%5KlQYSQ` z>#b(NE2L>X@sc{H5noR_3I&e>l&Q_QcTgf;6b`x5AB0kR3_q={_t`wX+1U1kO}ZtG zZ_aOFdmncsvQ>xZD@!tfFsF%O;Yf2=krZzH1>_1=b#?9$$aRi>zFHEStSPFgf3-5aK^88{g&r)7_3)CXuU>(rs>8 zja22FWoHG(=bOEfm2z4V);-)CP$~$EP3~k4qD%Zp^MIC~Ppa=*<#hq%e^rady@Ipjc|)M<8i-J>vlH4xZeT>s5~q0#xF z33zL_1T>7#6dlm7#Kc^GWVBFPrK2^L$6Q(T7-%s^{O_-b#gFYv2s=AnP>ET=?tcAG z#DL8S+Q@?;yV&f`^h)%;*zWzGmkprHh$E*S<*@_Cwj_kTe(Ld65X0`?GEt-mn9OU- z+qjDMU1ibu&Zsxz6aI$;$=RK-j`+;)9h4>Q{%f)@$a<7C((>Y)Hm!o$mbcr9d{ynz z_^zThrydg@+fDqM9%O3|K1p6G1H~7d_z%Al&MVenwj2MzOyK)2O*PJ#(%d0gXV%ZyY~mY3gKn5JEm?q zSexAMt(>|k8PSzBzWZFatqFMp=z8)STL={`P3E_0BPnRwp@b@ z56A_rE}2^}PHgwQch9QyNUOn~B9FL` zw?Z07PFrynq;3O^W_6nu>eE(iowNw0j09Q#uCZ*rl#qH;xo`@zNb)Id>n<)unbKCg z>YUYa)`~{Q0p67ybU9&Uo?{p=4cc{4AG_WABc`1CR_#Zh?bdd3$mpT;lW*f^Ziq6g zEq>c$j|-wl?CRj(9JqGF&iBws@5pt+ZBS--UfY(IN@^sN*4VQ3Ue*+(lYBDT`Hs8p z!mYO+dx~eZ9vw2RmVRRHdddZ2-+OEF-dW*UMLb5{E8qNAxfa8o+XNe8tKE|RP5K)7 zEeNeOt~i}w*<|B(Z|y-fy`aK@erDO7p1>XJcR|Lmu6$P8_3SH*2=VUN>KpfODt!3twk`j;;@vG?PM;m}cE$iHiFQTxmOi_9 z#pYr3GTEo_Q@M(OCck?bmtRS0Ij0gVC-!=N6@Q`6Mvs~Y5qt?F>Hjg+I*%Q(HhXF{ zGR|EkKL~e=RF@4vi}POgsq@T)CZQAl*n6)8#EC6qt3Um`02yEpumae=x14l+>eT7?)M>1^Cq{RgU#EIDJ}vkg-~ia4UM8+n4B;*t zaA*j(0k#`p`wh0=V2g(>9=2Oxy9KuK+`k2fx~f!NIgvX435N>0Y-L5T>~H%Y{}>Pd z@V>yJ0%x}O5pkJ*?e>COh*_GX?HRXFmo#PD^KK#6Yw{~Pn(!ZFU%>Z|>nE71Mz}8h zo=-7fw0W%pzFo5mRVV^plY|~q6Zr&_*kw(oyccr~)Y>&h^Cj-BZ8;{l$xgxr`o(FB zYG9>)KoqPGft9*_vuiJ6DO{HMU1rUmy{OmnmpW7zuz~up){oCn!s_2!vWmqXcW8I( z#nYrt%%$V5?eHRyrM;u$c9~?7`oQ@=qupgD@F1DCyZ_HA7GDPC5Dx%JgK0i}8uyR3 z>MnB>u^Xb$gCWK(e-xDsXW6+yGEzSkQcE}Yonv46V6dK zfg{%X9PK4kw3t(|uN_fNqo^IA7OJy9YMtx`q?n^3!1D?&iAv&qa9KE1l4da1rIS7p z&NEZf?LNUee${}}Cn8!^z;zz>d52vmSGOLpHhD+9%B%}QnGt~aOwX=3&9^awC=fM7 zowzh!0YYwHYpSv7=J;Pl#Kum}64<2}o5sOz5bT!0F3s5V8ti_f|402DM04DH`Oa3< zr23z*mT|v|<~4Te4kqLT&a$FL*&CZWN`JyOfY=MfT?sj3e9)#tmyNM$i|i+CDoj)i z6AeNa%+2?QE+=EtLWoABL0b0Dljc3XwfNJL^SZ1qizL!p^$IS!$$zHkPpwCwYp~<3 z`g({3<%rNbM`Zk59B{{#Nnhe@?n)nx@{SR zV*4q~hM-DwOf0E0Ip2JmoD7aq8z2G1KhmD(Y$-9WlD$>MC}s?~zr$Q+$9Kk8qBgLL zJ5wu>-`Lp|%t|z#y{;nR6JiTHwIcQtDxSTnBIy(Iy0$>~)39lZ>~e-v%H~J@{dAn* z1lPIW<6Uy{Vs6@-nIthQB?$8Z$ok5Ie9tF9ux(}#>IGC-Ud|==6Ar0IxMuyH*C2+@ zp5c5=91{CxzYk*pslcVNd&EROxG+1mZMs`QpYf1F`i04BeE5F8QTlwAadyvSOQx3xaNQ(B2&%T)@EQuqTLajYE4^3 zd}1a!KsaB&w4xE1SE#2&-dtPY14Yy1BdvS+4w&tvjNhn&Gt$r)L9U8dme&r zU3UqNaJ@>vpzyo)x^B_9afwSb)(?V~BF1Nxxc36z*=}8Rm)T?IUR0RuW$RYp(zWtY z|IWoL*`q*F=zlk56#2Kd5C}Q(<)m-wzxB?_x%Mar4YA^A_>8dS{vSjs`p~;zZuHkz z-UV~xli1q31!Tl*2>Ln7Fshc$X}!n*K5vM#OSk_7Ja$hMZo{6pF%uA_-^Vb=NzQ@; z6ZaTAmtxHDbRoT#xTwQFd&|SVxJ) z5$Ou`3I(>we-T`_YdM=su*)Fcc9+ue7Bx&TOCJ}QeGd6i1Lca9Ha#xoNYmK7&a`vr zRkF`IKd3C4_ZUZ&K2k?QeBP;H#8c8bkrtl#MU^Ng$!*S=55!3F$_=DeAYGle0gs?3@Yk z2Sfv006_pRz$}0}V8-W_WAUSpIC-Owba#d>s*Ac-iTf5m!#x5-asjaYq3JcR(gNhC z)sExlLq5IOZ<=+SzDY-WLX1GHkFQ9W!dO719b%V>J*7{u7-}o|*J3?2wiOGecD*}P z)1A$`XI`UOv_0zt`Y%oO_JT5a5!D1%4tvh8_g@W_1-dfamwVMs37J4sq1=>xaV zDxg2Yf6-c`F-I}Qk~$`<%am+&nf0G8JejzGmEL7ZCVgY^c!(VYJZm1$sM*Uxyg+Zn z0O#XbO;B2#J-!$yR_%FKJ1EPUBl(TkX3sOCkL;Nh!hVYzQv24Peq(>~B__7fE|a{T z>CW?3CcMDz)Glw8^&_&hBRX!QWhUthYi&AWkWehx3-&R06X8QeU_0CpyTMH6DHA3F zOH0uXU}Bk9aV(sa?=fF^lfArRQ@Cj;d8ulrzU*#7C~7Nv@!i;b>?x~1A;l!4VrvN` zjlF8!Q-a(p-=$kLU~-P&z)Bo2&i9NEFI1S8_;thcHmpKC4A0xyU>hSncB>ZdgF7Pd zB|ns1W=0Os&e7J1^Vl&Jkfj4GD56Nsl~%De<{eqgiVwn6!tD?W*HjX(LLnYhCCL>S zMom5u4zW^$aG!v{VpWjEC*pH;fj;_f_G8O;R1!009HER)!hF|?If5n(4rorUaD%NO z^+2q3Vd0<#A)MO)mxT1VP zP0oc77Q0&&J01)HaVQUIwt6ntw#l~;Z7Cno5yvCI)q}{;B!R03>qt8bt{w~if?E)? zzFxOH$SKP8DIx>%yiVj8wUAbcUTgDo*zuYCbYE0}be-xZ@P*3wmOb87@p5q7?wy1x z#ZGX=S_RJa#fE6(IlCsUZ&44x6`K*5U4wfiy`!!f$MWaa7*i!n!9{D*MX+oJdxP|Ld%YI)y>6zdMC+YJM@z<>r& zJ^)HFpsAUNPHZbBOxfOd&LM%aA1H6g6mwPr3IH&WGZ(NJkO5c&NCd2a2^(O- z3xEUZ<}EjT$S^vxgVi}3;0g$VnNmSB8?X*wXs-ek0qS5h6Tkta0V)6qfD%9sfDecT zEXfov|Ll3-zH>ia2KB(h`#t6hMip)lYJr)>_S&Af19wTA>)gOVr%?Xo!>`!OFb&pR z(pB;t`#o>Nb&G8kK;|alC5y##VYqnE=P%B#?H4bcR9d1-gEqy8>p&k8g8%)eS0t zg31>wkiG@!VL(fbV3NMk{I5Tq8M zyG{x7y21<&Fz-7?V_asuJX8@8*G9bGMc>-KjkG*=ZBK%0$AmOgQQZd(Qmk$IMkaJi zp*xy!Px8hM202-Q-Wlk&gwbCm&}fjsG;GkjZWxdc4NpU30W^*p8jGM&43D@Bc+}mw zwhfp)9UP*ZA|v=NRg1>Bqvv#ohnA~vcKspB<{rhKzLsKoM4Mt-D0d(vH8>D@93!2Z zhyWV^1>gW60qg)YfK#M1-?fU_$!u%9y@|ecQLop%_Lq5vh95NOjd6a@h|32*6c`$$ z<%6rc5)SQpFApvKB7}yMEVT4eY+L%x!!V%M?cS8`I{kOcS~@h28X6wZcx`C7Kw~#F z{7K7e$9x)J;djeJW0Z5+zNmN7&x`8(7EDZp(bv}1`9NdcxWC~2^G)~|%?k6{`af-_ z$N>S9vkKEYy$fT<5_`%y=M(%M_Q?i!UWsdq8+{i0=E`(ITh-h1^tCysNyn~MZ_J#- znL8@rECyr%){I(n5&S!Qc=U`~zWHr_Tc3ok&1a^U4eH-kOc;2X#YsV74Dn0uQuHuBP z3v=0}=Wci`IFwb@XnPV$QI_n?Xp1|ZPoJ^qCH0u08u`|RM`a+*2I(KMpi4M5@TL-U zKS)7Z1X2{}?stJ1SRge4DHJG=J5C2Fg>r15qX}lv!iRVpROW%oZ8AuAfb=j(BN9P3 z*f4|Nfmt~mdXOKcsSVKMYxZ~ai zsbMr126Y&u1t7Hr>3xH4dsu4X)`go4hr)nEL4wl1-@$NPg@zr&mp2@aJ&afiAMS1V zeU2T72*HH}Kl)$s@yN)thEnCy$d72KGhX=qmL(Jz&-*nb1}ck>+TWQ{K`nB1)W4n4 zpZ@K9bpL;yrT^>vGW*|7$1k_81i7Bw8NFuJt^QHvoyT?|!FC@*Ca%@rn*RQ8*Y7cg zu4Y`np=%2+*wFQt$k4S092FJ*L>u*-{NBQ09x4-c3 zrun!3?LGP5-q`>4Fuxg8nrXj1u7`2+gHvyY1RqWPce5q)-%W8YY}9%1Yxu$M_r@H4 zqgU{&LfPuLS3_d@t!DoD>8jy3{DFM?0GzX+sua5zvAJPrz-&sg-t4MzWNXuy0~ zd7$^upjQapd4_3{q4CJjmLrx!Dl}K-8Y5mUWgR}^w@8DAEH~_j6aE{$KTr^1NHa8rn;mpIqpc#gX#>F6oc}oo!%~hD! z7UsR504i|?6~k8v0+p=>6~hJb+;EtwApHgnC`W$iJ{r1{4f7f0xbX#d2VllC%==!3!)zjAFbw9p6J01@Ii6*BK zW2e`fQ)OYCM!qRk{zLoy*^gZkBhE32(JpBM=aR&1p@hLXEHPOqE#aJ(SUiweb52T( zA4qdJS0v^$CE=Vy64RMdKId!#;)3ia&b|bQw9#<3BtWE18#pg;Rq_{{h6Lni*$&Qu z1ng(|AXr*(_Jrl?b##Zx!Zv)2#7r08NStyD7K-U1letTfeZVxZy&q>GAJt_yqNhAo zi=MIl$F}sFZ<9pn+WSqXfruoi(P_%vM9>H1@eK^jG-VTzwHt*4sW3@l9S)?z843&( zE)qeVwQ!&L;x!7haBxbQ0&gkfhBy)Y^bn5@W!9A5-r^NNBJAHGvQyY9y*k8s3Y^lf zR1~S8Dt$`D8z4$J;Ef2caO5-Ih~pJVzOSvwQ$gf|QKwj8%=h0bqA6_nUVFva3M}8R zTO6jq^L?tXZCv-qkA^~L(XcsPmdbJ)w~_d67BRTi67WF`XlTyelU5o+k+4a>f&eu@ zyR=3Gr~%5;G6<>lxN&XpcBU`hSZlI9;RHsi_1+$P0yj@UJpUGoqcjomGan(OPVWpwvBD|LyEiT&~t}d-5puFKzVpxuf`5 z+KbK*l)75}9&#&Z_xsc$zGxbp`z9eE3D07UhcMh~k(;zGX|eXeK61LXY0{ib>l0f+ zhQR`RXl zY0je#l3z3Zc#eZq8q^_J+*-uId0wK*&_I?_ZoI?0guV7VYfoTZN2+2y-;{cKLbd6 z1n=~I!!FabhJFf>89*d{qBg3&(SwIw6#~oH%)8mK=Bz}gH_QQ9EI10S%_#F!fsN!E|DS=lX7$+N1Z1z3m-x&IB@E&Nl9h-2rY) zug;_$xSMR7&N(}2n$)4SrIEcT@Mt8=CVm7eaS7o-KuXfjtyo}&JgSM;otw07CLR!+TW+ZIt`Wh;)ZG+6*Rc zfVPDEo#`>)w1kRgEetYR0!G-X@ptYKTlf=Cn=^VgWZiaudd2%S_5IUrA0_JoH0!mO zY5E%d6H{L8_`CBR0{+CJthAm-<(o8PCo&cPpF)QNt;$K<4#HyQyddfhtLMz+L5@3! zBxY_9aR=CE*9X~TLVZGZ5G51p6D|#M$Rvg^vw}#Oq_fPmU`i#dVJ3qq)#@X2WsuV# z(Ue)xMHnQ>nH#&TLkWq@xn1N?tDDTlUG||wA7)0ERVe8=b4{1+Il>BNVi)zC)m!F@ zF2{33J7!)N@f<{cZs3MMKs=Og@fl`Z5T>arQ!(N|- zh2W@kP>_0@6r@ka1IE!t1IAxB4`aU1sT57SM5qOX{hZcG@L%)^)Ox~Xnmul==Csar z+-@>w$|9OrCrH&2$H4!xSr(%X0!86TXOKG8q>}|O+=18*TI*#O0^(8$_`14u=cfd zFkASO1%}E~Sbk3{{%%MeCpMdU}&}|3Z$u&c3=wxZ=OJRR2`C>*ADF6(RY?O82LtNBX+I zzI>A!=}t+E-boX5y%IA=2}5^KV&W(*(RE2IS|rxGV-n*QX^!ra#Jo__rrVi-E0n*` zZB2ko${l|_`#$r=Gpf*tK10H|CrF_CNqp}K8T8o_?_fa*-BscrEVQPFNW9Jna_Bx1 zzca#cdZff>wSZ6elmx66V(4KBj1PiXdO(8j2ca81Cc)c8utedU;BO)%D*_X|WP(fu zBf(E53{`|D_{ z3h#cu4q=ocqTi=fP^0kZ4=9Ba<1-nIH&9~SpW*ukN{mM{ylo*I*M;G43nj*b7+(Jm zZEqgb)EU2zW)F}AvM0zM0)!m|6%{d&6OD?Rux~;dH{3x{Q4zB!W28V+7F;z+yaB5dQ!y#<8t26Vy>q@g6lnv&2Mdjk~BGW%6qUU-v>2u{CxB zRKs&xqc>~ZGe$Y*PN&O5OMJau;vz3!v%)W@(nvbp+C_`4g zvf&3c9Ue8zeO6HgekA6!D%~|9C1!>Cku7%cQU`X2_L8%;!)J$%=!|bjCH@kfsoWPb z&H88kQRgh}ZU0v_k5*QyJ$P6xf3&&_{?Acom1QQXgy*Gcfqz@v4EXQyC9x;rUo)XA zatZuT*j=GZG@T~2fImw8!+(Fx|NDjWyKa1=-(u8Ba0m1@<9-R|fT6{>RYEA#KQ-== zpbHI+#_baP1AVXYO9}QNW3lMp!7tU`yh#Jx%krMcYOC?vF5JZ}EBz`dkH!vwkiy?Q zzk!gmnnOI|K-envLmmgbx(J()z(G|P>4U!7c(}{wg8>6piXMd8>vXagIDE(Gl|EOK zz|T|x@^b#w{)b8ir&n#T9yft+W+Ne8?3<9$h(Byt65B#rxP5{T%2_UKTfs2C z2$|fLGfe!|hH9Hj#!a*Zw`GwDm%#1m0vGdEyVFuKl-LJeAphErJBx;VQ-W*NuWSi} z-@E-sf-j>c>*K9+T+!vyYDx|Jsx@ALOW{vdUj=EMoI1i4-AcqD>?mW+Uj5KP7+@y!vzA&XnZ5ft ze_F9-bSl%&8fx}TWgoOM%=lDRku}LoN#*=%C7H3E%!$@$GpUn($?9h&cCt3EzU&wJ zsl*onWn*M0R?GKRcDW`}#nnD0eULDD2tGV&Fo`x16?6m?x>Dh?3J)8|9v2TqYa?o~ zL-tp}DmRwToF?Q|F!}7wYQBpriTZ@OVS5z(otxMKw_KxZa{UZ{;t9KF{nTdMVtZu$ z>}J9XyKlXy8SiT!Uq8K>xZjSi7Z>9S>|yn@iV45iS@r3~`0@5J^)ri!-`Oek)B17i z?XmT9`U&st0a9T<9&eu{mGl$0+Obk36(_cbN;6WSMwuaB$0Q#u#Hk3o{9SqSkQLY5ZUaBGkl zX`YSn58^K^wc#m9YLC=L+>T&+^7*(~2(KrbPxuj`_Z0K-vB>D2OeXOg#IvWc5x3kn zyC?T0<}cfd!9#u62hg6DE`k0Re$W7Omrtel4dTTuPqA~;U#nJxycfQ!uQpBtItggj zE}vnbANt%Net;=KfK4#12Bv+kua*nJOFMxd#D%MnVOkpb2C??PX37G`!{}xI#D3&wySH^m?F2fEIxRH@wz-I6DUDy<48%`Z0wB z-@uEz1@l-i?j~e_^Lb}X(Ve#Qja?9qUChO{)#x7h9d%rcF zM@r$JRbS=#xpV%X_Lh;5RjN?lxRj{ZP#joNo&gOlVE(*Ph8hX=Qg0TA3?3GccNln1 z(53y)tv9 zEEE~5AMDX$WdZ%{F_r)sqn~xoGD+sw&sk%^$~dXae=MPpIc8HV3a9cY9RAgXkD z+NfWOG8}jteXeMkgJProCMtGdZH!5xY6po={a!R>C{4ouptQNL^~f-Ox_EMZ#xU`Q z9aRth9Fg|m`Wa-x3H!F-?Oe=V{Z8S8p+rf18rs)(Jou=aJRdT93lqunX@{YEj#NLZ z+Vd=Ek$4Y{>58n?6f1CDu~XHlQ27u&Ra5Qqt1qoX<8Ap;X&rW}tx5{gOJds^X?8!# zZkr`7?#G1LmP<4HVYfS1TG)?WVA~|kO$8N_bZJQ{hGm;4m8GKh*s`Prsn{IbYH3a< z3M@^fb2~9(Y)hqCo#=D6B5Bb`ybmpmr@YAHwMOt%A9$n7f=$X=UQ`+1q`JnNP{vaz zkMY9G!WF7#ys>2=F6c&1E(^P!;%S2aMcW; zj{%(o^nRdE0lgOJ2%zEYZlIq5O#*r=&?cZO%E)c-+VbJ-7@+q89S!szpict5259)* z`Ed3rpzj%Ua!nI%tp0|4cN1nTxI-G6posm2{9qIMJm{5dYr?Muy^?)R*tMWnva^Z! zR{vgpI3M-au+>>)_VA|~tm_n>?vfb%WbFdyG_(H*KUb+ygt-e&VXkO@cGl-(y$$D_ zd1mjC@Oxm%OBmeN2es_8oUMK2Ps}1qqM0=kc3ySGzIJeDANmt(BJ6`XDRFPr7}>|a zI4O@0`=cW4)5~F(a@@YSd}=d#k-eaNb~E<5eSNv87{#%R%cmD(_SqMdi;K~D_MGxr z#n}5-<(P3l0N{85ju+s#4vy>K_zsTm;OGNKA2{xW<4!ovK}I@X@*7a83{UIo29Hjv z&N{OJ*GZplUD`nIq}_m0D&DJ0li%Ja2dgD`p1wDDmjuf*vXC4#nAO9LA07cH~bN-YenzU9|+#xir-~; z5WKY&o2efQqSd5K!`k5O1K7Jzmpj41X@OV}p@KahVnN{*f%732#IB&thgi_q3g7t< z3-YdDJ%w0MTt&cBhy?{!FrGpzD6PWpDa3-%6&xnSf5ROY`exR0ucGILXu@VLB}+neHi^*8ox}I$>4=H<>YA zxVnMaPQ4+V+2G$!j}-n{pJSZW71c9#KC6s#)~gfH?o)uDu;mmcz+Txiy&LtVJ)=k5 zjhSm-)-$Uc{hPhGC%qd~xTYcU;gXVmnG@2hs#D%&umSNKE3llPyp${9ragxlG>d5n&GgER^ zXVP<3k3+t;XzO$2^FatJYCek_aMr7uan`HOvjBgs_7vs`OdEnJTVRR;rbNzXHTj?Q z$^iNp&_4nF0_ZxR7Xlp(G+dz@=x0E`nUeeaa|gKq&xSvf1iwuja>%laI!C@3T)bm! zjQl{C=P8dNTyAX@=Ibe`s-J;A0Q7R83WJlI97;k>s7nNTS_FZEFq)t$v8Tt{f&dJj_2cBsEgapyIn zKI?WlI|TUI+Plsc$ldGCI@=*juYK)2)rz{U(>pssCRKaWso)-WW&&0LW&oA|3IQ7c zQvnMBds|5>3>%y~T7CZ36P$<2JpMLJb*jq9f%jq zZY`sf)NZ1bYz1uFM0u!vXDM=|eZF+-pLZ)Eh)iDP4(z8O!*ecbB(9m%f@-`;dq$L< z=#MZ$g_VgANJEj!HsYGbt-wfv!_`|9bO}h3*c4t8-Z6EH zVw5EOnC7X1APHHhZd9-(VGA|A3U5j1Gj+RyD2Z6B9#(L=g4b&56h2-2x9V<1P#5nl ztgS`$v;0xN*w?)}goWsFWDV*&h#p^XgC{XjR5Fy*1r5aSA$lzIC*UD^To2J>_7x2e zqQ}|(XgfrYi$^?XXn!@fbYN%bE*aZ9h&Q$W8c$WBZtAufJF9R}+UrJ>Hd8swkck%1 zDA}|d!kh;GY(}K;pYnyqsVPa)q-Nh%)@^bxgf9Mo(8U@EU2J&VE&K?yC?%;Uq1m?# zA{)s-9{_q5&OdO6T*VF$Porff{~sieLUO*5k^=_Ju2vqvS( zAj&i2Dj73H>)_6$-V)6*V}|L`qLpT^&iGtSnu6jMo&xQx2|aU85kJ}q3BqMKEL65i zmO*(Cd+{FLJL(Kuz{%TS+9WV;OR+GpSE53HC6LWZ*6H~ecPz!)@DU7eCm#b(Y z9hNR?u{;}`xhLQ_?rE_8Vx=G#C z&6@qLpoEztq=kmh7XJ$WZdQaPwSwNwIc~vJjEZ3{vhXU{G3@6SdPQIii(?sGL5tz+ zvv^hbo?_-%qAFOY*!L}?DgsWi##ts*FivqUSZbws`Mg$4TVyu6&;KN9nP(2_d~Fo! z*C{OJUq`$wppyUsbfDvOTz;X7@D^+*&Qzh_g6+hWD*R>E87q2-P#y75{mTB?Yn2ZV zk~XJKaW@2KFu4HvDFNm9t6f z^NH?hdBh8GPvc`g>ut`-4LExl`A|A0Lc9nV}kJAyoO0$vY(-Hq# zO$3W#!E4C)psHfLAmc-=it>Vtk4F_`m^dbG6=az5Ot>n@FpV=YtDViekw!N*z&3f&*U=UdS(?SbIat$3I2LGa~P zEM0ps_(&^}uKN&dE<^3o)&`#_!|l>t3;wPQlc_xx{8bqtQ}-sE_8tQOMo!fL7UI`Qn=RP`;{nxY za=QtCfx+vcCej1LdZVVvXM!GY+@0?+0g8SN`Q(fGFyp~|uZxBS#%=lD8}uyWzI@LO zh8*W6^Qd9kQVUTLI}m+ORil_R5IgyL$SsbJM884Bfw)j*(GG`QXE zTSvR1G`pzW2ye}hD=$GbLI~GCvhs(BO))!^kuE_~)F+T#NKBYJf?o)6>x?0vrm#== zZ|$4qJ5s!#=^64vDW1;^S#nhhBwv%{4JnX(T`kw8`0Uk_ zR|Uz}=klF!E9&>j4_86*^*%(8Ao;q|y3VzEYX^~r9tq}wFc*Jtx0dX!7dRKuSR*_W zET0f-RKW6?F?djmuL0+lAf9&$&!s-f3v_4Mh}RL!;691RC$`QqnV0C!>{ktzH}bzLa)#@JI{CF|JL?9Pj_Me)^$2BcM$`%BhDiNRG?1nGz)Ntv_Cjc2*A$R z)4euc$)Zc}OED)iyg!5%IgtkYRE%QYf zIl@XA%SQcKm>~jv$rv`;IbpHP&&F6Itd?>3)PICiWI=p7MW}F4`LylAOb3_G zm?d20V75?y6wYw?x6osSOC0nT+Bd>N$EX&@a^VICdp`9q;nbnPE|3-J{@=JG^_z%Y zp&QhlCQcVfj*UQ6SU8Nv+lj{d0ce}4F=_{V^m??hiR+;^h>TmfnksYKO z4V^Q&)tH;QInWs6+X_)Yy@K1yPb2QJbBua7Bws;9+O9+7&ao#1;E-IL!5zDIsN*`45f^x12*KMzwD!c*_%(aXYkntMF2 zvQU%yJa1H4gh}%bA@`KnZ~;h6kIY8+67laTu;J(0u7G$7?xHT*c&UT5VM`Eez_%vb znCF(M9zH&vwdIM&*jBvx&?p~z<=K-17fyNxud3dfGz3F3V##VJ%tHv9CT;2j9v|oL>+>o2FFMSUC z($(_Hf$@X02F53Z5BqcdHh9;OCK0b~JA`FSxuep-lopt>3h1zQa?_|nLIx=odu-cY zbkdYturCGU?m@ifm&YW+>K{1dXSMO%ZjvYI*)}PjG^JI&H6+$*s^2TG055KSOIZG> zyYv{+V$Z$X+HuiS3e|gnJ_+<1pu>UoyGuVsdMrKg?l9F`p6)?xO9W$X<`3v)-r&`} zr5sh14u0Ldz`i)TI)F#(^F2@MV*vsH4DW!Klx^N`x26;Sy?R8m5`cZ&V_o<71m@AU z<6a}wLs5hCs!m$wzz>L5v*3|;4$B>un&Xth4<8R^t4h`E-5$vuonHf_AksQ(yw@bpI`CK9sn}H3*Zg#1W*8^efZnk{=&q; zLlXxcnr6bew*b+Km<})yUaCm#hznQxL1s5H9OQRKcYv<#M9Az$A5(1vx1IQfN+Rgk z2o{1B#@MQuXUYggTvgOF)gnb&RUBK%QG`@QvQ>GC#H!f6%5jRws_4CYYTkYLV`YR0 zXT~7RXc6D+g|NRCG0g;owN#XB_C`2=ipXZPjp-+fF?-tB2Sxs7ybZL?Q_U0`=T{NN z4B_92BA%JVXI~Q0%|t#3nU6O6@HzjAyoO>WV91;8npj_0?Q>TfBrF^Xl|xjzN&OB< z?kPct0dOPBY`8DsMlP@s=E9AfW5fRj0p+VJBqI$6rOp z4t@s>SJd&Aec%@|<0+=p&@b-*Ni%IHD4P+df&+280Cky$w{m43bx_5VBE!{jppjBW z&S2WDd>JN#9cE?9yfRo{S(9ai49)^8S?1l%{M{NOLwB=TR)3jiH*1eIRfg~8 zN(}R7D^G@vVUMxWWuzF^IqPT{F^03o>Lv3z#r($_>#L9;aB_trNg^gfq)Z zaFCZVf3$`>Fw5AnRyLT7u)eX5br6>}nUebA zFDh65uRg6uy1$(p9oUiJ4X~KT5AGhIc!LAL9g~k+T#fPumFcztA{gLVS1M3ofCnnh zBBz#1-l6va{{xQ{=u+CO+i86SDo#7y%#r9c>}TB(X9pKn(>vDbL->@i%edqAJZGJI zR5R-;Bx5kmoKP!1(W{tw)EYKK=>XH#|ARN<`aI3$&q@ykUMt8|OB5_^Os+`Hu}7}O5vxCKd-^TmQt`*jVL&hn6(gVZxR&(;s!hwl4UbJFtZ|S=sKIRzG_Lch{f^*0_D=Gp{H!T-2@@Z%qvN z59fgYFc=)H9U^vx>;Pq1L08x(+;{fG`e`Hh*ZM1>H1Hpe|AfceHXDs8-d;L}u`R_@ zugx;Hrcm^{Bx6SkX@+*Su_eW4hK>aLPmi10nZ{Ec-CV~5N@OeR8kX# z(`bxJx>>l0=2uCp5a!W1m5d?bI$F>$)l)d9fjUgr30F37JL7jL(|D0?As@R*ysBqT z3+khIMuM;fgB34HkhGvR;=;ir5@IbpWra4Nt6{q{2MHfM(M3*U6j-DUEHdL4%X8jD z_mrooQhl>Cx`9+3yGrwz=fe$ot#052al>9~943@|Dib#k2}#Ih5}qKmgc2s6fg~o# zn8e+PXF@?EE(?iF$Y~_}g!m@RZNw)b;}fzPiQgjlgraQRY9uTnFPrcNVI`Di<4MSv zHfc7|fKb}?MAUVA~3laZ8cVw%laGp9rI^BpL6A zB(=$si3brk_FW zAp}(@IQT@R;rFs&&KgpKHUsK$g{)*wDU6 zalOoj-H%k)7w}O9$dvjVKIRuhQ9qZD9*<{rNIY2j1U0%W!{_bKLguu}km zD;82Bl|9AoL29H0OjHgclIAcmKOnUL)ooWHGdv zp(#i~kF*iH9a#?wpr~1pHp$M${0M22;%sy*lGBr!jr|7FCWXDI<&ZYX?Zy0sEFV17 z3c1jWMolYmg8qYXcNuDeq1I?9!(G&0Gaf9%Tr?arZYv{ffR?mD=A?*8Nb_}kRY!1KBkYiBoBpwoF691c3*ys>%CA%lb`2$KGVwNr@548 zkn*oiB*akXS*Ij=$Izcx6^ZB=8pE2I=o!PMA9k7 zx7H1b#AVdg)~Sg;%jj>c3(cryG?F#f?6Hhtu&y=ZUQ%aTXPZGj@0N9i8RYY#ttDo! zmyEBiHD*F}^gT6J5#XMEiulMtaT3k65!iPhwk<4|E<;a-ST)B?@u&Z4{lbhL8TE|s zj_sa4xUp%sb7w+?wE^G&9R6aqdLH1)56!sE+s1o-@?1?H@q@|n-P6lc0X)EHKonpC zAiVpA*UQgv=68VifUN*K;48rIfIWbp0p|e!0JZ~u1bhSd3-Bf2H^BFRj{pteD&Q#K z31BziC&0IWHvj|R7T{|LKmQ3h2>3POtEkR{hh|4oMNBMBTtS`Qh-wf|r-~afGVx;S ztVXm$TtH24#3qW@Q)gzQ%wjQhS~jLayns3<8$BeBs25hEJ;izTl3|=q91l5AsQCRi zc>e%)m%&Hq<>0h1KMJE9K`m^oa8x3-g{2WrNaVIaj~`j#(IrU3?6+MH{??6y9H{z~ zE2(}K8A^T=>O-qLZod3H_*i%v@HgNP;342L;1fUvumX+%9s?Qx4uBaj1keGd4~-W< z$Mjba=c#jhHL^-Is}w1M_^C=Si1P@hs%Ai(C+0Fb2jV^Y@-Jc<$FIcu#B2~&k6b*2nA6l7{)*-h;&iE20}qT7Y!6p-Sya@ zpJm+FN8VtFg-{S{7<4H?oq8a8t+ECx6yw*b(28+f!CR$B5yXvotMRd4kZV&=Y4k^` zozUgTfG)>u=yGICRvmE#bHi&0hYh9R#6xvw`V&fZrPlkdaS6pE-cz-cEs_dc9etX$ z3{)?t`eWzWO68~Aeszq`${|-N#Da+7`X};TDd=#+Zn-`Me@y?A{6Gr!nBiM_Z3=Or z{ta|cqZS$r@*N$xXZl<6LmilBhOgzS4gy>Mr@Wy9%{CmA>pJjz^}j+W2)oyC2|_`{ zT>Zatus_c=Yy-;>+&%qu`Il9gdxqn3O%(`uJ(usULXS1?8evQ>jH?hJfok2)K%DAg!O`jG%G+nM0O4 zyfn9%kJ^SzDbMF)u0X(bTmx<-ZkKv8GP)e$6Q_y229Ha?E-xD@&_<2K-c@c!3J1?f zh@TiQtQ8GVi0Esb-r(6x-)~*qfN!Q1SPL2`&5U2H>l?7e)bUnv1F4w)opnJ2v6!~r zn$zG@%y@5wrs94o-a1R>(NEuMT`t4*d#=PgS5!r#-u+O7?g)D5Wzh`gq5^9#UbtIJ>C|eM{TkMXjQmOyKdnQak$Z+2v2O2VJYQg zP(Q15u9PPJY~>9Xzlk?nb;6b06nPJA`k+(~W4ULxfVW<v>ei>5#XHoqF9*Uk~ihI|F#wQ84wD+oy= zhCu|j(M@k;mqL_wsWg{N{N3guEvdt?YyzpQj;55AG7B$6HGPD_+fUD^eoj?z+_(zdhUz6w#3Q79^i%H?mWj~p{Q`4)OC@?y`bFjH5UJ&TeTZ|4mQtR`$9F(9 zx!8L>>hu;5RNmEI{QW67<)2^lsQtdUsy_GySQdo%SpKRfI%jK9<>QJcb#S}``h6}? z0=KLt_*}(nradmRJ}GZ^PERQuO!kkhV((z5`b;C0Y&nXLzpBQa`2nxF|5$B3*cNR4 zwJmt*_mCdtYa!pDg(f!0H#FEe!H{o2Am301O>B^FNJP3HjD#vw`8-%T>6#QCtem^l zRXkWZcWH1uSUEG*2oF}yObwq0E9YJHd>*WvcQs5NRuVZ{oy}uNVn=I|c_c~nS#>4P zPZEDtL*@~?1gq2;JWf~4DoqT}rz`5UdKoXMEAF+%--POl^img_s9mvMnpBfVSF~PT zZQ^#t>oph?PQd+STI0TBcqjbA5y*thsLVlQGLnQ9iHt_-x6n*OY=owm#TA%FT7;;e z!mE*ST(rJ|kWF1A5?6R<)1QkLRG_nI98pe%XEtM>XiWvamzpPc-xn>f!1mI{ ziRM<2dKni)n<|LO)ODit3ZG>9JJGx$R5Hy+lr`j$%-AVfJ%nqg&JoQVBDd4;h?Wjv z+G%G*MMLa%2JMg6BU*{^do5;yw#;}`i=MC*!F(z9?-ARubH$jRSR7{{@T}J`l*DYP zMKIq_@lZVsS!ek-WQp)_eVkK66&P1`QG4QTgn^)47_!G>6rnZ=tAY1{_3`g_AYj}%_E_p4wq#UBtWhC zPvHG9>?U`GNRgX35Qc*uC2C-?`bRs6@+6>Ywr) z?V7d;(4fOm1e~Inz3*+!C;pj!N<#^`XT1jW|F={ZY7s6~;n!}-z)iIKwMFCjeX*bJ z?>ame@-=w~?q6t1`p6sY9{W^~5^Pexf`rd2F$fBEQ3vPs5uL}W0;e2~&*9(Bk#BY zh67HhbS}^AB^*Zr$_sn(i;zj>xxK{a2)4W=8OK3F%Vo)geehatflo5;fa>dzZ0i^Y zrkE3Jr8vC$ncrAr9fW@Na%+IYyPtxk_LBfUSZb;Vfcd2*)Zv@To(mPbtW?%-P_Y}3 z%9#WeyNphVgGD?1I@zzFLDi=!I<4&e-#=SQUno6HL0Z8FjYNUc#t@)Em8y**Xv$pa za6_XLZPS8}xw$s_ePMA0!^XHEtgi6mQ`ZTnRB-t8cS1!)5TE8F%p9Wf89QOw<+f1g z2xkm2Tj+O$ONRVgXz`F)p|>#32saFknonH`xl#6f`sbzgulGn$XSG{`zn0+6>TJQ6 zB$!p&mf+(O!YbX<;0qG;Yi(oj83`T)1A?zeuwL4BqftWi(hVEix=?y;ow2nGr`L5G zJGwA4w5ND}Yosh@XV zS%0PZmv7${{<-OSBkMbmXz^?0tOr>o_#mwgmOUl1#AxYsCT@>7L@H(ya>O+0EGGVE zaiTPxNgN~gl+J9#ofAh&r!^ARh<&AV8u9;#$M*;ui4-xuN5WTLnk!|1#Cm%wg>;X@e4!w^^^46PDQx!^9|AJ}OyBwRv)+X2J7<4hgdT$t075S5`&G`{_Yf;F`fKe^*(#lpgx~c0#Z;* z6_8)Or@C+7Fj(7%dI)VlMG8h$(K5m-ZLD*ynbjF_UiA(NrD6A%27N!%6dZT{OTuC8 zq~JYJe8c)zxWpXPLL&+bE2u4uZJ;cbAc^0hBtv1p%pbqsmRMilPb{!`*5@?iez8T? z&uu1*xB1p*HRHdtjju0iCa$;P>+_0n?`>iArNsou3QMKco?f~$!Y_u#2zaN}CYPTy zqne~QEH!TF0P_GpcxwM2XW-E;qBM8J9ElFs~Jc5VS+=N5ek{SSy8Uas;^rk)UjkVZ0lv5?Wg2Jv8F(vWW%JmIi; z*fMy+$>lN1;0b3ek5mRvIBR)+W$=XK%_9!L6V7ZNX8@jX*3JEMy^u5c286Y$jR(6R ztc5Xd13f|g6yrVtgtd6aoggcyR~QcqygwM|&>rVW&}Yi)K~m5#8lKTfwfa@^CIOhw zc*(a&P@!TTH!MnhM;w+=m`#|6uo7~!@lT)$t|XhtKqv{aUfgaZHld)Gkc9*!h{U%Q3=@iwpthW0 z{IAI5HgMXT2wiVkWZWg_dMhFmHrl9dd1O%1OK2-46NxsDa%mlIn@v!jQVVX<>5OVx zI>Uea5zig=9^CbLWokxZvh@b{fHRvst9$}XVWcyffxZp&bD&8;+kwsmdVFno$A4Gw z1+V}C>5O8SdRYg6<7qhMKAhqQr>q0K1NZ=T0_Fhj0Dgo^<&tL&&IBw46ah8@rU4cK z@&N1LS52%9cSium)h={>S!xY9;EXeq`eWB>HrfK~k(c;)`c1(n`oQ3hX!+<0t`eR) z*|pBsJStUiPr1!jIM|j?45a;OJ*vP;!pEwg!Y!1sjIa}8=$__)7V1RH?urS{6Da|M zmHr-8;oi7ZKO*Lk0gJwK-B-!6eKBZC!IVIJ@|G*5O5BV(qt9}VsUWm4eR5ovz^?V- z>gCPE!|oel^)rg`hxJP#`-*RYmg{!cSV_dEto@$he-mDa>GdK$bi;iN-d*K8pM6I8 z`qR%Vl`%E1$96?MgrbOT5Wd1arJevU58tk^(W-u)UsvcVRVOdLE8?|g#1t$D_JSfq zfq;Kf^Mff=z?+~lnUVzI7nLs4L_x>~)h-1}5Ju44RWJpi*OX@!V+9e<`o}L#~}qZk$`Zpr@n-9e@<}$Xc*px~^$%^Ck`OMk`PALI>DB*h74~0bP=)hQR zm|k^9T#-=Nh?<8?PsnY=JV6#Glr*9lNI`e= zTPP;zWu1k13CU|Ks>E(Id09#v*q4k+)=vV@a^Wz6C=Mzg`0Nf^F)L5^|0_=O#lrs) zr&-g>e0s08;zjifHkGkB`{nFqZHyv>oSnVQdDR6T{(pbD)BXLTw|(<=K0ou@yq)|1{mXnkQ2hQ1$8yId9yC;WcGKfE zrS@p~`6|{3m>FI%+_wg~D1*nV7~Qm$sw=i%ohu!bZq_U1de_{9(n|Q;@@bO};|#Wq za&uKs3@u3cm5Jk?HcYr>+vY4CqPgb`qi=~z+olX-qs5!sW{^=|+l6gY$(W^fdE0C< z`cJ#GO+?1}*~{Ce*P*}yv`t)xDYBQf&8kEHYR_*=uftBXSGCQ|KwYvUZP!12-Z|Z< zZ6fRSk;bMbFTG)>$TN}B$ULmfbY)2ktHI{;j;LS=FNnB|``w;r6joEBv@eV%h}hB} zsc*U_N}=8Lq}~^5Yth-9$?8$A2x(R~BvkGg&%5!xv~MkkTzS&GZYT&^X}s(Xcp3Hy z-uQ>*D-($?p)~1Vu*I%X#PyYSV~N`L#v}PCZzc(Ju04|31`)%IONN${q#>WOxVuyBYyAb3c)pk3k29rEs&)k#{x`Arnz!1jl1 zP*1dPbjA&(4aB5@O>>De(Ban~oraqW0w`$?Vt@PxH4&LSXdLhfq+=~t6~R>q8M9Mw zHGa*-1TxaCPZZp$DP-atJ*|PL;8zva>HDZht=}p#S`1v$Aej1;4+{F@Ckqhr~o2>6%YbA0{8;(7(fFw0Av6M zAQ4~&Q~-tmo&X(SI^YH%5^w^r6JFp?@B$CR3rvF-SPg%LI~88k)_m+`=2B}Ol8`ul4a;5TkfEyk5I$>)jCH(Y>Hf~J`Ah)=Iuf!%uMyDVf(0p#CZwnsbEUJQ?t^>a4sF< zyE9Y4&isyQ4rtfT1MON~32wJ-rd(a+TgN)1akwZ6nVp`sh6@(y&{%HFMHSja9EjMU zPIFBfJW>@jOb-Gl=lGuVVe~8gW#hpC;zRmJtDgc@HH(akHym+_6UnLZwVFk+Z)xXx z9%3HleYAH-HYcXtEzQsla~Rf-3i<#qeA)-Y;)YMI-IC2ii(9U^$^9Uo6=3Hyd{S(H zVK`W8`@M+2jLkE=5=~4Dy<6H{H_Vv~CzZnGV#+Ur$1CgG(2w@RaKdajA^L9V2xT_N z8Qf5mv|Pz&zKCbTKhyy&1RQJiTPIdGy`VQ~F`f*YHV6NTx4^OI(AWMuO$` zj$uv_Xy-uA>{pn38h$$QZt2Sk?2CB4@+N2>3-qejCZ+%+$L^ZQg29Mlx? zmblq~wfH-zBXI}d%^lekc0hGlyc)Ec&aSX!yUGVoq+l`_)6|i! z{DkTL#Bl8sYf1%%8#)_z)HYq-kwPIuQKP(K0#|Sw_0hiHcqWBZEqI_TF~wGuHiKjB z8_~K7bk($CkB9Vq>T$LO@XR>A3OZ6t=y~AhGjZ@#2Rk+PG$x6#+M(f3T$V`YqIRo&iO-8DY8z&4$c|P zJiDJ$;Gp=2JVbBPtrJB%0{mk(K=X7&S@;H3xM^G&KMh9#wd2hW;>+j{YJwuTHJ+fl zr~ql|T4+lVw1(7*ody}rt*FFZ=3>2U`O?{S(Btj)s7@S@Db3JXI9V$PrMht@%W2;2 zI7puJF{RZsRs7{T3#U}|k85dLZU*sd`#8A5RNQ5E2&QzzW3T?OMUlveVNRarH2gwy zyDoTC4^4EZk3b{SW_78(87}TK!hfa-vS9|l&xg-5gQe1(a#|)L3})0rMxk>j()`mO-fH82mIA-h4a3;2q}9h7}mU!SGwdCsW_{&R(RV zXKo|vm3EhNxeRoiXK3z2(oHV_%R?_GUPeoeh*B+r#9K=%I5&+E=E)eTyd~h?vV2h4 zioK});0!dw)^n6{qWz(9cOS7o{4G8}oZchy_jmwZiv$O^IO2eEDU#JQyB(LO)mRp| zN@4#Ny?Y6AE?7MDs%C*UhDK+or z+=iOhZ!NS>=f*nce12M;Fs&F>`2Kzzdh`2;eVQwFmhr32e=SM4KlwZFZpMAhu}|j? zTB<*{gysjYKodXQpP<_M>Bd}n!vOj1n=@-zg^NE@((G8v=|_6RFvzKzrz;dB`bB$~&&8b7A2A-_5>6T(8*91fCHe;A zUM_x#!C~CN#lB^3hBszhYs7>{Q?BW@t^9p)WFQo><+WX?@_!k62=_1eDb%!XZpq6%{dM)fDUv5iuJZc32xy)&;f z&v?%{&*yXR4Jr42?m6el(}sE-Hr#Q@H%(d-Zca*ftJ(yC>~_d$gMA`CPx}#}OoWCa zv;(2rIplbZa>l4D2<2k3oxj*sW3r1=8zqzAzVDD9J0jh!e>>b@uYx-T6V-!Vh=~wt zznMe+fJyot?wzmXVdFQ4{3ceqTO?+g0P}ZHv)6?4FvAZ}|HYv^$ANR;!oCV%-h+vw z;_dpy?hlxsV5YAL@4{IQg?SU^1eiF>Gce!490L<$m%x1Fb$F?sJ)=>=6wJ@b>m@1V zE7OF{eyo7ccur4HZ7oM>BPq?R6Qzyo(uQ|hZ}rGb6PHuMWiM3fazeO#yXw~jn>-p- zdf4calX;4GPydT*O@hN+##(8S(FO%owy$J+aR*kOQ|Lo_CI1Oe0DZb9_&9sZ=$gky zHZJbGdLyz3-{p5SKh#4KQtJucig>NqB79I!@>UL2$;<8Q@Ov9CB$P)-6Qgu`)&3TS zPf#?8s*KPC7{_;DVaCa=PnNr2nQsT zbvtFbEEUo9yA45cPW6$OrMWumio-nHEOhdl-RxZ-{f8)CmsoM2%j(wk9g}AGUay)a zUif|+`PR)s_wp^bhjk3yGvCl!)h7O+ABPX{d;A<9GN3@Xg;#GMeJ#|rW$>P{hCxs{ zP1=)hP*fF&BlN3PrOA3t{#OPry(Vaee61qgfAPVwBs7|O|K%3v?v2^W6{t#m@G_p( zz4bP`Bdvrq@7BTKp4#jQ70MRh?z-FDisIxk+BfQvJzKMR6=Ra8Xm=Z@R}?0%z=!#> zS8d-D{+6^s z{vDSj1k=xzE=gg&#BBLm?Hwb{R8z@FiZ~~Esr$*WtS_N5Es0RZSgDzyj;WmV5z0ga zLw=k~D^}F+V?}+9C-E`klBQT-U0Ga1e5AW#7#Qc+8j+074F^?g%?=+Kd!%1<9)^{D zL(Hie)C)-GIBQ1rOB+f_-=+D*kv_Vmh^mcaIwtp9Q)D~wIsTvCh5~m_W;HooKPNV$ zpRz{zL~GMW^$h!g&#@13l*pG+8_J9GsG)z*RL1#bKvRp|?2_TC)J$#m%%DxsAO%v6wuj+ANPCdxv9Os~wP}o#dC&$QQ@-zT!|S94xTbKg+VaxbT!8G`=To3W zHbCppY}@ZYRO+X9H*M*%o35B^n9)42pSeUdNf2tz?{F<@jjfm)1Q zGNRJLgf6ToC(1Nkfa+*D9`~i=xxFXLWv$fCn3%&YaYg|Lp54&`2 zh5Fs8QNqy1s50_m-QF+n|NZQ{`rk0uPZ!NCeQ$nW{qM8YhnCS}4)4v#G@a#Hy-=*? zH}I@qDD`|XkLa%0&);OGM!Mh7xc0^H@OSuE_ME?yN83}S*w%OLAkPgO_beN9Y@D`i zcwplbHo9D}vCgu=z{X9!w2NrbTiwz{8LE84S9TH3D0cIAb&<~?3vO*!U~--t$C#ea z9gGdVG5y}&%$w$%{H-$Qe9kp<&ZnM{?^BXf&h_5v5jZ|XVVaBoj9`GmqI_{|KWi8L^&!7U%Q29NKoy~02J+KLH zj>eFc`?hzvfELHhn1iXhEK>y^pfnFbtQhuyJssN~aiIVoTT`X8xSOs9~%XbBz_TMeIYfY7$&)+{TE7#`4%Q_T<@; z1osR^XJ1{=dg>OvEpmrx8E&D-PQHfxVR98S3#;|4?JGlyq_;UAZ@0P35XErr-feT8 z0nO4=e;!aS)C^;epZ`QL)G&hfsO6o08S;(&`u)|}U-q`R^arVz42$0rQ2%Sn%XsVl z&9_}*Bm732W+&J1mn7F1h6#hsrMkopKclzlpzPQ$!;Oii9kS=@i+V@?aoNCq%hV%l zV+8p##XVN;id|YJ?RBP7AH|o;q8gD5Y1$y0c4(TKZZ6bi-CJeMYAVsax@QOdQ%3VI zr^k1b3Y06f-n8P<+4`0I-LmH=J{bv3X}a}Yqx)RCf&|;IOZG?qeWXr~xZW}w&slff6D4hA({)pnOs!ldU_evew z81R@hgmX0d53E@{U=Hh$Z45`16a7w6I& zK@tDMo-^ld%o-7i9l2yEemBI-&nW}sG*z=~NN0$EQ64VXb!w+4sZPmDoEvaiydCY2 z$LiFtv;t-VTbDdLBF@VvT=3>oY@C}a_GFoFCtt1m2}NO$~AoN-~rQ8Upe zRFLwevd=9cP3QeiE=Wumr#tiR8q`S@vi*i!-oxgNU?`&8^i?}>Pwnwv55R!nS zegCJ?qhh`6&GUt5#IjBn{PK)(SVe=ZwC97~&p@O_-0@HhH!x|t?s+ILYJ&yQEqS`3 zpQj0uTS|1le|E#w`b6Elr6Za>OM(UD{#nh8l0ZRje^IkTNvPnL{^fmsEoqU|VG7=; z`7s5OUF_b&OI?m=Z!!xWj8L35xYKsMJFEC)7)rB$e^$9pwM91a+X&rsT&)w|x;C$P zGHDKJsgl=uaZIn(7e&{zcg!W?@9fC_Co!K{x8xzl6$D=fN1M)UOEyg5`Xn*Oi^g!O zp5wmNE-A#g%hnft?dZ%l4L<2kNx{YyP%|SNyl9ixnvOO?8L97>?QP|C-1m}OS;k%N zWv=%$H<)c3|8m0zJ>0lrKpFkC<~6hJ@n4QyYHOJB^{~gTg`%^ZstIF;bvm^M8gC>$ z##Pr_qjyKT&O`K(abNs}U6pV)iF z?BAjD$p7f)@DV?b<~?(Ak2!oA{(sl zu&XXS3N_9&&2(C5IO+33^Ky8*IA%dDY4VF$YTNZGPF1@v`P4RC@-c_39d4Qn(s_`+ zfaEniR_wQsPfU5Pv7Y`ygU*BBw;NpO-^G&zt4v4AZKf+p+WB9vil2PwBdmJ%l=P$h z3bp;(6>9&s4bJ8fetxmTfEXaf&#%cJhy_?c0uTfY_VY7kCnxhKB_|u6R8?tKeKm`o z18%@JAbh1A~mmrh;VE)DJ4 zeQAk2AdiPj$G~>abjn3|#A3&^A0!OPqz!2 zhIdVWfN9t)hA>-f6`GN_kMV)jItKmE3GQ*exCp zR^rQ}s|iskaGBU|=j3`)tHsApW*&ITb(JVxFQ};H5uH3HXlb|!-p8Y?cl{Ulv^;Q$_>5p!LUu2AbHoM~G1`TrU9Gk%fy|VW!>N#?i4}^ar>X5) zO7h5svRQ`l+<~X)>ooc5xR#>9g!{4shN4b<%<7^KgoBFpDESX)u3%JGv$OtRwmHhlQu(T^ybgx()cObpLRAG`Z9PXHbR3C+6I-; zTR)R;Pld`T!V3}pits3ea0-;A4 zypEA{_m5Y9CXWPr1zi7tEjH6Xx-Lwe-{)b@>32+aU5M*==Z|h5(o&kOK6=<|t(t8d z+H0uNK~J@Y_R83xcwdK`HPlNmO)#19zBP#Mh1ml$1SXoH)}umQx$9ZkDQp z%w=1N@kp$fvPjj8X~+!nsSUd>-Sc59;o`F}()8zHr0Scwl=fS>l>Y4p9n2%My-Z^o z1{rQAP1E>rN&UgIBo{eRcy5f!+<~!^dm;LEj*oUj?&x;h++`n0bJR=}dYi|b!n05> z!(^emIlJC*J1!>dgwedP2a#|#uC8Ty)bWQc8otMH)U1SdA_!@hN3iTOn4grBnM6IT ze3|ChM{Eo$!_&|SD984?OFJPNi8O%YNCTjskbW~dHtndS4|bU^zMy}|_v>`*jd+ZE z@uk`y>JbU4AFUiAr>d$%uI@YOWn=`fKN2%J^E)!CZ0eL7Qtdmbrx+`>X==X&-bdYlCv-7%jD&a#zSsUXF)vCA(h z(#dLrY>j~{^zT7?>?`b_OfBWa26PzRqK35SU~0C~-Qd;fniSzgye*e%KNww1l5%nx zW36O|Zi-==5ZNd`gfcuEyN_pMi-m(L(|t&f8CN7{bwa~jVNy>>78bJU+VjSsj?#LE z<+9w=Y+-n1c0J{>2P$tNwK}05wYc%xwZ=%(ri1|>X)i@>#*&WgQ-psw#j&aNREpw* z)?Y~QjEuHU*KzobEuIea9iqRC6Jtk}P(~|mXjwwCXVh_2xQ~b}C~+G&Lpa1U<~V__ zJIzPwe}?OQ=`|xImeXx>01~D@3F);ViK1-XO+#)cttw<58ZH)VJ#ewRzhz+6HmQR- zk?IvYa)yT~bA5#2p--V^>G0#CcMD4h@e4)~Ql9-xtZx6sx_@hEV(re*MDy_MMWzW2 zJ^X@(9>e{lPHitRf0Y3c>M>~CB`?ZAw`nSCtY94WWZtO5121vO*x5*!f z1z11=5CjaqJ=c`o@Q^>L;i2J4(pl}QW%H!Z0XJY9kPqAgh5)CH)TXR{n?IC$G?X|< z6sJftjUG*t+KI)w6Z|8!j%VagG)wwMpeV^|gnkeIzpYX)3Qp{oyfccJf@l^Rwg?2t zEHrF!6$G%*uw|kkj)jITg9Kr$h#!RKx4(jVff8j={6h$w>4uHs(eciAKU z%OiYpsg>hDT4yW2XyPFqdem%{ z6uMmek~7~VF}t*)v^YkdY?#*R(aQWLF668-)p=T#d64ih*QF92>gUS?40Gcci|Cg$ zBLoSRg*8@>^kX~HYltKD<)#Kt(qoUGv{ZplPw-{wV*$~elVR;AAE(+->+lVEF?(w5 zz9~0ot>PRVupFKSZBkwpWes|s@=v;@D75vh zp~q_~`$)C^)z)-zGWtD9+ZuGsO2%&1gK?=CV+; z!x&@A&ypHm*Hg3~fnmcPCG!`0TR(X|*rx6FOqcenGhO;O-tjlb9ZWOjW=Z+eL4FBh z42Y9}$snHuE@D762J~+NIsRZ;Y$yg4g8UBTZlD$D2PD96fEq9Yn}Oef6ihY^ShdhQ z^2Ws+>}nan0j2>sM*d0xN-Z;3vRxXbXV}z-*uxSOJUyW&k)6{?aUI^Y`4& znJx_UHsf*!Fwomf*c{3VcqQ4a_GJaXLf&0W&gj8b)9~D{zKgomB{wSc%?{B9ocDj*JG{Z zf^LdRoZ!z_0{Pdm7&AuB6C@Xb9|y_? z3#A^Er=aZd2ZaqvsD&~KlrT`TEtEP?{;(JxFxJ3`xA23(p9B6&8Tf@brU5YKS|Xu{ zRKf5-qz#AvfrG&@mV=XH@B~mMhk&vGltK#y$G>od#lW81EC)9P{1cWv?*{)h_)jbc zHyV^T79&V7U zpe3qPyvk)3GRtC6=36Ma zpcI4h1e6DvFh*DmHy9(c7j*;z@%ZU0!_VG7_{pO#`(087JO-RU>?8XS7Szb{Z!|LtqOQn!r+u*S zvp@oe91gq#PS;1mp@8EM94YS2vxaBt4nGgI7;eoe=AE*Cw6{6E5F^E{@C4d->127A zUw@JA2Ub?A$;!5C8WsZOqsC zj? ztIHQ#&MEU^Qk0_L9=L}w`+pCXg@eUz^RqBv4Jct4XE|*>#wsu&XV1AW%4 zIbFA+BS$hA+gt2YD#BR3%RQu4La^+BWhWiKm+m|K3{iy!yPhJl1 zLrl^Dd%Lp)JBx3>*utwgt1``rWR;|`ETLg;{|&8P^WV_BUrc*zCBJ=={kB11XLpum zcj7sD^$qvc_uor?+g}6?xy&C=3=~h+6rM;%2vYZy1iIq_?tZt96q#8TB`{V!1 ze;E2-e(<*c@}npGb=s%KjeE*FN?kr=BD-@|3;qr{cy+XRbx3##zbRQopFsGAm;12g zuSP}S9bWEFF5FYg3-LFon4E#X#wcu!#kjqAMc3ocpEw#09vn|C4i7le;9$eC1&%BW zg9Aq%9CzR-!ftj);}5t7yV!|c%)l;o&_KnZ{FD3Y@F0_IviziP~aE<#{=yCk>wp{ zImqd7uE)@`cw;=Wys36!t~YS(#$0c4+UIh?iU#WzoJ%c3@m^ni0`DsiIKNw1IN{}~ zV5Pya77kyW@NzaBTsV$n*ajTvNF3;=pE3CsII=AcobV^SKkuD{^S1BV0-B^)tu zT)@eGQowP9c`pBivZvrefV%k80olBJ#c<4rV==w+*zz&#v#&~Nj}E_ub0mAwk>v_7 zL&0nVlM3erixcdXEI2pG=H>f=-G%`45|4aCz|p1im^Xq$#Vni?q6F~TJ4Z-agH6MXhaNdBk;8_&)D5m3W!>B}z%0%!1MlA;6 zH3&mNm;*xBRuFJxC^JvISAbKWi`V8MPJanrn>UP3&VyjJ@>FsE31!}&5k!0`EA#T$ zn-12>nA!MJZos!w626p|;Y<1bR(I~Rut4?I+yW5lLBN-C1HP0S@THuDFXd(7^76Vo z_s+qGnCh7m^VxUy)yWQwTTIK`;?1lJ8NwNyISYil7DAwU=C214EJbiRf}arl?Akea zrauB_We2V$!}(oNUe_B6$3-{@a5#eH!~iQuJ@a=S=9goNTudWi*;MfeuH8>7h z9F{pOXEYlV-@)E)xnNJZ*i$4NCoK*d9ECWpbzl|4iQm}FTOOT*|C0*VC^(dGMB@ec z4;zk&aNMvsER0cbjE7?h_Ev}^E{Ve4FweEqaNM^zV&IU%u?~(m*xNk3UVr2DT5uA3 z8-t<6m}{OJ=E}reOW?=?b19Cz+j6oyz?uQ)c?^Av1O1QXK$VzpC0JjS<#kDz>%9t& zbU2(X4*VJ4H)2>JhF!trzBtfjmIECJ$3Zw6a4O42!!ZetmllVGkp>3`jva86Sr{BR z^5M8|aab7XaAd-97LLbY{2hWlt;epl7g*0ZIC(HZI$tj-=(#NIQtMwWby zM_ajB$ov-c6oe2E@boiuXAlUJKu~~?2f_vf56FAAR58`r?+?K_10(h*;Rt|ZKOBW{ zOok)FqcimDX!f3G#TfRE{c&6y96K#6DOel8O2Its*vVJy;F$+)S;O9b3YA4ZtQ~C$J6J4Qv5+0hailE#X}ofm&cIP!DVd z>VWM4#&=J6IBnS&gN+r6%E=dw z%f8%uEyqS1Homy_wJj&n-W_=tW!(M8N_Oj=b0=g=i@wnNUc4eOAD7H!8E@VraZ1z! zF^z|DU-zbEr_5fskmg}@XGZIyI3-7%T!qIHdAi;uvdV8(>)Cw{33*BO zPDnDpgycq>K4QYeR-5&%G2*@Y8wSrlyM%&PyY=)F;-~u82J1cwa)52KT<43o=pPyw zohS);OgM-f;Mtu6>!X*8;@R2A8FsD@eoURAbk+=4dn6QoME|h0$WFAW5B(m6vqIx)_(BxH@~hCZiawI}5`;}Y`7i6z0KB}eqEiglij$K8I? zEJmB-vKrDbS)XBa9N`p_(=(Br?jIuErDt?-GstIU8??s+-Yrpk>D>*Twu#dgNk$Ax z)b94$Z8%<&)iE6I-JP~?X?L(ZGoXW0W&f7`MEo7kZV7RuBv!SRv)oU6$rx&q)!O>H zrAUvXu~BU;+1KNuBvd!Yw9o9A6kLsk)f6nxWSfrGk{al%#4nL!NsjYqWxWvZ&{dg! zSmeF@JQ$6rwzN?XPb_rQQC}3sjncgXXiMl z$2Wqg@6ahtTC=!g!W`0t_&>B`yk4u2M)^%)e)F+<8AbX7AOK<@4bTDsz%RfQ;4gp% zYy!AIClCiT145vWSv_K}cpO0b8>gbh+WqT(4n=skl@VY8W^!L{y zt0&K96RLKdAe&0t+%;d|h<|_Ds`~1EqB#z%gJA81Gv(!MLjUFy%*>YxGxxzVi@y#2(v30kO|g@w^SN#98e`Q? zaq!ncqzNCUQGQ(8;lnpDxYk)*Ti|*W3D;SR>zKv0OE!7YqX45v=~9K6bH9{X-MRRz`AN*V zf=`c_IqbV+4rgRe#$BpjU#9xG+-khyLRwg}Gb`#dd77?Tb+pTGE1fEO4;@k$s>DuB zYh-y#$E$4{BkLeJ>eU!t2gy-#V{{Sf!~Aow8Z%3to;nw+F|@Ukk@_jB;|V@@>0?CS zjANU2B-jmh86&-*kK_9ZBfAQHsGsRqr2pt`4OT*Cxuq~gu_Y}~XjdMYMb6aCR2?cu z58FFw3x$;OfLqp4`bnr|8JfVWCppnxN($7D2`KwrEB~PR%UD(MbBmQvShV#Rxl+?< zTu@QdLiC9^Zhb|*#84?j?m~%U79OPM>OL9rgrtPbZkrgTG~wG2GvmLlc~LOi3Y2|wv0W3$>RblrTu+DyuToMRZ4@tVy8GLSNN zP>^iSh_s$8;}|A1TUSMGm;5d8G8gpQx6yAP`;FL-reu3`vto0Pk}t{@^S3wNll7t@ z^yhYNQ~W|k@AaNJjCWrK>{iLTut4@*WE7<2OzcH>;PlkRcoALJii_~d9Zayh>vmAG z29F_+X zk{g_;iq(yd#~F)LM>L0`(uiD$M=su6zmi=W!dkKhTit(2a+r6O>ei6h~{r@an5l6A`L@{ zQ+|?7GD@6g8mE}#mDW|^zT`dfoFuGq=^c8r7ydjc%rss5WJmkxuGf8w&dUME9M;TIWc%1c7_jIjyoWoJH`%a7_9!0xvN}SyswEGT;qs(#tN$Vcx zG{^0!c4!=74t|iF;%s{vTeQ(};+gl%h~%r^caaV07~T+AZ*JRXv2o zJ)TI2eFGc)+pzBIkTb5|DqQK8=GF%R;OUZC#u+)K{b-jmNp-;NcGvY}+T6YYje*tF z6^fM9(!S8f&=>e_iD}wl9xxa^V;>8AyT-IrzbnZ6lxCOS7IP_>#RU9Fd^?Kb**rN$?%Hd6X(x-AMdN4>sXY@iA$`iR ztvJWRW1IabTNs=$VJl&V@>hco*Qq|@x^$@8>Xe(CW|^9qFgXuTZLS+eamgh?hb2nR z5M)R=MY|2rOjC!nl=u*iyNr=U=((!hwKm70mU|E42BR|w_o0@1E+e^OWr$x(0P>w{ zuTdY&crV^H=xgd)xEF@_^}sdn+B4GG>F>o42YuDN*;%E^1v?Pzo!6*uuRkO0j{mB8 zw6n_4fD!XCVl&(~o{_#_A}U5m5&9(~*;EnYR~fX$IjQa%^&BR){P z*QgEH*KLGfBK!g2)d&j^4%_0~T7QjNhVTi5?<4#g;dKa4M>qmuj6OH*y?7}?=W&!P za44IuQI~`L1+MR4OEZ!?!2Ea%!me;{z-jKuXglCA1N6zD@3}^$OnomF@2ukIg!p-4 ze`{d=0+R=`;Tm-`%uO)!U}ATdVK&1ofr+spFy$~OhWOQD>;YL3|8S#YvioAq;O0;> zej)cLmZRC6Usd#HD8N(jML*0uzL(VI{vBWTDX0+jtBP>4dLmzASRwSU4e%EG;j#4I za$>b>rZ%I~p+B-tIvJ^$6HZxQR7f>*gt6vKA7Zt~F^!)w$8<2kp&hv_7vE1Mu6lXR z`o#-CzE@i%bl~}~C)?|V{7nhIk?x_QU}W{@)m#6dg-WLx8Kx5nZvCNIq#K;sO{40O z^c=4V7l!bnZV_ke{^TD^pdO{alzdVfXPevP4Tsh{*DeoUW#xxX)Qg>tPn*+^?TQOW2!z?2l(;YG7^u;7( zpp@L>hL(m>@`*=rNswH{6qAUzNn&}M_ms+Xj=g(fjh@KRGw*=+U!-&JR{20 z7gW@BQHqpr42zmc^#MyH@%reB?Ol$y+*b?^RI_On$60m{WCGPOmeVbIu;!~es3mnP z(L?dOfyt$mL|&InU=Oc2#Bz?NlMM@m4lSd6?2j|9h?f{EE4C#NN}{r?@5{E}aqHBI z-3jE9m@M)z*&WR~^^o$IEb1_Md@5BK(lV)@oTxadjWYUF97*u#4taoI+2RgpLBDl* zDIK9F8={2)J(1DGrAj4A9bGFgqQM1TfR0R~_r&;c|76@A{G0cF%c{Q&;fN_vg^d~uv{ZfwCK(o@|CerqL~sy@=P zJ4qR?Behfed~2DHskwTp;ipdf4EhCas4?Ai2=$dA-$b`LlTE98sBO3fD->9niyW-) z=>1LW(DK|Z9TnazbD0AvURPm~dJ?J`fvE6i)P{|g?$LXiPy|0+E=2z$-*$JpX1;)F zF3ljWk{vSmUlhKgn+~peA66UqLUd6#*)YFvSZ%}$$ufa&ZOjWPSwP^i+$9_VjTh-I z4Hnq)!naFSp%?5<9_7bPv2Fhj5}gNqAl@cmC$J6J4Qv5+0hah461{69PzwZw51EnW zx=riOCC@=B%}}o69FKciCoZX%F+>~9weNL5z3YnK_n{;f^RqRFQ;JJ_lAg0NXH+kF zyn>?I+BIOS`*$QwOwK9jrCyi+leSD~#e!ZhS?G^Td+~U6TqCZ(^Kt!sxE$IOchVLM zDUG=P9-^P5+MY1ruKP<-qIzIgZVCC7;z-)aPTRX4OGOuSyH(;^`_JwlG|7VGuEI#G z@AB3BRn0a&{_fH>0^5X~Nb)*eimI7Msgtcr%kA?k57{n#At09r6iBuU+!DqfrBIba z3@**?r(9oaei4jJ$e3fbR=EyUgSI|lL#2Cl?iHuH?AD{7cC%naLRPQU73FS&b+dgv zYl(D=&Nr&I4fS>kmR**cUNcjjP#!g2bcZvpVhxLYi?JWq_YMigTgfkF3k)S(-%~WQ z>KHUN>2BJuYS)(3Jc@@R9G_yhlE}m23GBrchZ+gd^dZ{kYWJ2=cPWL_3&cxy<{J*T zhgU3~?$#1=(ynCJB=YeaM~U~9^9&SpV&JwyChn~vq?5GBWu*3|abyR#)p;H|d=ztH zDt7fa&O@K{EMs8B+8!iLtkjmO{dyw5Nzd!8D$wHfEqbYY#Cdjyu0hU3j!EQ6@nu}n zrlSmqS7W_F#x-!`ycb~^;3q+3Wl0Sw8_NLK+QUtG)7>f)PueAInM7{5d6am2T7mdw z{1J^kj#Q8EM1+T*v}@fwiCl*8354$>JOJUF2p1qc;-uXooW#4S1>&XgM^eAEhj#>? zwENf&7ua9oj}*PX3**9|BdPB&WXffF&z3&xZ_|^+)n3`ERhQ|W8~dy&Fw?xU`4uoX z_gSxjIR$1V%uRjPqhWGkE`o`%mthKFR$ZpoVr&S^aWEIa#MlEcH^et+PWkNDgbp7s z{`d7?$A3E(u436uSh{qFXl!Zpqo}dNm|beY(qZGnBof(Xg`J&Exc@Ky{*Uml)XDeq z^8Sk-DsR=#ZuqC^<>jr!4}$UHuW|b|s{l#uME;bFuqM_42G1wgE|RuiJCRF?q)*c> z;5r?3d9EG5$*MqZ$3Hz5Y9O4Zf;{4D_Ozz5Ji-8WeiJv3w2QsCY0_P*Vs>Uz{$1ij zG`=alOBjL1H@SC7=TS6YJlJXl8s8KSCVm&xOa6(=(u$$P%CE_-U|kMN@OjGckqhnC zUrr!jLHH?}Gz1h$x8N=|_<`h(dSF7}1L;XMDIqjb@*!)uCgflL=wE zgZ}$_$P?v{{ZB&%S=Q!%tcUtLGK!b?4ZrIDOJcIs0%av)c(IFULu&St8I zH0^&>%S9#7DKB;)FVmg%KVf!yM!%F=9p}Sv2~16k8^~~tPn{A+XV6Zja{Gp{LVggI zD*jZp)Z$`cRob{XkM7_XkA4h9 z(xRi#(RP+17hgAyvy}0w^$GT`6bn@i3C^#S_A2pMuJ|)OEG=-8-C+0sXht%Jl{4Rq z4$$H&=Ia~=D{I9sXz?9P0i|ekd+HIHSNXs=(p=_r)m)v!DFi90tmI}`#~`KchQPIwlR-HFX~x+`XVW<| zy*1!~XqZ0Fw7r({*nO3Fsk%jTo?BwLz=}3iGd#@x3i~QgJvmunB>IgJiW?Bxg3wHc zM~|DHyd17%Zi#9>Ob@V>M&cg0et@N9MaN>WLyeI*d9+Y`0`sciO2B*r_2f0O#fAaR zz7B2!G-m~Y=BzoyOSm{cs zSMrZ`IbW8O3?4$4{%|L8pE0)9f4xXp`oGb-7jt z^kG)3fICkaA}@3_4~rI0G7d5qmk|Dy9pRs8p}dg2Mz$}h-EEjQNoe2hu{3Bib(3|Z z%Q)?*O%9Q+*R;l#>HKje@lAt|d+|ahN}n?wc>%vmwlN3tf`6CZW0H7*gCwV!bYAEn z=_jUrm;bMlb<6=>LBC3GGO1n61(IXJjoLE_SNSV^rZ%NNBah%DH%)j(JfGbrEj%aO`JTl2VzvE8;#N~1x>BCJ7Nmuejy^=A9Lro6Rn%0KdtI? z*oWdsN+)qDiX%fh?WVfVmqx0Gpi3L!PxgR~rKiZl*&{aQo}&JTP2E_$$ohml3kUA- zUJ>oTr^oKSa-K@m<7B7sg#e|kow7_N>v2>ll2x@mXp&g1`nkt>yaFZDo+z14Q`LAn zTvr4@X29;catb;gAk~wGjt5BfK}phl!X zCknzFAq%)%fJSZ8@iENkNNp!NLLq%@J7GRn^M8Wk0PRC+E))l_a`HkzC=Yl{jna?m z7#~TTul#Sb^T+sIA1VkdM|UOYf^?KsStrUiq>bsb?Frg0y(J*`Fq6rp`WX-fu|0?U z)3rRu<;XwX!LwhE{L>9Q=WobA-P2|BO_|9TbvaNJQT&Zvb`<4ptxGfdqde5cHj^AY zCW~ms3FvH!D&1&&E-63hd}9lG$xn63v1Pqfx~>rIOszuXQvRtK*BZhdP<59*%alUbBQ&B$w z3HkhEX8KV)5cp)AkA!@y0s0gqgY?M*j+&i^XXe1w6oR&+o z_n|jyg*0lti%>g`=3MXEr=8gsZXO+EH3JeM1wq6okO-+COKhW$(=OZOP=&VNer&sn zw0+hdobZZq`=Bi{*butOy+87I@eK$Da;91pvPYYaKnhmTZm7FTtfo)WzC$PV^r_VQ z=%jw|s_hTg|7e~thqVNhq1radaNw%_Jb4uaVx8a0h=$`Vo44{&hBlUiuZ(H<1fmKjJXGE=v>z%xBZ%Uu6GjZ#q7E6t&HaicG-=8)k!jDxTsOhl!b(gd(?wu0f$Ak z>Tp@`VR4r_Q5HB$)M8u=;Vgm?&6@MM_CYSE(?)TrL9UC_1YGAJ+NZRMeIa8*yhYFO z<$<+^t;VR0;|Eg?;mc$6VDd~(JibGye{<|Nrk%3(;zVp5*XlS(`G>!xlrJSS>ZM_dg(C+HEY4sKRQX3Y!B(SSZ1Ix`Tp7;4W|q_z2Vh?Z8o>7pMo$Pq`)j z53p>?b8ShXu}TwnbhS9ZCJ;@tdgCf4=ewfkEYm5Wcv)tj#ABzU(Snm1Eo{;N*@6u zfeXM&;2+?`Z-5|t1cXMt#WtDt5Zpx!u0$$+8JEO;>7GHhU(yOwgqF5h{alf39C^z^!V7Y=b7ZFRn5qnQ&Xbz%=TpRgC`Nw7@Hc z@3PRTJXjW9ATAdSk)geXryxp(mcu;3NXSo$5(I&=h@s+2K|JIqMTPo;jj2^mu8O(- zo4TA_l>`0dW?~Rpu1po+N_~l#As99`?6W9O5HmLFvv{x|Wo$$n@oybrW0{Y&mo8u< zx5{yl{F4941mdrrfozNa!36RG`5phWW=bn0WRi@LS0mcUU(tqm zauwB1_CcjG`!ZZpM86r4(G!iv!>+85YHPhdTlKTqYb#?%T3DYwE9y9EmJ4$VO32S4 z1zXmS9wblFIDOQ{pySf(#_SwUKlw1EEr$q6c^?0Yyp181rQO8&vWKbzEu>lU^Qto~ z)K{|aD1EYeC0~m!#MX})|4JyvI4s|ZMjOK_p$NE6zp#VdYL%&<(2>zPaXleIEplgu zmxrmv1r8*`fJ&?CRlYp8iGpqJix-D|GO`WmHWf4uDTDZw` z5q(_h(oKwyF4t1CH~D;Y4NINB$@L@c5Y%D4KhkHWuG-}8;PSU7b(61ytCxnq35`&9 zYH~JtIY5H4Vv}2q%b%LDn+Ddn4%d`#qSrttgSQE)StrDOOy^qv_YyhNuQuqt^qShL zmT4zBq;{zdv6KF-wy6zJNOr0{Yl9WiKh@T?f#W4hIbpGdTT#!3AJwh+QC+|nvz#B} zl5iB476}a!0oN;%man0z!+GI{#m=0?O&NEs{N(qwSI{F=-e|iW{VDJyF4=9dW`-I? zZm-1o>SP`s=gel4AVq2YnjP3w)=C~KyUfQ@@=*B!{>gI68QBZ|k#a(^N0fGGr`;Tn z`O<;vA?8u7Xj(W|lhx_o>-t7BuhX}eHV`dSX}$E_n(9uks^D`Xk~$)RbxxeF9$_x} zXtfsQXQ(+F!H!fu5rW^{H49v%V$M8jgBG(J~!suMSnAYos3p z)@F#wq+mfp;^`Qzh%;>Vw6A77)np5j%#$*#cHqlhSqoM5Ygi^Dm$>C>hr~Jdha8YT z(L0#d*4iFdPBLW24d@TXGMWCoVNI?5584M!W}IKQ>pSs70ih-EF?FeaR7G|q@rzzy zN{u8qV-ar?&+dntpX7?btCE>a%+_Bq>@-^^VR_fSnb^wMvt3z}c00vi!LwB>^8M?0 zj%wu)|1~^&fnu@$cAm39>FB?{%f_h4^l#{LFe23;zu7IwWsme{!GN(rXQVm-bu6>Z zYMg$D|IRMk&B{{$)m@Gg760u7K2f>Se_faJ@3=Ki6k6@`pr%nE%FLK7%`nDZja)B^ zG_tOSuNTiTCR~lq68RW|u7+iaON@iBMzs-6$m9JtB-p=K%uh=eIz97vA|@J>Sgbb4 zGdruAS|B2zR5i9hM8Gdi*hgmtR8xZW({jf5BY7r5RbzJB>Q;y~cE_zA7sYnQr8(Sw zbOd^>`qfO&qpcDjHqOtEQwNF)5z=QEfVlbYM-I+%W9>p`K6rCKesD^iq0BG&1# zdtB;l8od=dDnpq=%Oi}UCCupZ7^B#UncTxFBkyJtJ0=BLm$I21`9YLNY}by`Ao55y zo~{N_FR<-8iuYNsWQTVY?xXy}_U@Rxk4$1G#^&rpFA7R5Z>n`VJ0v!5D&-QynM9(Fu?5d4Pj$2Ttyf7Q&}W8vLJ0Jkp`LI+CgDRp;eh-%KGYMY z%hY_RCrpaecJI&qYGcvpB4R+T3-p+nO{RC=y0cWiTchn5%vnsQH( z&!bgl@hR#G^dv7_Wc?jI$tN#@j9;`t)MMv_^_-18PHlKfJEfEEL%X2ib`J2Nuha-T zJ?dTl(TwZ#t4E{OnQ<;vffRAPAT}Xljc9&4{c!3En@pP$3mgEuOq+hsIu~=;-~iLY z@==Bbz>bceHQRs_zd#EXm<>D`MJHZd8)E&#GSC@=yf7$Ya6m;M5D!?kM*zcs6int1 z!~!f}J|-0c011(g6{JZ~| zN-Lvmt^e^#YomNsT2-HCYj}gm+88#L)gWdYhmVac69pNA$A*_38cTQKrY@kl?Q`i& z9Zws$&owS}7LC4-)|^^I8?cWqOkGa%nCjA(I-2G;)%Ap=ok_ULbd?-s(yoTMN_&~M zR|7Un>X~Q-zFFGMBv)qcvtGsyhbk=PE882Yuw*hjF$ZtO)ocn>VbRDm1gfwUJ=;A8 zZ^dBt&>XxK_p_a#3Tr)$9i4->;&a{X{~tmghQ(Zm)&PaHPHo*7JYMoZ&1ei9FFmJr zXbinB`KI=%ggmh$o7{o7;yUzz+1}{jtqfPm8|~^8vs619o$8d{s@0VPPci0;3e+K$ z#dnFvICD+wp$;oMhjnV|1Nk@Ag-ZG&XpxQSbXf!~vKi}qLS5H8l zv_VboK@!GewOdc%W6Da-?2hbK(l_N$&t$)+n<0wLK1Nl+pa3 zd+bIl=JB`oIE_~N@@sl*FC$5yzQ^&hGMO*wK_){re{+v>h?2lpc%mXTjbG>Ka6lQr zU*m~pPE+{Xq5G<&@z;CWK1K<0Nt|~({U=RToO^5NWieThR5>}r${xL_$7T?f`l(Iq z41%BR5dV;+`P?1tpg2-h&~(WLeWXcVi(>EL{MD6cFZDulTbI-{v7LGZeX>vUQ1kso zTh#1w6c=gBn?2{?B5iaZp$ivjH;w5{oJdl!ehhLc;<|8=_E5i|DgCI`WLdU$hcUh> z_o(%GU3gRRQS|foZYn&Ae*TF~laEp-|`Tf7%kN3m<@IL3+?^=7G0OxRW)?RD9TAC;6fcy|_?gyTiKNsQR zNw56l-2gOz*DHT(;6u=P1;jm^KC-A|yQvItnGbTWfR6{WWj@LK(F_|>_M>;hF=^vu zhkE>S@|fqVih$4Szpe30*iaZKhk|m>v`P^BJg`=pyx$7Fs33hGk;WZuI(NRVdpu z&FE0&&uyohk;fEAq0@h8maqRJ(E8i<}?g)5_IcF^6r`|y=H`eiU zdT_7Q7*m!dv64xbZ4{9z{ps)uO71JJ0}Y-Z+m2d^v6S2FWfoGUZz49r7}U127@n44 z?1h#PF;vn2q1f4W5;{jJAGKX6Mom_nYdchoo2-1_c4oltl45h)@d5ND0*g4!H!w^1z@ zu8&7gQ=NhWa@PiWs?N2B+CaOhi?8u)U%Mw-` z-YE+(yIa{~*j*5~-eh*^$}GeAD3jpZYZSDB^9W~5)Zr~I*8D;A0cEN1$8M)W$g;@m^s3hfU)4V2B8GVvIipA(=Erq833r)v*QJu;j5S(=x1Esel zE~0uS6}?WCY>EQ;ya<)VLlbOGrJ^QYKWaCKKZ2~D(t_9RuMV|aX(JqgNCH9*gbNS} z;4!i!-X<2CZ`Zm$4}9 zohe`#$nV)z0v`iuiL6_LPz1TltQwNIpa(-%++ZH8M3R+yqq*#-lgz zlKiy>*Xz4l)}mqb2CSA3YFxxwqnK6Pq{gCA+$V!w<17LiV_@?61ndR_kzY)Jo*L2o zd;-pBu;-UGpaTrS{M-iYj|NvhY>Lb=#PbUqK!p;`FV6%ON`Jl}6I3Xv{27_B!5qsk z$izJ|5co6ipeGo@M=muxJtZzZ&8)&qg?^~e(si}+-?j?_h|h{B zxHAKWgI37Ci5RZT6>_P_#nu0VOfT|rWqptzG>1iJdfHt#a-x$xk$%SCggfF1)6{;# zhIsr9)epj!c;_2xicl8s5U9!%Zi{ycR4>s{Yp|KLdHPsOM04a_sT=fI&1=D(F?NV)TwUm5c#uYP5e4!pRiMu%IlU(L>lc$y zuOSW#dET!f4qH#c)diSkD3*78uvwmBE*LqNX6LM4Z&IY8w?G`WISsi5^kCPgVY5|3 zrX6XBZ1oP4M-#q^o#JqFsL*&SCI(4`##0V4_#MiKny0Ip2wlZIOWqGa3;h+jAuN@37(OWbLA5a zp8UKX#|6rZZReXI#`CGIQ|$7P;s{Nt62*DpXeR@!j~|ZRY#@*9vf9PcGdL8BZ)NB% z>0Gm4Us)ZYM3KYJ(0ZOFhv^=h^ZGz>_KoUp+dcFWpp!}$>7GN8QbM$Ef=LYg$`bq(!fke|63mh6KJN04#CX-m8=L(!M z$&d6E0#v3$ic6*wz!Bh*;&R6xKmgzY&H%9Ycx?1;wt)fg+2}2fB24XK5~hySyRH__ zc3mVC0BV|HpRzgAB#&;;-3M%%?JAuE*!oj6(r43bbfUv`(1g8if5QH{eVzM8k~N&* z*EJ3h3YZKC1jGR%0f_)#Knx%RFzJp@R{)^Ezr*-}>Y$Hnvaez&_0CP`I^S*5D4u&) zUOS{9tnMnUfE0wluA&O;7cRYPRt093vdSdsb#_*Hni_i@uEKu#>?RLS(kba7mP>r# zTj_IFQoJu-vR%WB55P+wXristsEB!7L3JS&^=#t_5U3q;4_Tx{^*pJr_ zV_bd$z;P=azi-TP^xl-^*t#CD5$n*p!Hdwk$%|0F9_vuP5j!L7w6dy^sdB|=RQ=4o zt|*OK%iQRS6R9lBUCnkPwUW6-QM%8C>VF$F}#)AltV2lu|ybaMHOg^cIU0@08 z2<()-GP`&9)}u~AdtVQ;3qP+6cg}DC8p=67B&~y|D7GW$8{)k&Yvgb@#vNj}2fI=3 z%FB=}?-EP@2=}dBEX{>8-eMoi_%5SE>^7uTQfQteF6}{|(s@iD(*NdWKtL80ijbd`1Z^1Z zYvgU?;;!V^hy$uCrtw;r6VxyIV;}>&98_WhK_%7$RAL=LC3aIW&LjDRd^4!T9tQI= zP=^fg_Ze{C=2GXq4R%U%V5gMu+J2AyRbrHGw=YwlZAo9&dKq?ZE-3IH)(=D0LTy*n zQ1C#MNOmf@10KL}@vh_0p7^hJbzVq}r}I}kPY2M4guK*Zg?n|`4opz;e{hE<#0P{* z9%xwBY57P{W2i37M?8aEg_aHwU3sKmB|z2rymcC5KDA?Tvq0V1r`vyl+y}y8+dCZV z>F;%O1U_S|r|2Rs%GRr~Q#H+0qR1ZES4pU6Wa)fBC3ZO^I*06)U*kHCT!5y1ib9i* zC9XT9L|%H(PWBiu1#lU#Ml-b=a))smKQXkaOx29H7S$rQ@`^^dwWu84%8|@byL+HP znLLV&;=Os%bVz!kmQC$vaA{xJvHd<=28vCHAsVQ1c6f}dfu6$ljG-E6*Vzd*UjD>& z^7UqHn*TS1(wNoA9YjtyE^5piMEq==*_b?t3Nfy2Oi!>oYD{UImVlgZyvE!wCfrvC zG38?XIMqDncCqs~H3POvAs?!!r1~sb$yw0^0&~+j*-eBY^3R;bO~{_WTv-B()*F^9 zuVXp&M%|YoSzf`x_vOhfRB-q>8H+^;4jm^Ku^fXVPs_+GkKmBg@>~`!IAW=69E%Yg zwp6~<4BIS22pYGXFBn4Y0HRfwg0WADMoyLh`H&pI z0cDMcq#rpm1=xp_Ih?fu=N{<4ht(h}gF|K0G-Po`K6W#Y*2m4qWbr2SW#;34;W4{h%{Z$huPJM`2#GTn!_z?9kcWqyJkHcgIw(U@*{bc1dXyfEqOWMpS z>POd7vN$XI38TbcI63{uQE~)lNk4v+v_yVYGhsOBDYQECh-RO~pmaLj{a z9vmOQ@c|si!*M(u&lswsOB?K#8CH*U4nQmH&BE3J~)2?$?fOPVUmBX3IVr zL%R5N&`9u|P;2!LCnZT-44AI`GPI8>L%7FEs`IZ$`ao-@AoI9l=!h9+pg}S)tq%TU z?qmrvwj|8nD}4l*05}I&4tNi60&E6k0e%5Q08aXT(!B(nZ>$rpf!o&4-^a=VjDy?P zZJWz${Li=*n}$$plHW?NfZNr^NZ~r0;3{aiGTR;FT|vhc|2Og~2&y}80zKE{OOivr zGj&=}bQXgP3tZgpV_Lu^8_dsan(N?l23#%xE`r--Kpm{O7LWo{eBr4JtCqo(dIG?9 zgw-Qq`Zbtd1k-1wT#_7z>E~eT1;EM}@|f>5=|7@aYhD9lolKv<~g0eVh_4rkzsC z`Ckg-d1-@aO0WM4$sNdK{9g*=ekQaqKdpYk+%$k%s=C75J%C%P{%V?Fq4oMXOM1-A z-XLe`n0XNBtvL*GGr z$)05K3XZx9-QCx~!`CiU>DYF<+y0m$qwScLlut>LzJe;HlrkuLodsJl_>Pcz%sE2= zzqAas9Hj9&tzHi)>m;Aelf`*s4(^I;>=X;=1TB_auq8xyJM2}Iwn3M+y~-(V7rPxx z6l>ak>~<+pa!@gS-H|q)`a1}`;z}y5yQSJ zNvpTp!L9HAk&2H%o#G@h>Ex1fd%=^7d;o2?lbXH5e?3oIf@SBMRlc*VvqBD zx~7d{7V?t1vPW_M811?^1hj{d*)^Sj-Df0r@d%hwWAw;HSLgFelJK&t!wT4&bc}JY z3%McLFA5(BxFLNaN*M49lw1+927&^mUq$1r>6r+eA-|fRiP~hS9XZ#Ga8~azDVi}` zRKJ^=n^9ZTEAtd$=vM=wUmj)5Qx(_^um-?nz{owNf9k zm#KgONc!Wr`ow+L>BT_$rJyJ{DJU0tQ0Z~W`$U7YyfY8zt=D`6J zS$T!29l&i+^V`zdebGbN~y?122ZzU-3`M}1PXc+P7|slm#}3LK_Npeg*pEl1v@c`9gtRi2{Mv`rb$1GV#V-3&&eR}<7Xl_ z8wikq>*TJ+v?+_xdsPK(dy2hQbsF9KK=3NU7}%Fyg;}D$$62U#>Y>C%R8k5PD#Pwy z_;WhRjg4y})e_XmT0DS0gUx87)zW@vPi*q4WlUnbG*L#W7ulz}4D2Nq_hG-clB=^V z(t4K9aMW8lV)hJ~RKs-j$4m9I^)g?Ly=$mSHhXr6{7+51t8cobN#pNID@<^WNSDXJ z?HhJWRx|qtiA9sp%nX$%HLPap2bbpXPED{k&AtmHduF3#Ge8w@ z%Ij&-sSlBFHlB2Np_(i#A2=es+JSrOxQ`CEr0gRP)y0p6Em6O&;vUQ{#SOS6(c!c# z{U!}0*zT2Yg|BbgN{2+!N!?OQTrs4m=NPA$#`j}SP#5V#`<+iX&%oFu{!R|t|EkOu zUKu9DDI$f3hVgOA#lkbg&gT{0!sEjZiHs|{xPFf+##)_oKcmj~GrXm)>&he8T{f`0 zN(sm{24Pnb0rADa@0vwG%~JkplDHzAH|lVkl1=hcDJJ=K-w{12hVDswuG2>2bu}@F z79vIebF@F)m2NHcJl#Z5XuKb^u@z0W#$~!yXWv0TQ_e8eH7A+Y#Ngm-EQVnys}|fr z?S|$Ahr}*p)WdGp89gqM>J6sdka0!Rx{ihJk{maqslBRI-wT@iMWlpUYXCSWOWNKXukX|({%*L(yxdJ#s+h&j zuE7kEugM0@q2jDE)GEUg<|(V!5Im;F%#(we-_RK2thPq02bDgNO|rNILoiWfF044q z`$(^=K&qxRaYFu^_Tp$a!|k%UzGAW(*W?@NJ#Fq4G4&|g{48x5ajPFDB|$k#w8Z8X z8P22~C*AY=E44>a>u(vC`=cRjk#YqIlSvWisU!%+^y|?i6!qg#l>>+=w6!ao(v|UT zXBCdO6ymlM3YS~TBF?HNyL_Sphu37E58uk?G@VoAgnY`+oaIf(hr|&5KN^}i zWfVEV$mVAdkmrm-ekuX6+{owW5K!-p)gy;pp^bV|+d)^1J808K#9(6~C`O5Kj)kDu z_!vYi1jQU<9L_>eEG!1o6LDDfD@fC)X5yf7ps(-_r22;UmEXa789br3$8jY)>BW47T$l;+^LR8dM5 zV!QFzv`f&PG+!G*P8LmRj$FY#onD8SyD=LvcLQKkHsZzlY{XNi{m45uI09VuBYPN= zF~!8mAiG+N?}`Ql1L6VxwIIVfOcl-_1_{=_5Z!4&>Oqi4O*vwB02bN>3l_pL5f-bX z!y;|~8o&!c0eApxi~CH*48REnTme*oCx8rapA539aH0snRDd6xkOwEb4JWz_=mh)+ zXazh4Tm^gs6v2l;0p#Ymc>n(-r;IbJiw9AuhPBoC33fLPDb-~O$RNWtQ@fautqd}q z72~gx?&;hto-MQ}-34<%d{FuPo7+eN-6|5T0e%Y&4gfrW7^9CSITGj=9h>Ac$~Yyv z%0diBkY%kb(s1B5Y2^QX$8u%`R0icj0?Y()X*({0hwUq?Kxgp+`-&^Do4NFn!@Y=C z%9ge*y_f~cL6egOLN9M6$24{w;dq%!Lky0>%TH_UgCkTjvc^9c_F7q**kJ!#5~L=) zH|Uo1H*P>9ysu5-(i*e!F*CRm8mH$&%5GL;;X~w69>j?sB7Wx0Y|MWMJFh8?>{z75 zSPG$Lf=C_6JY0#_!2XU3dRk9=x7b@8St37T_8KWZi*VuLs%O=rSMq|YOKY(cd5r3m zTF_H!HM#UVjs>okAJ@2avp&miYkaJ*H_HIQDY+}z0T)AUf!4V*Vmw>uhxF59u%6H_ zR}kauNq?^QjX~4}9G0vVg$}^K+L`dLHusL*6hr37MKPm_vM9e$mJYi?C!wW@$@NhBiu=>65*nnN2 zdb3b7fWD#rrD>G$nDuwm`BYFdRe)3~#B^uLIHGy}UyV=GKC9P){fi%Hts#BTd$E(V{PzLFNZlZKzcbv(Gvv$<{tADp}54SQ`(BDjgb?MJZtfUO?+ z2z0Df-JY<-1hAEWZ3)b~Cf^3pv$C?S?bo_V)7M#Yfd2*Nlrpz=J1tdD0T&nel-|?s z&q`3ir-Xczt(?gge^`PCuD8Lp=#TB+SopMXjch#&bQ?s{ZdRNk!YpZKMJgiA(hgRl z*zHj+vQ=#`9Zf@e!yZXf8rEAeWa>1wIqV3ep6}VLqAL%CxP$x*INcg#XN`C|H8BIijRxVtwmqw7C{sM zCFib;W{=u^<>vIIjv}Y>lKN(j;$|A{`eqQ&UPfkLIRSbiRri%Nz#l8EFS!Bvi=ncQ z+kn_?K=-jT(eI7^{Gv?kIU|*ymx-BR$mD0;K^7RQ_|xwoXokyeEzOSU@RhAy>_cTZ zas*m?DqYRtXwgHkHScX9^+qIOCmDm7my4ZGt7y#a#h7u5N6h`ju;Fr!xw9CTt9aj} zW9;*jMxEy(XH{WNsqS$Sv`z-nW1v<{L(Y1wLSG$t^7J72A_-H@)n1m&6V37;G3wJy z>J*qcOTNY&-R-wUQfjUQ`e&f?fIgysWA>=dYC&96oq^#?SnTRnP-jJy5gEFVW|lR( z4A$)?T!mVSJTpEXYAJ3S3aYtfYPih2;WD#b<+I@0S|@*E#twvhQvy$cOLHPzSLI+^ z+a*Y_`^R`4F0UYP%YiGnl?Rs~?tp?}^0SQF_Kj77JHJ=qOjo*^)Vus^ZX%XDvB=m$Nj*=N0+pt{dl3#W$oA$o_lm!g&m4JtuLnnxt2S( zFQWo6m0Q?XFofREi|i8&VgCgo@{_%&r=(S!Fahx_aRYn2z%`b{)0Jw1D?xJjGbBBM zID%^@ z9IXARd*Q-%3xTlrTXQ9LzIJ%8Fh`z7+GmOs&|U98>2jpL{Gea zx!P1HPI4S{ev>7g^5;~)>WPCg+{d5RbngGTX{0qwOnVidmH;5H6X1Z8n;-u)DN4XXrmtU zi?N_DxeOIyT++7F>eUnR&F-Xe`N$S4`5ECXi2! z{%M8Oa$i=cYp6y#n>E=rQUr2GfvzDUsel#d3jc&-Sdp$_W@*jrCb(m3$^KfvbgRA;_EGpxtSd;#cK%;gxvE{eB=kWW`pI=jrCw(5pz#WGBs@*0MU> zd5Ctdu`lTITOVp=0miKl9dJvgy~cy~=q5g94QvZsfsp)Fs1R8P6(R-p1=Y;;q4BQC zG*583>UO}@8aq$`?^-+A(3hctR=|l9cvXPdwX+4=8?r!Fritr~cqRFbJGC+OA#N29 z)tD2D{$wm|lT;G$s>5xKl~}x*-lnK@P^m6(V*1^wj74mRe)pUTGcQ}U@-I05~*d^&Vp(R$_6rm!biTsk5bLnAgilxSd=C@w>ZhRcEt zi+5IDxtmvgpvHL@Q7##;g_ zy$flTBtVF}v~adkdf_@5b&^LJ$%ctpfRmNZe{3+~{@7%MT8+ZCABvT2d4MxwT&=@x za;+19@-O>i*EG&nKu$kt6z@nMbq0`TvY&OWh8uV_XI;M+!9K%{Kmd@h=uBOm`bGf1 zpFyB})m8WVG!Rj`v>0LoS+1*$acv-_=!#>g4e*^+9OIcu^wV);$eH9rx{4V0Owtd! z{1|#B<+-j_>v@M5rpvUrH3t;ReizZ2{R-tPjqcHq2KvshI(k+W`i=4zp>zQBA=c=V zwJzc0FM5%MR?KpS4FpbCz9-g4iHbR_`iel4P?PIp7}&IjZCVRz14Zm}6RI89xvhDgW2Z z=t?O=%u>vP9M#Z)kWT4L^Te*wG3O78Bwcxp*K1I0{|Y&FJFOm`#ECk6^ithHz;CmM zB-a6cX6JbK7qEB#pY%DT7f{TI_z-Vdo|zOM@eT7#ansZwM&4B&F_l^fEtGrum1azQ zRJrVpG3@IMM;5-DsgbE!?%n;Rg$1TgORNp57~?9K&%3S0Yv%6Ef164Zb~H)c|!;R zubh}QgzD$j6H};mF+2`2gNoGhW)oAXh#H=Nm_tQbcr_!(f)Qj$ojF*EBP*Sm+F|rI z#a-snVdOStC-dU4bFRXh`M;(IiOh4&PWKf8)Wz3D-##Y&`LX^}#bEDUb=|nP-)BDb zf7|rW&hO8YEPgA8(;u+RU+>)uJmz}l&bn_O-u`u4aH>J^@xUAJfI9v6KYkxKVV!d9 z?WgwQOwc?ZiP^bzeqDZ~KK5nO4?92ITX&_X=X?FW?_;D-mKT=lmfjWL-dr1Z>wEXE z-j~n6t)zW@nfOCc;*1+4=l`EnpcKFOex=Uw>!~@9{`tOc?cuLJ|15j^ecsyat?v)K zpJ81We)an|()DY+tM6OBcm8`(JGT3qkN5D*SL?o4^nZ6bK5!uX^npuXSNd^3UCa3L zJ#x|R6+0HWja`xU{+`sS_8qtPt55V}`bEdT{AO|g!z-fvF0>o&_C|zg!`?pDi&hSO z+c7}7m=y3~+Tt+9+NOO4qIE-acUnW2Ugvl!2CIoUzlolPgS}2T%krU(@_5gSe=@gl z7so3OHF-Fji*1y*o5;)^+Iao@1H;-)U&}7c_DmX=@*(X$Yld&dkDU4GxqCymaev%) zXR%*NXx7mkw}OA)*07$t?T-KSiZ@p-JS}Sd_)`3>?(5pGTi&d_Sa&z?&Q`g+n1;hy zay&f^uj1ns6Kbl73!j^qzZ&(N6JQ)5;&9rST_|k`V3M=5D5DB5S_sbPi#-e<09yce z0i7`Ui8e(s6>tR>`C{JMIpBlC)iBRH)-bIVOVr$r%` z$Gd@JsIxQc>PELo-`_lbQc!_>0^h=C{_k7(h^!a88osdYj;HhlFO}@(W{;fi#gvog zff&x>zS1EmHtI1gZ*uAJeG3~vcH%rLdI?k|FA})+_^BY%_jl7&0d=wl->3OsA4^$@wa9gQZC+u;x>L6605u~>{3h=r*LPC zYzT(FNq_12q- z6%!96sXX+Vq@0v_%6FgcMT_7SSxBiZ|G8g-Bs@^oc?)(YO*PL=j5g7J%P77MvH zjJs^)f@1JldOz|6ndCB-3Wc(~cKhEHg~F4SPQNPCygL~=5+@c?r2ll ze&ZC9+y<&NF1?Yg&^3p}jzh4e*1|HMyJ)y<3MYjO4r-!${a7dV6^)`kaVu88Q@d~w zr2c0zO;WHw%eSn!4ygix@hZ|$v&K9gv{*-KVq%CbVXQ-CWd!U?rDEKHsH=7iMOwnF z;Eggh7kwy0F}tnZ)j5(*Ivg1=O_sr}=*&>}w>2m#SImf^@1Qi9BBO3dGkEALVW42C zQ6z{1Vi0A4fwEhyaYF@$3Vs3i;n>m`Og^P4?NsDpnLA7N&je#FKZASqD?SGGkWP|c z%e{jxfG zi!uMv1Usq^6g&OL=s(iOJ%Mpibj%d7L&7eVk>anJ!x)DSyKN z)|=Mv(x3aTY;|^Ti*Yd5%S)BVCwTN3=ln~%tk+`-t;p-{S zk%oBmAFAu78KiTr{~81%Es75fAI$N?Oijh1L-7uimBZ%YaC78C9(T^ocPvUTvHx{H zd?$SZuh%oV9%(L3UOh}rZ2OU3#A@X*eU)Gc3F|jUK zGw-EeKXnu?`J1dus}f1S=418duuYNN#?jDR!!;8t6=F|lXkI>? zVexkj{X=q!n@@J4c}U_g!zfWMSER}cfUaW3PUFt{;=0&V8;to91J61xDbZBUZBpy&Ny`=Kg7?4~OS?yw12CH*jFrqH1QuW0MdZ4uZpVa7YD*Er*{u$M342 zViJG;S3>#w0xBD3w4~o&@$2`mr~X`bJ1#z@&S9MLAoGkBUqQOgE{yT4p!l&@#E@G; zrb#^^77%hnQYH$I&!~goV-0hw6*~mG6^WLJ-l#wcQp5@l4wNQ~#s!C8Mez*O>hn*ce~8!0!^6^cPUA-cgDJ;fl>ss;f}kB;D7l63RR>OIBK-Z2SYGzj^$b+X&WrTnZDxJO1T zKcxyf!v*p)s<1_hh_>?s_)h9$U4dvyH}n$vO|idi%K-F=dtxfl;>vn)SW}M`>2++DTC48#0`2mAO6TvBUy55$yD4x?LX zGucy`5UrG%oHhMkwZyg1-35{_u+qCC`{8Zh(j+e*TwqkRM}-gXz1r1Q`uQi>e}6kV zt_DKvyLL7w#}b7)RCoCQwHr_T+==2p5(ej-eN^z}{GXfdX04Q`uUt8Or9#o+y8f)< ziK=$x%9R(O9}H5)Ja}IvRcX?`-TU<4#}=Pfmz&jun`eG4e|PE4r_$e+=G^-JbxGRi z?_b}noBrw9Wz!;yw`=HA+;sy^*li^?5PhUg<{zTd>)^qhqj%LZ8fag1@fJ7Ns6=QV z!+=)S@Y?hR`X$nu;hpEGnb{oZEdATOFgnE(JKji&&ZtApRUZ;=iHF3FCHjOK&pS|M zgsdTlLzNNB;#C}e6_;Uf5gv_q+NB&Xycq9Ts8|M(43|Qsqwrj}{jUlieTfAcGetsY zn0Z~CF=U)-mOjwpF%TXpkAUtm1!IWwP*1d?2J@P@PP!ku$7GaYE*q0fUY4inv0}Yn*HeH?SH?K5xPn&dFZ<7Il?reXnyiBuDRn zx8-AC3$D`yCCgjZfYZ3s1wS6`h?wR_3qRCB0E2E6zls>Iimf6)i@lgGkKq_emS zAr}%=Px)6jr{8-laSk$ztfiyKBI9afd(gS6-JDPhJ}sgg zGvBa~c~b1vPFW%uHD@(4pCa81#6|(Mcd`8gamAii{K-7-ia4!oVqSK|ELHR~kGP_i zDz(fDuDG`f3-eU7-CL!Sd94|Z2gT{OW+WaIr@NZ5D#hQX$QaaM#9Y}LLpVSG4%P^X z|7CYD0fq!V)M5T;K=Mn%(Q^zeeqlJ2#FF{t;TRgIZ3@D1I}LdLj1%YrLny!C1on}^ zoj>ygMC~W@^G-niF_vFigmCl{!z^R4ttL@qMkY};=|pJg17Ig6r(gFi^W80NKYw|Wl3 zx0n6K-6n+ArxuhJamG1)a7}rWi*(oF{aIo4sHGI?51D6;GporB*<>EFEIsn6JFJX!Z~q#fu!-(d&d&CYa_oF$nZey78FF7C9v;d;^hn;X=GcsV^8>h@St9!I}Q)wEGpz*n@vsvWKT%C>;g9=n!5wL zL_JQ}5$w28xm4I4>@rP(7q)kRYFxVTYzN_nB2;*y1AjxgK-kma9H?*?YC0SOl_kQ{ z9ZrW8lc5W-<6-4$;iV3jxeBcCFleMJ*XXPI?eCB_>&yDlcPLrg=xg||KD{4tg6ySVP~%hVH(7elT;G*li~Yxl63U0+ro`z3 z`)O5l7iolfc2_|H@;oGRT!ucp#I0~s)^uegAXcdVGkNtx?ax&GWer1|li=`_aYc{Q zxLEn47$0T5>4$!wIu=%v^PGkHaD#_^t-kDN4etyyz3c9jM42xkuQvRHAMlw-9Vr;( z>!jT~B=Q=KJOyy-ITyDHkZR`Qo*9(RTW$c1O6RMaDPEpiDPAoKK*KVR7S%G3I>yU5 zYvSESBPlJ9O|^hbKoy`2PzOi`hyXX^FX(e>7RxgL2c8dNuNf{n)o%t-Tl&kmZckWb zDlC!;jyV=uH~j0nX5frmh=&$Xr}RnYXls!t;y1%YQ&J7JjByk4KO(wAwm_>zbazCy zY>GMmRdml<6>6K}4YXlGr3Gfohs=aD-@CFMX3w;MyYh!-WSU>3?5sJpF?$SsU!B3^ zj1k5`!o@U8Sg(IQYL9VFBX0~gr;^xlmZ09qPG}qv)tEQtv{ZSVdBKVsB7K+jnB9AW zzM(!Dm-E?!b{Jz8KWz}X!MKRe9Ym~De*bYcgESrb9)<*mZj*{wlY%32C1h4Wa7eB+ zmo*_c;=W`YDpjcG#UQ{6ZLO%D0=JsesSCZ9HgGoi^TpYc1#sR{Lv zI*Aj}k~bdq`WwncC#?knxFw64=F3G5RYSVx>P`enS&e$=WM9tZ}OhERnp> z1XTK#NOx%_R0jMZ`&Hvr={H$&UNfaKXtm^nCTN(sTDrwNz52kg(`3+Yt?y^l(!c6Z znxtX4OmIeaUqJ%K8{&D_&GSbTu7pd3(`)3_ihcntYzob)?1B z5gIY-9H9tZkZBjCRGQ|*IP|ar_J|&yT?o zD9iNKF)j_Tv7M&1Yal!7E4B6wB(=U+i*BG~=*3z>Ch@kOt3_s#!}Jwed?x9*K3|K? zq%73eYMt*8|Iue^5qHQQ`YNr%9nwC1nHF=0QmU`hI)xJ-L#PoIPM)F{X+iY-GHkXw z7YBZj{AHfmmE40XRDINkS`ft%iRgufRA{W`dIBB4ab=WxQ6GyjT z212i*9~l_oQH}$Dz zw6(YeeL#_CdeH48yHewU{$h@^PgFl~U$IN&)m=y}f%V2l|hI$+61*C0870kE@hIepc zP_VX-ys?Nl=6V5iZovQr#E1X#Qo-T@;QAZ5J+ryM!eidxk_s*dU|tcB-)vq}z-s}J zNkD#wh01I{MHG;$f!qw!_krO8crS(}29=M6e~i3Y=>a9|k&XF7*q^!Hjb%fa5N={) z?hx)M7tvTkMbGE@HWpH`e{)HV<%1Zq;R#dTjjN#YIDrB}1>MM@3y>AG00`{kD;Pg= zoCVk+>Ksm_z)HkaRg;g99w#8#x$7F*J;Mqx_=#qM=yXqfl&wF!{w0>%v=+2NWDr zo+Wl53@cx2b{p9vrb9hFYL?<9>?dHlW)1FZ{j#-^;H(HVwN>Jsjn|OY$yY6=>bg_< zCTqETiTSbPmK!Unp6VqmYuwc(rtV=vwj$EhK8(*+Zql#Rcv#C5u=T{P@&`2(DKLZ zEi;`0ya6mAM2_SDmJlMVBd`o>6qeELEq5GL=rN0Ln2;Al)zZh7P~?Ax<7w7QLEDQ+ zjokH`f?SfS@MmstNdV(u<<4Xv(t$V%#E*0!rU6j`#NRf;=D|$v50%^VjyJHVC&wxI zXK#fAp$6gv5T|WKBoLc`XaQoWjfenZD-bq98&;s8P4(q z++TUaDS5o$5*p=TWkDHy1_vMlfY?a}q67#xAm-Qzn@2ei7$9gs6xcjw06_zy0Ei9$ zEfQ4+_!rhvGF%*#Sx*hrjI{8vz+K*C@*2IeX;)*hPj>iJfB*9hly?!n+J=_m)oE$3 z!-F=?OctG+q#bQ+9FSyZUR`g{)QbN0_0{I=ca=LR&;4U?%}lGB7i3!}V^BG(+uwi1 z70R{h>1ij{2i5<%t#QCJXY8i{U#+m!x*;Q34r7w8}N-~R?NNw&d68kIenQ zL)-ry{`0jQZ(eUWkm_Gg+umpmQyCVnN;YqeAfNinL3!=xw4Pl-{@-f-EG4atR>!UX zGrDm8&&ZhWIZbH$dp&_a&k7a)>>uzKU2q(TUx0`Mq6Gec3r+&@2#7)(Ve>c&gb@fj z5PNMNok08!#9Sbby_k*X9e)L*1qv92z#n`UnEwFcm5m4kq7{hGK)3_3AQ-NKa`+2w zhD%}sT#1it*J>URzt{-45+B*F)eIm`0&&jfVY^mKf%w-(*gQBuqyn)Yh$ps-?hKp( z2ZQCLgO%^wV9*Jt^M(uNeHRQ;Z5Ftk-gm&@CJd&+kM+uSaZ+Zua-_zQ?a8(~{xClHT-_|-<(*4Pikdm!R~_`|lwb|8LX zJv@3|#Xs^#VDkP2m+hAy^8#XpjR*kZ0uU>?pqENInEk#J1|MN?B`SIUE4lbK!siC) zyat2*>P^coRH71;TIYih>lGM$FoGoS4<$_49oux8szC!8O}J z$v^TrXZ_?y%So^DC&I7b3H4z1@{IM7_ZN{arL8o4|A#f_gUW83qK}szn%oq$$DY?Z z#G<3~L2r@VLZ3XdQ?{>j@R!$&kZ{QwY<=zU?!TH+? z)*qf82gL6{3=x6I10oWLi#EdMQ3OOH5TAgcf=4bN2wxyJ*a+}gy4&6+dkQ+Je#sBu zB|K}ph!vZqQuoD%_yW-mL@p5NHp1p{0*IwR+yde#69^3u=|F_q2o?~>fLH*;F&kl9 z<1i2gtpO6BdFv~_zfG7jP5r_yN&e}Xm zfbalfk&Uof-C&WeonCsY!(Gr7TX3i z7&OD+Cd^5&edfzB@CM6jRbTAi0m(L1*S&#Pz&Z-Qv-`H+Y7!7%fgr$dbsYRwf6fDU ztgwoC7YWY%aEsv=Cg%Z7v(ZWunam zPFi96d>3rzfX_EB6Wpp{jvu#jZv*@&eP zk1QZ+ffx_O9h*l65LG}dvk^9r93bj|a0DV8JWi(pAp#=9Mu5j@+vivcL>Le!Y#z4H zu>gpLHiC9A=QL-1;?L7apZ)i7hqqVJf#3mA2*hI>!2lu!h-@G(1MwO>-fpp9yKO3K zbox`6|F#tdA9)}n%S=8nlmc!(%D$ja#V{ubToP@kD1t#ESPs}MWiViX_|55BD7u5Z0Lku{pIMb-B%J}~*-^HS1k@0+~gRV_rY=7Kc@ zh-b>ach+(kxUkOp+*S0|$A=|<`Lo6T-%am;hyJwQM`al`d6=Y|S+p_o#DbB9 zgZcU;K%gniMlyh$3gk4H{tFmN z!TSOj|1)GwsC&EzT>MD~DUJE-v)SWFlOYX$7~M_;A{U5IAddb&jJ;`8lULg|oH-0( z$Q)(}Q<#Y(VuVBzKx7615h0BeT129#DWYa@$bgE9N)<7UsHviYW2&f0O_ZutP^wg^ z=8jacPKl*8wW#0e{XA=ZKi+qJ>-%x6^t%r0~WaS}rwz zd84s+oK?EymA@tZXU5Cln4F5Z=pV2CBKqShO8(iK$+rg~ZHB(hLD?aYW*M=q+ zy6qIRLU~hF7ZRWF_74v=?p*Rp*kco%Wg*wi5qrcW0oSH~`tMu;M+qZi5+iooXanUp4q zua0^qD-oqsgPq1CQAjn|X{-{ltHDmgQxrAA+6_t3<$W_c;XGuQ_H-FG(EAvV-i_n1 z?#oYz0wkGm=Be?p61$1@$M?L)!&#N0fN}0+_=yvBstx`O; z1@vXSLaw!3)EBcggakDY9~2e2~sTfYU&?)KtDrl9XYUc%kx zZii!qp0K|adn~0^sujU9or~57Se>@gyjtO(KmnTk+n9>?~0&HLIn^59_*rskz+VwIxt617qT%3^3 zHTw{DU-u!Dz-!4hfCT{8_u=|JT;GT5`*1x8t|!6uB)Fag*XQ8+99*A+>vI|!D1ExF zQkMw#R6|YlQd1yo-2CpK2ETxQ2jz@y$J)Q)xLY+6nsJXK7N+`G=}Fsc1pW)2&PHs8 z*Q)EM5uSjp=F^DFszpfuEdb3TWFtHzDG(5{4igE5V=V^EWsre-Ye}%;9WhC9>(GmA zZ1ke1Z1i(@eGad5c%{Q@>a}^eb?WPc3iT1pn17zDGrXN-KW2aviqA2(Kc{ZTjK%Me zr}D=^HJ9fJB?Tx3q_Ma{*)irsXGRC|v-*s6Zzlc|`GslacJvTsiV4z!L$n`E0o#vA zB)-4jV>a`L(K7_=nzJd0p9H+-=@e9y;H%~=3i5l)+~6$+zYgkqX}yk>33Voz0{{8m z;oS5B(tOe(?!p4!`NRd>k^1JGY6Q7g-LCz11iM!g$#d`bJ40E^jp?VK zp?&1~^#`4yqPbJWu%q8>TqtJLP&15^#E>+7aU(Lwttu8 zoq)asADMn5z%0S@ zJ^KX17%z2&_E@h+An6<9Rc3+&{=qyRzwO4`sPs3Yx&~7(iY%;%;>9XL81AJ6Z2b;d8$*wW){Ak_Pa?~faHWm%NISp zPU6s0cf&~vw#Pg5pjA-d(Gzx5vFGU#dJie}k`-JJdLouUy+eR~livL?M{8w=vaJh zMLUw6`8~)K(lSs*ons}l!7TPMZjB|s3n+{SfF!$ujcN=@mnT?QT~$q>B+Lfdth=XL zY;9(ET?Sveuh>L?;!fja(YU1O!_s-8XlF?_`nsjQZu%`mB$NPT-9kN4{rW!)*=O>5 zqQE4O*gGvkCPmWZe~O3(Fl*C@T%856*i_X5>y9$dbwGhl5QRHub)v3-L+YtA^g8<2 zrXW#(6At)F%{cp>L6=Xoea2bcK4YQhr0XpubvZTY&#Kqf3xmj7<$mkoL2Rw+H|seG zeu%gxYtsVr^Bch`iB}l)tzy0n-CWV=QmEX<&2q3a!&BgA9`Gy89{Ht1n7_<%`Bg(W4|70Y{@6*%&2KYNzN!vwcP4JHa#;I)CUUQ;Mf*c0 zwp=-&J(P(qSDn$G&BQ-cj%tr*!nnlsRnEr*@FV&P=HuS0VzoPa-QLiDim^G~c(HQ0? z-zEsLt5}2-ssIYP>4TAPfZVah_#A{nr&G{XYMby13wfCoZbBBg`BM&=LJDw=aW~{w z!B2{LL$QpHtt&3ZTnB;Z<9fnYO|*4`-Yr+X#9G{zZM~TEt?|8hoGgeRS64oOTf`5j zD;WS`(`j{;19&t>z7e^z>0tNMu*C;{|-_fh>K3m3~i8}(M4uPHpoV8Gn#`N6a*++ zWPOll17Qn{4r4`#-e{b>#un8)mw=jLz6YfVgypJ5+*(I$Z)iLE8_S=;;QupBPmrT* z;raPJ1UFSF_bW#<3!JW3gJ;5THjg?u{G-6(pGf4wihqm?H|OUU63~atb)YngSYXb~ zFKI&kZm!O+YyzTSS$;tidY4&}555Gm%;EX7#t27MRos=Jl@_uNJrT6_XO4L;fr6$0 zN2EUHgtWnykY6?C;j28R{mzLWiur`yVxHJnHiqA;e5w830E2cPh_k?;eFWkxFlayT z3eoXNed%G?rGjyNxncO%0=GW!843_Y*B!`&L(d7;b=zt6v=>G+bJ_@#WK`%TjYR(; z-OQhB@6zJ~!Nq5CKY|nOE5B~OWEJ< zD?I~Z`hI;?pt!`JnwWnEp9j^Z%_A=DwD&TTjx0$Z@@l84Cnw116lwBfHQzmKoj5=pcC*4&;}R+^Z>>Ha=?!O9l!?I0k{h|1$YNg z18xA00{#H(1v~^?05q_PHQqao>1>}GQju{Xn_5G>XDnd{)R4y;SFy>jyiXf**?zA` zD~z>ldQa#x*)&m#1nfxPsy15JcDppf4CiGb*}+vJg?7^zDVpLe?nJDyWOe0rq7J|e zx1t-@7;+zG*#9r3@JBGiPECql1v6}uXV%cVRT(#8|H{P%D&$T z7VekvsmdVZVzF-oBQcwROmlC_C^JY8h4TWaq^A zZ0mVwxGDY$b>;d-*D)eJ!oH}Y)wl~9uh@RXeA?HlLZA8Sf^g=z=q&++@YN#7s~! zo?we_E*bK;t6IZt0J4)(6s%x*j#HC@cd}f^X|4rps!^xZhrxXgcS`dtcyl#!xq5f- zo@(rJ&HdnQ62>t6C^Uk2Z~_j-F3h+D)JF4rZI=^CAs#W!wGj+++OY4HE42C~FEt1! z84NC2${^khaJ>kRm)!;7r1UY=4RsZ7io=hU5Dywl|7&-V%3B}?fy4i`yQska*Y3he zAD}$o#yNuYaVHeXplTRXD{rvT^lWZ|BL#qpQ)6?Pzx^UM3nJ76W;&{q-$<`(GcgmH3DCV7N4P64W!eKY1`-)m~Lr+YmE zy{CY-3z@@O4r)u2K>>>@Ndkl|q>j+Qchwj0K7Sk?@6zNQ-~p zoLktnW=>z}E&N9_s;?>*gEli0K`=E>6)tQpb8Ck$ps?GY6Ivo&WXZC(m0{cI$G|z# zLsHO*O;D}0F6a*IVNQ~kTGH)%2QiiOeI`GVyQBzoX;e#v%0bV^TW4c8UF$?$6_v>T z!#-wIw$2jHzZIPry^zWXIPKP?nvJ~%;inLGKzIX$MG%f!NNx2$?UoJU!w|j);TI5Y zg788J$3PhFz6IfD5dKqCvg`RlpVMx!%Gp>NG-yN|Svb4hc$bkUoZS@J#koc|a$JGG;(S(3b`hG%SXO$A&D~T4+3=DzvUB@NIJgq`&)BTTT&C?ZeN}+oxzHX7$4&IS}z#9dF$$ z@g9r3Ab)8KsSADcz`Ahgr0KY!O7X~6P?y0eNolJ%a0T>(@hsx!W4{G||G8swX2d2a z30&Gdoq&z9BsOOe@ZVcpn$w#wi!H3?+$P)$3!^#snw%i=?`2(6WQ$O}u@S%`CIO3B zQ3Leh_``C4pbtkKR#b>^y-@1M5z%^M7AhJ=p1ldrtP!YIBs|T_@IY?@=UA0evs?r^{dHGOPngs&eKHc;d>c1e5=EH)jQmUB_i)B(V zh}kS~Q1wt+b<`HDhpN&)Kyxi^47WsmQ@b0)&}i$W$>1whT7mq_T&2D2^qb&a%1hg> zL%oPBl&3SPQ0Xg=VA89jmn#-Jz|R#x1MCKr0PX`O0nPzd0saPf0=5GFg-pnP!29d- zaLIr`<#j^6>Nw+Py)_q2*j0My~v=jYI zr$uB*ZVm1Zm<(aNsXeT7vOVTi_T_Nsodx)E0A3Dg0HgyJ0!j$+iD5XpWGar%@Kv}I zG$!n(>sC}K9ENX)^K(6;LRb%7A|ULiaBqU}H3*X-tb%X{gyHFl;W&CL+?`F{Y^oPy zZh1eEersd3hHsVq3hMtE{`eIZ*TlRw%%32X$OWN9^;;9ufi8>9RfO9JWndDpz~)~T ze_KJdp~|A-<+E%VVC;ywubc_>M?Rh8D^Pz_+7+@D)x`!x0j2=L0TTgnfN6kGK+za_ zm1@1IR_xIe`U$E)@$)PXcXm8Qws)r;Axyk+&fn4)wm=oew;IR82LogW5sXxYNP#Q@+m;HQL&~e1ukv$jUYaNsi3Tu z1zCQxMvA=*VQTpS0o$%&d9I^|!^jOY#Ad+w5OA3%g3 zQK;M$e8@oW0MnKw{frJ`4!69Y(n0>2+tBYHrlf(4 zbxPwIym`cBx%yvje81~3?Y)Ad8{Zofi1>$3Z?59FxG7I)wGtN!$xHF0jhGL@8>>|r zuuNvdGTEO(&6A3BQ(5s1Qj#u`71basw9UvbAH^-;2j!QHVt?nS=2wowctzwxvSOFH zNPCKbIjTIuo5f}nL$cxz+w6QuRxD9J=fyctdPr8RG|$wYkoXLcPlAr&tUhiJ&R6}w zbjjw?m)QeVyyv-)tC&DtrdTLqRmX3V(L_nrQR&hWQCM|cx@?kYLUqhF=_*ldHPDAV zMVt{b>SC?deujpm!0E&i3H24l7Q8*ktA{j2F0t5!q0~y8`mn@sjLY z=xv}h>DrB`2i*^^ZkJJt2J+Py4v0%W7Ws^X?p9nDfr&;5NcB@0!6gbA%%fSIScN&+ zex?kJZ#=9%-9!$!@;x^%wD%0cDtV4cBl45XsKF;_0<6?-a!>Rs*#mPeXvpDvLjDF> z1CU3Cl6vN2{4$M~G2aowh)6+QwOki&aAKM$2jm5!1O^!Pv2EiMOB#XhmSB`P!drv? zR;a)iV1_?pC-?#g{82^V3$Ql{Omjkoo1C~?lnhg>m~e~sL_Woq)Ebj3{l*s7nvg5Q z+NOezsTWNZWE6>gV_~&eD5k~2YH_(ZuoG5`Sz=-*DOLW9EwU@U0`;}!j&Q#d+eyD7 z_W=5EK?QD~IY@ZgNvrL@uk5{zMveo95T^U1&x9WXR zS?>;&^$IU@fc-mX6%?*!qEcuxOp#0Or_9Ktkma(S%*jdN@1$=*t^X3J z^?UzgS_}h{h&9cI0ZHBnqQjU9@6|Gmt=4|ffFFYaIa9}Q&Zgk6C`s1R0@4^LC*9Od zaL#Q(u2-J2<`sBW5PvsS=}^u&#h6k-xP6j2N?i2t=seS?h>gf{_Zr8b(0ntP&J#NG+sGyv6pL-s-wNu%7O!-Q0_4P|pLRs#iOO&Rgb~IzoOD-8cF2ENxZeG45yQ zo8te}nOEZpP1{TMUnPcm5Y*do?OV6waMv@@cg%om-l#hkz;$m_f*AnU32>bN*C*ln zBwU|_>yvQ3OnLNdl0WcxW)|bq_#XCide=?ra$&39W0NLX$ZuOAoDV1l)B~~sHGm30 zBVal}1SkY72V}L46D|Ri0~!G7fQ5jPF|^`WhZo_QIW@7>@3T=@nO&Bcx+%KMJB=FK zwAhtqIfK#6E0>3}<4kv3cME4{g9qzj@L;`1>V$9!gpWaZB7`48crIb{!=d$}B&i1* zyjL0Ey-EP@)lJ~NdKFo-<%{oXlkj3MYL&86xM*mu@r2=`^f&QpvF!HL%{!GJePKv%Un>!DnrU&st`CgW7uSH?04c0{%&FK$jQ-DhTX^KjH`k zFMkQ7KwvX8-gC^CjfcShdlK~q6>kP-R?0lnHj%$`MiYLI8Dl@)>aq@U(kDTBH76Un z2Yl}@w&Ff{-!mN$;S9`$@+;<8`}tOn6Tn9cV$;WBp2@xzr8vR!<$+~-U0N(^vPD!k zGZuN?QdpM}do19@$&~$=8D_WU;!ey@=IG{%PF$4Px4Ezr`@K22xx5p<*oJQ~;9N!Sy}{??O(MTuuzS2E!uK*)$vZ{#UPzk0vIR7!y+Tg0TnyeL@fxPRRd66B zUE1jK4%}qcIoPb=6eQMiMB6;*?xtC*RXPbn;VJmlngruC+syppS73Tmsx>4bvXlyK zM-nDWb&|JO%xEEIaA!L_Sdm-h?`#Zx@MSF3BF>-DhS+79kuPY21oCR_UT0u2Jyq&! zo1g~~iE>-4KJKfjSi_Ox4p_I+#<2h1o9Qd zGn-f6^fA|Rbqpx#xSye|S4^@+SI2xpzBXUcUNYd4m7lp8;((#ZT4}B=!caAY+HYBE zmm1I)l%Ie3%BT+09?NtKB>egGmpX_3rqL8S3#*w3-Bo78uO ztt|8=%_-pq7Cv454tV8=bd6frq{mzXmU){Vbq!eNay>3W{fBUe9vPw8D^%;Tht&^- zd-dqUnhU~Bdi+B52jT8ry`;Z_6v7Ilk})6cjCGWDo_N-X7PBeUN>mKJ9u^^;<$ z$BpCqePiiMjc)z4PReUzbbnwcEx_p8Pwb?=m#x&1%M#w;{rHQ%=xfQx5`LkV1GbQOoQ}2KhJ)71e@ZWC-)L8vu__k zr_k1#B0+wycoe_Jf`CIEm|>3?pNqVm(>WfODGJj%2f>-earaa{H}V{uq_9d@_|i>Z zIY>#&O577=sj+SB@)%Bt=U7|7r=Z)^Ikat1nH-EGZadya)&Q_K{hz^)Z@MLEM-%JvzHI4r#h2SszU(d&T-md8d{ z5XYOUL^S7|Tewn-zkM6{v{L^xPGkp-Mbe}%MInX|nrxqlZ3y2jeI$x9gzo;ubza}U zFvXTgJ4E4`5hb!=(ZtM<`_dLsTxR%v*??$TX6Pj884;*mO_Gg@#%FrZ;o`cf^C^$G z5#0gvX;ZnhZt{HUMed|-zxnjHQh$+GZ`5P#59T$&=OsbI#30b_PHWC<#JtmN;~^cc zELIA3qq#D_>=lq+7g^&SQw(z&k#9gQP$x=dMO;Q%EXe%n{+Mt}2y9e6vcP7%DG72+ z%eYg-lotARF4hsJXKhtv*)aOpTzRyOqL03e{sppD7o5le?<2e%F>U}79JPzC1 zvrfM=#8TcIhZjt-*w>bceY`PzvihYpMU1W|O*VbB(MDox6?ix&5{#XU_uHI_x}ff` zhHNKrVs|Jq_-=h*U%5?PY-Mlv<;35WMnc+Z?*9+++I&13oa=$lh*MPndCiYN`v%Bs z36hdw^c~e09JwNZyaxH<5c>`mp%UzpVr=nHUhmfv@dkNPqXY69p(jeMIL~(l@)~BD zrVz+$9-CC-fV}3Ou3Rqc01lhV4ajRQ*OXa6UL#ynMFV-wEkd~j$ZMVvDqkS4xgJ)Q z19{EkuqqkIYwin`4Z_2~VN>CR=c-+vDc6`PfWt=K0^~JJtapxSI&j#4Rw)7w8!^sQ z*zXogK5kmxkL&baYRc;O>?FN5EddT2F~C#~9Ja`0V3e-w;??8)fWx*EIBXici3S`t zs+VjjaM#&2&+Rm*kCN-fAl0q%{o4Yq%g)3Xs?6 zjm!_SJi&TUBu4ye=0ZumTVp&rd+%ZOhKokU?Lu1v`2uwWOF%~#E1Sl`y;tGvdpz*|)o@8iT290N-@iRR81oRwI z?(;VIz$0Sd5vw4$-{AU-JNc&^moVZUV5m*ajQE83$#NigqXAV2O54$n$(eB{*cU4~pUvhedDPIEB1vyT=FLd(I{BE$M`)pp7-m~H27_a6qc z?b_|6VKCdS-0nL}Ud)9v4vO~+E^j-yjJs;PKy6OhWR2hMIutifv4&5}FP@LJ@F(S0 z%*TiGJ@X5PF^Bl^V6O`M(7^nXVc3VJfDs0s3Toa36wEGu$p46X6Z2;cVUMaV@>Yty z^dV|c^JeJ5cl)6ZqYurJU(iwXky(llIzn~u4f!UWzoE1RzlD$OD=Wt2@WcCxi*Y~m zseKj2*f{>gzQSVsalS`i`2c1qKd!H20QZ_7&{sKt4d74fD;U7PSK+ift6kpE-^*|7 zh!XAu++04{4#bHI>V?*jet!;}on`Q6_JQc-HuZfgyC27ie<+XSPwks~20x9D?<=au zTr#h<&ggd?g*|$TZu|)B(LeI(eXvKzDNhJtkIo4X#3{_7*2VoE1ll(FBJ(`!Y)6tL zmxFAl|7LQBFJ-|fCP7tcUEm0l2u5)y)hX7A{q&yr53TiTQTfHV}utH6l%Q>|`xUURq zrNV`q%iLC}7YIR8dzFT24d^DlA|5kd6it-mbt2zt&RWsko{g;gU}A@}cY~u2ai8&s zX#8NzIq6zaj5E6ieE?iP+`G|@J`ZMerJmSnJ!Z(2qs99%_@~LPo|cuQ#()Pi>XIOk zSrKWP41o*?Z2c1Og8&BtdCoK*-Gd;wPW>{>KzT63z5*H(;EpTTz^Ii5J*Pm=_s}cV1`e)G(2E4UN}<;i=v4~0 zep0;*b54d{<;W7Ir0uuuHoVO!Hj$hFQOl2&}lqczx#aZ zTHd67ule+kJWn=an1bfTJ1D(zI4Fx)++0e){bL>wfE7cdTR3a}jT4&Vk*1F`@&0MUS> zfF*!G0KR~|z-XJ#nrACu_d3 zBH2I#cQ-0TAtTW=*=DoI-c^PQB+leb?j~@ecPp-&-Ry=k*LLq?kmdCq_5PZh*G(HG zy@V|9w2`<;(iBnX2&9MBiN=p4oRcC&F$~Dy9x<;<%zTB&)9i;VFSZ>Ro2Nl+xlKan zBs@kZfHSeihPLl;diTVppiU~cnT~;S{9Y%eC;o5wWOIuBu#?aOTZS|<9n=}Z8PR_$ zzJlCPg+J6g@)8UEq2BR<@JtfWz(a+dPFxf4H6>zTmp+lJAU712EBy&_L(E*+=a;5H z)SJW>gxlnEOCROV`?R>`(W-fmmexGFui3(crf-gDR_uAwu#mRsu=1twr~!FcwNH4# zfL*A3Bs^?DFH~I=o-^Q|DgPCokRYJSNqAX;p((EmzmuS7sw2W~32wLYx$t`la<^)a z@COODMEO8?NP;d=ofn>!;K6|za>0oEs%@Zdhnb|jBRuFtO;VkNd=u`R@~!Zg6OLx;vM9YW;Byn>^{>u*{WR1EnL51a@$crW0A6X6EZ z?>ulK+(4xAz=?1Jc^40y2>IT#c;H0HC;h?$Cqh1PBCogrd;!k#>I?AT`^w8M0AGN= zcs2b9Gtq+wD<(5}3lCOIVct1BSTTi>e&)f7DU2A$gB4R4`8W?&OdZ}!d9Y&YAid_n zim8Jbz$@=}?I7>xHS}ZWd(Y*iJHRsMg6x)VYA>@v+Nq1|jcAa)(oOCS`52d){}p zpv3Gk_f6pCx*^FmU0oz>N%Bb7j2AW~xnEPS5Vj?GeS!?_0UMK%5HEAJjca9{kj}70 zx5l23McI;Dqidy$Z4s^UwK5;uq}Hf6(%H87*0?vaDYlf>m_SGXhqNXHLIRjw#%h-e zY*A&g?Xqy&l(Ohb=>l7LS$w68YMWRV^%&G9jLyw(*0k z%hDWM%wX(g5OJ6~7`;xq)D}4yzs}Xh5hQk{VenH0E?qM>U@i(+T^Sp2YXpq0ybaiY z1xa<>4S2i&PFVPu&4RExJ|CAMpwwmavDXC??0b~pfWbDVG9#R&W>h4tJT@f+((!Vu5-)9Wh3P;|bit{@D#hhJDXI}BaQUtTv0ydU{lb#psVlle^!49;;B+ODvZZ<#g*oD6~>Bt*2RTmz5&xF_!0L70G&$<+@H8Y%gkY z`>^cO-(D^eSqiI;;1Y5}-mLR?fy10W9m)kNP`h*_m&j;^UFW6@J}Ou0e3ejs+N;AU znM>q)9Zd~K~?k2QS=Xj_01Us#5WeM{TKuDPGt(d!a%txztd_N zI5*XQv|S9OTDe`TXJFN;eyxFl&H@wk4hCLs4$`(b$zw2y-W8!(p%L;^A`&YyLh(-I zrVlu11-j+_M3wEIZ# zIoVdx)RD0G&q1A6o*VzKa!8`YDPQD}T+iHI@Mx3cmU+^hkTjni`sN+aSkYPIedhDJZ_>Op73o44A-=Nh zHe6!XkW0Ge8Z7NGoX}d-9rZpa!lK!Gs@*ERe>Q4tC7=-F(G$B@QEGl|oh8Oga)w+h z>8oXv&6mDZD?g?jH_p+4$HW=rTje?H+TA;)9a;iD4nfLXVcQ5S=Hsnt{nTvl z-;5c$sjaL)+)ln%;_OjO5kDajD7U=V8ngT9w@4pNH4cWeYl~*v1P0qGJ%d$78@-M*D=eYqh)t2Q$X*Q-WU=M zXRuWJF1_1s%@X0pByh6)#S{&80W`XFqAsE=W{K>iWutJv!KE=Y9`^)NZ>Zse+t4#d1O$z(#-d4RlxJ@5sS@OvKpE z$A1Z{8V)KxzPRM!*>Qe+QtM-rHNWAW{OXGw_pKe1z# z>7(e+hgK&heG5HX7>HbrEXS5J`k8?_r8ET}W1vo{uJcy0VXK=bZ4)tip}hMCOI>qD z+c)ITAc<00fEgwWc$@wY`&P|etuo1-0%FkY0vZLxpk2k2SW!0=g*p@~E?Rz?AD*Ay zhQ6U*!JAUx(-wDB`c4%M1O=uYZ`A-`%e-6jx59NJ0mvyONR^tCM`&kRw zxQd9!sK1~ZczHK=0vvHtZ5efkoURq&>u{x-rB+{uyP>28|3Fn``b&hbhLVqT+vGZh zGhm4I39-cz5BV(DTGDz@WqV?!b^A@At^r{t`cU*ITIf`%p-XqO&_xkMF*yKYJ)czu-EIw)j_d&~4?Hsjl-?T&Sk|eC#$o6rVQP5IpIMjjI z)=`JJbng*?vzLh&o$c=1hniKv87i#7vjtgiI7AKDyN9sppNA7)xZ=bO_ zP%VO0KjU_q=7`)RbF#5-m5Z%&*#S+|@y0cx z2ual~RFD!YY%6oQrV&`vx*6HtGmROdsMc0dKz3HQXT*^*d{JSi;`^xkrZbe6!Upxq z#869e>$jqSTUkHh7aoBkWWa%rVe|^KYhvjbJ|*W{mlX05V-KZScsc25<+{~Tai;0p zsj*r8t~AXn;fxyvUB$zg14r_)H({C;-0-$9*z091jmkH^n-CnyKuy{|fEoNQZSKW@Nv(jUm*npX-HhQP)IU-=dtaj@l`$5?PJW}jeQ zS9o~PV00}i6@C!&;0N(^wc9z>LMvSyGZ$%%dAx2sg|vqE6DScGT| zQ>$jV9aW38ds&{h$>H3U1*EowESWFZ(sSFeOVs1EyIJn>l;4d4TTNXz%WH|oO}ka^ z@`pOhN)mhOBX48(sBc)u6<`PGvrND1e5-?Rqf3>4ShK__hO7ZZsm9$1zs&|P5PG0; zH~nP;XZ8w$uj-){SAZX4{UN_*BlYnI(34d&O+VYl)oJuzkhbQH??((ppFo^f#qd^$ zsfM`~9&fxKNT*uPTI0n5hB*~pZ-^eIOFCk8NG&4HvPHYAn(&64WBh1~fWsso4yzV< zTu@{O@%o3#IlMVyzv{@niob2E;4~STte(n?1d0&Iqs=u>(;hR>>w%lKx}O9oHCdo7 zrLSnnt3ib~hT3>g=8W5>-pmc^$Bj~#@>2UfN9nIk^K@uNa5A{%kr`ph3X{&A!Mq^9 z4z9Ar^&s51+0<1(P8NCZC(NcJJ6$=uaw8I%`?H1flfO0UA<+L~J}U1^U}tWGy#^Xr zh!B!W0ww{5o`4 zJ#SfP-#UnTOr2vYbA(Fr#xRfRsZcmEDKU2pPNQC1(;P9a!KRTR@0Izyn1CLCZ!vBmbhrf_oJrw9&am*H)}1@NZsq(0 z!xk{}C;YQR!{|SY-pR00{}_L4X%rS@rF22pvK@D^u{keLDS0nYyARbPt2zLO0r382 z(S`pG+Z!$M!dY4LuH-}RWjpU;JE8mK+!v_((B%nqe*)cKLf5y27o;9A%HIR7!7W8u zU?>Z>eVLizQ)=M0b=iO{0Nj2KZodMzKZ0AU00D5@?G`YU1*~SfjD_G7b44!BB97-L zQkvd@CDo`8W8a6ERGF%H729diO;KnT@1Sf7TF+m{}_)sEIG%NnFj3i2C zMJ<#TiXvEX3uUK44mG`za6_HPox;Yq#XXb1vlZp@8gbF;Z?rpFy>3HJ=?77WK9(kn z7P0lwyQND+QAv4?o<}tctc?z=KIAfnt~|-j>GmHW#TYk=!VQ_7D7xks?YT1aAIh`Z z6Hea>=6zWM7zBOqq*X-RSA4Kdt@~jR0mf7&swmV@Sc58ss-Is(;|=LG$Or1<)?`Ph zp>ho4t3JRB?e-W7UWc5ley#0xQYR3n8goREhS_7dTH+POVOZB@ze1f?r<#`968b8} zJZk9|O#vb=L(wbjYSntjt@e0%y(J(!`l&jOUyI6zjgQXOdm+D9D78dHETBqI5 z!aW2}dIbx{><;Zt7B(3cHJT*%dMaH}tMinU4Pd94!?j2BuwR~O3bl>vn=^pl2EQIb z{fJR&sdSw!tFNj84v$L}NSkkBeuXZ!&Rwp@D;$SVfF!6m5I7-!ll z?Wd}dm2f_E41E4_hVYg2_ux!}7B)$|d%$JylZN%GZ#|N2tBRe4(1_7fX} zlVxJvct*knsh6NMkynonQoRxCB#dm*G~@h!ayIdjvDo3#3Jz&6Yz2w12OBS_N`yVw zX~BAXw}kLT*>H*|xHSeR%LC7}ZyBg(s_UjkHYFC8iW^0YvaoiH#!}bC=U{iMiPjZt zQY`tPkuI9xEQCW{(j5?Yo82{?1LAJaLEH^h2Gw+5o1wT;;?o#ciF|23X7zLUIwyJT z6|VK2U|PMn594=N>v}m)5i!_`%jt^p*lXQ9p<4bk1m-~C++iMh3pz#DWrry)Ke#%lrIH$sgt%k;SXuJeHKX>rRuV%s{ z4&ZWNg57HsLhw5XUWH%=Jb&w#58VgBSO`iWsDj{U2;@Sb4IY`aANO;KBg&wN=!Ik8 zPEmL-90R`+P3(nZ;5JcQZ}?^050>QSjK+qb)jkfNUa0bD6s7b+#qGLoZwgf0^12xm z(oF7G-4qJ(Cbz&5{@-)ld&}@GnO6ex$9!QzPUM#KWbxBQb=y;a1x54q(uY9t$?%7QDR!MI z$@LG__&^~B#J?6Wr|J`y%Wr~|KDPy5s&unfem)+fi1|2UV%@H!po+L9@~_PTYk2|a z7sq2CXmHx^489Xc4-|f84{LfqV*+imEW$>s+gXjPr7bnaiM*?$aZm^8VwlD8tR-LN zu5eHcuvUEIeMd1BIG*ur+)!Ku)Kzyl=R)n{##Usx`dg^tU<^g3Am3}ISY@zl@j4tC zc!sthXm}6vhU}cJrZ0XPMZ30v#l;s8>nXdqkz&u0*xT5-e2_dXM*ha1)i-UL$oiEy za3tiYqW#5x(3hYhN@+lh=57m@6c3=*nm1~fH3)gBV)jVF62R;sD0}#|vScdUHa3 zWsC0ld2=FbF?Wuv4wdjGAQKLskC4n5B2?zSEwZa&6P&ZG+`iX9?SVz#GUYR2lOdod z>J8{EP6hgZynQR2I>)?0&a+$s!)R1bLb=?FACs6ngue#G@|%H~%j3ADkdB-94)4~M zQ*PO`r6x+hXEtn+C-ft;=>aA}zw0f^ep75e_7-if={jha@$2y`%vXWSi%g+TGcD55 zTO$LJe*#aJ3tV1xig5dgJ594rs2cIwt-cPG7l^V5oIIh>sz@jT-&uJ5J<4u!V^caQ zdD2-gScqemox&{)&-;hdP>pfv(v_kxXL=LriMn5SN#fhMC)cNo$8o<5m3doUj3M`# z-GQOc9E`0*%`x8;9+P0lSe4jRnC-`6EAfwDf3~Oow(PYn$9_!W{tCqW_rqDjJ_&s+ z{u(F;uMzH%1dT#uLR~l4)N%IzW#uQoG_8NpiI22Y)J5kwt@V5DK~0K5*P!{t zKY1nHi1}o9-l}ewVQ&pDw;MA|n#rqmOz92X4f7}+=26lxxk^Up_8+FlaFN|43gs9# zq}!K5`3Xv#)6@MA>zUQ?aWnNjTx7@|u$hPpy_yy+l{E`}A;dxnWx_w&c zbGT3lUrb4rXW1g_wmN;GtD;<7GRlvqmrW&y#x<2X? z=B&BOI^8kZkogKn*G$u%8+89ex!zWN^G$|bj8cCT=d01;cC|wMN}VQ7)?5`UHKF4B znuBbTa{avJ-q%G&@9XnCiIJiT;%7^7Xzn$8Xs)FxEcZGq{C0KD8BMJ4Os`wIy2cs> ztlFsM(hHxCE(f!N`24(K+#WT@I!+v+Pk0AE2`PQt`O+Bvy1GLw#0e7C^o!2hkWRrp zP&2K`1(XVqIoPC2st(JN`tf7x4vu*GYBG4_e*93_2l+lhYMo{T7TMRipR< zgZsdD;k+iq>gh-_6cJ#Cj)WPSVaVXPzM)>_xjQKTTQqIRYl6b)ek&5TnfV-axn_|m z%{HYko#XXTP3KN^Fsl0 z^1V>sife}&y+n5CJMJyaRZFMRgY5^J;u#l|c}5mHa(d=1Y@Q}B^cWQ2{5qjX`uuJ- zvORA+Rub|v#cF|uJd|&ZDMGA3XLh*3lxf04NrVzrsPJr(+kNGFC`%zU#%)!s1clY~G5lp} zq^Uvd))<+q@US&)mdxkVx(eoF^WdqRcKFC7c&fJ7HIV!Rw`x0`1H8NpK5iuHono^9 z*_T5B%f*7e0t))IK-^c-g78x9u<{Drh9YmscYi5%X!CdjQVRQZD8t-h%z5<6qyr2D)1U0?vZOO3#`)%f(9Vd z>8nE~1A_o{N z`-!h;`;7USSweUQe1Hac%qQ|JBBgmW;;5^(TS>rxgI zh(8A62ZbEuZp~L#@T727G+}0%SzVb;ICt|sVY>v^7~PHq4@^jUl3JrGarZ1S!lM#u zHtnQrn|U8>&1j6s$GEqe)y6VMyd^*RgDBx;WA3QGw=QOT zG?DI^T-ay=eLQ^eWA0YnQjOAAgnqOf+5X8kzAx_i@ ztV&>hw5TC6?rv45dK6fEA0ag!en0(#W*Kz)kh$J5x8uqnqL%ywRtEFnEeVWnAsPhH zJZpnvJS62bqx7lpnA^~K4j9V@43O7LFNjK^bHIy)zxf|Dm*B4m%AflRD%Cz^t{1~! z;SYaB20Y@5wk-*FL-`as6och0^uJx5_CXV?ZSHk*Q`Q6@=*8Zq{J^W-jvuBhlD}dm z^ul-U-t2%Z;|wUo2kQiO0mh#y0Dc_7-}{g;rNH+Ub&>2h9l<%X1$&V{#lBDPvPzjH zyqJWqAwQQN;4kQcWk;&=58=V2pc?Oq#s)F6EOLqbtd3R|wM6l|&eK^qfW2uB7XlH6 zrkQC%^&@VPOQm_1T~Lwf));#NciKErc%j zw4Y;|W1EziJ^_DH^T-qf6oDNEJnROrcOXCH(@$!G{E&+ym;wIBU)e$ui_aj__;V67 z&!D%da1_xK7 z_NX3kr#X`KF^>^vEsVPD67m3Pnao!dtB-#S|4sjD)lNiP%r#k-h{H;VkS~X`n9v9~ znE~D3!*ZLb9CNvqDoa?HVTHoRO-{@(bp-C;8BuxS$>7A z)^5I4lK`!Ih)!EfU4AV7UyGZ4TbYk6;eNVRb28L!Nw!~f;$Bgn$g3c(7cCukCvHMqYuh;1l6@gHQ`J zZTlzDdiXkdzewY0(cO~RsO^og6 zp7`bRQb_uq>h-Af{>Qk2iH5RZMZXTgh;ox3;fEwTR|obtK)+}FNBWAf^S;pT}Q7H5aPe`7W7^Va(%d#bFP-j2KPF=Wb&t@~3m zqWRUh`|d-pGFM35HQbo*SGPF!?fNo6sYzR1MVj+@vpwbN(Q(YPPmgR(n2(F*;D;GbYOv;V*Gwy)Dx?;(D^`(%T*?may&rt!=3hjkF6 zUPS(S`054e)|U%T8FT*G6CAS_z-z+NCx7!)-HxY~MBbhN>(i?7y!8Qg3zdA{&H(B{ zm4vq$tBS0rf}%)|XG~A2T_j2=c-H;j`Y=ao`B?o3I)d^Eu>oPTYZ+Mm2%KFvSu!dB zlF4%vo{7$bBLad~Eb)7)*M`OIkU+$IT`g4-&>XO?E)}KRH#s@nVtWf_MKW^e2L-v2 zE;(tfbEjw`t{hRXSTRZW>E%fB$UEOs!%*~Tl9+Liaag++Ynv%e znKB2|{}W8VUSHlb;Wc5tp{OTgi1ZM#|FS;ESS+G!jj@hZC1G*I?xNCLy(flxQMFq4 zoyn=+Ym;oB$+Ca@KH7jh#%6^o+bFJdc~777-uO@O>Dn58aDVvey6+J%FL-7_5@kfB zJR{0Prywi{CBaGZ9%v)_>Vi8A46 zH7OJA_93(*Xou0z4@W;7{c!Zd(Qie+75!H9ThU*D{*1|8b)&KH_&D{bbJg^l_|Nr| zu@JTG*|xfslE|v!5Q3+CR!NhYxPe&Vk>)!jU@=SB4vCl(+L`T8*8EnR(+*|L zV%bZHd!ttuVT9p)$&P%-*{ZkN?5*i-qPvN zgeZnZBJZrJkF1Z&uc?oB+T<`t%l;B(XB9@1UZ{Q%*!Q{>@ZMOAH0)LH=yWXzcthag zhAZi1+(WUESW`{blsM}!`pLxjUP=LE@NNiKW)&~C*s01;*Y(?-q7~}4Yg{{17$qy8n*llzy4fufFM$ZaapH(@_N-(3+I(p)QGewuTz1&MK&v+YBk+xz^ z-I15eNk88Ci&8%6wrl640@CiI>m473ow8bndgd9Gww=N8DaJSNd37uGCykHa=hSgt z9wUYt{#56#&}&z{q?10Xa3y07$0IN7J2)Su>+gJL_3+So#}4*M!KL>;(hn~uTDt2= z>KQ9S5|6&m$4@7(9^d$b>Tg#}NF3ekusG8(q$(!94c{58R~$LYeV>|%B4~>VSMDCP z^O&?bs^9yftn1|k%D;CO+5e;3hk#&PJKE;jI?ZS&9}luj1Tt2_#l$l%|bWV0#-&(S-kMJDfk9B7I5Y|plW9mLp$+MO@Eu@&KM6_T0kkniSMe6^YwW zk8Y)~vJLg<=J+P~9=s#@M>CW@){|95>GIT6*QEnBAxN#wUx}gzxX(dIX z7phbOkIKlH?0b~uBKP{tdt_%5qrSL27h&JBZ;cKpsqKwSe*UX-|z?sdX9A&LO>Wt%8<|l0y(nD5}Sa~9nv%U7wQf9 zP<#(ZnFimO>D5q|_+2f{Cj=?CtGDEnZ$Z7}g2cW#l;v(mZI`<3hh|DS35l@#L$x_j$# ztovd=ha*3s8((Rk?{kW*GAt=kbb5ra#UY;yqKP+z?{9a}MoYs*_wIEuCTSPa=bvMn zX17fizMQ|kl$xjNzjl&-UV7FvYT&Fq;nvE~WFKi~ZFeoe*oQ<<68=CF9LlB5;R zx{ohsS-Rf6VLeK*!)QhSL$C*7J}UXi11B{j&0_t+-b{_Xd8*#w&Zu68A-*Jc?xlW< z8tn8t(Epe;Ry1c|k36*b2?|}Um(My@s2OX{)@S@wtg$jr*T4Fyyw~eeQOm$6fB=wM0$%$ae7 z3}yc8v4{dXYWTYJ@w zgmKz$Pn&+zYKgGr%6)wK^W~qL@rS}-oJ>#a*r_EeSBd8{vqP6c|dDu)X z@Re-*IIo>p;4S&(K4_*yqo9Ew|NfQ*j3;$_ zL}lSm_+3_$L?L5Fqu%gI{?Y>r5SY7&9(?z_>ZH+uzUQZ9%Kh3x)0~R)^7*e<;;VTP zJ?)oJ=ujS(|N15mJDiz%s`+2jvVmIpq(LjhB~8I50&}j7x;gu{lH)P z(^&axK{7q~&%OGZo~iohE4h`l#q4(8lSyurUq!Eb$AxT?dYFoPvh{CPg;%=8@g<_G z=gp3BL6WUkH^-3wQRbW9`rqolrSLPYBzRyq$bw$%i3{9HCUl6%*H3Y7Iz6O`urYs4CwQz%t-R8)QUKO-~&Re5@D zRGhz3_Ci#>;5%E5PnB5zVC-d|RM}2Z^}pAGHRP&X{rSb0{ifAD5LNH`-qlnSF(J<4 zF>8>_TcEgaoKJtke76b3h1r8k_%lRf2lmMqxG%>yEl;_5;g(7SMTDEirYEopwU)i? zY9G_eOh4tDk}dMqvbipC5ityraf{vPXqFaIV?zxxNNCcV|s z9C#(c_yWfHFkU_Mz^W`0#*tSNN|NDy3*gBecn%xAVVnZvet51v{J?5xZ;@x)SQs;5 zd>maSTYaa^{=?yKTwiB?H!7xn-TaCO`(KP%F6VT=0ea8vqa@#Lv;Q&)a0g&q{8*!K z#Zr6?_?h5;_Y5fmBbze5Te>MG(Cu6zXV9xV$gj4{Z6j19r?uT^26#DGb$P1(Ee<)%_^$42|{>*sNF3GzsSZ&d}F4x5VsrVWrg|Jz6$bZ-tEg{<YFfNaRZgu~#J$WYKM^RqI zrEZI5sE?T;ws_=Ag#wmW#pVFJH*Ar>R?O;P83e&tv-ZD*FYT|4gvx}fta}zG4P>L& zuq5kQ!SqV6QvWdGp9X_k)@&aO-K?$6$n;4QaK(;`*;fRkdtDcEH%r%xJbRMfljB9J zd(!MIHp}bO=baGa8!k(bg!BhLM(wqjo^kFBWBax%C4$uahzQ>h6piNCW!TuRJG6$p z`RE#QQucqO{*(VB{U-1m-Bz@LZEeP5+uBNmaF2rfb_Qny-1iN)iD;A1($F$(Y>QIR z($P}Uz>C0(z>C0(z#oHO!(kR2K|73w!@WiStunNvrH_j8(5U>IX(SDfaPmKgJ2`jY zKp*bp#kypPRbyk6M|!HWqu0KliZj%|Y_E3Q{Xc+ZM!+!f_<6L>bTC))l) z8>|+=?E>76qRm0O4ELJrRc*Xkaru}n1nwNTrortn@C&rl+Xjs)v>V$7FI2tCzJ@K( zr#fnbeGBVnT~Gs}e#hus8f;tG7jzH!j`{w#ET70P8>crowqzc)Gl%VsDoKi+rTzGF z8^QH%AZgU$Y|2nQwnfJPqyglK-G=ZjXFtB>oCzSGQ`|uiAg3?vdTlX^v?XmLjfFh@ zXfec7T~L_ZyDjaJ^%vG8Z7~AO&UZS$=A5sM*EshDmy^F@`*?qq^=oA66R@+u`cv!G z>*2<6*V23GZtT6YJy|DE~1p_vWm%Zb{Pr}V@JPpe3RYg!g^60^|Ug9 zx2NCsw5o=;zTa^n_U6KnD90fsdPG_`1yW>}CDz^k9#+nhfbQ^p7G!-yR#u$Nf5Yvo zs4Nuo7e_K!DCS=o>9UQMDcfRl&O)+&s_KPyD)Q+jT(-Eb;EviAz6RQ2Eum39<{Q{HV2iG=Ap*gzAA1GcZTkhDLyOdvSS#{vv#+{t=adQ z%+|2p$d4oxAgk=GL70`CPF!M`S)phozET`Rkgt6K)Sds4P&x~4qE19e#afilV0p?; z=>3iJBCQI%pG&_r97Pn7b7#>_lD(n2CndyUw0x~`Lc4V|N0rEIw|wNYL%PTC0x`aJ z(d^>d*`~CL6J{!8)e+QB?ksGvTqe&oX0*FJ^1WYs$uO;AGpfO{S0>uFJ9glwdvGP~ zk-tOTXTvuGwJ}r=)>r9$Xt|{{?b;K~U7m=~MhDYbeWlwmR!&qO46u$>j8~rtu)8Sd zt4{<_FDe*nWVaWRl;rLE21$2%`vX;>AxoyiwWfE) z1d{$jiMrX2f<*JSX6icmJjCTg>iD?KU;haOUkE5RQ~R67uPXH((ObW^%Z|3F;px=zXl z`UB}`!xCd?Wqj52Xv??q3C6-ol2dTGkY(9yHB=Cby(NrRHzH#%_z<7+f;RWs3G;^nu#eyK4~dcfhiGYsTV%`LVsae->+G zZ|^7^Mj=$7dVj{^w#dExCD{OuFNIcn?kXJ40hCR8G0Ggd_ZQ>Q#ZXPXd%^zPVJN0< zxi!Bn9>xbSy1#rx84FO82G3#RW*7@$Tzv$d+o7qtEcU$a4UF+Hu7u~8i7!U=?Zgzt z)%FhZB}TQG;s)Kn?MGl9nrP!{zv^dKOfDlF)#p`A8**A1 z`srVm)lB+0SqqA{_|*`8HY}}A8lo;#y7PAS*(_8&5qJ!ajmaJ&49D&6H#29-ucB(p z=m6eQnN;E!;PXNHAMBS2Q6$1pla=mfVK5|SjdvsZ8otR&bt4=$h%0uOx!dU93#L}$ zZtIV`Evlk5S+Y?!9BUEV_0G#1MM6%+sctBrm#LNA4#$;l>fPNox0n|MOB!68!r!5Q z3uM*1ZRaVg)jPT^xXK|TUu;njLX|$$(3-uevWpt)P9cl@w=lBeSb#$q;(k|&83kdf zbrh_Pk8dKM!dgVGCZu!PHqrz68Qob;TxUj(#RJtJiAlY*XvSy+7R;zPSW0wJ?ZMr4 zMCZh4@@Um;+-+S;gC5q-MzP7<4$^t7E?nrhC`UkyyN21By_m905oRp!Wk2%1ShrHN ztY>^2w9h@%+xroje+9w2^nu!bk_T&5;-9iWV1pcHqs+q-g7c#$tX~@xr+0ieY>v4 zw7jSIvV{jTTuMMPItn>c6jQV%gF#Lxun=%Gz>^~|X2KW-V-k!X zVRVJ}sKawP#fVF0JHrqc&@kCZyZ{dy^*{md^@Vc^10 zIBa0TP^iE4<~#nzySJsHymiel&LKEFIf_B^zevmp(}{V;wLOVF)ZOq6cEV`J@i zgh@+J_2)Gfu`DcgH(TPLnFHy_1Fk1V(3t2GmVNeYl;C>-T|Hb%%|G{dfqR(?^JUTnkxyooC z*I9rLmHt^4EPCyDYS=Ih1{WB<73Juza(cfM`D_0Dccc8n$MY|9E&jM0?oqw7=0Qo) zKZUZlcU!q-=~u;Vf&VgafBy)%=;qP|^xo@_gEaDg56a*CoymW7f1Ii`e*v?%?ThcE z@g*zq;#%>-#^Qx7Jpw(~9ViXIGB#4{`BHCj>5hW%B^?55ye}!@7-meZD-(?m1!I&DWG}&lUCt?U8G8m(oQi-1y48F`{8@)_`fhbHp9jy)~svjsO+` zRs%YteS!m34gpq{xFg6%Q<|GEghVTx#Bh?p!2pL;IBXww@P)%PVehLDz$n03fY&ko z-cW!dj70u#t_Uy{@C8Qg4F;GBpajSTm^TbCB9|-fh%E+KC)fN$hH)gOng>uAfU|6X z(E#IF7++zUpV&C~Z#$2QdXG0^B044-4@?$9n-=Yz60eYsSBUf5p7j5{1spi_XV1A- zGGX?gu_GuWqJH^#*WvXWZZeiV_biBty7YM0>WUw_Th`3^qVx4WGiKi>tDPHeN|*l^ zuI&FGc;bKHPyYkot^6+>`)=2q853r2Px!0sW_Q`6Ir}y`?=lSCJQL}C=IZ|Cqh`;% zKQ!X@wGB7t*ACkj&E*aIJqqoPa~%;ig%&l8CawK1I^gwRw6c3BJAK0Q6~gEHB~el5 z1EN}gciwe_v+KdT4LA30xcTk!3C~|mcz!m{d(n5^e@)xhaKU=Z^3dDekGMa?ZHS6` zkosT$U;O{;@4NlK{$Xj)&$?CP6ESkSuy-E*=szvS+i?u<*;c%zet5qo(Zn4t;hNIF z>i|~*yoK=!{*HeSd%ME0(>zi#jiaU>0Z1?gh)d?4n* z@a?bxf2fNYFsy)K9VXcbV>u3WQH;NJJBBg=_6!^GiM%L+@feIFV4RMFl;a@t!f=rF zIETF$z6_s;UvW}PHp6fQhENQhhBH0O!0=2Qs0Kr=VD!ML%o{%add%kv<2iW$3Gh$_ zwO5?MD}1ninur6Qfddx~r{M4_94^8kJ_Hb-oa09U!vT{4Rt$q6fMkGHfN=m{FlsZ- z%6|C$!;~Xa@CLWx>}Y`B<29_q*~NPSq~q)+!uT6TWMag|;SqrVc*Ev(V8mU3+xT?H z<0ARH35LJ;7jwgv{dre>G}He+EieBm7>3qigC7hRVQ__EhH&wT$E@CyE7FALU(Kig z3Kdlt*u5uRg5k9fUZ3IRDeuqQ$b>N*#se@~!gDh`{V?AoM(@epA)4tUMZ)v*jsr#m zzQm{zaF`8;ABP=6H5q>^+Q=oBBG?vTH)d`yYx;_tQFU+y5~4IB2tFbRgU zFmz>{cWVp#b)k0jaAy)a3Fs_CXVf9cr4DzlVWK^lb*LWW7GYc{=(!`Hk)WCA)C^A& zica`2ISMioolO`w_AbWlz}b96XEkQ*+m6od;SSEkJQi+m&{>J;U*q5dnV5bRCi#F# zVsJcT2@FXvI1C%Mz)%XqYSF!8n-tC2?p*QFSTDR?`|++!q!%8_=3h*~ZpX!xwU_y| zA?QpMv6i1!K#-Lye)fZxrh99)u)%+)oS#`v7wwDVE92%U1KvGj1Ns9FI}{5Wj@H5< zkv?hbc>b{RD)d$5P+w*DMhE(7=1wjU-aWKNeq`cAI827aVL04k0D1%F0XE5x{O~it z?*KsyRPvHgQVGPg3@F^-7aC!?*!mtE}2QWnA zwB`<9eu41~tvC7t&hc3o43~xt zbQq@K{MN!N4aPhS|2RA}Tmw~C%qN54Bs@LvZq3E%+!=ni_QHE5yd41D0b?;@F{d~B zB_9sCa2P)5GB~UUT!GVjkMn-%iz(#*3jmS<{uu^%J74-^R58vf5`cgyNq`hgX@@DD zG3C2XoJba+Ki<7{0C9nuxl#C=J`04AjuGA%F#%u$Ml7EO;0!Pa#(hi}ieMnaz=fd* zuVeXC7}zjO88!@i6vAK+LnP)}pd6Nl#Zk}UsAFNk_9w(&;gt!m;lGz7PUG6}(YU?Q zpR$B^XG-Cj3{NKJ^8-l7Y3#y@N979l&s--@_#vIXYRgpqKR#zf5Le~&`hOAvYyv0% z$Q(8@d;NF$YcivX0qOw81Bfs}1-L`rzcqx7Q3Zgz0J#9gN~pK`YBEm`8`r{^iV?X0 zCotkZMzjo%m92DqlUKs{;mAbx&{n_Pw(v>EOIGjB(bWOU0M`RL9G%E^o47S7X18DNzyiQ_ zz^8!z!_%b!?DES+9?5Y8LgYMc-Me;gP9lfCx3+~JOZZv1n0Q*%Z~2VYYttR5Vb-S! z{dwo*<0j9No4s>u=$@y!w80B2yy*K+a5;EFAAZ8dlgQyGTs*PClbtL)2^@X`pMR$E z6Q+cFn-=|jdiaSyo}3X?pDHTSzj(zKR^OQ>*888_jwdTN$sMNztIQQ=m3VSO*(sdD ztXza9X9;sX)_s{JJ~)YCQeHah339Ial@D_Av}YwtABj z;T7tnG9sz>m`Wco!i-bBb<> zX=KHfD)(sCg4ziZyUxVRHs!1Zb=OSudxV!s<&3vjTg|UfRXIkp-^ym2NEIiXtjbXr zY0;opXJIBMEK9}ss;hVZMDgZgHHM`U?e*3G|A$v`Zqt!dZ6VUb`^?p?r_T5*p`=kEfeW0+q8vKUv4=~vm7gU`(W4SPs{(Uxw>fI zuD7=lKjPC)Nk=$RpvEGdy|!exnUM~WKzoh#qkt~rXvGra>~@bw{86>j4HFQVX;}^- z8@qP$P1bazs=9V&msu@SxEsp{Mkqaf|8&kk>9)~T=|fbH&3;ykG(YGH2Cb_2^W1MTSJ!`o{t|HK$jh3B*)tvG(cYi8ri?gkCEDE(?{jCv>)XSak0-6ePyF!Y z?eG&XJlQ||#P^Qok8%9aiyoZ0y?@J7RHwcwzj6!H%;&A$uw-*kv^*<4$KreWB5k{d z9}pH)_f$Bm;&L-FgO;mPKvD5L zx0jQ{{8O-`*W(`Tg><(mu0AlfHUvVhj4|qS{g$ufx74Tlu`_Z?-PKQVK~&X&Zt_N< zV}0m5!HfpS-SWQ@vnvUueqokB8bs=~{fPT5!dj^PG*yCnW54Y~WsQ1ozvDyX0mz&z zW0g8y^6=tkR?k#BQlY-0CwGIzulkgp!VSa=lIVV~YqfF6Iad+eQdm-hBs8C|)J|@9 z2x0!ATi8y&>^rKCEwRlHJSSbIUpj!uxyRhGy7dySIpsBC;fe;@&75kK8s4w))Xr;n zY@vTntc$Ur8WP*`+=wE+_trP;!@4KE2aOf)17a9I?b`L_~=xm_2jLH7D_ zyy@OqlV_s7j7>M~N9YC+w=vxl?R%YPBC2dhKjjr(|EUll4BjOnatxtZ_`FWnB0=DOVpc zpmB2e|BJx*PP4o05=tpjaY(irgLZtRLkMF^tw9o3RajuNLw-U#xpHJxQo6+rn3-A0Lyq{`Esf#y@eNznnVz zX7AP}`Pa`+zFzy?{N!s_hJLlYd)!mqXw?4BOSgQYm}p#y+TXk*wVo)*zqOH4!?~*q zmr!E-W+QhbWMEIDeGPY!)>9H@&b~=nt@vbI&~7p0&5=Hp(9KgqDE@lJ!2Wz{hH{p1 zTfRevif!DGZ*xnTYi!E5zoi;!l;+!pDVG?xGJ4gSyH*JuAm6>qHTv-MD}GB)%%dZxv*l3u;Mb?wG}LS0D{m+I43gy`U6x|~6y9;Oi;(%Gw%;_J zmNYEG{6yAhvZAF8SxZ#=1#W{QNlNDbD^C}sK=tl+9DqRjS=Ll9*a%R$A2qsi|%w%u{vn%N{={p5^ zmCiGnQxMn9enxvB$f$IG#uzOquVg->pBEHWx;|qr6Vz04S+tLW#7a+y_Xxfjq_OCm zk?`)sVrB{|2U*)_w*?ai-L^5p1#<@(+vu%=se>-tm=iv=U;>>O^dz@F}Yj}<9^YE5&fL>kNIGzUv$EBFr0;U+1C?@=0Hl1<gR=V+WtQS&=bV_6!SU@>36UVYMR-NE!kEf;2wmyc)FI3Le` zr!9_)sXti7u75@<9RIHFl-DQ0`TA=0%9Mm2Z(!#wj_-k20Ive>0=^2oIwc{3=i}*w z(RRQT;3VJ!UZ1pW_0=U+zrvV=ZJ`KDa7{|`X=oa8S;BSG?0$&7P1&m|& zIc*8&J`KSWw=IrkHa}RMTlb9g3;h0s-zNCYfnPAN`xeL7z#o7=1OE$LS6^)`NlB;( z@bQenXgAW6?Q5_rw z4V?)CSGp~0XuEWq`LYY*9Y;j7dH12M;Jf*sBT!$1><#FSVW=c6ibv88<-Y9n)_cfE>s2&>Ynn@nYi^hg# zD-YE_Mn$Q`KIJ;&u2Rc=sEfC@lvt!ZV%%Pe|16&yH- zm-{@Fc5B?_{D-n;4N30z*s52QOiNl!e5<&{JJL^-C{FRt^;1mpH#{Wun-u$akW^sE zAM+0MlNgFFUVFdQ7Wo(6F(->HiaOo}C(Fqw=XThMI9YLkch-q=NB$e{q!ZzeqJekC zi5w;Ga0wLL66y1{|wAv`L!;cE9-O5JwE;)I(s!zQ(fILlk2bEk%(^RKX z#Kr2N@*NW7EFP+q2$Vu~qV0*vV&sMACe9JtFGkGz6ftQrb7bN&vF&@>*~Cn-)qBR0 z#06r<_fVlNMhzFHed6j~YdaKY5%i)U#3WrwubmzJM_pDg(T*9a8|ZN=5tiCTL`74a z*e;|E4jT#A)?Blgku;;W@&FWJfyV^_Vx13m{l z9e6(Q2jFC29J>#rUju)TFE43rbo`(omt4)ac94sV>U=u~g|D$KpSnpt%h-&}Ct5J} zh<(i{vp!T`Q8uC%v}1iW{0^C2c6sq3){wn}PEq$@UF9Ib z48@rYd8Xh?^OwJEhhAiMS@;_!R-`r&j~eDyOlTs^F-)zZpLG#@N(R1 zAG&88(?+|ffp(du(55vwU1m(we%rvhO#eYUy&>-QCC|@uC^QHg(?vnFiH9f+A{UzI z5LqmWrA<17OO--Pnu)-ebl#C}JBcEMcdnail9%yLbvu|8ZoIB$JBB=ncc7WdP|V}C zH#=;RyFeSyW{YAP??SWvWchvG;Zo9M#W~*De$pLz7w=@h)g8qM-jx{JDET7Z!5GIV zg)Q$)r}b%h8t+7>-DyQI?@}jqq5NCk(N2ej3Rm9wPMde~>AcoX`*(`5ysMqIRQXD^ zy3>)Wuu`{GSu5qq>gFmtrNUp`S%r*?IqE}I4yg*Rx}(bGx_k;QRqP#)#-(Z-B>(#R ztW_#&^%l8xkSay3l-nIw2B>$-q4Zv=UMF{$r*u;9sB*l=iA;2-+umchC&tsQ?r|#< zNp#0()?lJ{gGDsQCXv}-9nDrGj%cuq=1xqsZLsTL{g8N~K3Bb@JE+HRCcD)2G_}Ka zD*5617NYz3yt<(&+n90t^=n&u?z-hp(V1>i4Sl&TvcYjE2uVdk!oZ~f>o2sms9F$VPGb>P zLmkBv8S-3%?yANz#w%6&RTx+?c^l=Z(79sTHu4=c9o;n)$1;+Wn}ul6Gzh~ zrdu=^gfvk)QEZq=gZNgjA%~WfPI{|&$ve_Wkl^Nau90k#|I9<&pFP1V2PoiGz;GK;%bI^f&*db7(j)|1)-)Aai3)mHE1Zxf3KN!|W6 zmY)on>RK~mKCae|H#r4_)R0B`1?pzAJBzs*1s6vJc)h9JVhRiJeN%VGln~(UfwE6t z0sbC!?@W=+Jk2xze}y?&6NRMhqRCkzA0$I8%nEICZuYokch7nbW!}0Gq_h9oRrm0> zn}WR+v(@D>BeKGQJ#X1X1G@lk1il8m6?ksUh@Oxp=TeOB0Gy5-2<16r8 zxKrCnD=4Y94vX-zrjS0~t-6h- zxIUk-+8<5)K7Lr;NmEpx->KR^O+kHLr|R~aV*7l{YkxKQ^?8@qT{%G2c4d)ERyPwX zcsYa^r{flG)LaXP^+6WN%Ylt@>n?VKb{Rj)oY3KH_Ckw9n<%szr*blKku>8ckrs>aqg9R{t(96& zK7O?7@uSsjT+o0Ytqkc?K7O?NQ2d3Af#QIf6;Ui`#ZsM{IDuN{21XP6n08EqOA~jl zmfXN8V7=7(Hh2_poVDx*dI5Wvc4UKd0e7m_zJdLS^|Lm#!Tk|ujMlw@`G|c%8{gpi zh`U@%YT(APK54xhJmWZIEmKU3W3SbY5Ie z|2b#Nmq+n50k(X_Lf+{BM~$4yJKk)qK`hk8W;=;I$QUnX>sdbuLd5QR&Paiqn5k!< z6~u{M^(b~g6mxx8e+&3xPalrGfYD3yVQ&;f^*Z@*Ckd#%tV66H1wp-Thd7}EmtMvp zB)`V?x*X!p7f^aRGg*HM{CYiRa@+-+!G!LhSZSGt329528_JVoO{GBN+8ugP7N@c5 z4qhRx)^NMSSICGO$AEx;q~kT70U`g$_!5f%lr)l{NK^Y_1w~Zsa9cF%8_3Em-*G z6r<$-w-LG3q$7$2y2XRTx$+1lbS&9nrr+d@s~cmQg9^PUAvmL?%ghd;U9DSdTGo~_ zMEGC5B2A31f24Bta`5SO9=m!fix(Hoay2rfl^+Q2bf zip*Bs3tWohaVaXlvY!oKif3^t(i^nraVcg92~SkbNZYd?3ed>*3+)EZR5>C`PdbCo zG3V+jR~0eFWpwV4m#J=?sCr;$ip3X>jcy#D9uvlptrjsV#`}=JDrOoFr8s0LSjLVN zn_Kc6V@rztEk(5Pa*A!3e6dlJ;uxl|GoJ3YJ|#~#9`Ck0r3f)z?538>%Zx|59m*AM z#&g{^Z{!8WQ{DD&6miC`Zd(s|wedi=qX(1%+5@cjK`G!^fZaYQ1zZTA7C|ZCaDYP* zlmgBM*gTd`HqNj5J<$AS*~?%@Iqy0Gf!yRi*Ja%rqMRQDApnNlF9<>aRJqr2V%c^W@_ zFZB}_%1`l*_EQ!r-tf-%6W+=9@ml-I?-Y-DSNlm+d6!zFw7bW=ow#a{7)=XLOd6y{Gg=d83{s-$3lg&j zua0+HFSppOlB?IsEvG5F)!XI7X{u)RMmgo7vR}PdPI##LD6pb4FNaI&Ht|RG`&TkBNwX@773P;c(L~-fH&ReWWu2!wn$+GaRCld@M@e={THBaIzI}{4j57PVHs^qU0d@zz1v~|K z?3$#&$E(N3)L!v&({|AuN>*E2Rq)o3Vx0a%aHIZa;h^d?%2O;hDc2ZfZH3zP-(0HQ z{GX)+&-F=G``4hYLtBf6elhyR>yA>Z+53V9mQ)?rj{fZ!@yW1(3&ScH9AOv-!w?IG zMRNOrG`W36h@N5|i57|$kLHawqKrE*r7z6*7Ka>%Lq0`Y{oPW6KMwtM?VrSqb!fwn z>;EL)T7!oEt+h9ZFW|$)_a@bEH?@Z`_IEUPq-_EFjBX^-Q@D$C_K}W{Sbyn4+bte( zY<2GK){odKT|ClKxM?~P;GV2dra692L z$5rRrZgZKvC+*70Re!f`n9FbMyd8AHPE&@Xj5I8X-a+J z5M`nKNn*yJRk!aKXbBYaHk)0avA@<XuI8b;SwwaHqmjJ;4Fs3N#1cBB$hH2HoPGM7_mZT*}FG=3+*>U=H1N zF}+eSg^qgOgMwvr&-XMNL1qK(JwqW_(BSl*J`qLjSnruX2v#?^+0g>Ef(C{iHo#V%ANjL0c_$3!(XH$BP*u zjKf-q7$WMkw3EdUQU6`LPz(`uwl-A^5%ulbd1B`ldaibwnBBtsNxMSqK3v0Wf|yyx zIIEp4b}geX(dLP{Wz4^|OU0hAY4+NTUfOHMMs0bo(`))9ZBZ}lHS z%Wz|y)PB?J;zpmZ758$gc@OIxMJplrYLNg<;Y?xjz_EVI1m<4d3yrOL{9@cr%ZvWA2p6Bfkz&vtTFocdJct9YK!%PigY{TRi(~2I?{3|Y#pkE zMhqN9O>L+VJV7bayZW@d3!(1HYG(?e?i$_hD&(#d*tK&n z`+ulwl#G-6I@I==cye!tx=vGs+<#N8*%Xk%OR%sptmieDt$jG2G9MGOKBtVgVJC09nRa|*5_42#`3LT#bA6lug1dJu$i~jY%`NHP8MR~*5|sB ze%810E}8A0(XPsxOpf(wL&zMuip-(W-H=QEq_3Ss#Q>`{TvKan}-&7*g?`Wd9 zlEPxnNvy1N*hYJiII)tjjloTvTWN#ZI*C&&$=jGy5|>xn=g=M`iYiGtjM0g+Ds6M< z=M$mvlEYk<2;s_mw2z6C1}*L}924gcTHm8@#{Jat9y1g7Q@d!|ZQM_Z(Ts51PpQ%L zR@_f1(aZ&jiw3Vog*ea&9V~icFx{qu(~#&&CwH*LiDT*Z9o*hTD>~^il;_+<={*zm z#J!@j9+93AStteR~dPc|=6-7*XM!JjY7D+6N5u(J1G#2rU=$nXS7GaS{9FfT){Uxew z6Ku1v6-{hQ*+x`}=C%p95z>(InZAv5L$thYe2zu1NYs{^Lp&jx)ixoA@U1AfEhC5Y zhiFM#;ynvj(WJJC_lSE$^V>xC2-8J{ZIkYiei5x|ONzD_D@tlhizZ%z%0zNBVWlX$ zEi;<*S+uA^&|zUEN~=ieAg&jETOsTqB#WjG)RkH#u>RB~Ye?q&%Z>?jcimB>)TJ+` z>{o>uZF||(ffwsqh06xc_7l43@w)RGt~uj9<%uf6Xw}O_WlF3JtsXezWKlyW=^`Vo zhfv%3iJmra$%*=f_MLYBH#Xa6#jo+T%s~5Mn`Yf)hekznK(j%!M;o>~rdbzQqk$KI z7l0Rl7l0Rl7l1zk|38GicT`j96E{veHH45tCxjLtR8dhQB$5CkC7>Wuv$3I~f}%!6 zNl{2a1w}e{&a&76-vz!dI9?a{yTIQC{w{}UEw9fd zEVGeVoA7eR8)=fsOU`^FW0(-~U@vL0$w?mOC37*^h|*b@NW&PyG#0MSU`I&oLYEmr z2(B7tXC&2@4hgzKkH^UF=|B=Y5TYBJu_NuzG2OemTshfM?f%dh!qX!;n8`Z~p z;DL5P-PHpRv|VaV4?NJ0s@oaxKr2-rVZa0JpX##=c%ap&4>I6^)};Q8ff$l+Ri9vB zh7>o|=NTwBdAs^o2F^|KPTkgGwOg)KA8tYKR$Ny9-h#}9c7q36u(^sM_30MGefe(n z@fOT|#eFrf98H8WmUS79{S;C1q zq4UIJg+S^wPqIQdE+_oC*g+W9&v-6L6~^{6DdJF}Pe0IUE*3`ihwTu13WNHCc1Q|@ z6Z%85#gm2p{UOt`Y$XsZFEJnJN1Z3G)!l;}3A7t{4DALcl@ThS-GI0L7xVrYn#AbOr>s#E_sik2cu^>Jmksv`J6F~ey#)5=`Oa_57l#T%j0ht662r?cd z90ZPIrh!C&FhF8J{6L~Xm>?_=I!F{qFoc^Yf&_q!OF8`R?ZHFSZ6P$v;bz!#i3ZM` z3?vuU%FW2I<-%II@(iq=Fju!0UPpmSDhFOifl4X{UPnWMWg?BQJba=It+7jDtPyiH zfl16Y5TG!75p$P}ek|FDw62H#Q(Is}8HB4M3^!5-2qg!Kz(qWdr7j@^AI- z2GkMN@9L@s+(P9S^^P2?g{qC}%{k~Hr9r(f2RWoVpqAud-IUMNbvXz()poTa2eVsw zN4+ZtwOe&sy)g%utNf_m-fxww+N9ppkG`+Grrz6+ystVAEgP|qs1JY!66n?^apt$# zl{=l|%xuGzJFn%eYIFQR_{K>uv-&{9ah8@@e{ibi6qTVrI45!{hY`>c8;vp~3Tj7O z>?uuBAJdqK85Q^{MYy&USX_P1BYh3`AQXhHfu=W+CLo)raJ&d5U7}&%2HJY0v*8AW zf-otROIi|8!vwEK-O|4moE<@3B~(gxnZhHcjXKOzRcbxTJg$;|N>`iE4dFjfcKWHU z{6?fozo0dz5iw0at93>r>WY4KYks~}h@RJ)nU6fGpWB+7k65J7YR%3^z0$8}EgZ0N z*Qd5-3?TRD7q`wDK;-EQTC)aF5A^F=3s_c@^zp6JS;%wx*{yjj#9ICI)|o8SH~sQf zSfY#5Pb=kjA*=O^N^`mpiTb?K8KWnos6ljK$>5sn+DCvw#wqTw&p`gK<9Q?`T>$|p_G`PbRyQ-0Tx>u~wx)w;2Dj``FtI)@Ca0TM;s6I36K{6>9RoP0_3Cx z;t3G<3``d}E2Mj^%P1-Y_#vT80W0@g2xSTs;D>}V1-v|9NIIzT0)9x@XD*5`JsRD{ z^(Ev*W6QYCgqhKpVeS}0Q8dm5Vm|y1v;ty2IUU%k5c8SQf%yw!KKUKEAc*;7b~$D$ zHbd?ceN%oNa-YbXQ0(x>AT|n$9rh0*qM+E})F7rEiXDy)qS~R@;oKl@85BDla>99B2Ov{We6 z9WX8Bf2ohbv{W2ccfqujzf@~rS}JxySO{Jz|Aw#-yi)!SVIg>>{GvVx(^9b!_-x>n z(x5&8(^3JwqF`FepQ(R^X{p$*Zi8tlzoR}3(^7F-{X0xc`A78un3jr7>eDbS<=525 zVOlDVtN-Z7PL%&^TnE#V1PoCyEh*Xs9{ zjt#z>Wxtz>BGMbJ-Ww_fk{BBbkiOQ%I8cD}RS{#i14v(Y#W?Oz*$JS(dbVr`>PLwSqmJj=!mJf@+cVN@b#8 zr^tS#Dp0URWcx`uU(h7Ne^OD6Vv!AAIn!7xa=@#`8|5Oq&B~uy|FC#y^RIFs#HiC= zg7_wPTDfDiXPbMw@h+{KE9LwPJEOe-Wl*t@`@hU z>0>M2qoH;B+e#j2B07DQ;&U2CXP{E@O%o%cC5x*yej>kQ$t6v+h<;goNW&BbT$a4h zutYw=;+@d$&OcakPZK5bJt97%S-5>wcctaEGQ*Qg8q4;}aOP4@vq>55T=EKbbOx16 z{m8b@@a_t96E|plKlLhlyMf8) zUBzZW54Y5-m^%g*KmICitO3cN#zdbs(D{i>>5mfVx9V2KeXaTsSm|iuTfa2Q;}cg`_(675MLo^)!k`}l~)VYA~aTU zNzf`nR>|pE6VfwC_7I9G&G4v!P)t$=u?9jh#Tjlj5Q@pkpwvJpMwH=|0-+dghEocJ zV&-JHra&lWMg}>>?f~v!^-a{6DK90ve*K_5#$O{?$(S4wSnt)~p6qxP+|R*n2kwpF z)_}W=F}c;R-Ydr~*^y~?2fL$sAu43b9?AA!cWICC69g+5zO4cEPC4$Et&iEwMc=KC zLb^|Jm2CR8Mtcz4%fanm@6_*h*}4SWzkz!axc7ql1h`j$I}qHk_iJ!}s{Vn%P5CL7 z(bH0|PuF9rd8|^d9+${Nnk7l-SW=KS5vEU0Gqwq)&-6NcMZitj4Ib9KvB&y6X_s~; zeauMi9K>V!G3cG-P!ZTJd&|R{Wj!|QNx$pT>5kCg6w?ifC* zLn!spV>?~8BJ&}F99GS;nmGk6KKjd$bde8I`!S+ex2g3P-L;53-SJwSab*vxG>~i6 z>3+g%z^)qqruqTa@y`je>VKrV{iPkovY1@6pGT<3Z8L>smuR=IdOs%o&pzqSUpUg4 z0C`oaGp6R$8W-o(j(zM|XGi1`X0y4G6fW@zdrqVm*U6bZBhrcMyp3HR>8dBZy<_rr za@LbumifhdIPLtRHy+C#nwbM}5h$ ztwS`ETsgsYHqDe>9Je}5GkF$gVx2=X^=}Tg4mF1~ffGKx}# zzi{vwR!>P7PFRNZQ_4n;R|fRj=W${)?4ME%9EmwRchAo?eRvYkrvK81AUVC2X@o(H zfE^N(a00_8Te?*^mf`;cb-*x{pU{Y$YgoWfYeYOV%;IwzQDnnv{?vS{?FJsSnLuV4 z=JHeX5qAt({P=v-Si=hbv;p7-NaZIEAXgX`^V0_q9}NY)>;dS@w5~Ua1*EI-y<8SD z)iAp^g@w3gm=5h)P@#t9y~$nB3~fV7NKluWS% zk__t7d4f{8RfJ#uWEVHQr@h@7hpOu3Sl>S}vO0~Qi+{4L@1K8Av;TaLy{KGxEyy;* z+3({|zjOE`<`Ddx`pARaB!B&&Mq{UQ$3$e+AaB8}d|vn6x1J|Lvz00Z?iA1uedd+%J@?i{>EPNr?mv7yVe zjEhMqVi#qRc3K;SMSY;N{pqk$yj>WWsym_mlXvFgyo>i64b={j^xDyCG1p zZG=<;EpbCl%UiQ55Wm42!h`r@GEbkoy6c2jf|>Fat&{W<`<;cJuN~U(pTPYs{+$E` z#kx8u*5yO7ZcZ0EQ6EwoJ%>KR?m~E1iP7GQpQnxI>=xL>IyvbM`ApQ!hx;$` z7gJQlhf0M(s1$d~#>wS`>>SAAY>#^_1^liBpx9wrf1BSXtYqNIc#*%P)^>_HFe3EC>j zr%&h!%@nif{yia?k_!6Rp75JuBt4YDxG9-JpUhxJiRpA-MsSowNFT!pYZnvgA&j7Q zNhW;~BXpTKiXO-aSteOVAJ2eJPPX*$7REbCGCi(^X)6xa&{~3RB?~nXEn!Nrn}*R6 zq?F`pVp>9z#S=AtEg{L0HJa!aVA95Fn8m=PouFYA1Cw@uhF%;TESaZ?Dh@j$rf7nT zgN{hDH4}?N7Xsl(Krv8fuhfhy4j&TZHDRKn+YrcI*E==ZYA-LomlusJ;LYw$jYd4= zP4A75Mos1|@153R^#^ZSZ(;{>9dA)@dI#b=FE4`KfkN@tMkJM6$qe5F@_9v~z>kZkwyt*UVt1RD;Do z!fkcL%6E1-knfsnTH2aFiprKh5gZty9wP>77Y=(v36b=)iIf8@NFPo1P(mc#Wb)|u zyDqzCLP80V^f78f%e-+Y0`F;}=);B?6eG?ScH^Kd|Xah(U$VL#*AA|lF^v9q-20ae+IMCxjj|06M z^hZB|`GJKdr->ncrQE^}7>pGGkv$ZD+U4ex@=wR{Bs#==a6S{t#OC2UbbH3teJpRWg|n9pje(4gE+l^aR;{q5TNE1}cfl zjJ}ax6%cez*K^H>8zA+$P4_}*J2E8&QlATqA(4>!oF`tYPca{FfYj$H-De?wgmV>A zpR0|5k&ybNKl0W4v>|H3<0g!JiNQeDLRkKOg-0;2!}00Qd*MKLGv# z@DG500Q>{sXMvvueiryy;AerK1%4LzS>W#ie;4?>z~2S_F7S6b#Gm?ju3I`Onu8vm zEN85@s?%?wwU5|vp{-$yiAqTIK=m0;(yC(aR{<|7LLL;0Zqp~yej9PoJ2icnm3B;|FJ_H{&SU8rs^?N zDd3Vqe!%TCj`l|kK1%LP+aF^SrN~*ldZ*JQPT0MRu6%7%BfOzz@skFuHX3I0xhx#d zu!x^BdeYN5g^Tv*&f#ZrF$cKi{M=^LT<)6QKPz7`)yLxg!e3V8L+%AC=8R}HIv7b@1k3SH|Vh(w)mK@CA9>bwii4a$ywZ9uvy zW~tj6>~_ojA!>x*tyrx7z5mCXbC52c)<*3heq%2xBXmHOMqZgq2fT6IuMYGoCmeq8 z{(wAMy)DUZVs$mL+{O_z7#t;DLiZgEi;{TJ#|#Fwiwl8lC$wD>`;QZ99S`4|{uKTF z!UY^wJ^-A1DD1{dM0?5?Euqfa-ocUS5@0L+M?-LN@|qiwNkZ)BW<=zY;HlTy@uHF_ zXk^%2RAmaL#Mnn<)FZZWXGP4aM@|3pyZEpM*$@yceW@WfI9<2DO3KnQGssu*IP2%~ zA;FT)goyOp==T>ENHLmmIWBKVOyUZiskB3&XaUwytYBBM^$t~nU}Ld;wlYBQU&H)) zf=$KvyGn{+Z?Vl?RklD@>@ZF_POz=mZk%eRKvnGcn-VYBBl0eBoP}8_PZJy(v|p(R z69B*2C;1Y=$wB-lg_odv&;~CrG^z(3@QPSt>!96c`FbPpki-&Du%3lBl4K9-S#(C{ zNOVPT6|858F#qm?KTq(5^(=lP$u&?Puf;vy08$0A5kxg?#6==Tp?-JNFF?{{m}8#C zuqjPR!F0nc9v|sB8a7WH2eWv7v8#D!gToKXa&6qNmD;jM$I+1IGG`%TB%O&4G|)?v znb1|X*KH}w3;7uhX|>$a#}3X$#99bbp*|YxIV))hLBZ(*C@i- z<-`7Ri0i*qoaCeoJIMXdW7-U>Xq!j8^yE0P$dpJZ8buT3O`Ivic1eDlC3-0E;f`Xr zDj##AV5WurKnLlIXa`2zn~6Wgw@oogzCSPv3^uiCqqv)@Z8TX7CQ5mWwk-w~r8-4Z z#o*eN?`eBFt=d&8T6HISneqy4XD4!*>L_hXC-$B46|Jcg@lLfzE$+nFDj%q8J5jc( zb8nA?wpU6k?}<%6&S^-Fk3Jq2jht9%*uUyurdM}J+3?eXd#Xck10!cfNhD6n$KBM}F_^#Vw-uOMDe)-X5$UDZ*(AxK?Ah+=x^zayoZT#8fVVH+n=P z)zIA-0UDW!j%D~fLizJjfSCY!fCn7udc<5_K0i&5dd92ZbNsBxyea&te#q@SAr#&t zvUr*N)DG+&o;N?<9y``R;7_y1oQ619qCIW}#KF>Q&>szqUUm)E!Qj%HRD;=Mi0S3l z;8G3f-jozrn(x;;B?TL5AoV7vV2&H2dlOP{iw*X@X;;zz8koJDt3cv7LA}4hZlYqf z`c#ACSvf|1G{^d^f~P*0W4{J|MGoZv+236CnH>CAIaz%&$L6adOWmF0fR&FGsB?gm ze1)Jj$FWN8AZY2gu2Q55I{Sf;CsfefZ=0Z4Ea>RRUzB?aj`iDIR1^rh`W*t~lLeao zZ()x5*oaxLE2-`#tA=3w`DxTL>lAABy58V{(9b@cC+5kbyMQ~k0QS@e{bb4u-;xjXWG22lTWR!Y8 zoitJU1D3qH7UG*J`IkOc6bom_hco;QM;}8E6(u)APuUb>WSx~4d6zD!4r5Q9rQ_E* z*hAeIyUzUsb+v_H^#kP#6sUQ#n6^M?Z#_s?O4BqhgEXb=lLlSvds&Kbpg zSq#MhN^WUWi@lrDuk>UKBp{EdPqbi%wi{FsM*21&p zCXLcK!3q_WKX*1iB^t4jH-^uT#(m-0)dE@8GSv>+p<+zCVkHfJ$cg%R$n99&RBeWY z59EE8(6$fammC;g{@9>fOfSot&UL5*c^;gxyUw;VG!2|n!f|fh0dXru| zexz1U`EK}!Us>jNyT}7`THmBT7(*EfPuuWSwG9qDqoq5>foO2$7dFDdsPW$o2LLkR^p|3XPPZ*gn(ZPZaH zdrBTaWh>X}Z2PR3&JH?ZADT%@)gjB`8p6G-mh;9$bQN*DTF_?qCWQ;=XKhgK5C8AcOWxyW%*zw zeZmMA|0*#ll2ilrP5e3}7C5cjT`*YrC3YONdv@9aM28D{<5{S)sz~)w5v80mo0B-~ zQ5;@qwHSWObGxi_l?wI71}LZb3rb8e(Ug>7-;0EcWnyggmW(pgt(V z&7i)O9x+X>^?QWduD7pc#9BQwr0}yVtdmuovLZhRX_^skU3$4~#gK)a$X3|&;=4j#b2 zh35`LBiUWIpe+bpRD#!0<2D+hBSp)Is|Tf9EE6uWKHu*p4PUvzsM;&o*$>ZJ58?eI z5MA^d)*8iW(@j<*86N1zs(8Wv7>}Xw%^QI*6)wDCxLC)JR|r0(z0}V?skXu355P2LW1DhZ> z2^tuPD*0C0ta=QJJE1qd9=DE*fnq>uB9{kmtdbKN+d<|L>1K_aC}{@nU#>%M?rm%+ z&$D;RfWvOZS>yT)$7om+z7DEg&9n1;`S(Inb!jJ~QRleJ`Bxs~N*)$2u=^xmsXlvV z1$yltH!-iB3piWPe6;Fbdw|*xi-eSq?s|{@vwcn}+aCF>Yq3kr;PyIWZ4%-@*W&0H z564H^I*}QxT=U?Rv6vMwbm?cg>0WkeO7y?!Gq||Ye0y}|rOL4}J_(X-!_eMYd8pJ_ z&bon|Z~B`Q<9?$?`}>HESL2rXCs2b)tmT+{Pj-cLI^D?ET@yXGU1At>5AjR;x1&&& zMybu6gIT~G2jnC8H-ri7+&brG=ik|D>fGlLRLnAYoyzXk3g(3z*aH@u|^-IcsC?Tr;$*nxn=ykdaD)OsikM2Y7LhA?_;2bRP9Eu z4E#XIWf@k3EM^cnz8Ovffx+-AnAXdkflcKRJBx0k9ic*eSCUJb_Q?&`4td;svtaZu z@eU!b*ng$;u8>;nyHfTWurX2}FX}CPjdC>X^eBf~n8ab+pdTKVXwU}fc*zM<#9+W? z@f#CElrw6xMn2orm`CY%Dx2O>a8fHcC8&Q9mST3+c zP-mjMz$%YlO;IiZKM)rT6ZwFt7!CqWM3Z#;jMz31vej>D_#_STO0fN z+vc@hm4B?YA6P$U>Bbe`pO;-zEKNMkkVV=KMK z^D$R3>>rVM+B^+I1SErR zhMUaenSXCVuThNAQZ-S7q3e-F%3su5TpZ66owfIb^bxpf9xMMcP8tpwDI7(7RmSNY zP2uMKBkmuZr?V>~$@YX>T6UzTJ#may5b131bV|#QbRYG5F8)Or<`VW?;v|f938ILb z3{xV2O=!PC7?Hq4%r?Xc8gpzfs^$uS)HOg!7C>%gz0-fBuA{+s#gFy5=5sl?AB0!B zCLwBMS_!&Rl`2s7+aCmin%(`j2Z7+$zRlq(>4A>fhPq0br1NOAyGlN%W3}NRF04bg zIWkG#bo4SSCIzP>mRXNNXz+l^IwxSW^qI*e2Z(XEo6tG_Y0^7RM+B)GhW2+cVNkFk zIL8}UTe&ULO`uNS5Zv$atL<-~9(Ouod~6M5t-$TNg^!s;pP{=BY6wuVZU!1wP#=LB z4k~zYpz0v;!2lLk@Fs%V2PzX(@CJhV7pTENzM5^|V`g#vYqJ^=?TX8^b1}|O3G;!! zzNGg5BVJw2TTz>S+v*E1wbo~$1gROvU<`?;Xu=qf^P+2F8NqI1p~i<1<|ZL(A{jxu z#hIEQM(A!ylx6}WBv-slG%$8;d$u6PUvPhwWOUPYm znb6ZE{I1MKh-zSrlTH;nkMK&c+YA)*(SFk^=}%+5fMhA5?rH7@;ON|~ny-5-=JEzpqqLz88bHTL}>m!<189{u5$Whf0Y zhLMJ=HZhR+OBrYn#eVA;w?wB>=4QH4E z)Ds*fTTM><(3v)r$)Ctp7!XkSTu!HSH#@gAG~%O7 z_sUt6?gLNSMkaff>AhddeSf4RdJNB;Xp(o|a!O2@n&=TZ7Cas0dZ$}pxSDh&;VK{^ zL%?_hj7PzE3{Y+3z;G4}ufR}}1cpd393&+Qmn(hS{9$~zKGpOX01qW6B~x{}uMBzD1eh0cRZHPJ%~c$1l<)nRm6LWJ*i|F$3k>~@I?#d zYNGf#;_*C$c?ZM#5oM!xk|shllZ1Gy&_KPveFX#y9C0ON>8Lkzf7P7Qc+B2pS^WGNZd1@9ATRDtE zMX5K>p%-Gr-+7@C?Aurr&od(FHb%yq9KpSfi|3)t+lnDVl_2OWh6q)Fpt;y~J>{B~ z(dSV^3Dvsv5rG3%TxQCTC~U+9$lvR@Wrzm9Fm$(ErJYk2Ig*u+TljF1r!?b=sFXSG>1%XP0E+IqGR1?x4;Dvc$m<Sa+P~GEDhOs@tkI;YX^JwQp?oSDC#f>KTndrhTQ+?)m4I_F&V$ompiL~w!mpSru zc#{lbFk(>~x#|3z3h3rlsBRfSlAQnGlnwhce7=gennL)=6%NU&rEJ&XP)5jk)HD5O zb=M$dJiN6pO^N)B52)=BnyGTJ3RX#sDUsHV{#e;52zm1CFhWVZ|Ui0U78l?!5_ zvQE8Y#Pv31Kif0X?KXKfdvYY@HuVV`73mdCa%THRIz>~qv7LwQih~1W^Gq{)^GC6{ zuxu1=G%<;zHrL6OlK1+@#)?QxKGe?G8KO(;8t`G1F8=J;7_6x! z3%!a%pUXlF$le4ddNG9Ex98a3ht5_DhaEc;n3#LYxyFfY9{J(fz~Pu&x<3cA1VZoE zgbtm&W0*6_6~=MUr8jJxc#=M$^iV(g4aD9b3hg=*OE906mAZ98_u}y1WZw+TB#?!@iPTxHng)<3)UUlTds&{!(VeqT}aXskq_$z8-Z}dBK=bhGxOD;3Y#_ z$<`%KKt`NDfLNoL1bs%rdh-Sx&XYciV@-wT?VXMl zq4#A=_1}$oeTa&{iPE>cz}}hV*f_Y9x0pD+=`8eDRkhA)*xH40R?N|axG=pi@%q_< z7LmuO-#)7g5P*X&Q#C*hSZ8vDb_kRj;tffk#@)qtA8xk64$3g_7gbapdYIV2D8z2l zhd}d^;09(Ps$1!0Jkx(w@_6NDu z2_?_9SHU@}?HA;7;RW>bi&ZvPRSwP#;lVEia>W?9xl?ldj))DK;2h#fkC8y&LX8Gt zg0Mj7AWflL7L2N_%FZC=_HE64*`3z7&jzvMUFiT)DV z!?wS%YlWvAK3$yW{#tcyT)+38#ig`y4~zl;{0e{z07wMD=8LCLn++hBfKpWt0y{J+ zudUqtbA6L3ZqMRc-l%oEay?KqI<~72v}zaYWl9chr;GhEl@D!;i|sq86o`$4lD!KY zm|M2c+CUARgNXatzeKu`oMy8#A}J*2C+xCFuX=(rdupUpJ#iZ}(X?au2FTh?Gx=$w z*vpU`#lYMu7_|vjcC-D5$H&B{04?K;Qs{kfop?+4H*_I^y0jzEg#_x-hJ^SQpXbuu zLa!G8=d$}kL<_V)Ju7r-38cur3T=vMKM)Rv%-*CDDC0}$<(5Dh-@M+G5-8)#?wvAf zmkVu9J=#1QLsp94>(ffl1K}#LtZo!Kb|$^XP*v-U#5V5^B2Ih2MC(lPK<-psFxr-( zJ1B{|?IxFEC_?|ugzijkME|P~Dm|U__}{LC=C`4RA??sV7u#7#0!~M%F#(o7_}R+# z@SI9j4BI6z2Nr8$Ityl?Rzb`+zYvI5Lrb@`IJ_aR(5};jLHUv78`K?fqOClk z?r3T>tRtOm78fJEAgCB`iZnwpFN?kpU7(*10X{cY@IK5I#S&xLFiSM09G9+4*G@ON zn>$AEEZ?uv`LmP6FX(GIXOeQv6@E;S50O&7WdkNW;ZNyK`Y*aGb*;wj;93YSczypM z-+(!p_@{I{xb}eSIJj1VE09R(a<{R2qyl18a65v#8r=KAUFOFe@gq_y+-&S(!9Cgc z7u}1xR`pCU)8T-dH(-k3T(N*Y3h2{0*z-Y+C+b%YwZz5u%f(b5d{Q1e0c21Zs;6`*F$VU73$N$chsTsIu!F&yJ<-LP>>kH|b9Tp>}h)bw?8SPgKF zgUS-Y?jHO>m4~3J$7Y@~U$CPGmI1K@n|th@D=P&1dK{l$sz=xfFN-%u&edufq6B3r zuC0Ct?MDI++UK}U$1+txs2wVzU?amOTbU`?&Tz<9MF}=B?CvU;3HCA^??S(3S&U~1 ziL49gvn`?Ql!ls?wPtl-e=0+C>lx?@B-`BbvHV6d>;hd~KSbbe288R*6$5)^hDwlFX zfeShGJP@820rRodkir{+n~u?h@6!$G_Ewwp?A{!EWU5}!JHsAv4SIg(kJc-f3v(6@ zDE={eL?RoZkI{Sm4Y>W<=F<`mNi{C0Fyd^TLFmy5smtSfbm`#+>oDhS+Gi#zkg(e4 zs)}Idj_*wv#ot%PX|qh}y=kME6HvUgNKYy~-e7ye`Ih#haAGIts?~VqE@MEX-2ii? zI1hdsj`lk&abBzY7igE`U*lH6I>@jx>w!?bY%TCDpX}KK%&K45YwNrR!lT%@46Aae zWe&`+E{8==D2=s<6&{T3CdAn}8DWx~H5wnjvUqa`GXA>#pUO`HoB-KvyaD=t|4%Tzr;5 zd~vaHe%T}!|2J0q4SNMOopv?kRkAXZS481}T>xC?+&-@q;%8YA7Z)+B9Nn!f+Y0=i_p`m%EpMdmOm;fcqM_cYu4*)y3we0116Q zt{;x@8XzkHat(u7rL7oN^*D)q+ zhtyf<8WXfbHeJX&`!rL#s*Fu(vz-Gaf#ce+bD$&;--drmdc_HALp-IpbG+Ju9=15` z;l#FKo>KETRU^=<`T?8M=1C$>V*k?SOmaHM&S-NdIj?1xwNdK{-`G>zyz7ZL_JT4( zJrwKADs!oamMW{uNHYkR;8{7Qg^?ysHu=TGH$tJn*}s<^>_J{9&eHu&cWTqBj%-Tq&Fw(UR32r!_u)Ip_ryo_>(qOT9Zyg`%N9X00$yZJIPcYd)Qsum zu&kDl9Ho2o@y2<>5uH31atXZ2EY^f{7O-sJ5VlAr8J-x!hDn`yEQdEvH^gfVr;M?d zgxFchH^Y0iOoS*WJ>&!q(+8P_NJsfjoyS^~gVI4zR+0qWIG>KW$KE)M6N zW^2y~nIma6D5wxppKP#NPjJ?45fTRj*CW0g=0vbZZL!M7TH5d=7v>MEczu(gWyEgO z?+5mRo)nRvg7MWyM`WfzLd{iB-(ZuVnkA@daJZC%`lmMWg1b_(um6&9KW}*yWsXy!FaU zO9-zOu!}nY!U0eT00{tO0H_849snKyh;ci|DP^vd8ASk40AL#c4hhFMy!k;Y9}lf? zGigT|4(*C4+Bt^ZGWjyvA%^2Jg)Qw&jOSA)GN&-Z=Be|1@u()MhcI7?G%@-7Mh9C( zuo|edmGXt^mKMBH;im2c9#tsPYi@B!R!mfPwAfviuTdXsalEX+s=JDiVmQ|iJZi~Mf-KUY~m3IOFPz?^9@2Y~sC#k|pCR)IMg%x9cl5|`&${Qlry2}e7h z3rD+WIa;p890=xDmfd8q+fovoGSvc_1)wt)P&R-bTaNv}0;&ekTMMYb0?Gr>XA7v% z0kDO1$8G{&IbbM zvjrppP@Dyn2%zsd0BW*;rUPhw0D$&c%=uvcg9~V0N_p?3fQchf0TTzEfn}Tw;<=4d z;SAyqLIv^OM)|O5s@-}yNR5s4R|6XTeKt~xh(zeL4PpU5g&h$SKyx8F6YMwHSg%*w zSXTk$0zjPT1us2N z)*L)iQg{FHa_+*)8|1^^Cx;heBI3@Q1)PfGx<5y9WxU~M7}b*y+Z}qU)2MUN_|_TC z%1=>sxqn~b1q)9S4zK+qv-#}D;5xUvM|lf#PAc{ia@?H>$5b}fcQ2vQ?n0q>Q)Hy} zV1tWbd5V3je*m`M4JcUMolzw!1>(0$daFtFzjW8-9rkGxobm-r(bRsb4)ZCoRDyEK zHww_y7?KWy2h|tUVo)hSxeBT?sB<8semF+iy!12a9Rt}epV4tSI1{K=lXyp8)5*t| zSqwp7*a?OKFub!ELcq`jhEXs?af5Togu}&I{ANv-Pn|M*BM%HtV3+}hNH7?{u-$^l z1Va!Q4pEfZ-V!vH-E-EF4q`2Mt!t=$IG}-nrnNuLNaUv*ym<;5h>4KLVfd z$ZmiRfnlM=0H5&44lvvY!;r-QpYX^&Fnk4r8yFTcz)%N z>p-4*ah*kBKL1bovHm~Lm;aOpR=a?4_5Dq@#nzQqI{_G1PtxXCcG_e6pKD6|f3DB9 zUye7_Tz%!V3$nyLUA(&0%o{yjCI8!O``_m5|2F^pZv&L87D)Kk2|&4ea{C;wD`TRT zHvP8=Km6b3Z98mq4EQd5;s4}7JzV^88+=+azTi0no`odS;EqLs|J;h>;CThGhww>< z5@4V4@UhR%0!S!8mXHBrx!xaGAn=)n(g3o`0?7l&WPrS}KrDaaCyN4Kz#R@;9f<(j z3m;_#1-=n6cyVxm$$oHvU%;~kuDT&Qe6FM5NwjzZz*7dEbnvW!xo~GX9BrRv=Nf>_ zw?F~`Z}})MK>5S+y`BSwYEdkQwM>SSmYuU;=S8sd8jDf@iaRKeNN^;}BsgQi!&g64 z0Qk4?cdRJ_2#&tuXe{w?-p972hey)6L)q(sGtUf-sXLoBnFmT(=9wcq!4nRij}(9% z03{aoId9qLG$>0g`-H$glVG36s@unhED8>kI3*~7aDZ-rAz&XY7~K3a&n#>OgAW+0 z!0>fy^O8Fe0KWj|+eA2=H#W0*;vGia(2V`OBhxoI9ZnmY4TcynJOM*00Sp;n@B>4) ze8!>O%*?$*GcAfC2si2lA>zcwW!k2)%ZN&g!Sj$ugn!xu!9p;0#5@zf1jiUtJ!;;? zAly~ubbNya5D0)J0O$h17Xa7+fC2y}0CGGId9?baO)xfr^8h%nfOE&CAlxxII9|oPGyq-!;4T140MPFOfFJ-Y2fz*h90$NpICO~$obYK|eZe^&oF~Bf5S(ja z?@s`DZ2@>6@+hUjxdj0D6#y6LcMflcKYC&?{Lu|bD~>z?Lz?_HZ(>j;^=17?I`wdC zBD$8>9G00~r)0s86cDf;?%5XKOizc)m-Raso>IV&2!=v1!0+0-rxt`yX5AsUSocZk z z!wWK}HXr=U6}-W!+tCxIgE9-0>lE! z0@;Hd!U~%xYD9!Y5AXtBnY+V|vn{@?!Z*Z0HmyS=XSyzV;*-pO^J<2Yu)#3h(`H13fJ6O}OWZhQhf z9tvS19VWKIL=t#B6vIRzOnf^&G43$~CURinr5-L<3YS|wemSr#)4;3pf>%`$R`A_` z0$lcj%ctQmC>S5Wi@#a{{tWOhgV%bs0M6tOme0o5fZNeSa02)d1~FGyQwEmr$JbQB z8gE#$1m5hjo#1i+T>81-QUWel#$77GB@kTRjJxawm+Rv$<7cS?m-pcEDST`L>tPTG z?_&kHJ%o>K=0cbt!HZm-4<|^669mCq{$u4m+`+zFt~h>flDN~q>j7n1DBTZ!T2902P`ZYtbr?k z82@a8VNLb;nli9V0n5$tHRB)hTR83L_&^7P;PC+sPEZYQ2v~!L2_OXhbi(+x$W4ET z-4?&vNo1XzJz>Vt)VoNYY= z6u?9@Ou&Dxv^Llzw&xxF>-L(arzC&zqO=-1Ow5Fd7kwwSXF3Y8|9zFms4*Ri?hBe$ z+#b5}lzib(5#&)otrd)G6(>CZ0*9e-hu~?&wVaiwoN{pZ0vv9GLnb(E1BU=`5WpE~ zx!^Dl98UI~JpOhiv+jiFdHo|ocXUA{=RnpW^$_=SVBUj?D45s_6aCzEJEPN&E-he? zf1CA}mV4t|mF(#I$0q&g%{9`dXQc@d5C3`PkgTb`u`%l{NVER7?p?vSQyDmYGww8J z+$j>AejRtxg45x67%|32JF?zhYzcWd?aXe;niKDGo1RrnFF!h^^t68T#iHUSpWesf zw_vT0lKJ8^Q!W7el{p9n_<2by?Q_^oz- zbBBrsq|=(?ps$+S1;k&gL|!97%BW}fmwJ-*sw=&=#qDu0Ar;5c&$e!I71lPni50PH zv^n;dAoPfhXH=`d5ihO1>ZVpediRMdruLZI?;_>7`m(FMcDLK-A~d6(mQ>enbt5Zc z)?>amtaq;I4esaQ)cy`(?M^mk2~%f_Q+RY16R}qehpoRW*q!M_2+~rV@qB83+LN2uJRQRc~bMdoHzD{30Ed^-#UfOGVX5B+RFkN+G`M{6#r_H25 zszCQO!6b1qI@nzh9r@m#X5J$6tYUp*^;b+)6}BOk8g@HB>+tLfEzzxV_zkznyq>XM zTk(qN?)(}=uwkonZU>>ByHY#b5kI`I&wGt=y7SNO#XUJg=xVV__Y4Z1C+r9qHH6`- z4Y!@I^`8%K6?opA`U$lrxWpYHfOU< zo^?;2n6x0#9kn2l&78<4f=dRtgo4XqfE3&Y!L1=H_-JYgoGUo;A^zlc)^8WSi!_e@ z@Y_!D-$SF-ia2#t2h;jU<|Qv{!zNCDf@-ELM&4_5_jE< z-=E4B$SY$fwx{m#?IV9ftZA5!o9vi?J}`HmCa&Y2^@%Lp`CJw*FK?r^R~~(^>!*;1 z3%`Ct|83&@Rff@h=dVlt&i!FJcir)!s;1P!m4~wqM_sQ5x9J>k3mSJLjk|3bcMBbN zlQyM3d)Jv2{C3TZquW-FIo$C`x>gfrA9doOXCj@rdTM0%8?6kH*@q99bdAzbAuyeGP zlnsHMi%O5L^%>?iCGl%R1cZ4!qxB0Q%o9*VkApA|^#(gcWx6%4?ELDBNN;g@Pksf~&#=E%K`KQbsMozSRE+_v^~qmQM7+gf|$Ley!Evs;V_~k}gtz zw;gk>uiZC@h-U@b7#5l`E*Xj$(B`cw@{kJaGVsiqs2Bmr}(XP$VQb|>Y~4U~`9#n{?aUB{u? zo6_%}kl2|}CGV*!!o9z9+B?|}FkXP{4|WB!7_vWps{A56$>0asA6g1`5oCWR4@SR& z>`&!zb1P(j-q~nSavAwc2WGY*!}%MOT~4$RR3%B+2afN&t^rzDaaJ!&_+b2fWP))y z1e8;T&kKUPxbcW{Mj#k)aeLA$KoR*J`Wg9*^#D{Am9)hUuLNxCM0NRpL+oM!5}v9_ z;&SL~fTD}1n(cEx)y`G`9G9FE>jDR(&f*W7{DldSwwck7Sz|h2+v{TXWcGXBq+ZdS zbNue~ufw}b`Uy8_t2A#NKbg;U;>S2|uxS}@)axzN2NT}l(U7;vUxCqyBNJsSaOqr! zwI_=|TzHhtW=7#Qn=-8)z1RkNrR{-BnwXt}%P`%su}3iMm=+MeDwKDr{Bp?;)CSj_ z#F;7h^^~8i^F~tK#a)Q?w4ZEmU6SD~D#BP)6>fu})cV|2oG9tS9XAHa_p5*$ZmHVW zH7l{O3&`QF06ClwG)7^UJJ!luRKfRH!D_54y*T~8n)(u)nq6y728#|8N=T zzpHzLYiMZeNk>YFh?1tcpyys+Sb*_cASq-Qy4rQ&l z0htN)zPSzPI}TOtj9f&dLtLAkd#Yt}=*@G)^Pp~c+Rd0pyQLX&t!hjkLoPF>iQ-3C z-EkjrtL^m0(p>BZds1U{F5Xvx<8c;fwF)LLY=Q1eg%6MWLTgb3@giR6TnZ#FgsI)3 zfOa>g?xBLf3;l?k?GxWXa^~Y z`HzTJhe%@JMHA~hl2}{d%LH?go@txvVhc(G@GlKCXMG*Df|IB5a(p_e?;vP|D4G}* z-1C|epr|VvK8R6E3NIJKu_0AC8S$9Z%_sY&bflX_Idc7_3?btHO7X zy8OUo8bD8n6|cdx6-*1j6a%KfyZC2tt+yF}Xx@YQA292{{7G1_o412hA8;3+3g*LL ze!%@8d;q4^@WL5)@i*aGH{e=(;l0cT?`ZIblidXG=ivPoPWB#5OT(&XO!a9p(Eg{u~h0a7V)+2xdc1BMG!a^6|KD`4hI=UbS`DLt*9=d zIpRL*JI4?5&8^UvdrA&kr3*~2cPvx3cG}CxZ4qBy5)8BG~67iAZ zZ7b;Yh^1|{E$hX!>F;7nzM6+Rt(c&BY{AMx%e0qV0quDQy`GrY*)z`jWi zl7uxBmhOy;I($xvy==&_t*rCy3yH^1b1>w4mEJ2&F}9#y+z{h4TWTGnFX5>M?OIfu zUxD^9EVg}87bxJK#b-Laoz0z;0M--@&9$JmpdXcIC|`WMcyL-8$J7}(kFiQ!8RSb?I=gBVXxKsT!pbSg>vr7I*~oI*4j zGll$KES*&;B8>2OQ9lqMKDIA5UmFBkhwnwRMsTg+&wzli+`LWY|A_Hc zy~b6UU)=?~4(~Y~W$jn4YAA+6&vLBn$sIy`>&WYwF@%b9EUDerhrZ8PYg?@d z7C=iKOOJNWs3TW|`DjdzPihSUNYfsHG%c|rVjHw75NbCG2xX>1XLem#95;I&Xi}8a z?(W7tH4Hfy0VizeGVNkXeC?rb@3Wx67T)E*G9`s43I zE!3a3LoQV9-cE9VTs-Q%-ekMsqShW(VxO9FZ2!6@^oS~al8rIK$X>q{%u+30>_2Q) zdIeESHCrUphV`9(D_}o!)>Q`mOFk(PXYt!j{#KeRWB6z%AtihXZnml1I?+`!T$4*2 zuE-_!#6{p#seq6O++Yw5-Ngpb0RaFi0Al=IOd5JrZ$MUUHz1$E@mT}F2-pTN0JZ`k z2k;E+sbEh9dn(vd!JZ2CRIsOlea%;P#9_!2+<;U<0^k{>6ZZ1?!o$sM=V`!ezyZLI zfa`#Nn%U;1@G{c@bK#{Xz)K9Kp^0z_9GprAr?9~(8X+U01ecv|GLaUn`56SSX4IoH zjI-evc4A`oDCUUcrMzJfB;OOP0%#;G0 z2faR$)6{8>?aqW=(pcQzx+n!S)8n+CD#*Mkp~9 z%P+~ipFq$58JX7;==r}PBTa^$|08`KlcDGTLLc$6K2E;4rrCN6$|rw>^2y70HWP;Q z-n2en|A_XO$+_}XU~K72)Z74vf571{a0mbg0nhXCg2Pa2ciZA2;2O0@ENnr9QTNOL zYC-O_&;{Yy-{+& z2RmuISliW}7B4~N3M|7BojB%A zKrGXTnvV%Q?^Eu;-d!L7QrwrOolaDpX9X|s^zAq0^N=i4o0Hn)eUbWu?HCu|nLB{l z3q8@EP5u{|tF61a3ChqvG?#%AHhZ3Wr3KMhM#p>)x?E*ac15I7{aPZe)$wpk=nmT~ z3#N0i;^)u&SmuzoCtrC}LriGriRyGwo-*iXU&Ys8UEFHzXH#`un?e*$U zxQPf9FYS@m1A%F(`GVUsg>w@}GA^~IyUK^#p-Pc^6JIH=?kOGvmVh+v9dX)l=OC#C zNKtkwII3(SYBrFf`0_C+F`Kn7?X%4X*tizfZJQS~0L5o$S&j$JaK2|(Y=*AX&K_Pg zkD-b??>VY(L!HYk(M;iyl0pH9PBew8|p2XNnqXr<|AOPo5yg6 zo%gKwn}baS^K^JaFPn_W9?RCqiwnykrnd|B{; z!uF8KC**T$@@&WZ4r;&cn2Ie|Ecd+p)eHKVKN#b{cwOnWQhyqhd3=VbrMjP7e(jkR zUVj;1TT|<%_a!U=e)FwPb0;ByIt8j3nYH46&uzvZtsQ;Ux?ehO;UX0qyz`9LtxqyFjvH3aFMcyCa|B zJ~*av(z`%6=DkoflFAE91q!7t=J8H-MzBudqUBfAgWB)|xN~W{sE2hAEi7K>O~ic3 z()_%7!q=u$vzG0Z2WaMs9_*6`!%r;6xS=sUZnNN$Z>p#B@$05}UYn-!Cl&AdX7+Aq4L+ec|rZ4NH0Q@jgvMjTaplII<7 znvaX$J|A~hKOa|iX9BwPs|o1O6#F!nA^cI?aZh+%w!rxcupa=?66ZC*-+;}4uK>pZ zF93T0PXLzze*v}u?gP#OmW(huGwY!k`MLTZ`xWyIw-4OH_&~TrrDKR>sL)*4OG*K< zNxTCw+{pF_V(P4+io`+gX7yPGPgOLA38LM${-O}5W;7sX8=Ig?YND#F0rf!N>zu;} zl`tFjnxt0qGPKj`MX4~a#jsR-tydSM$*Z#a;)koioTl}vC)=>8U=9ZJb}&bR`8zQ0lOfg^H#wJgc#Kj_HkN`g821rb zZ9Hb)4kgIcZ*1Q~333LMARCLF(>na>K_KI%BF-&pL#KgY!Qno{-=?i{bsq+2{8rxB zhr*f8$n|a(k@2H-wqhEac?3b+E=6S6d5F-tboF6?l<#RBWMk#I4XDJ)8Ax`dk9NdG zZsz#t3@(r6ux;9EJ3nzw0REPd=A2ST9gEM?q}e}xH;2Uaa_7v$ZZOrzjZl94RTBC; z#eU6i{BNzqCjWc%)z;W1);(av3TO(x$MBW6@DMLdTDgXYVH&@bH}OzRlSOXe;XYCv zwgM?C)}$fsxg*5IC^)*^7NRWtYhaWMkcEB?jB+ShWFG#tMA9xQLisy<Lyiw;3ax%}UR0rUlN8N}oI<)45AIC+)_bmX#uAU3_=+eNcG%z`5Ru7$CE> z2VLC8oC@d{$PothdJe$PXuWGfV|9hk1Utj5R(g9HV?-gnI6Ct)5v7j7i+-w6xE8_B zEIM1iSTwUPkQaUyf6U=+Zs?@!VocF2b1lfP>PJQE%Wc28Cg)50eWDH1tiG1=T5}cyfZ; z3%2D=DoO>E5bo9Q6~&Brb{2Jc#?#JezLAh>H>m*=RCn4w&3s73 zs)&1r{$BsycFBdVJ>(`=B&4FI=(pRpx@fh)liLqM%~$j-&XSR-owG(!S4;`kK+BZQ z!g|6R>N@LB5GUX6_Un(iiF|KZDq1@d*jZgqN(Sl4BW~mhYMS;w^v?=M@gyVC8Nw&0 z#NsrWP)RKzA}byF!>w*YO2lT(9Y^i(MmDmA9%?IeEgZhc#7+A| z@7)!197t!0!#A6OO5*}BoK1FT-9rXLn4HEZ)knY3J+PyC3S%*cO%n578Sy4LN4Ru^ z-UIyC#-0`e%_dg_n1#11r(HO=PH;om@CYki(?W6&f3JTFL4*24TL327J7ab6NidlnsQlJMKbbo_sMs{AC6u*Xm! zzY1*u`U&!5Jg*YNGWjKF3($MY&jLZpYLBxK|FHjp8Ql{Q%2^TOjTX4T!8tq1(*Z(OjsY~sSh)4Y3*aS3Z9s_iiuEIra zEWe0aZ9m32*GU|p?FAN4&&H549SVZT`4^F!#O3+23ak-GMp`@l=rmwEbCu=KsK93% zUyGvZ(7d=MnECcupoi$Yi*`l*hpQxC)Q`(!UC|j`KKV2I3HQmXG+(=x)E@7|AB5-S zg{FUmjNYIk#(Ui&3%WHvS?gz^wMHfD%3R3&@)V#Z+#;+TNoxgFZ=2|YzAg#CsS!sR1L%8(Xh9Mtv(j06ZuSD;@SUfMpmu(g^&pLlwL)@09d zDn=%Bio4(;wiH6ylOU80wZ`XkF_6Hzq@q&|23p86?r@zxXDAj?(S>m!n zW+v#W`ZeK#wq~KXOO9&pgg&&=C^MXQJwk?yHj-7zGdxmgA()n}WYhzRhh_baBq`H37bhvfQW37r+1n0%!n#01FVj z+^3!lU<2rY006ZRR}Y;n^~^%tXhRe_b$b+gjbj(G+U`YMbH|H#vw_7PHL%$A41(89 z;CFZq{18h+<7}&%_Bj8^%ITT%kUB>Gl(4?xBIaLioan!-oJ7t;DiMY{Zk){t!!Q_b ztySLr5cwsNXsSir4h^vCJ@APWzC?}%{EWzu2-Lk64ja@dIxIXkYb`4=^{;sO3@HD% z%Le5Iu`7miVpk+iWhT2P0pbADK{PcprP%oZlvJxniZr)=If*KC0pR!@9KVC(L^w_i zoosE>dnZm)pzj&_5X)iM1;aE2I#z$fOU7QyYUjbF>flm4`aoAz@fNk`t7q6)6BvWQ z$OYqkFrEVA*{~fu6wCC8EPS)nnFKf4dwf#Fy~rnd}a#c7wbfR zPzsA?^@6Wp`c7*MeEl*Dg^kUg&-9-<&ouiyGx$1hz;~>Ej`K*fcdB8k^Fp)NP5o+! zE|6{-u+Hl;+3=nEcZV=GJC|G$iwm(+$?zrng?$3KEEfNX-IH9>hWXhZO|ELgQS5$X zX&bi5o=l$8hL_rL&DY$RMfwNk<8IU;(>i->M?6P(0;~tbCU<&&opc{=z*9{Z{DZJ>^F6rH|bGj z##ZxgJ+90&2#U+dr^aSbT!vs)pIN6zCmTD>JN5WvliO^{LR>R$G#|*qe54yd;Dz8W z2ia}?JoEJ|pEY{A83dWu7>dkSvb_HWUe$A1UVj@_m~Ul~aC#!prF!5Dx$^dI;%0rU zytUhNv!O=b+3oX@G1cnPL~Ed5wep($8{iS2-Nb5Oe6R|df*V*^D@IDrr6c7#c$f&& zmvSQyb=+u?@8{u;n_TkEJmdo74*4D)c7f@kd>aq_!gwC`ocI@}KOi`ZU>c3iG$|pL zy4$9ZVq+=Au;=uSr9HMSlcL+mlWf^iuQuu}?PJ%JcIdHs0zFpAQvWvA=T@AQRYQMg zjgSV{FukqJUUCg%t95cOyM{H(>eEXfqJL|R?+qAY##w`UsY8r2*3@3VA=YPBWG^#- z{<}4#HzdAT@55M3-*Pthp%lh5oIQOwh3R+BwmziGc!<-|hjp2L;%NHNRO1a! zQy-pc`j=x6Aa)paoP7e!4%2PUCIPCzc!aZCfGaTl!r3Z7J~Zy+91>t3n!e-cfcDgQ zfwNP9pJ4hELfeS*Mib{iC+58A8`u`0mKjfT_IBcynO+0?De@2F0boDH{$cvjtOnXs z<8=;5Wo)vq%Ln}ciI~F!5le-O!UGXYs*AvjYS9+Be0gy#zz&Y(#Z+mRxfr~JDxD|S zqlms#7(T)*V!jnJMqmRFESxk#DPkQKdXM-%qE`#g{D(9)U3&O`<)9J>Caa#N{Dgf} z{P^bPx=$P5kjY2_^0zl7jpY2J;%nEN;t9y606}g>T_lf)a+(kqsShA$6`~BKLI91gjNGCAiyJk_*#U~` zQwPHe)c3jJgWLkmS?;94h==Mo+_=Gzhng1d^uh26>Sr!&Pv$(#I!Q#&?0L9<9VWTD z8-)Yv$A7pnY~Yl$ai_7lRX|SelL!&J+miUi83VXbM~r+|H#)=AC2#1)r;^WUJGg1= zNKf^Mh11OO)Q}{fBueQ(hAd5-Lq`W2TyTRUQ<>p5kS@%n9kYRS;XRNp%$54obHCQj z2CDO%BFte!w)}D{F_l#e)K}5&f+F;C@#n+4Ro?Y+Rru$6Kl#~K!VAL`YrWJfmVC)J zLy8}#Oje9U$hfaG$1JI`2tW0&uDJFYUEU?e&GOwUd>eDC)vuS`#+YTjVu_N0#?w1j zWxFT||J?B2`rd-=!yEH+tv#uDn5tN?QH`_Kc3 z9gDvPjm zI?w@7MnLKC8jD#8%;zLQ#9!K9T%_6=L+D7y`r7O)hT^vEeMI$S?%p$%Qa0fQO4i3MQ43rV`j{mem20v-;f)&OidR+j zqX~|6ji4}NaHKba!puy^+(uBCx$7uw1cjL>$8v@e#l>aCDYQvkW>$^7ECT8fT`ECYUxlB~2dlC&7s|?ZXluB>Ai|;BEAebhKZ=;Sm3Bt7 zp%+JlW>#UZ4^&9#L>4qVHdz~Dp@H_R?h}g_XuoPHKoAjiO((VBLHkw92LWQ?C~mGK zI5BS&`->zkQ3MoKMx@-r_J}aNx6R{w08@Xew&CgPu6Mp7_FaQm$#n zF^#{;o0^eK(>}SujfrI(*3MTjW$~%#7<)~7LA_6jA;G$6BwQByLVXFE3(vUy+Gx+! z?-cQUoEMt6_WI!)GQ?yEh~Yat#&}HKVLP>bW-RuAV?w(m7JB^{^3(szJ9kbo$vvwL zdCPQ3e!$JFfub~@j-VmBKWJ`6+TH+K0M7uyU*u`m0saM~r60m<*py88=<_)>zym=1 zoH|B(6(*nq0sz!kVeTo9X4WPFVjtZ#j{XN{FaYiXP6B=d>;wD&xC;0H*aX-BXEyk1wfa`#@fPVmZz!pFz;LaD>nn=J2z``%GiyKazDE73I z9im(*%1#Xz)kr<6_#mP32W3uOQcFPit?2#ZJarZ+$X6XwhZFW z>pw!a0x^$)7EK;W?T*K3_B!N^=|tpIM}A`t5qH*&0)hY(fG;3?Gn9T{c%d2E^9njIGDG)=g4oJQMKBz){Fx2t z-40d0Bo|Q(5%K-a9;cv0ViG)4#HXSr+3ER(^~7i7LQxzaYCgUb1@fWhW1{Tyvra}xHWr(A%Dj_JlguWW*EJvnn9||1g7sQN z>OhXtpKGdIYkSITFzf6j^Eo$Rly*S4p~II*F1HFHs9arx)!7r|?QRB@?Ce9c_ggWvPvxk6WaYTz&zU7H}CZDndUHzdO~d7-qF`Hmd^dAT1dyrP(2pDzGs1F^fQJ*+-x7N{eyL z8t!VvQ;mOfnv@7C{1#A0CTB(aY3I2D8!M7fQR2Cc1xd)0;=;zVB=o2D>zp%6pNH^U zu%;I^j~r+7vM{=NWx8C8cX#YlElV=3QF0NVVDJH1SC8|CJdkxIRxvAuKD~?q`cF`3 z7&yS3ZjG@-c89LfbXlf!NB#}1-PMiNqiC#nLw-IHvB@sX2Q_=6USw`%6OD!tb0-_} zO`n*LvVF1*6!S$k;cLCr+{X6)+Q2t=xe-O=xgrVQr-=HCXg(iVLr2L#*{3Qo5Kl2{ub4MhEU85k?r1{%5u}63hx76?e+R43o z^6LrDD9eSRBMfEiP24Q|6!S??^DwM-R`P?BSYFn(iV$T86S7ZyW%wTT6GfCVbdTn; zVyZH-1Zt$i2RS90ZHh^QVNcZe6>)>yo7hSas+`q^|H*;tNw3GRF}@WgbWlc_X+X}D z*;6`(`P(qde5;R$gY-Sn{&Xc=20{o{qHN4_v;Mg?ADYc0E^B^<+)2nvb(12J4H|L1 ziYaW+h$Gpn5=+LAUm4Z`tv@M3pJv+vBFxe;?+620eqI2Is{g5@Tx7Q_09WXsB+eT1 zI&L~5-z>l_F#h7C)uHO6^Pn_=?#?}kyWsF0zAEs#Nqz~xrzy>$@#=H-4bH`Nl={$k z%~jWg=E$?^53Xn#=d5OvD_It{MD1|FCU%MDm}{~u;tgzK<7FXlG`n4(#S^4{>E z25Bz2`0kPvm;y=c7sSk@Q+GpC)2PF0Fk}yP? z>7}iti9E&yp=F6;_&^qFJ!__IauczJb=T(8gc_np+2Wf#hnOdAK}}GG^rqv?Jn#>e5Cr?o^xpe&IFwfsvoy^qkIf|t)Db80w_ONZ*ivyqN{Ls#6GnZ1DHs0 zd~Lx1?gX$^UF=5R*LOMFy7BiR#JRdDxPpweZm@7Vd7hdaOJZlNQ5|F9bw(RCi=lQd zK3fetv(Bh&O*z!J#(k}xW{K^L`C7BqlG2$Ft;U1KD=%7;X_=skJ*AGcM604tX%<@6 zTpDz*{THo(N~2sU+D@G$su{uQLl!=7DJq=ZjVn)qvx4sJy}` zOLa#rAz2a6kas1ajWd#PQ4(5Xb`tiaWMX4Rm)Aq%3(g@G=_o5si0h?xamq9emPy@V zPu2Gp!BVh6~TqCDWUq5dRV(u?lmuFq)we&bd1zGnP0#-F0)9f+<-rk1IoD5IFV5+JUM+oPSV@Kwg_(XCWql?f$U9|dEO zSE9>Pcn`)t(Z;*Vdy3&+gd|910BX9O(o=X4f5RTvQ{InTXAkTt?Z^Hr?s#>u#UfyX zmaoPV2CQo+o%qv4*)C+dcur!`F7$2j>com7M5tJj2$YCN#Pbu&ijZ@~#lxCb(3E^7 zuVAl{*8^s-*Enw{j#}-2qksWG<|l)iD8TmHJ?KKfcV7bFI1!E$;dprC7x+}~Bi{Es zk9gNJkNY+UM$p_T#L!_0JHz=+VuSS{p!5^A=G^T>)bov>U|wtnYyxcg1jB?e6UIy! zGhw_3#(QAA2gZ9~Tms_~7?;4fWXlKilZ^ml*rch`B?awyVd&SAW$mJ)i06hP=h`M@ z4ak<0xHPwP9xlxgE8nRi)G+7Rm`&bevFD*caQ?8V8@Zk_)wYz&a#s%EezeEPuXm$Y z>g(kvRE#0&2ip=UVu*sZRY^U@LOgZrEFOIcM%eI{Xl~5_z!4pC+G-mYeQY7gUzJkZn4^!NwVHkoWyD{r1$^a4z;-ko!lCs9! zqv*SmHH|aoA)*{!oZa0X1*Tli)^6fMBTJMF8n3Jb4UzjlJf6Oim5npIh!ac!@K{Ql zN4aXv;ZEs}u0sAG4r;6%7-#I}Y*E1v=?AE{LJTmmwipY!JJeIxW%1~aG-^vF{KjfJ z9xEo~=kLO7`m*{Wb3>PkM5n|FrM*--?F__s3A~V0Y`7yfKl>i;n1f|*5D>pMhMEt$ zS-V)7B5WP8h<-;DUWY1TMv549o<)okqDghQB6wzB>hFsBn<_xT3B~jlC=hg)$QAonV5poi3 zw)MKpR~fH(wBTR83_`x>GNa18I}1^^MU47Ptmr8T!~QN_){}~14U*4$t{{$Jk~fJ_ zhtfSX@gb{(-;*bq%d=QL(=ncdlnIzoVh3`~rlW`(=>m1?&q?TY=@|9;pCQe8+u(!S zxZIyeJTXy~cF-noEv9bX_(T+(h ztoKNz&}=6Z)Mkc)95(#j2fCehK^QRNtB3tR8(3{(w;>5F`UG1jAD==t*%p5E-lP6O z5#_FkMOW*8b>?)S?m@#xse<7yYr{R)2U{yfLYpJkqyBV6vv`Pz1&j zI(+tQmf^pd(FRhpPi#g|f}Iaau+d-++(Bw1+{Hfu*~sUB*Y;5O6~_6`h|s>Z7Y?uU z$ZD6SeBb;a{%AOCk}+wU1~CW3HSJReczs-#_HcTu_6#@G9X`*)J!up@T<$?1UOR}F z!zbTfxeMf^A3-ve*vD9gA7cJ$4Uz^8F&Nfh3%3tQ`~S5}a2FOKHyBPimrA_@$d7Hd z#-+~Skz_&GBK2($q@|4D+@+6DnUc?Ha~~n^NGfY*bP@85Yn;1UNqHumGgqHiThax( zBb%M|z;Z51Lj7m>%4t!e;tj{0=asm#`c)8>4yf?Lk}F79a=!JyN11KSaYc1Uw?N~~)rg8R!+>*s z6Ka4QCCY2^9H5>QEos7aaUW_^xPjd<4|VlivCCC%*{!R)5Oe)Qa;ny)qkA-bo;>v%rE=l|BNMD}U#7snj6TOWbGe19Sr1fQ^7HpG?pg05bu16=Zi75m#tmHryeD+JxQm z{WA1%(>wX*z97kpl(#(0#C7whxWz-r!^T^{BaEnz@X`L@3WhB*<|cUy^!Ru*gAiIZ z6m>7IBh~|-F zJPpUia9j*h%}W8F!`<#|bY`C-BC5Wx5`DlE8Sc*9G}DSGtg)Kt1(`l33uznqh5UsP&9!hf5DQqU`_+Kb>Q+5BwQZ= z&H>&6gdpddc+|@c%Gv_@Lu>#n*#=Ag1J&0|ST+}yjDVXM+$Mkvob@2M+y|G1U|z29 z5F~8YdMViM*+e|c;ZZxY0khY^tCck1N*(OlS@npsrVi(3Hhzh5#JQ&#vBX5O1@eJk zf2Vai-?yI?tidZhRlHQ(c6-sVEEhf7zGArO9^!%h-f`*H(JKX<&2GA#enA)u-=uL1 zbOP6Ma%nx%Wk`UV6(2^f*1U9l&-ucQ3!^RT?N{DquY0YQVzwFUfq%ZQ`sY25Av>@%Nng>8ZT_6 z`b$M5kGoQ1QB2`Q{H1m&5_low(yyuc*+Wo{b=ceh3b2d~x@9f|FTqFa2|}>a0Mu^# zC;4*%kj3^}=2HUCQ--W!uvY0L*^yKWq+>k`| zWbOi8SYHt00bSUsiNal=3yU4T*$R2pYl zf=7rW;#rAhUC6KWWlprzzk%_&RUlNKA6K#Jx%+jq9c$%#l*m+CoUH~}7vsxx3DDkfOzHJPztS1g z8&n^ctX?Y4Oe|f2`cu3x5i}&c#HH=|E6^tKs&?5ZB1b&Cy=W8#E!6E5qsSO>b$h`m z`m}gMdl?Y~kA&?dU8I`~oi&-yZeyieaeR7x&LcvN11uG#Gtb|ao z5<wMC#kSvH1yxN$cAsP|!?ELJMHF}0qV# zE`(}k0A`LOq;XaN@&}3KMa4IIsJ{B_7dCGoCQ|fCT@anZ5=raubQ%dZznER*2RAaY zG`=W`;=hYoC-S8P?_$gmP932thn^?nd2eH`im*+rBG_Sv!*3;1B4S9XMU45Nj0gGE zV%uWZq~ZUOfSn?oCH3zLd8IA0OlpnWtWH+&T4OeArZ{TzXE&hUfeeGD+2a{CSaZdZ z+mn9}^;R!2H^~ClJ%Q&_Zi)7|f}xCf0>R!Z=BqONGq1=vC8Z=q88zXOGo7+eri*yz{y|*ZiJ218_s;^%M`Fe)^J%{>c$z-qMX;v)O@PW2iS@Q87jd z-%v*xSYeu!JWBFn63LrvLin{0-ZOz6X4Kf=k(QgS{^An{BxvaD_-q};LS*~q5fig_ zp^r#bCuS5Of;aw&Z(!_(Thg}ya+(`!_d{NEQlhj7zw=9iM}+BnTjtL-m_qTa;X1)2 z$c%dBdcBNlj(?^0h3BVHR)d9>G{|d8EDTw|c@XaS|4BUeQrf78tc!Ym+bEURvR+0# zqzgW`Op`&nz}ph(&KpHNH$9Ud83cmWb++x6VE4>X`~f?neGU;*ZvV%*knd5$(Ag3~ zhHd8nO95{IlliC`R;X=TqNg>F?>9u9YkiX#W)0#qhoE>USdr2f8KM5yl|H<%d4e;6 zPZ*1f(4jy1sMdkvb7no!$8gfw-i+I(|IJy=M+bO+M+~4HR{sJl4cvQEYYXaed4?aH z7u^8|Db?x=uAJJud&rlLQm5AKHx_vl$#PWG&b)`->!`1l#3D)^Giu{<7uHS(gaRfe zO{>j`MPG3&tIcdfthz16zyA{8STrnbLy~T{;kSPYfa7fYn&G6R`r+85F=j94^3OW9 zB2*u>9?@an&bjO+*eM5u6&=2ITDh=(WMUTQvi7AT0e&MHVboio^}4DNn%QPLRyIO2 z+g(RqBQ&!`IhHhL4>F`MyiW&`WBg-m^I+oDL{RqUFU7`4JPaq0@s zVd)@Uo;^r+{?Zd=eFV4&7zH!{?g1jdC{)i*KY_R~;{@XHraa_=EqTaP(jinmB^m3U z04IrrlN7@VUW4-#aJ~vy4fp`S0yY6800$r(a11aX@GF1;*bOKKJO)exTmmeG3%-U6 zzJ{Ye9G}C5Z^A`uh=)*PWVkRNE?Nk$d>qRGIq(8r!V7o;NN^>)i~A9`3bz=b zSD@P!KSW+-gQnCW>U-Og5r|-sY*ix=!3xr?a}oLyTC{17i9o4*mXVWTN8sT<+4wt4 z0VDxrcI;b|3hK-}{x*uC_>8#57zPz-p4W`?%saEN$@)j;Ls{sj`il_nz?bPqos~_5 zB*s3`+!3xSa~>3c!|tt#(G|5!oy?7F4PU0&&z+*G>_VL~rI?M~q-X=mtmS!pZJ1$h z;1RP8TyrN6X*BLIxAU-`#)q6+JoF!i^G=48GCr>t{O4 zNBFYPv4-LF zFq|5OQ^W91hl$@}u#)P$|44>P_q`P6$3GygJgs7DvDqE*-U0X>58YBzqI5C$&% z%=Z$8p3?qqUs?)=0y8BUkTbzjAQ=)}@9~UtQu70U+E64(_1x&`Tj@MOO2EB`HQdy%TuU*L_g7eYg?5?yiVI- z=78bEg4@{Bk}VGCQ9o?hWXc(INvG{F7Y*XlVMqgRkX*z1-;;po$HDo+lgwCcq7Jfq zqbF<7M#f0UWNnhsZ6xTN#@FaI61G`=!Cz5^aXS0bY==NfTG^*^?pazaU6w&;t|SV8z<%^XEA z1WD0W_^w47@g(@JMaOzQW~>wWj+GzTjyOHC_FRsNb9;4&? zoD)eEqh38hmBa)~eCh1sotVYXfO@6_;QzGv*=mM}8M+TyHUk!*1#C6>m$`T|x0@f@ zQ($YMb9xi%OUtZ|v?k0H%ZiTKpFeY-KWJl|Ll=6u09++=k9kHJe8$TH0C9kDfLA+n zlWA>P0(|si00MvzfU5}DuQ_QsE%+d9k8fJZk8cdJt1=}8G1D#BpCuJBkUsxPs))%7 zL^C9FVjy|ohdh=yq`1#OCdeC8+_oX><#kYPt0%WK_mkft9GE19e@%^6m+-?!AW>e+ z4^c+1h0?zSW#l4sq}123zaNsUm=>MD#S^~X4!|TzutndJ#k|c560go8_4=o3J&ok@ z*$wz#B$H$rIT+%Hr3Fi6m-CKlw^ke?Kx|P%|CsMnI+XXfIsj%G+ILRGeR`L=q%>y& z8b8+g#MXGS3wK2tUU%1T6*0q^IuoWoV9t(pme?9jzQ!GqM%Vr3_mp^}D*A!xSBKTB ze9+H}bffyD?%vu%jLQ3@DCFHW)+M_klP?%&(b)KUZxIet8UiIjGMCsIu z1bj)=%No;9j_~r*@QOe0?e}(lcvf@e(Ud$#V8x%L{o7s^m`^+Qt$N>f>dQM?F5eXi z-KELrLo{QTR>;RA;d3Vb%wu)zzk&Ea*Os+3elrG4j;*@dLv)+ml%KX-IJRlHBea+k{I@ysdS~H4!P0JK79wonK-2B(}D$jz#!q2_T zf_Z5elGh5E?&Nw?>}YpWrC#Pl%cL*sGEK$~_&V%Rm|jvdm-v9Or?2 zdEvL}Uja9*Z*6X!vX{+vCNIh8^b)&EjGiqNj|TV=w^-YNGT>7 ztdtJPGhCV#Mw~q(T(kOiBtOH4Tm9r;4-w}YKFD`C8SSjC>NVDf@_j*#oc>kO??UJt|)Zvr2=i!km+;!iGGPSz~m-=OY{73lmP=4v@NGn<=ox zK3H-BmTZD0At3hx2@S@ag5(WIwr&Gd1K{68kZVCc4RC;Z)K&`N|3G+^gsLzh?CuRq zYC#r~-1g>iN0khJ1oS_H_8ZU^gEk6ue#xu?mjk4appAm9_kiRYNWKQiN{~cWh4sK2 z90o}V&}V?Y5A;5uOMs3B8g@to+85}ZKwkm+E1*}n_XeNP-nGt_O&=yqytLwuVfwfm5wh^6c`X}-r$zfZ z9*BPHcwpLF_=9@0k>2LV_EYkXYR*8!pu5f8b6Yj;fvcPA1o|4y<-%NbFyOEetz_@! zmM28S4irqly{!J1Fuw|*zuc0WU(vDw0KtNL+rDaZ`5MKd=43gGURx#JR z0$8iXXFBBKw*Zep^lS1l&TA5Yy-(cS(I9>Zm^SGC+T*Dw*#*FyYJP9za054M%|;I{ z|DEQ5(T^MaPWwoK;`$Rb_4X|>V4rXhQh+naPy=^B-p(al);*HV{#)P5x6#HVLL9)vN9%n43|XPYdWHcYYggE-L%}4cMp-0 zI2TmW78tnN>3$u`t@9K_=lo~5hsZ+t37E2=n=0=ca$_UYOvx~QnEoD0#Y6J>AE5^B zq5K;mYPUfvuXYmKncGcaW!!f5Oq1tmbbffPW{owrBg32c)au$HZo)m(W!t_i^DJgA zhkNP>XI2w-n(iO@S3RhB!zUBFj5Zb#r9N&S?+mK(cC6w~vYm-pYkFDuShdGHw6|M7S&))XhQ5*}^H#=(tsWm9<`-Z2 zGW>Zy&I;~f$r0Ef>sr~-*XthneX@NsW_~*U{RQOPzy6`zQa*B)@7pjqao5QT&W5?8 zTh^Nc<7aTv?b}BlOdMUZ{@=h#{fYgS!)>qiKMfvg7@{vs)iocS9ML^vL;e{3m%`Ci zIoqvkvV7PVW^TwYHvHW&vu43coQkEw2Cs&gl79>AULi(Yq$@qHyOW=egSW2__M%o2lxWQ_Jtrg2-{x)K_g?tJ($__&ie7T`^Z5AKRjf8Q6l4OTE1$S9*kwaAGvY}NDQJEy>k7h|d zCY>H?E~*C~zB$@ZzPmHO6`w7g95cI>__LG{GrbqJOkZPT)Vo%Mjn~fOpZmccSC7BW zj#l54&UIAx6Z)8gtG(C3q~!2=Y(?Z+%^J!2?fnJyUe_5XRsZol@_EL+nQWL>R$tDF zVE<>P(|)^qDu;=?Ch_kmU=qS5>#to;&0@CGt)`V(-tDx(--N5AvmD0-_&d5=@*j|r z*T3?vYFX~?GiR{#2R8>L%6a{16=%Trq( z?YD=o_qMrKat2q+?n@#&7D_gyJ*RFkWzc@h`97@|d;~VjcOoU0d*Pm{X_EC9?(}se zH=cTbiG<#|jfMG0+D;qup#%u20KvDKtr9S5t1I{LW)E)sDgdTc&i|cuFaO@e^=V|>8EMzqkyL4!nZh?7FPdc~*QHCQ+}LHv6p?7F z)6+tisdM=EF6^Fvov_04C+|4&ruPtIvF0`Zp64EM1%45AkaDiZ?o~HS;`MyI;R~CT z_Wa5lk&WR`I8R0BPG2T-ka8v2K1G%<@$xqyJ6hV1H)(9fG`JzYH+NogG29V% zy+743gh@3hzgjk~lhwewpjyknH#Be27$FQ%ep)W=bkCs=#t&Xq5Zn01-&%96QL@>R zy&1<0Q*9Gz&u4F0IEG${Y-u@+ygA+H{}K1Sr0eS@4JO0PyG^`4{i1Ds{=Dsm-+Xe-bAF>LEdb9OWP@4Ok0h98M0sVk$*2VNtDRh zs|z-||MAk$2=~ix_Ia>7%~TqZ%o<$uZy{XuQO3yU0TN8i9Lbc2Gj&xEr;YF53H>-k z{=J#gpbF@BN!M?cy(yGngbA6E)q~A~IqF&bdp}N_*NXPjDKC9u)>A4XjDKR%S>sF- zXj9J=o>O9$AtR%dIBA?ihwR6Uey5}?)4eq<=WkwXDITO(L>Y1mbI$J~K14>oW3Q9WjLDRETxg7*ti>DM@&!iXvIzQbCIxM3-V)sidbfUL)a#lg zx}!jn`B%RGI~XRux$#Qaphr7L`l zTiFv+H{cDR7H|V_0`NlCXnFwfI}oGZ0{FmR;JvL8I#}t^+6&T*Qqf}!@lrkZQ`PTS zN;P2n@7P-S_eb|DMDNdV19)5^Hn{-E01|)#a0LM01bh?l1;CGSYCU?m04Bg2KnHkU zjqhOtd;v7TH~&c3?w_4${Q-K(k7uM=af z7;0JsuG7k=&Ws?GS?Scw2>d8K;))`OHw`S_fqYlGE|=Gt@4gF}$onqeZ5KFqtnGxK z=%f4ZBoKXxg}j(wVJegPOc1j*(W5dN^RWQz*$ zEXzb$Rsr#Ai@R*zFfP{;Da#!u`~r>G;DC5i_oZz^ncr=yhiLXFwb$QQJ>MSN7P((F z*&f;!z8{`|*Oi@pOp6t5p)n>;NIek*g-VwKRhRT-hBOaUe>XtoR+-8Hh1*X%!)30c0Zp6MboHV0iIb`y5Ot0T5 z4w>ZYM%Z43pPqbCbHKmQ+)>vdFHgyj;Ri-=$I;m}K+gbr3D6#ZPLT9~qyi-Vff3G_ zz=%dJot+M{V37G$SK+fkwsZPL&37Q}0_kRu27nB9tDpls>FnzuPjYj0TLw#-0gI~u z`b+X!A*PT1NpsSg1$N$$S^QnTUx*D1b7R$TsLal4!8n10?V>t*`%Vx zA=q`yd%SNkEUR<{xR3MOnd=89ec>dd)3lN1^^95uzv-N3^ifj@&F>k_)wF0d(K$U3 z_g=#9n3g{7e{zf`W0sm87G70h0b0P;iw)@QpK+-H4dxaO=wh$cueUX(c+?umwyJV; z6O`zN*1I;bzcG&+aUPV5Q2r&?f)?1{Xmo?@KZ6Fyn>r7@rpoz&pSt&~=Y^om})T zq@MR37r#q4$UDo$&VdA2YAm~z{<~;ptZyrgA(}txpAr(Rd99%L^MbV;7-fF25i?%5 zQ@kve)hjrxj!;Z4pLHEI&zfF0y8<43GtJi&?0)|w>?CV(U0MZYEjasx+tcc1UPpgn z&Eqx0V8F0G^nca@ndmweZ>^A}M1X-=vP=?zky;h9^a%9-Dx1-cS!!J= z%V+7WCupVkxDgxS~Dp4nOe&(n45ZUx%%tY%l2eg#0 z)HRD&cd~BNE~w7g^Bjkr?zb5iwC@yNN?y12jD_Op9&+{6FSPk|dQG6`K@}_u?8C*` zWsC`oGf)K^uZ(&DNx-QC$-~4*>-2%tM8D<<99OYdy-OEMC!SJ|>*CB36YI(AnLmm{ z>M`rtp<+%wX+3L&IH4Zez5Wt=)I+;hv}zGQU?g(9I*A`Q621ucLBbwb+>0Y1?O=64 zc)GxC((@qcd_F1NGV;jW}mY11?(<>gW`>AJ&ft=L6^`Sqshw9?SG3$o$hP!ot+^ zp!hRA2Yp(a>p0s+=!4?VJ@$z)GkYn0^ogds#$e}+Uhu7*FW=wh{=_gD(gSX6eS(>v z*A6F9kSTAS16&jvEzn4cb zHPW;R?s6A(VZ5ZP99ku|lDXQPY4jNiyr=!ih#lfj)-+2)I&#+&E+A31yg>+0>B-Ki z%yAu&>p2}$Z?ifA0C9kDz?2Ehju1dX1Klwb=A14DY=xPpH)96Piwlj~3y_8b3 z$4^x{3Z)vbT}P>{I_v(?eVv#0XSe}8)_FC#0LTCmfC6v@0N(_B6YvGVk8z&F_izDB zfH!~+@C2{{z5xF>s=bQno}iDYGuEWinJ_)q+HBkJbQ|Vu)e@olML^NagrdZJZ%n48 z(2?Vf{`B+dh0@jYHfAwD{`KNT#w@Yt0*OfcEMtD)*DokEnJoES*5rm4b#*0Lf-4J; z!+rdo@+7G@!|!s>+sbKgdOb3PNXoUvWmPyE$KT(Xn}Vxk(6wK^2r-5FhYBMDvCFF- z+uG|eO^i93f0DyW#VPJlq!p%@$@5ERr4Xas{xY-tu{~i$xUDYVn3|K9Irh}y3mB&~ z7pjYGe*RN>q8_6Xs+w$v>!7#%G2u*AqOH4*GfaD>{!}$)J0U|2Gf0~E$^MQD%zIK1 z=739zfQi>tDR`YScrZ^@wIE zN^}lxT-A2bj05!kz#PIi)nPn?j8()ssxD66P`9uRz1C%-IO9=aU0ONrG20jaMb#D2 zqDN(QndO+roc%a_)e%#6a-=M+-19bjrFQbgxRw(#;%&y?n&s7-TlyRkN?sz-lk~57 zdop$)rQCM{+fx&K(XHh>2VWW7i~H6sTFbr|ZVPynJ1_@K03v!R^Ig}d?p%bHYX@5y z-s^tP^`+{~#q%v%hwq4>*JHA)pX$cN?Jb4@m-Q@9ZAmg?V4;$<+2tou=7C8gL0zaH zs&|U~4g`&aSCHSi9v5vrFpqSva z78e)nsx=oCx-{~k0lWI9X+j~lF{*;H%;l0fy%5(JRD(NR9c}V{lopf6#rLshXkS*H zG$lS-6|2i6b`)oV=jLQH;GI__H4Ecw}(aTU?&=xHw5W>TSNerP)J zSk*ZB_c4ri+A{U`7mGVG8nJiW)|m;97Ib7eDaG_B>Ypw`Ggv;Vo8)GWFQg4cMG&Tu z(oB@X(4o)>?D?ucfps0rRhVsTDQGs*TS@7?ZrDogzD9u?xg!myqJ|Wt7Sv9`z;-D-QvPLAYTC4tngyK zvBV3W?EsPkI1l}MJvhTN)CW1f$f}22I0AkGZev4xPocIw| zWW&iLu;K;~O`li5mZeFsA{hkMAwu@w5TO?o=vT{nynzVuH!^y{s992 zB1};AbsO5>O%POsKU7`ZQd8&Cz*?(Xo17tA)Q|UNuGNx~ePvnwU@QGfotj)Jn>w``L!Z!`7k?bUV@ZJnzPIU87N8AFv?3P?gll)HEA9&~NvHO$QG zf2abUyfV@L(Si&QGCs(tAafNePLRE0^FVeCWWRvyZ;-fyBp4(y02hD&zy$~aIKbyu zVZw4Zp`y|gwt!cfhV_oU3)?(}ZT^LAma7Av{0YZ*upQQh{PzWH=?}{=fX}Z^2aE@h z0AT=6z+?ac@cE_LfOr4}5C!lBBmvL>*k{;9s3?JVo`5ddxEGUf{wLMm-=3I$Dsi(d zdmCU|b|$Fw^!wP-)Ava|zpi#4e%1}L`yhJ`vNxum;H&qi7e_!&1Ze=sTzh_fP9A=? z5oG5<_8Z7v0PO*E2+(fAtmp1cZEw&SIqxwcM?a_-zkD?5{zlm(8+fJ0$u7byA97P0 z1?cTSH={$2UINKYHRG2@Aaem(V5#vP9uNd_63Ahvqu>C@?= z(h)$-tnhR_pcbG5>;M=5J7366i?W}5Ck z{8DxD!rRLiG#$}Ny&co~zAxngVvT(MB2tN=Kk zu%ty5>$MIb#zxhGy5H3;+|V1XbEcF2YIa1`g9_Tz=`SN{LH6U7(CeRd~W z+Od1-!|KuPC3P=eyeMfsfByUwiS+ZoU+nH+EugvmmGRe&w?lC+%PJk0)-;v%yzTq6 z>iE;OGmcr`Km4@zhkq`7`Vc*SYcBDu)V*%zZQK{q$hyqig#V;IGSO{3UOH8la+_E! z#mXcTa8hZ2EPVpu$0cLazE!mvLsKHYRaY4&rSRsf%8fxtzPo*?QBK&*m z6ywx#uMeLF(YHLN;p_7&$%uo3*Qq9zBp= zN;CTPfbs7-1xg4HOxX&mFqELZV5K^aa7h`Em+vX}7z^8`ZMMF*%>;jwMHMc!I-1RE zl;%vWfg-0YTij@@Fl>hQl7j4%)ZmF0VMk^S@k63yw>6Bcp0^e-3&&NR4|Iv zZnV27qiZ!3nD(AkL6{7N=gUX&&?}EyXw7Tc>-1dDd8qP*R^4oG><^YrEoYp*FsEJB z2mSJy&@XRjHls$}xPnTsu~;MT5K^E~-YhG_kQrOyX{uS?<8Np|{?o)Q^Q~cVOu{l+4QG!DS2ix4nP&8u3P zgfd1t=ZuM525!QeOvPnj1OC=jPzE;O9;S*>umRs`N*)#RpII0k`H4IFC8OjB*3AGT zbfI6fUPG!_CrzoNllh3%t7Crej{a4n7o3s?1b0W*50ODkJkkd7KtjB3M1C4UcO#AR zV+hRK7?Phypog|cegq+I)H&s6Qc!P@DtU7X?v24>Q>9=i`c}wskiF?YnhWbO-n3A2 z7Gy-i=4fw1fZ%KjbnyRW+QXmfOf5n!Hq_X>ARQ7uUb_Umk~50%4-LgOV!h9pU@f%W zXE;vx<11-r%_sRD&e@OgSENaf^Zgzf2*&1-MI8$zsV_(h9cKiXk2I-yRu*|ITn~8z zoG>y~69*#!!c(>DjAUW-RgIg`yGL+Un`XrHM1*O=jr5+d2l%^^rKJmV&<((D}U znTy$!9-sYdOwivsGx3bFzj z6}nDdHw=$+#NSqnttJ6VC8 z`yx`OPb+hZD6Esw%04gh?DT4d5A))vqZYx3IRXlx!zkKfyGwsm zt;XA)U6)-!SPLKKl2Lp=lqde5ki=v7Fk|csWHN8?d)w5~;e@_~X)2?ArYwC7r#Cc< zT}Rynp_TYUV2$1-q+CTFiszMK8sNkH%AP3`kKx1g7sNr(n3~sw``UV;MK6TH6UFm;!6ELExUVAD-DL0+8*5B`fDxwdoX_+jA-&TnTP`3J0>YKIAg%;mzPtl*--Za~ZHU`8T{#%P`H?xU zruTLq$nLo$F?C?H$gK$6rK;zLa)WlMcS_gB%x^_4k)+1VZN>a9SsAma6)MZ-$7Ho) zzmjZ@nOB6$mduFBEyDaPSr)Uf2puPx89e4QMaP zypF5}>|V(i9rJQf3nbEx+#Jk(39BPJ2Y+5Nv136F@k@z&2lP9>hg99-+XSM-r&M+u z-;8Xw)wOw0pmh~dy431t*s`J1A^2O>O=-5H8e$gApUiniJfs;Q(LdL=V9?VW${II8 z_F+*Mb{g`=Hgga?7WhB03Ws5YT*+E!T4M|sLb>@vaK}z2qqZVyTV|}wSkV9e)qt36 zhQ83Yc+^)2jzvG)x0KGPK;6)Lm?y=8e`B0k8Vml7r_D=a!M|~xIXBj$z%305UI1hO z2|!6>jd6B|^)LWlfY1N-+Z|R;1#kgOfH!~+@C2{{z5p6v9DoJz0l@lluiYy($b+)) zG%0pB>bwe9BXUxdGdd9o?n4h+&+x!*M4?Bv&SGUs#?p>D`SzeMF|^S|Qx72JC-ZQp>epy!m$j$w!d#k{dyM->=shkePoZ z^6h^KPa@^K8zFpOa zp=6FMI|BSJ*2)&Nqc&JlWqIvjoW4@FxE<|onLi+F$L_Ff9>_07&9=-K$SHpqhTUhW9GK^gT4)guKaMTN-UErZv0G2TkA5jOb&2ng5tbxYG zGP_^Qq9z;z?8A*he6a3ku${pkMo!C*3WO$0j+u4fLXp|MBWj)K4!>!GPl^(hupo5Lb#ty)IJBg7U<?SO@PcEeChaMj5DtQd&IJ#bp9}Exn*|g++ob0mer+;#)wf> zaahi!Z`5gzuABZSU1m!a#+6QN8rQ?VK`!uEPAI7gM<-nRTD9O&s%iBodo1MR9__Sd z1TyUXw*3g^g`v%+MhL$85nDZi_BAxxbO?UGe#rI>g53`Wo7E|VMbKRSeF}_TYOozo z`8vS9x?zn$6j)D?uvds7VJcz$C7w`^mT<<2$@Ngf_6^jq;VtZWVs9vAWBn>ltjAh7 zlf;;Mx88uY+A6+BO5iJvh3}Wbf2D0TqEdoMn#V?J3OIT+7+q3=^qSj7Zc2b&+hxS1 zgrsU-8=3w8ulluqaLa5rV)}!_G|!Cme*XvfNz$ctX*uY#(%ib4IoL0x8{qaF^`CS$ z+@53b($#Q#j;@w2lu2%5rP3{MdycY7r^}KjKu&#$EOi3CCg>G>(ReR@?;CwV<$>B$+BOgzL#Xm7QDu6kgSpAy+;2lSu9&T zM%ZZhUA|L@exsXasvPAA1s@5`$XB)wC&!z1#C*g~22&*LV&orNS{bb(GD^M1!YWNK zz(3SIwGqp(V}cTOvvsw@(1WdHY%-UX`882JOn!W6#;LqkT=eu>&0YVQ=DpN?lL8*R z_1eeV)$d|N(s@VwF-8M8atX-0^nBho0>T_*7w>xk{uUC(JKsiGtMlZYX~VzL-{Una zQ6$5*7G#8cRKKXDcEtT}$j|K`ar@g)b+#wB-em$!C(f?NO<>Ftud63_QGXPt)nmHo zq2g8bq%PWb;sy1DF2)M+myjfIxd8XrMW}rjqq*xT7hsG*5n81;iBV_?B!%oa(>K;C2Y2S^_-hH-b2$`~0FrIm| z)h`9yD82Tr)YTz&zR`GGI>V`<9x~5_j>6EZnk=KYGrxg&5KK~MJ zz(2`#c>}ro3tTb<@wc^bNlCOzCV%*)jo79QfM4323RDuyPjeG$Th2OND*R_?qOAhQ z*G_Il#f~G5*pKve=7$Pj=gjNG5G%%UGT$XbC$+&W*c(WYtv#P~L$}n{n@@>C zSTmDM1WGpcGbh3tF^vcvu zpJC1v6Sk9)$UJWf==4fte`#WLauQkZO>v!mi5#NIt&G~sY*yvjspXLrZGzpUJiPXa zo*U4YN2s?f=bdtTOQ4al&7MY`U4b_mPKwE+?nr1K*qX;u7gWGK_DgZWC>DVqkWp(U zPYp(90hMT1>q%a{(>)NH0_meuQo=G+MRt$6f-%=Q$OTdAXhKTRM`$&i1+9i)WtGj_ zCv?AMhy!0`xAFRQ&}IlGt!_L+4-~$p@%HwRPZ`3&SDCa{zlyh~2XcR2yq!I+uk;JR zSK0lQ;gbjrt-j1nV*fG^Ci|_JHi}}hJjAi1E=}_VOIFjaeo82k) zp%HJh%EaJhe48^W#@3@IFkh->@coq$bagO4RvETSwTvICjM}AU^Cu}oQ&n?~fy&5K zb-bN1FxMM?z6%EydBe|l)&N*KjDVfPddiQ)b5$@m6C5B){QncZt*dC62~#KIfM&tu zNub{Wy#?q>pg(~@gb1cc#svCtVKo`(Z9q>4x)A&$z63bxDr_GBTEHccOTbGa3zm$B zC9q?K_b!hbSaunfErDfau*3@B^H4i!fIt%N+aqF@2nQW)Hp> z_+1gF>AY>r>ltJGwctWm;@I1dtu^%8Hi3B~^*^(p5#`Kg;d4iQ( zY~F|EGw!mos9d@ z%BxEz6GE-jx>Pd$J8KO1&Jl0vAMy5;`?YfXRAl?~I`BjI26FeOxvr;>EZ#9Ew}^32 zyfK#W7~B~%VkwWoov|bq{g}oOFN$@2%=k)N8H;CvS*keJok{;$To{XG(&C^~AIoGU zh|9{{8mMQ)vqt>{K`&Id>`{V%7wS{?BteKTJP`Z0@qEEQIkqiuziN{;TQ)Bdn`>Do z1Gk)CENL=mm7HizH1!K|Cv>?9v9DjUUh*9;9AEx9P)!X=!m4OPVjSE)t z73#zMcxA9%^@Ja#^tY>b8_CL`tC~ATZzW8A>NaAOAz_*~M*0Zv0p3TtbYNBvdY?3R zK$?SHDBUnH`!?z~>Fj~&w=q+ts|V6p-mO3SeY_ zD^FLFW8x}w`k zr?)pN9LnQ^oaybDX2UPg&_bvPy#rlmlO5mZLtfI$bVd;%431a7v@CP{Fodb3E->#@ zcsXYkgYUABjnl~(3)`rEXelWzcm}@9KiH~f)sShLW`|g7tw!^a zb0mrQ>D{J2)DcT)>HH?ba?7~VxlPboH>q?{6Om)Vmu3~<_E>^S=M@n0;AStk0Dspq zv2cz1@uSg};5_Z(sP^7yjOlYcha=Y5;vVKk6= z+xlG;`gGpzezL+40Y&R1yS|vWzn@|^c=Oc#;7@v1l$?bRr00qiS?+D3wnni4-uNJKwWVh0uL(#fND>zzhjztwwmqT9|rHKBgcv%^`h{h4mEpshm z>=AD)16RmAaYh-qLf#dZlwlv!U?gCf+hfKVaV1=cyca4BT!?`$)ED7GKYp#ADw_DO<(MXFQwAVJ6?^(*_tHr@l3-X7T&_;FA3zma_PRk#rO zM%7V%SX;1B{WpJdo4-Q!EkC#|NTI&Rk8caGtIqMG+CuE=cl;zJkFMGVp5!TkxE)gd zfFzJGTS^~D55)f@9Y2s9NQ{({22xvb$H7Q2-v5~BeqC&<4%# zsQspQ)J*wwB%~wO!Wd%OU5hEBxbCWQ%mScS1N{c*nLx(@&9b{b1NsfnUjn@a=#SvV zC_+L?V=N43poJlX9lSwO3zC^2$pqZI*nnx?9*XaC$tG2dJIr*3rH48;G|sW@jX7jG zQ<$n=0(c3chlXJYHxtJMuQbh)BnUTqRMPOKlSZC%HWPD2TIe`0z+@ms2se|*LiO4U z5?2T}yMCk{GR?~J91Fjy$~Hy_Bd@CCjETbVFsNyo2U-)C=&F>r>)DwFyOC^1LLuHvvg=kryqQ8r#@T#g8G+zj#gFw0WUmv^ zV>yAWe?;SB{Q@~|5O1coG7S)K=C-oaAl}SuWnB|ZE~B<`n&HQl+ApAJ(;>nfQLBl7 z2y<=);S@xeH$sHjzpuvW3&FOi(TUKRjQ+xMhIdj(c%^#@CR9@eyh;?_y0fLu>B?kJ z)AH>cSDCwM3-EAwukUeNA>Qq|O9^daj)*^FWAQtK93Mk4AD>vWko5yP@Bkm?jHo8rL@N2KhE=Ibr4YIP8Xt->A<$x-R;mbXiNK zFm8a?G)~FAL7o7#C(yHjJ`D6}puYf`4>YXq0(w5stAXwY`aIBEfEGs(eXOK`S?#!e z*02F-J7J;Kb6|Em{x>jDp59KJ3MR^F#ke1=!2>gk30th}fy`q32WxzcsF;YgQesk` z;k4GM7|AojbgOSn`ZN4X-4=PzkjDdf!Xf(in6?8DYJu$_wLo6v5)~7M<2Z#l4%bKH zR^w{MU*2ZJ{GME@9tBwyNE9Fm#c>+FHE!uZ9|rnop#K882Iyr#hXW0(uLJ!A=zoCz z&yLIIeMGrIoUGpI7Qx;MkuARtutL8Eak8^Q{9NQ``5_^8uI{w_dm-@-@{jy@59*H2 zApfBU7mr+%AMC-z>yF7!_Yk^~r}ASx=x*I!`S~9FM&!PHc^jr9JO@4Ga}@Q=H5c_} zTNvtnGE4R00Li45;cfCZj05K7Qglab9p!{tk8^YG@3nqNXM zm;VFwR;0?7Sq}keI+UB!9XnGXAYBCBRQs=6GSi9QA4bL}AD+)j#~m9^Ngr zfj_k;aQy$c5AesUkMZMrf={WQ^22-lPpS9vr}PA^Ro&-@I5S}soTQ`_f)G%{Tna%5 z4@sp1f)G0;Vh02vG9e|E!-TX@mZN})jh2)-<}{#AN@h9cH(=ICRygK1gfd6Zzh1$S z(Ekt>W%){IEKyDtO~UwEw0;zdSHrZ8MiLTqR5N7sL;{X#dyE7mWTnPwWT)^}YBx!I z>L8x4lT5A4zfGJa!PezW!2Ku*s4JL22$eAE=790CBo4gLi7O;-b#uFLe@VjY7IhKE zNxbT^y71pfrqs>rf^JP>UG93^uac0uh3g5EB%HeJ_4u=rgt`UmiC;)O>R`n4f0F3B z#jgo?iJwgN8ec7$Cd+?Klv+@-95T*o;mHcfgdhu5HiryJp%~fxUgA~cQA>NdUjxGr z?t$SNt$~>YGh23XAyIo!v=j`^`7^Xf!QmkIvgU7vcborZ?Y9a{TTrm(o`T*M5Uf3? zAhm@Y*1S`ADtU*s+Y|(4;4;l+1zX8qrai2nD1)D9o+x~k{!g^K6=-D;TXRQ2QwFfL z-3nJ_$ZpLW#kdjPZf&gsKN2`sb3?%z;m_5cP`HnPkJSr>&xrpW?S2JzBq(0~X7UV}B+wuvC9#_|gJAhFqk{53v)D(2xY2zWhUKo@=p>}mA8LNIa~mOaoeiPu zZ=L9L&NR(9n6sWeMqZ{{Y$_fNZw#o!uCtcKWR6ju7#MQ36C1(Ys*&2GJMzcecSCY` zP8mdyK56~{(?->EpzjJov$2&x)C* z8?r5@5y!xqb+I(ju@`|7aGY(^C`IT`*G!Y}9Y<22Cv%qRAwSePGY}gBcbO+s&>6Z0 zTUQGH26Efho`Suh>$3GiohI1M82eFCx*FT5e%uMy%8S zLT0T@;!cIk+NdOw3Yj%miI1bU&5f?#%&P}CbOV8>Q@ZTZ&)|KdB=0f=^Qx7kIr?S1 zua%TJ1~zYp()E^pE^nU_!bkButrEgV8+l(TA$&yP8DJEFK7+S+1j0vAyzL_pJ}Tku z8G-PTFK_1vgpU^Snnxgfl+>acaUDCJ4Mo1dJZ)7oVPEL&8s2gTDUSW1^&e%lz}PmL<>%q zosRq75-3~X4StObSzaRkUrU^9aU#*(0s{wnVH8OCKz=V_w#928rx*W|<&(bDn@?Bz z^R>JABxR6MbBFJ#3@~cD`2=N%Li2{t9^ompwS3A*pj~r=?>oY`YfsqM49qSd{ASG^ zm_Cf3dTA%=HECMdz?88zQM2Rc(5q!t&6E)i|2Xanjqgr&sV5M&rC_zmt!lIXEmIve zS*~>PkBO+FmPc1nxxUFRy?)6q6;uE>*`>zCAD2Y($IaMA#T=A$-tyiqpv*AP9?YVr`g@xmP$}}oF2s(-f#BrCFO>xnnya2;DAj=N&%yh2I&tQu z1CSXypuKq!0LN%JMqfHZs$liy+MYU&g9rN>mNUNMn5pygAJy9KF4Ih z`^bRzaRc7d4fy*8{C#8lH4Fzd|91un)_o1gjdd?z{A}J3iyejp@a$N(VMqY4jwOzT zJkd;1`1XW9(SE5w_e8TbL-C$o=ms)|I zV~7@ejlTh-)*_3=8KYkP zLABapu&|rig!;nj2fZJR$DFNdjD3wvHijkXzJfvv4wH67lvM`fc9+9#FS~*Ir)XB0 zZv&kpT2V%8pzRUOD}!mfd7>}M!13U&NLuETLr;K*?2Zwvc~{XdOb6D?C^ z*~7%|Em+xtMBD~T09?d`f8knQoQQX~#0|(2i96t0&hN#|hHE*em+%u@%LTpoNXw_I zb2{K!4&uYSI}%*Pnr^t3LyX!td;(m=np(J)0~OjEa4o}^`w6&~gYDWEeDnz1W=)kQ z52OWRevm2#W(K0SNaqY>24X)zsf4H%g_WkpfY-cMx-tg5=BG>N$AH)TOWkXEPe1Ab zXB;$9GMus^LV$$rsP8Au&=<=a`YB25NhT#sxTKvm<=1=FFupLA*K^XT|CuE9e(7|) zsR$-q(yC24S=@An)U-Z}d7WxCrDu6xrw5sev*_31(X1fL^EzXxsUnLVLH)y&oaGxq zXPFdPvKA76gQB~eZhT~vZ6GF0yQ&}azS%pXwk|_+N3f= zn3APM%gV}%q0K2PEGwogVS;MPN~g`rjG@gbr=XR}>Ua9S?{)qDdH?uar~5hQzHzSM zex8SOf6nLd!=hx*G_3fDU(inJZjD!8=uX)q4W=)+NP1pF=?gECebcxy10P6b8b3Ry z16R+%Rq>ZPq(k{~?o#YtWt@2=h(ZEkBA!!iJury8;4$8CPeZe(y~jRQrkI-toiC8S z=|5>w?Q9oBs$EoPaih1js136Sl4AL7@jg6R$`46~FjyWlx-LREQXX|$QX!0% z$DOY86eh_dmrMA_yhU^;j->OAyEGP*%@NaDEPrTIT_@(zYEPv{}oOdShG+8%5K50S5PS)g@%kq*YzIv4VUTA z7;mwZL8o~}FP4eu?w;{)rB3vK=7_hlNg70R3|<|Z(awV=w5n_D6IDx@XjmllWX27k zyHx*}Is6IF3f+Hks@nosbI}8J=uZIHaMqChKQa`fM zR6^kPqj{#)gel30UeiRv#AFo1G?Oqn8QE@{PDoEii%d%hQ#%nh)8qzLC+Gl!KDij} zp*R!RZZE@ZlgJ%5E3X9ZkfS!MS_9Y0vD1`;fm`M9JZui!AjkZz>)_gEh9%r-`MkFi+G>qhS~)@Xq>Hp4hU z3#_q+Mxhp1V@bwoT40TxGcMKwYiyM#kx4|rj zIw$3I^YnJuf2XB4O{1-A`(Xbi=?jFg|At`yJu=T|hyAz8P%VW0Hw60+ZB7>vhRM%E z>|?tpStmDdG2crFH!S)kTlxg>_+pDg_@6BfYknOI;^a#_hA9x31c6f_a2DV(1pf~B z7qA0xKOsEEMD0ziYAG_c#jZUve#i z|E?eF^AvY8sC3Dc=#pz4o9JHVz zV<}nznt+`5*hz3In)M#@7o3Vtc#n&OQ_)Nphu`5;G|dG&7fwa9T`>Q`sVKV>w&*{B zTf_mgr~yC?p4gDL9&sN|MbCLUErwIka(mU*`#=7gbL444MLFN@Y=XT$Hy{Pmb;@cXiKJ&ppuFL_xGTa6*sW6ds6sz|l68Gjgx z_IEbB9aed%*EKuMQx>YXHM`COq2P_p&M%cK)O(uUU#c+bI=-Vfw3%$=yLhWY)e1g- zr*gJ>2j6Wcw3%GXcPfH5lUw<&MXFKi4SeSZP#C?N@BRP^qh)=LTl!qasbbZt zK2W$_px)Kzc2?!5uJ3bNsVq@%?{i(L8n52e=ln&vTD`Z={fi1MkT4yw%BlK5k)sQ> z-arsJxIjPFUo0c7d+fe0v@*#j({?l3MzQDAfRf}QeY#~v!Zcs>K4Z0crfs}uY%OA@ zag(6U?zEniDzibCJoYd?O!*jy`@UJ!E?K0-p*iY1;uh2ZoV7c56V}Lf06}pw^q@3# zfkpu4x$>4^4-@@dbrOUDa8%_xL8A^qRjC9Dox?WeHNg%YYMbhaV66_DuY4ugszc_h zb_q7`B!Yr5LH!3U4(}{jHVM5>vXIaX|C-_YoiJCwmmX`MydS+r^&a|D{!b;Ki%w#Oj*#7=J2Qh%NKevznBga6 z@8~#P;9{wYPSb@fmR+Mmt;$>J5qf|w?5*sT2B8bWOLu7qx=_6Ap~lfZm5izWb2?Jz zvj?v~Z_P!#u0Mpb1}0M^_5b{iNXAdam__0!#8e0odqc=c2-)*9gbpFwA*AzX$TA37 z#L2Vf=N|E}&9-8qE?>+K9r>vpmJ$fu>O~Z5-#2-dh z!weVH3ZtN*zyZ(MT)|gP2R+!U|=0bw(eQTop#O(ht@h7LIETn;mzQ8;oi|dcCWTxkBU*f{jUW_GzO0Y1`Pun3?rEw3y{9eMGrAV_1cdH7 z?U*b=msDXHlQ78_x5e~EpkzXyom73IExbAIJ8GoyvEZN`*Xrch2OjP49GA zWs25L?ZkdH`BbqwF*wtY!;AOOJ(wYM*+n{@N!LjC(Y@`t9Nb=or+EpHR1+kui|1Ba zx608Ms3OSI`rGr3xXT=rb+;H-6Yl{%+3D7O87{SugS`nkSZ)ZqOZn7121;~jk#K54 zVFpc;rEPwV{M<6p?rj<=`JLWFbEtjDi7WGlMO9am5{*yWSqPO z^0b&ZP<1(R1$|8333*!FF~zX?Yy@JVTxvcT;jmEgr};D#=#aPuKb}iJ#bg-IL-o@_ zAy11>CLe%2EjF1t8}hVn$&_c1r$r{yD3GUh>LkCB7C?W>*uy{^iV{a3&f8%B_H`Id z2L|eiR$%ZK1s-m7)hNaVo^5p&%2x&+Y;_kZuz{y594+#+z+)9I7DZU#g$lffe2&?# z*EfV5%cA#sgiw#NJbJxDC<|C4dZ{6_|5*55|NUe?R#Y!>KXo_D8$|RdC9HA1l>M|v zENrjeEb@3(STAW7^*oE(>obe8nl-wYHjDO+<<=YUl#FJ@F$hnoGL}EX^C@K_D}_OR zO1sEH+WaFT*2yMVR_E|*5K6f_o5w(rNddso4~Ym$tJ@6E$jtT7-_A29Z9QhTiJ6nV z9{0@Tki*V$pqN5(CS_sYC?nM;;29~Ot3C|RNQIaBEIcFSh3bRwj8u$OpN40oe1-ZL zJR^ZZZ~>l?Kq2@Io{>NyXoqJcPzZj9XCzPv{(xsBPzVmdGZH8Sr{EbWU#30^&q#%< z`aC=%E7*l01~r*Lt^${NX)+1w}5*gxZ}Yckm()+ZYsD{6He8cetV$b+%rcow<1g%(?sO^ zUv+GAoDEGSN1%>O@T$A>+j{-ZoHW6Lh+u6TxC5>_R)TvpxOaj3I=Huidp@{hn}~fd zdkEai!F>+gkA7RD-_w&SI4&q8w{U`buJNqdnRas*WZwwfY z!Ar{EAn`~9C|j&GhZxO|=M8vVRzdIkRcSMZ&Qw=EbRtUz%1u3l%+#5ML@V5+*(#j2N&j2Z{#K7M(KuT+A z;2#<8)8sCJ2d+3yQ)C66y5jP;JSOny75v|d%E0qi+(yWK1NU8V8lfl-JbA_SggiO$ z$Q9`LQ4ccvh!-{D2Ssi|!e7^di&TXE;M}1o7^in=UeN5;25|p1;i*SjAYW75t zd!olD_DmZhk?78zZgWf|ZDKF6Idl-FvM1YIIy`Q&=i5*n#N+H4Hfjf{@#WiZL>>!A zbX`hju)>MXOWhO>XXRq`kqpFH#jomBj&VJ~z%25zIK9U!99Gs7P^%}rmRty$$LeZ` zQ?GF(Cj`!N^z;;>UGS5T*!n8OL?AZ&2LHdc@c;XK)u2GETVSnamRs36f%Uftc)LAe z-e-M=4!-yal0kQ7htD8bn_dUb;X-=!?V4{CdY|r<0-lkD*Pv ziu(wS4~|DTeN;>nbVazj$w!#g5zcOk#b#}U`$jq5T(O_zOWLYW)r?^J^DyD?qI-QuPz>p(ei=36BdXb*=74H62KYg$!Se)B}*rb|nb`p7q5X)K9MAQ({3)W(h|9%3El_?_b_t?&wCjw#H z0#=cTvY&L1wNm7l9QhqRRpDcvD&JhY= z9@=%o$;^6jjA+lVV+(BT$@dF#clPszt+#dP$Q*a5@}{G4f^JNh;n8$0i|!VIhcp9iOH0W(?Fw$ ztJ0Mk-`4o6GAwX_Bch~f8jp&YC|Q^WUlDa!I!EJO5qDTd)nF?k=Sin>VtX=gV~?8Z z)f?;}+y(7>G;a1>s0&rBRG)8kA1BAE_f3X+R=Av%hpCTL;Lj@NsLxfnt&~&M zhbo*_nr5TUKN(SX6Oba>!FsIAGOGaBbXn@M;;^37IFct}yOYcMYYtdNwm>@w9rkR3 z)@H;yg+icfMy1KU1pE2R;(OgcZ<>&BHT)GMea731D$$SS|3T6RT@$`s`o(2o0Ilg-xd+j?Dq`4L{lSJ z*yP>~&dA-3N}lm(TKY>=J~$79({CAaJ~$WL z#8QmYEz1i3|3JBFMbX6Tc8DakqK8EmRTB8$F#~ zD|w?{U(e{utosVhDC_Ll{WVj~B9+Z_EU94@*PJ2iv_3wnE#q4fmyz&ooD8s!o=DDoEh_W8$JC>4E`d326 zmB4M1F~(`ugM93O$2G%4IQdO)b9kf71Cp!vEb@H)S7Gdxkh^u=!tqz=qb2Ufw1&J& z)P3WehLTF;SYv*}^h)#}#^nt&hG3UwHxvw^K7(jx*$@(AEX~Oof)e-I9KJ7tYh>r- z`l3wG%d*%P8EWL^Kpy9SG4=mcxRcav&~us;&R!wH=MoOFxzJ_WqntfkAwS70aDtRa`+8Dco7}8z~*c`>Yv_ejTK-`a|?^d)8Cbf82#V?59XSZfVb? zr|8|>+8!<$QNm^S04>$4_|;l=^65hAr{=kO!ZMZ)KY4DzKfA~e??Fsh>q6X^;_XnPaYKQwn8MHec1ILL+ zp;j#XrQ{88Q)R8VTJi_OR1MNznCQ?YPcs+vdJaS3_+uy*C`v@n zX^uTB8>_)JN3WEw(9oLWSIRJ!CEA?#NENiZmEZ$YeOS zdB_a&7!Ga3iH0JEOB?B;VI>1qNeDKiG4Pej-<(EY?s1qQtdJgMBpOb8?i8$YnW7C| z7uM%Bku(JE<=|cg?(^Wj0PZy|Q>p^jg)u!Rl6=AK2JUol?*n(c=T7rpfEYsP>%#0~ zAPiP%fv{`{D~GU?5cUUz)Idnkx-cEAQVi}ma2J642)Ivyd!@@1YZ-*V-=TvQ`XOW? zgj7SwIY#3Afv@=2Jr18#TLbI(7=OYM_Jnq9GBkYU0LdYv4O*;Vt=i^7RkatxIXP;M z?6T3I;i5e|q<|ophdZu_WJb0 z6w9(6&FwX|*uI;`Yuq0w13>s;WJUbxIycKAZC4-Cjc~4{ zM4gqB^fpUKm2?oBP2MiuVkGqBxnLd{6RJ+=yjtjKlIf=Z%!#6SW=S&Q24RzIq-nZ2 zhJkH~EmiG3<>`EbLej6WOsTpcb}kMaz|xd|nduC4aZ5q+VBE{%Zg=i&%yz|j)~3z~ z{j(K3$sSG0AS4Y3G(nKu0>VTv)Zt(Y;EB7YRA|oZb#WnFH{`9b)zxW2bwwShdrxOO z9iuGJ2e!xS;^O&L=PR5S68_U~SP>~RXwbSzL+bpu=m*Zo}#oXz5pz$XwUyV~|BfQ!J!+1CCkgTq2Wo|y!CK=Y!mDfqlX*){DyY` zfC}4X3ek>VHQpW$7_(}89}sMkOk|KyI?wdBt$|WB;w?xeK@HkSw#XJ25i|h38@{TW z?1(06fb(SJs5W$^SO9 z+LM?WO-P*Tgqgr|TS~^5bJ}sttR`H&3U7Ag5q%@0>h^JFS9P?aHWKsL;XK!+)H{ZD zOAXu$+|SDA8*Ctc#V*EWlkds47+uvzbi}13nc*)>Se4Qfl?})LbK&^Eq~GNR;i6%O zg<7@E6M2JrM!Lkfz+5a!VovQx-k?=WosA{t38FE&jDB<+^^^3qC8bKC3n-5AM{HN% z*wDmD2j8)k%5+w|En+a%AHCZ6M15S0dQX}vjW$kKcZt1=BljTD#*^kKo3CfY9$bNn zrXOYDRvi^PxqycHD`Q60c~8tj!f}a@sUm^jiC+laVF@;G&!|gqT(ztU8a=O5+00ZM z)-x!KGoG+W`p{^M@Btdo#7@c`Zl~*Ok1hKg71jFj!pz%I3`+m@kr-WSIo`^;K{gv& zBJ~r;PM6d#If&TwGz;l}eFrLe+enN!83H&E@D~J3hk!#6a1#Rd{0x8<#3>Lk0Rl`A zFc|{2LqITK-{OOa;}DqkA`4jx!R!Cpf$D@6J$J#R@#Xl0IKa=zX*bA%p9@@v1&o0G zfElpR8CYZs;0-Kt@F$)Fo&jLNIWV3B;{jN>^XFgj^S^@)i(G`s=`eYdDa@+qb4j7h z(8sh>y5S&htk9=9`a9ws9K>CK3J5reyJ=hw1@pKV@((Fjh~vjBm&P08w49;kPTSW< zptix2W*e+_9aj4du!}RPYF`BA7-_cQl*U(AM3x*e`kOO({>4F2k`xVo5E%T|xTC6| zjY%AFl->kYv#i_57w|jUx1GiW0fBP)dBa>y3bQZ~Rl=QFrKvz|q)jo1G+u)-J7xR0 z^Q-n(pxtO+r4voHX6P^I8}gv;n+d5t&jcAHT-|DuL4Atp&_VWNj}$pn&^H1>m#6-X z>3y4aS+Y_?Y7IUM8``Em$VBGS!lj2TAs{{hI`6*=+(q6I;n}#^MlX2LB{h-D>x7o% z98Qb-a>~2=$=ZpMENtw#a04OyejE_Q-$ z0?@>V!VkrQta+ezLNV@w$2~Z$9|L#PG%eo+yI1v#pibx24R2?@BIoANG|5!sQS(Gm zxVWqzGeD`9d0G4urt~`>lz-Jfv;-wgN_H6_Z-RIKeS#J-v{~E)y?Ae#DK=1S*aM3A zFZ9lq71kCpG8woH;h<@t6JwG=bFSWW#LQ-3`@=8Qtul(Mwu{mIL1B`I#$AG6n?3K8 zWo>*JKcILSe~|NmHr?<+=HTpaDl<6Z}hGBd3;jX^_ zrXB8zKt(Av>dpx4RmC)Dtm>Mndc>Z@1D4usHjn2v6!kI(wH)fU19;E^I!czxrD&(* zVrForXeZr9`Izs<+%VIPzX(n+eLF)pB1oo2 zGidj$C+#-yMKPYi8`1l?w1iAw_fn4%gR{m*oZaHGhj>VG$oTuuL=WVAF#gnX8O}7; zTq}yx6|~@9Q(wrEj3)DFcvm27m;7UymXKA9T_^W9r}g?2$A6KqHSxN%_h__lDp0I{o!(iYqG?Ou9 zofy3Fp}MWvWjXB)$3VNa&#dy|Sxw!O7ev`OFKN zvLr61>RhX1n*6Xp*NR=Icqx##BC(K4#PXb7JeCYFo@{a3Mb*zup68jNd4(Y2Za4Y1I{s@lwSYzcJ3mKcM~ z{9fWxk9xQ#HVSr3z2t{+vqkSV6x1GY=ErTs;p_`a4EV0acz$Fy=D*?7BMtXzpZP+& z1zLygVVuL`FI!&&W5S`s`Y;an`195Q^QvB4qV3@q*`3;FQQ9;Y2iI?>*@6WZtk}EH zoEHh=yB0+7_r{YxnD=kFd0HC4eQ_-^%Hm=@If$wK>+z_*z+=d+=hxN>W?sPKYOmcp zsXth=0AG3I9-JW6;QcGF-z#1cT^hVtFQ;Axk^GUpZxg+2}LcsK%WB?s70`UJc;l3)1 z1gY)e718s4rVlIPUrODC@!GCdoEu@449#6#)lh+Oqq-V`gaJLgL{z=}dDrT5HMpx;apZR_+PJ)Gs~zXk9qmvYyIeBgm|bEVzGC{~y2)u)FZF zz69_HFdlFoup00UfCk6_69E?i!GL{$nSdt%5?~8pI^fp*>-v#^lR|GX=&9aRd6_W` zQfI^fI$rU~aLp2&Fs;TVO)*s;ZGluxH|n_ZzF>Vbx|Fm8*o5w#anEHUA<{EG1-(dq z)8Nof=!~S+DTIvXkPC=Kics?cTWB+sUsRuHl|~lk8g9oN78FtQ62_~dnj5*4?rsf! z;5y(M;HCzjas>d_Qmz7S0R94if6i6M01J=bHHs6D_XTsVuVC_g%R9_31QVCN}!_=;F9_ArT zPyGq_le)lmf&CArrUJSE8)14mOpR8(%wzNx=CXW>@ zK!r<0o>LuEudl#fRX$g@R3P!dQLeARTvgpwODoV(%GK=9_JCHUdTZ+TUCmZT4BdQv7kWg6(36(`Xy!Xg@Wvw|ugkcsJJ8dMO^^L+2%*;;o zM(T8UfEM&js)Q=&MP`1xlW+KGNt~s+s%BsgdPT&$8>sN-yD`~Ulbn}cf91OL+AG(V zmU})-uCG(}b(MQd*hb_b)f0mkAwxC(()*o{W2u7}Eswmhq2rHXmnqnT!aOjU)CryGh z(IK5zKd4-uGsT+y86N%7X?0(X6;)kgN^;;O3C=X%9K@g|$CTnW$!%sH1DPBaCcSJr zXLhpri>GzE@9_wb95U6Ly=+8revQljJ3-zEJ2f-~eH?ab1?=}{ zwo|(auk=~%{+-dap!O1A_-YBaf+|)`L@o3#b2UGy9BbYJxK%k;kbl}YVMZl($%!A5 zKZMcE+pjxzgV+ShhO=i4JEcHT(^^Q&{jZB}s@WMDe$tmq;!WAw+#w(sFDzIB%G82C zBJlZgq2NG-+XZTJEcLikyc4QXp-&hTquN5 zn#19x782KGpmNI21#z-@0_fdXOB$sQyc3Jw5_nL(ZvA!^Xv3Ren^r3?lHx?*jK;zb4vt3Enayhga+trP!l}?t_Qr z1M0(r&W9DX>a&CH^W?B`#faM;6OTVjU3KQihxF&QpLfl++)#BDj(FZ-Kl#_f9}iQn znno_gF}O|N_O3be=Rbb#al=PS&MkL+w4mbtpJnGWYA>#NU0K+b@z3@*G2AmRZl3%6 z?#j1+EyF*4`|)kr_j{>d_O`5S|8aBClY|Qk`hI+V{I{e_zUKY%+B@}`-@1M*_|z0N ze8#V~>YvmU_0XC%JO2Ek2>3I&X2mDZQ$H>*irBaH9Ob()^UcRKqBn2X42zzwseOq4 ze)9+Lt2X`kp7edq_ph72>`nde&mWQhl$qkcnb)jY?!NtP*_W=*e{RKnKmNGT_T&20 zzaAGRm#+T)RTlSl&Aar1S&K``N;Z(DdEw6L$g6i9`b(7mDSG;op^$?nLrK;q*|}z- zS0-a*w$1X0X;OW%SVIRk1)sMEZhUXK$lRvbWZ{RUY@E5n9h?ST;R5CZZb;IXMatLEp$aT{j~r}$noJ^;ruaJ<_0t1>_X zfE8+$E2Cdg1#$uO&InWv$l^2@B&cAtd*ZzP_^R+&u5_r7hVBeT!7$~ z00cM4NB^TC>$pwWVB>3ZJ`dX;c2)Y2JGQDm0#U6TuP@Og>t^I4KEZFcQl3+Pc$Dlb zmtvK);{C~Y^bG)2(=~?N=u_NO;CQ}PR2pPfbxzQ4uJJT% z|C}H_0GMq_20G*FgU>8ukXL;sMiiAP8)(stCo4tM`I={SFt0B&T9{tz6q*rh=^dJQ+u1( zv5C}vgdgaSTqWnvjfwY1Q#h3=`Q)jROgc3rT6Ks;A+Bo5GmTX56jO6)?W+nWCcDp5 z@_XAtOC44z`<}MNmSUH2VruSE_UTLMA1Az0^a>UcU+w!~^6X)Cf{-?U>?7!7<*n$; zS`c$d7DlJ?cPX9JJLKcGUbyIttl8-!t$kpX1iG|F2%YVLHYAg8JYfxj6bY}!#f{P~sA;y7edC1gpB))K zzLCO`&k+ooZ>;dhXHQ1JQjhlG{=ypUMoN3|>K6V_Z6mXoxatn{9oH-9caKl?D1#c( z(;er4+cS%Jt^W`_uX2e=j}IxfnwJuf{8J93`W==TRompt-kyYGX@cRGg12_M1a%I2+5&wsHrM3 zZc90ok+#Xlj9ZaijNe1E)kefawuDPuwCnBWL8nrx?R8WzcTqy7ahJA}{zclW2@u1d zbmnI%(R}*A#_nJ4VhkD%D?mG7+ z&FFw<3AmISzqr+GzUXUDtRI^Y4M+ln1I7U20jc$4wSl-W>k79l!7l(FpuHkT8W!d) z4HX7h4^+5pQ-%o&Qx=<#)YRzT0+fKOfP0_ql0|QA$j8s0p!1(SL0`Cb8CSD+lRJMm zU<+UuU^@V=*@FTCtTR(m%!gp&O_*2)6MX<(FnRQIt!wSIUwkUB0&W2Q0$l&aXL!%W z(S6%4j-rivJ{Q-abJ7czz1K{3!qySpG~~V(B`5bhx|^ z%QZ%;TRmNsiUPKXfo&oj2M%FOL})4QfQhL+=jnb`K897uKsJ#UNl^^qP*4~sk;Ue) zJ6)rcf2lW%ak{pp&34jjt@IaMk%PBjZ`Bbl6G)HtQ9ImZ^w z%&K&#S3TAfg(T**N^Clak5#l&e1mIoyJ2G$4kI^G)<~Zi%hW2nk8k{G8OfMx=Gfwy zlZFr_rtF?6L#S|-cGOafIvowVJWIj1B}%wGCKFm^k85!vT$}E^EknrTm-znI?8H@T(V5yzmCzd0qKh%$ZqhF~KMPl+HN$r}QD%hhUSy zCV@Q%_8iz%U{`_t3ic~l99SIKda(6i)4`^Ly$tp;*l@7nU=M*k1hyP(IoKCqUzqS# zDc`9S(mC6WW6ZN{v7V9nbv#RwRcZHMPd#EdB6RiKGRnVm+bI9_*S+0KZ+g35n!N${ zmLzl zs`7-OF#<;|-;OMswH-MbPFmwnUXvWSKU;rdXoUI5&1E|iL|J7Gj*Bt6NOv3zjhp!XUxx|5FZ{6qj%+%a2k%R z)6s5|iEj>vzQ(Om;#doa>|^8T9wp1ToO2&N8rK~O_s6VKU1qJeF)IT1AY4sb1OYa3 zMaUlP26!7#h^dK`n{{(6D?qLRQ6#4UJs8v)N`cRp(UZ0w^;KD*uN5X%M3-XzGkW%v zc4EiL+XZWEJV`Wn zN&@RPsz5Q`z~cE7$G()z=afKN4zkQ2^vi^cs({J3kl?D{pb7Gf`G_2&NE65_T-L$k zqqzdVka}HT)$7|&FOm>}T9Ma*I-|I2UfzrC5Bi9yR(^w5@>t!B4)iDaM{{W}vOoMI z?vo0wM_X7{HPbOU#0~2X%!>a}R(|EZ`kNMLcs(O0w+$G5)oPvHzX>Q*liIOO9`o6A z+uerZ?p~%g*pW??ROw<%curs~c9p!0wW1xF3uRzRVQ_0mEqbddEQec(%`;JRrc`3? znBJ(@^PQ1!$4X}KBfOnIJK&|)zyu*Oi$FrF{ce-Ho}*_ z7rylCnb@TsyIJL;7$%evURFF|(?lp{X^Uevp~Rrj_%J86pw22Mv&V?Mn1$~Vhve4` zziYyoQ{JNvsRqn0z#@(OB0UN8QRscq5)3WCOk2`9%(F%%7&x=av_(q*_nCjAv8 z-^S}W{fIcq80j^mpl4#gLmX`)Ybt~M-s2)`k!a*#@H*KA3+NrLM-<3su-Axubkq7l zd?HDoXUR^;>Bk(SR?Fra$D4~p@tz^C(f1Tz4IeDXDw&wjAK{OZnJ${cY>u9Ef2ix5 zDQFh^_Q&i&Tr_O~4g=XUXb<*`vRuEwQdo6P?A9N&2Uo46=}UkRaYpRaA6$z4q-->& zF!25HrAT*qFR)po#2M?6?y7tGU}20nx6{p^^hEzem@J;qiQGdb>8D$!SUZ{>_Yk)L zmnjyGm3@-qe>QkdGIJT2&X9xB?WV+pX<4{^KwMQeJEf_r4M!~F6Vf`}OF`nU-7?X7 z*6z5T6aYoOB~? z7QoJynNPN04KK#JO4kCo023g7vA4ryK-u#|$8A6HEYb18)wzV2n{x?mR{-5}2{pTK z1=_<7v8@YWp*&dV94zz+Cf@;!0-ORY1AG7kz+$PeQ0>*ZT9?${ATl1Vx&q3Ucsuw2 zy5J*5|HO+#$J0*%KcnH}DzD8Y3^&3@jf9UXfRA|tlRpAdVe%T7m;_5Ffjzg_d;O!m zZ?k1;I5t!&cdDCPF-p~VwiC~%iM)&z$)hz`Ac>B^g9u1{AFheE zfraVy%q34@h4zwjsn=PQUf*0u=Z)(1$c1#CYp?fhNaw}2$M;Pi!p(zp-o^^tOXWvF zd@u4g>8tEK@F^}Z35f(;-8wkP*~D~6^zdWP6h(mSw&IW9<)P2#(6=@3Qt^cggW$pe zxR4JQX8gPmEL5y0BTnxAs(?W>cz1*MBY2bKeBnTu(c>Kw2JYl`;AVnH#*JYF{9JV( z3?}{@gb5X&t6+h2h)Q}!C>moWj90E zv7a9J3sMTf6Zz9a0nbkG+=9>83xDdoi728A3ZS72XLCD^pA-T(!T9*Q{0F{7Z zK+WjZ!hFb%MHc~QcMq*%|byQ!U<{Rr!CxH*-wA&@yi#t^13gQ7-7445bgLQq5mRE%RCK~YgrNg|rT0jyP2)R>}D zN3gV_q9!(}Xsv=jt<+kLsa3RA!CH-#>iyFHy)W)s|2KEtyY7q6Iyuj?_de%@Y|c4* z@8|i(9x=iY48vgF0m zxBR(u%7W8m%{MN;TvM2* zuxn4XXW#y6&xJo+rhaS(*TFS#<1+QzxsOPnW`Q}6NFz>{s`p_8|NLtSC;nYtkA!5< zxfSyH?3So-@w`dJ@{Xe$bf!re?9^iu#G3p=3d)HULO4U<%!jicPPNW-ClJox;fzA< zNj!GT(NGkaf&vBA;DTW*qvj;13fjV(3foC5^|QW%WdP$8#@yIc4~AX5!oX9^d*F0lUQ)-}>LD@r@hd zxQWsuqhV-);d2;1tQn-}cuqh)GU|Avq2c%wK4-zO74A(2x55DY(3ZENJR63w{RXtS zyuX1&81~`sFdVH0pw;F5zcwMN{)V=)S!gR4ZH2;c5+#2{$!wg^a0QHcF#Zop-RLjX zf9f$XeBE!r-*fn67?NT53x+t9IH!a`41*Ddb2!>mF^={XPGL409*KtI@S}eoiJws> zen$Pj&LEWT|Bd|%=Sej296zGvhft&cT93gFqL}@b|q3p7wXB4)t!i~C#7ed6@gB9}n`A2oqJ4tXn6Fc(;$!%ZFF~Auu&i;Ep z93Nl|dK^iwtUKc0lM6-AE32*%5A+-M9Pv+x&KXv+0>*l%gPw)suh%=sPo757W7;Qr zyJB*NIsSs98V(~I0yrvbkN7u*!tnzfhv4`Dj*HhOdKc`6LyYETqq)0qJnTQlUKpcL z`aDX%`fCR{A59#;iqid#IFw$C(oB>d^(2zsw*#lR42F0Z{Lt>YA18Wu^`CbUjEB+0 zk8l*h@oj(U{sYFM?QL+3LfaoX>?8ja;rlp`%N~Wx{)F%0PgbqsUZmLAlGztw%NS#iUQ^ro+&k<{q!xWTN;zf5y1Skl zE0OrK3AyrH4cm1M8ME0LpGS$ehfh+3jz6kT-;*b-P5LSr1ty}vPF=&*{cwH*=W|{9 zp46B-}52@j(j-w!LbGo?|z3deUCrRa;XW&Nri#lZxEv-PW!PErM{Dgj`v2p!_r_# zhv7>YwhCYvgSI|LTXSKYi8I*BtxbA{s!Q9@mOI*tz)|Z%(bgoC+zaDX7?0p0>Z4F< z4-C&>nA>lNgrWaC_!)+${f1~5`mg;x3_=)+B{1Ncui9bw6-SF>*Cw6K!#NGa;R9jZ zg)@JHZ|7MUj#z{vZo|1vhjSgAlhMQ*T*X;@GqbAD%p@4eXyzoY8~U|N*g0)rN%7ISKo2H?^L;()X2;P?@a@A@4$ivjq?mmY(05sYVW7WexNM_`x= z!^(a`2n?rSSPR2D7?SaozwZCaN5jDEH=yy?jWEoFVOzfeZM<%PVImAU{RXu0`Zx^B zV7LiGTYnp77&2jqhhZ}=egH21wE@O?xSYv2!S8Y5ZQ(d`{N*1nhp|ljI+QDaobiBH z8?jp=&KZ~?-~Tw4y=waz#p8@{WBccAAuuZB`)3{1C0|W~;~8oULX9~FIHScmulK|8 z0mdL*`{$Kt;&m+yS712MZ-_$mOjQ3CMt@X43&&rm{se8uuxcaTXW@X$;HZYf2!{ZU z%3$%+X1V;vZ<*|2+YX7B2L2#_oP3c}`&U669BJ|!GY{$NuiS;>p{{*ZWi*WA}@+C_tzB} zrK~gTvg7wA+nVQ(Vt-v!R8|yHXl@N?5w65?;OwSLt)Bj(mo&OzjV0ANt+c6?=`Vf> z9SAaJL`2f8WQw)55ejBfFM>J{Tt ztU_K@YOWyGhfaf>c&2G@#en*lF`9`sN$JrFYJE6TxME2=12JZi)5vr6&5nf_%N@I! z{1juk$r#I>o<$JqhFYst;@0#m49%R8t?V7rD$kAJ+U}PwJSMMZaKU zbQE2uthJp-bP4m#54YS;w%>C9XYMn~Oj5n?0Rlz=Df%>2-(I@;OVW=^zq}9c_FW#wPs|K`As-!OF{$Tx6 zMQt5@oU+nz$~qMb;?KAQ_e1j4YcP$Q(mS$s`f(Z)YJjQDf@Fm6ZnCfL(RH~6@-L~c z*ur~SyBPc1S9|T}6 zleVOvxlG#UsJ2pG>H5H92YpPLWZQHphugdA)gXE0gAZBk1MfaAe&AV>4_1I|(7!(o ztOKbJJRM)R2`x`o#pB){U!?$c5dV4_Arnl0a)Ge3pWiPKE?!$hjJdgnc%0Sy)wyUz z<$#TsR*-T|~)L-|^wpgi;EH_3 z=~?z5%(1I2(?Vk((0(|E@zP%<&Sjv6v6jC8Nv#{vvS- z{T;Kv{1KG@Hxtf}v8Z&$=t{r0UQrqPr#Cw&7evqgmSte2W7u=;Eu=-BnnamxOEDdvN4ci|-Xu%HvWCN^wt2KD-4l~}9x+P4 z({y?smPh?$Iy#ShRKFJSWbpr%b&c*m~E==W)vD`rbd_f&x<`*HN;ub zbV^Em5s^(-M;3Tx`-x2fT>SCen2iv92+xv_=}>A9I7aNw+L@Y+0Z*ub%16 z6;^#`uXea~2KdLu5wGYnQzOa{fU~-4ru}z^w3A!TzF_G!50$3AAx0Q3Izl^{<^0K2 zi&epO5`j9y>Dlvb0V&*gsKih}J8by9eYnSpInNgQ z-h5Xy{J+(u;m@^BbFd`xE%A`NNI9v6d`C`Kj`nwZ%cHCBIej_`{5|*Rf0HdMOP&{* zO*mn@YC0jMws3c8vdv6s?i*?ZmZu$SC6#med&^E4#yQF{!5VzDYLH@5>CQ&l4TQiA zGt;DyH+ZTq#B@yrqLmQKI@}@76&BZTi$pA|I`cJ=w(AL*5y$nCZL4gt{{DWRcE6d8 za8~UDvwL^Q9?eBWFGcLpM$0`rrngWg8}du4=6RjuEV9TDzFPQ#e)8%MoZ`K^!hAyS zY5#cbOTBCS7mF?lr8B2t)^*wiM|fF+kJy_yS}`^u%O6uVo79h-rKLtGX*0rCix9p# z1mUYAUj>zJLij3gpLOc0x$5&+YWhwW=XlWeqh;-?y~t{ycM!`s70PldQ@_~psBN${ zWYq%ASo>FwL`<|4*L&}0&8>>C?{-9%2`UQfz4o)8R?W4abqp&Dte95MoW>GX3Gtf< zD`QtoDTdU?OGL9$+O{vJZx-xQPgXD!#uR%`?CQ4QC)Jn)weC=Byl1FSdaQp4(tCwrkIg+!HWrl>{}dD6Mfnu z7L#5XgB|2VUZrHCdYkP>$IL|kO7UXi0Ry{aXDi~>&ZvhuS0qfnPkf>uVXZ6^7T{iV zK)=TF)CnE=`*ZvIQ$u#;UeObxpKFlG;p%y_RaUp*Ra&yR=#%Gb%>&{pXI2ZNos$+ZUSSweva9arn2qcMld(c#jj6FB2szQJoLI<^R!R9UL1C}EBsPV5 z-Z;gyxejB!!%X#co*uU3rcHIsYe)sEaWV3E2eji8v-YhLJ2(3c6A zC;mx$*h%lm>T}y;JRtK#n0e$n;t3lKfvg_)bX!WM_xg3^EF>+m&*{isNc_{D-;vtq z{T9n#iB4vz$;I^#{Yau4FCpw?!YM+m2T{ULUVC&CO5gh>i~G-uDIb&DCr4f9Cv7{`d52bu{>hqF;b26g_&pvZN$G zrF1zM4;JO8BuJuzTH`;^xBv*10b26k`={EkbQ zm48vdn2QsM2Hh~;fiWvTrKb?rGbB2wVkE9%*B8vqH?Pqjv|po#&-$Ib1lPgEHDsVl z5%>i>M%8_&Iu6waM+epI{DPT?`?-5?fit?Z;gEZREPJit|)ME6v9Hl?>vjEd-<>UmK8 z8RE!njxUIMOa4J#)bqtW`cC89lIm_kK2~Sm{du=#C^!S6$`V}J{?LRCu+A@&6ohz_ z7uX+_?30p?3&(1LY`KoHWl63KfATuPK1;lLP?u;c)=vLs8PiGa3*SoLYjZF8TFPF? zj!>U4N4p?J{)_D@B0fD_6YD)ba*iMX^jXPyDY-A?2IZ6CYm0Z;kgmuZ#PhbZvCgn@8FJ1Rd-Y0vM!{q z>ZIqf)}|^tnR$pIy=ETOC_YJbM|>#rG2s|cHnK5# zF=36~FLh+1&x_c_=)tTG83VCP2~FEt2PAR6!)%S`JmhFN?9A`Tx=-6<{3X>BK~0e_ zDJN`GP2aTowXok+t+ih$8C4cEFZw0@PaD~E!R21g8m0Z$9`7JD6a6LYsC4}nEOPRb z$`_IX>~l&RyGY*LBI_eWGi>OhZRM@6`qFm5F%Kf_WBR;?>;E);?V|fff23YPnnYul z`&Qw3i@WN~&K;6mN`0t7bJFb7ji9;D(ajAK_iX+l%V*{!ta0+b!J2K^4Z*<79pt%) zpW7;>)JG53{AD}ns4VkomHB(e@y1peZM6{$WIV(Df>IwhoxCnyebVr=iM9YVEOs{90(wi&69tl%7&cku zy>4}(fz&1XD+nkqOPG>Oe8A4pL^>lACMA0v*3Y$+_r}x(?i0;W%Zw z@e~s5_#wd#q~MPsXYz=i3$DQ9SjXktOgqkBU>)A{hSiao zSQR-HI)Wza2qOXEah%+KO>_)8qz2U)r`9w zpq!FUdUScJQqf93>hUgh@FQYlTry?whOwr@JwCTN`>XCQFkasePc1d+nKLEiOrLI z^-F9X<*V=BkLo#>FrY2irrDq7Uoo+c^ni0%bEdkYBQu@w%I$wF=Pz$_jGQsNVtO4h zg*8X>;Bt;>TY~2s{v0i{dYB_*Mx3jlj-JAPqRpwMItI-Mb`=*;F0usL`06l6^o&SX zVFB$Td#83uHQ#igiBlPIob=H1n3Z|iw_`daW?Ltfn2M;hvy)1iil`g0*N6@FT8pS- zu-AYM_F9Ul-(&AMHrQ(`qK0G7j1Bfq7g1HeMzNmet0Ncl>z?PUE6#X?!o8=6f9=?_ zeDyO}ahEzrVpPa3rg%v3Z=VwqxT=Rv*IVNwWHPY#t@6 zzrY6d?qGv@-(Z7!f8XGCq23m3Q13@F6{mpNqvjm z3)nq?-S{0;`i7+rlQPhsqp{8iruehdthvDqe{NLOZ)u9sv_{H00V0q#l=d7`;`WIs zB+omRptR*ZN0j8gu-laNp5ItTr7h|?rKH#Mo@*wiQKYfR@UUUBb;`jM>C-Zg8*Az{ zo`FXk<|~n{kIOvbup5otqu6~?=5f-q)3K37UhMIsHTEF2HMWJKPm3-cJI`zTh9QoV z9fJGZd+HBqYkFkegg}n~Ysf)vMNBy@r|pz=;;RKc*FX3s3(skVY1=w>?K<{qiD-8R zcgNf5=Tn!Cd`6w%_HXLA8HDsO2m(~6iex^FTW+Ieg)=1S5e)LP>PI4N0%;a+j3(={ zq-Khb*J-zzviun_?ctlrKUepPHYE@r^TIX7mqTlc>KLTz0#>L^oqU;BGr7*=wR^AV zQVqew?GIV%jFFPig@o$rEhb%)JC8p^tGX;u7I%4!bNfY>F=K=z>_x@h(y_7(qG1nocQkD|vkuWDIGx*KWB z+BqiuO-9n+yVY}22C<$EBIjcB=OA)o%Ak(>kal%lmt`IpFz@$4|Rn|8LW14IM14xR-oy_U*jif7#eO%1cx3nZ9!6%6?X^+=Z;79ui4i6-VPP zNq1{Z-}Gip@`4Lj{;TzNE1@z&@zwz8bIA_=bK?BM; zf$~Ye`iXA{#E0)O68l#(zt6E;k0BZb7yv zhH#U`tvAspGKKi1Z3c2H5@*_ulpJ?4Hgm^XE6NyItOHuT(=#EX(mfm*2Y9`M>!L0a z|HoFAFtwgA$d-{XvC?yn{*`56nMb808|ju9b;p+up4LTPR&d6#@?&)#(6I%HL!-5b~{o}eBjpI(tup)J{7SB?NBqNB6{4DZLXM4LH+6?zH-5A zsEY{X{NH6s(3%zUWsF?5jrRrAMFzBm9Ifhdl38Q(sILt3MJF4*oye|!vC*A_?CJ*_ zy*S9O-rVT99og0K8nYeQ)z8jzn}F=<2+DZC9WRUO_2%gIuygAB<`L~7tE<|~5$zGH z)qUon645_Z8gslP^dI$Q^C*d!R%KR+B;hn9Vos0*Z>-v`l1Rcfs&A>Jl8`Y~r;$rN zVvPE=Dyb1+wgy#9W9awlYpUc%aX3;!%9WF{NOx?rlvA^agKc@riCN@tY~{+L>xAXD zEal|uq`z$omD4McHhq}sV4bJ0ewC@Yj=4uiHGNg!wnwi(+H^*tE(~eYJqq=+kv84? zo{o>S>F)RRlS~&1yoT!ro4zdY9Ijsu{dS~{LY}BDw{!aONSn@Bt&2r|0gu)CMaVDU z{f{odbgIk!AN^F*r7ka;Ze)q6%aaDp=cX>?Zrf&2mJt|Sy0x^-Z8IxKTkGT^a|-QC zJ3C=arN{S%n-*yqZ?o`0%NGfs+jf3`XWHOcDfo_1zQt&1Ha7^Mz#&TY!;!|>2_>(Vle zNDHmw%G{50E~)Q0S2m3)rY*4Zo3i_eKS5_i+lqDdf0TUQN*rPwg*Eluh5R#?A!U9G z5%L>=kl%@YiDO-4_Y?5`T_jC2(wLk8%RWk#>R zKRe!X#2MzwY9o!cFDQlT*#YAjgbZII)zjJTm5?AjrQr2!f$oTLo@0X!c~5+`lxx&GVwL%sPzCtcT(=Q%rQ_hEhi9<&L?vK7HctRnrv{N>fA0LdDY3 zj0VCb{SL=U^u(A6>S*y`*XRb~Wcvrlo^;QX#&XBTbmm9&#PR5foZXg1wFCOXPFG!0 z~!=*{xIvvTF?8!vsN;CB5##71U*sk9;yX|WIh$D1#W#29@?kqiNOZV zF7(7OgZ3_ZV#qknnMbupWVX4_LYTVHYmgC%(TT4?MkHAm9t9Z@G31^hBQg+j&s8gJ zbkVns9!}kS$JE>a8-K7YIhSh_ej_90`h{X4BnDzb1%Jypxj~_PFWJCORw!0KdUX0U z@Wxx#m?P7}_NZ^0hoy%UR=rbX*5tR4oB?Zdd&B!)ap`4OMm}HapsOu<45!ke+ zj&#)cm1(2Yd%od_X=ep(zVVYRpx6I4KOO^goZG@vGIFnP5^sqtq*st6_!Qm#h*c|_ z$R3cy&6O=<^IKS*vP^bB3nx*wfX!`TH_M88y`eP=nM&_&(E~_x6?bGHO3CPFGPb&( zGpsQcwN5gv0b{}3))CcG|3@#Y9t7VqER{l3_G0iY*(KIzruDj{1d-g=2O_cz2*}bl zu}GuS+>jZ4gQW?YvlY;s6>nhq41mULzrHNoGv$vs{@%Hi%}}+d$i&z9}V5F_o5* zRjw+lp@*pb0t&N7p)k7~3bWx2VFiA-ILAGqFuSRR;s*)YEl`*}429WcP?!ya!mRHt z&O*4qg?j|t`-1()z5Y*O*836R?S@jqn$gm#wNRM-$nti43958-Z^H&hO*$pT`&Viu z=Nu9e1CIA_SCW5u&lG95V;(2~f0cGvg)@#?c7e&@E*J`W6DB$2by1}QvmiJN;>=J)q%8?#8I1GlGeHZO6~JK=h?~v zK7e=5(-m7T>{-(t=U58Hfo-LyEq74yo6_r+zrhxKK7dB{s=}dRss2SZ#FYtQOu;hC zC{9+N~gz=m^M|9GKRPf%dQJz$T_y9J$c6oH*Mp34ir%L8}B%( z3&_(9-#ES~pieXY?by;qcwpEP77{r9-xVA=XK(7PW~!WBl$zJ-j_@>s&u)G~J-{(w5RsLkyoN9jkH zPP>G8_}5N%1~x{$)XsO}Z8=cm>tr`Z25JkP?v1hgHN%|)khP5bw{4kndKpR8s%$JjXRLtr~lM`bVNJk9aT$ugQqM) zZ=jE4f;v?^*eB*zRj_kyLS6&)JNfX0;s$z%oR%i!y%TaDQ$Cl+B}{otnsgLP{*^HFWxsJ(+zQwGW7Db|!2=EzAT-{%do#zNs)@HMhRkM22BNB+oOW(`PW_C-I@ zp2w1c2!ZB2iM6<SJkLxwd@Pq=n>8+X~jy7lcGxHf!PwQnPJw&(M%{AeD97B#xLd3;`#xkwreoI2FOT=BYMj%%G3Fz6l5J{_8beox56BWg zIBMKv+E_uEZ@7XRDrvs)h-oWEt_+`WL-oHcjK>X?lf*lP8>(-TV2O;2`zrra^!VR! zUtPu?(89{a4VB-*>BJ2+poN_%!;@AEw^_EP7dO=ZQ@s5Fbsz4l3LAN2M$lB;MLtaTux);ruRHCa0V(>q+Ce(T} zgwL#QwTOZnV3pLmZx-yf`qWZ4^QTy)wO*TrKU*oas+wx4IC6=B(MLF2bOFZ>AK8#%Zd}yn@hiTDzH1AikzK z1gY8ZYfyP66$D2?<(X3u7Nxyo_9zHRAuLc#YRb+d|DjmklzN-sr}!^N;$Fp~CdF-H zkzy)x$dG?gtZf>dL>QqM-ISR`I2S~;Dv^ffT&+cxwt%htzT{j~|IMpJ={K|xK@^t-6#{wj*2wAQ9%`B7# zuhxEUX1em7R2r8wc{G=F7u&no4#jr;#&F8weyYKyaLPxI@os$qdswYp;0J^t zXb-Ex2lxXH@CAZBELVI|a8EK2gMlCl3}Z#5Iag+7tz+W_&31XGjQ*4y6xMc+_MzpbM@MZA+)VHry5+NGHEGzDxao zuQi(|pvw5UtV+@U3$0o6z$GN%7u;jkbD0CwTqdJH}8xxLS?kK=9MkDH0pLn>2MGZ zhTP8RS=;!zqZm|zP09%Cwu9N418Msxqc#n9U+2D@)93pdh+Ofl)Kp8#davR>o*Q}U))bMKuaGz&{6nj$af7*7{!z)Pn@CpO@vQ>qIehnLP! zUGO-(bSCM-u2rp+Phl19AeYHk^_*-ZI1L8T{zi(^=q*xruMwUJ=`R? zF&<)4wn~-KVt)xI&YK6f0dBj!K$*4~S~iIpEYfWIEM;~U@ppTkGBu0L zx0fq3t`oknXDJoeNkO`wO-Eg(1L-DVqQQ=5Hmb2DXY zn|VpBA7rDO{gXJ+vYE|TZFWpHvDr6?yFj+AS`Q@x%WMi8H$~hxsbDObX?7VDpvmcgl$W}HB->^Q&a+-tQaDvnioP_QWZ_Py~ zt2-iv_OCsnq)N)58IXX&#b5}=T3KaC9(6!F0|TzbmF{D7r!48cgMGp-62G*UC5)*j z%(Q1DWLJ8AZ+vB;lzC+Fj#xv>Xjy`}R$lMOx~LoK&z#A1aqBQHyRGD~%l$fMl=f$* zu;-wQf1NF~6qm8Db9Y%PG2n_Qd{6uAru1T>(H>NyZ}r$|SO8U7@0~`!l4=+CIB%#m zs?77a;EdI`S6F~##DCaEVO$pLf7V;aKv|aa&N2tevTUX$AIh@aZI%_iJ}+1~mTV}? za&B4{_Xc%^?AKm!QoACiY5sNcUFmH!y3Mat*+$)D`xI3sR)iuy)c>U}o5Jt3%oPup zMyaZ1io;#SHqvz6O3NxaXLb7hl=B*aZWW?oFa|nR4q}Y_3TTB7^Th8k`6J- z2FLMq+C|}2>#$lCSE&XJI~q^{$9WxXl(aw*!F>+tkJt@SSEJ+p?@68pjjY91=W zOQ+1DO_mFKHnn@4G%R%NY4<*9^mlA*cmK#ci;*C|`{&y;fgRtn1k(A-sf| z<@8*)`(8Oz$217UzWWXuvMgxGeg_TNz-nm7N|X6j5&bf={Y9pr$ftg5GOWUWWmyKS zlVCm7Uoj*~d&WHOkZwkgXFe+-kve&yydPy^Xj=+mWgKW*@=st`$Tw8D2*W~x2Hu|- z78;ozHoWS#IV3$~xcZ&q{~Mv%Ql)Y;p&Da81)E6BgYB`-)l4c#)?%(T|SSxx1>O|RU zJw{(cZ&Y2y=&Sf5eWHC>!uWa&(lSdny4crwqtsKJa}$dCNGZHanqf{-U346#OgMG% z>o8^Vs^nlRL!gf?X?Brg*psaj%DiH@cQHsyKF(UN`NA0vRap$u4k-xvNLpZjShCMW z|4;C_H@-{qQZwEe*cJ0q8|x%?MFnaWIoVxtf!YA4dspOs%~a=ruGo*{HMV6atmK`d9^{G|NUgU@UNW z_IkS}*l5%BQpbYcIM)~(^@<|7G|fhjz*NYIbhnFKy(O~Nr(Td@8Bois=U=tF6AzO{ zOsM)x90qj^2nl^<$-w~Zlm_A@-8<_kacryTmf9#D*%~^q>Y;p0&-r#robkHD&`$cu znqr+)>s8GC*}A-ze4jPUdO$2}ja;fNf&_HzYt1EjO3#^g=0`Tw5|GR4i}p~THz%}4 z7^*&)B^4rrdXrgN5jw8wiaE4GJWhQCIjh63Reh3A=_%epj>pYzLLT81mPi!lk(S73 z_vGh&<=^}MKXuuoP?x2dbrJzca%v%x$dJ#>%OW~Qc#3pEX9etLMJ_OaP39V?!9m;)+uTIgTN=}>&8 zd?t@TnhwS-L!1Z;m2Vjri?rR|0}X*9WZ55RoF+1Md!06w+KnpeywH3sp5)IH=W7pRDP{OinkQIF z8T^xWr;0o;Y>4J36?b095bd`r&v_BwYTl~+x<%h=x2ovfp(`{uRKjlY3hhZ1vpf8) z=A|mAJNT`3KeEeV3HSwjaL3qU!diP+N6vlHzxI#>Su%}m=O&~lQ?-gmQT{o(5M)I|J*uzz+yP(9XaD7J$8g2mApi-><+I2)-Rq5IBTez~0F& z7y$Sn0C0gH5Q3nc>@F7Y0X*OjIKUSOKp;T12yrA@SZQ)85adp zEJMZ7(zw&ObB>TkF2$WQu4iAnSGGRc(bDdDLstnIXXZsA)iNxXRWGczFrg^B4dj5E zARe3w-fyX{Nq4B+f=eSGd%I%gUapZ1o~{13sKxHjNFUwQq>~#N#Jgz=tNsX%v~0m3 z^358$V~&nc8l5+_bcCGXislvetn6-b98eZn_rdoNEQUY6es$?6j4F%j7xg6g&+U=a zm-I;e3wuIA5{LoQr7ezCKmlgYt8q+{S{=*5czj+oujWpOcdZu&Wxq_Fl}MAbXQt*Q zdds=LrIsfWZ7gnTR-(I&^Xj%^6x+`x(98U5ecGb8SG9^GbrIXuF7dE*(S)iE;;{74 z2V}h>sz%;G%TV~%WVJE38(T!x>GTPPH;$3D#0JiQ)cLjU4eZ_44`R>s=+l~Vv0r-p zX)QxcZatNgt5m8;N!(5?M-?WCjMGeTawM_q$g^#)u!fRx z4SKR|E^;1ga;l=tE02TJ?`7mX_IeG|HeNaDKBhSrDW@VijqXzF_+DmX*vqPW&gsgr z_o>V6Bb7P#>3`eF9kOJKmp!B-JsBCLxE=Cj>UcYn!u8Sj7@wM|D~N@LyQVKHJPVEK z-_!-MsV)3Y*$6hdMc6FcRFiJ{5+kylUfEm>#kyOm=QbWE{hF4nei>XV+r~;W&210p zh?aYG2i&5*aaWW6!B65}{3Jf&CsD=nE-8`DDN*VO3DWx238DVw2}$*f5@P)4CM4IF zB&6*igeW2tq8LFw0Z+U(vxAam=i-0wl=2V6bn){liaA9W>syuak?dui)k(=>hgfen zy|J`_H()ck4vvEtVAG6e>S5rlYG7T&;VLYPE)X47SF45>gwCn5tHKM!bJT}|X{j-< zZg5zmW2l|BfhP=npqG z5+CZfJNlKN3wL0WbU~Hv^jvnIBuae%x4W+!G1c;(whp7x)LgG( z##MTp-q$fSm)jRNU;Tr5NGrbjQOK+{={EVUd~NB}B*IXf%P2)i>BJ=38Jx#2=15m& z5_!Gxi6f|!be|n-y=3OMMi*+=93*Hy06N$N#+u0$F~cQ&iOD@x?s^^ z9}ks&h9e-+-zGSNAzh{};w6T3r`O2tL%(ulP5OOk;$EsLu_zO#3C|=f=|} zwS?|#cx|d}B={N)CVeAikKvkWcOz+!kty@c<+t$e$f9!tS_Fe-e!1Kh{x`A_xqdCe zgZi@{xfX845xD8ss8?YH%2gkv}L{g<>jAocBqAq%cdX;KuUHn@$)hQ~FWRH=T zGd^ugYidUdA-fZUyX#1q_M2EpM2!(%((s+60{pw25qSX~pii_ie!>Iv1s8cC zYcgI~y%uurTIaAYQMV<}*sDGP7J)xO0N4knf?vT%Z~?3Z{|3Lej0NhNN=He0N~t6? z$R!1#p+Qpr?M#37tMnA~<`mDX^sO5oldq(mtGYS*Tva@_@z|ci_7t{Du>CD~szqND z=a`i)>{$e+qQ6EpcuMi#vK}|l8ym}s4>v)s9Yy~_Q6|_1a==Xx4^Dww}2?nbwCYf7jT}^p!SB}Mmxc_- z{yN4>W28e}=MiZ5%u!qC9f+)ddPuYyrZ{%jd41#tX`ZUQD}tYBcBuj@kizJ$3a_pL z%^6j$QRn;Y%mmT)0+QHxNQB@wv*CBqo&us7;+4?mP#Nxsb{3Eyuot8*Z1%RX|FE7{ zk#*5SG!-hYE`ErXqVi0ae5;YG{L*8-)rP9*=}{{*vs93iU7_WvnCX#kkrykaX50(< zSC~cJA|*T!(o+k|+!~N=>y49Jlj=_!U}x84RC)|F-joT-c(eFdWJ7v~wZ~l~48tty z5tqkf&UEd4r(exjfBGuBdreL~<-PrksmkTe;~kL&m-+Gpb7kx@0gwMst8)5F;%*?- zBV9S652+px$Uf^GBneqZoNYg4s&6G+Ga5~rR!WrNp)9O-L}N^xHp=PYlJyaf8jhLv zw9<|m|3K^l5ozL5W6QX=1!oYq;CGw9UcDN#sMGt%zPb^ngDznbXC;Cam`Ut+sS|q% zNnB>?vR=0q*0$74_NB06lS2uAVrC^ZloWuOm5fm0KFq8rLNV*Iv}1e&W?ja0jBcZ^ zHjqraB$R)2mrbW6q<{2g(ym~> z!Wg}wq@|Jaz3!T5)1nI=K7T|9Rh5~eeBzHnfpK^pG7o2%!|P(^t0T=r>Y|=keP)iU zL%`nvb4p#LZ`BlYa9ymgnyHwXfO(K*iiruB2l-2}3>r}cPemqzS4c+1f+l$jF;h|0 zl+{B1L9wPOt(*|87~3?ioODbvw`oi{ae-oTQ+7G#T~;=wzQMdpPE*Dk(q6@qCdC`f zyG(By{|56e$j8YbjIhg^G8v@v_L8Qt4B{HQvMGl_{$MZdsrA9UORdA?gL#)u#K>XZ z<$KL{Du1c?du@)L(zCk`^DY^Vx;o6eOtc79QOG}od6#F71&Nq)Ez5 z4#9X}1quBTd zG!@Q4EXawt+Y)P(mEIhEe5$HmiB~U!@4SeSmjSk~s{Ke4s@D)E7?+`U zG9L&>X%Bifbhsa(Wic z%a+xl#7aNC!qi;gu?LIuzUuNVJ7pizQT&1yYYXg{@Pd8TW7^g3v3e7myjH%VWAbCd zzn6Q|AH_l+(Ne8?MvPTdD`W`XTWS)T&?BLPt|_VTnVpcvqlGBg3FCOwFTb;C6U|be z=yB+bp*}(0v^vo^t=`P23%#bf`lzoe(wtlu6@}g?t_$2cpe{H{yW8wh$M+W1#U9l# zzx#}`Oujr}sQ>tcoZFPYz8gmMlrL&Z@GopizfCnNMmEWB(=!$1rmQ5&4~mecv?N-z zg4;AMiF!;isA)_ReF5UmvRf#BD59HETWEd?zov{9>R!c&CPfRqXmq}MkvXI*Zn!$Y zJg5s%M^nwwU9soXBh4eaqE}a~HAi&CuU2o9FDaG1A<`AoOVi(wgNz>?+(d%EfNW(a zx>ZKev^Q<6(mV$BiY=k%tdI9aRtN;kLS0dm6xVPNPDzmll7i}4zgaR811tUViLUG{ z$`M;=>F^l$(lJ@Yx%RxC3w5*`=)rAu#C2{(v_8ok2&^vbS=KemAxxzAMLp4YssdXj zPqdjTqHBB%<;MjiTBxl)=MgGYxEH9ni(Us9{?R}I{34CtcqR%DaZ(wu)=r9@Cw0@;jWw) z)SLE{9`TFSJp(}$DDi8i^ok9k8=;y zRK%23Q&7Z;ikgiL6%`aUDoV1OD0Z-{sH|qQSpTkqqDDo%Z}xt(yxeF$7ro|UULS`@M3X5@4wGn4ro9tG z&4l0(vpCyanpI@L&gLd$O*i15aZy=i{um0Ek;V7NZRNUT75ZcIxMPMSm9|HePt-f< zm?J8^dMzC_SNWTI8yy@9|5k6HBVQ|<)O(;ppQ=wSp`+cD9qJ}Jl&l?AE1D5Il?wHa zX7IJYs$SC!zV?UJTbsex{*`)tGx*x?Q15OAU;Bq@nZ>!5yqF!t1XrXFY#P(MmSPVx z$Ii7h70evdN62aHs1EW7^*Y&$5F9O9~ z7u`W5(01u;I$Rsb#k$}QN(1$=j^5$fK$)Opb&wh$d=S$yf#GEEik|vrz1*S0DV_Mu z?+&jHb5MaM`uaOcUCmkC>54{uTW^T&4Pf1GIpR3LIlywj7XTKp2EYRt0ReymfZ2d& z0199$AP;aKFb;4UumtcC-~d<;mf-s>`uiMG2@9*zLm*15%FE#b9x)iD6r4lRF4HmTstY=_P#46BAtix8KH zPWqZ*n-=Fr{nTMhUDzI2oE=-8I*QLwy)ZS1khhfEO)H1(T1Y4K%ZG8JK2N0H#-ESI zjsYM3LN4wf*z-)lK7b#uHoC-sNa9vSrx=iENPn_dZvRy-Qg4?#omUyuM^nI2biaCE z3ht3=P<<=~S){n9UXy~}srbwxHBn<+Ua`?lUiTwl!qKj6FQe{ zy(Q7MP`5G_|6s2(gdb3=1RDlSb5ZInO1|8`?*P%as8a(J-d%ba^`g+nz(60 zvC5~hEFr#pavAi-Vhj_3ALgO)abM~uppTc4JrR~3=81oMv@Fq2-J0cz^w-^9Jm+6S5cP`6AjzdyDXt#E1**l2-Ndl1K!4X_A;si*CLMN8~x=pyl6UdGVA9_%gE z0LGgMus{lPXHRFh5f`1HCErT8|FU!6-vPvM3PDS{mw6=O`|VEa$1MS>H-PD>Tn z1nXK+XB194DxXs2a$kqzd$v(d>*w@NnC|?qp4EqJAbRS9`)moczjRrBo&Jtxhso&`d#aq&EM#uvk zlQEZA9z=^d1==$wXmc5tIGtv|xi|&RFE80&|1I2Ec_Q$$fzJoNOt!@A zP~i6jc=?^CeSsS30ft*axJI^yfoqNuO5+%g%@I$qV|gV|p9P)6tBWr5N07KK(HZ{O zncOkass7**YZslqA0y+rMQ3$6eN}a;Po&^is7BO>dJyLo;c8WnUA&@7E$eZ7r0`I$ z@3AdXcB#+x;CCuV)g8eIH>Fg4DH!uwE`<3h$GLJBn6$D@bhbX1yKSL9(?`|2<|DU^ zYz;N#mmkJO%3rHn7anzp{F*wkdg?tqc2)7rp_^;#x&x4K;;XFT()?&Gv0j8;z1I7Q?%SpLFq=k-bp-x47W#j@e)tuRzJpgGKB6^Dkpx9J7 z)R=MaB>fbBGJ|*N53HxLw0G(Ndbe>^FE0Tq!zA@iOF;c)oY|X{fShQ|=}k*OUo@^( zH;Np;DrxGyBHOR)Y~e;e56)Vo%upO%FnS`Yv76yShq>|@3_E(HMoMJ3(L*#cJ_ARO z5K5U0Dn0B8@*j8vNF>BHJFoRfCnPxruGx(ZT(=v0@K?avI`rMimn5%zpXwSmE)>k^ z86O=s=-%x4-l2~E-r)vJl0OH@2atRLi4-I=dd9a054sn4ymttP=55e?{r6b(^*>_K zVTK^V0TyAp!cTD8LT)2Y(HGSa+DPTnk7jtos&j}{F4P6qcvMk?bkrJ`D#}w>qo2@T z+Jc&3_@zCk1#)W?winkqeUdL0oM1V9Qg}lkm7gVL6ypfht@O@EOj$O0Lp6Y@HhI;o zUqe|glPPs>0P19lhr@4n8`flD_pZyrmj4P^3jn(u?5AK~Notjnd~@|zVVK8&3xHf0 zCLV?%O{TO!_e)N{+1-LJFF@)IllNXkHR0wfPWvI`{hZSL0-*Wb#*HgV&f3-{ys zT%2>>EKDCa(7ALLj>)Au=gh)(aASuy^f(Gt9zGj-AZg1vc1e@F0cIEKnrQycU)YnI zy!~CUY$4y-pSXsd&8Pd5ccA|Mad{%lb#>lJ4np}$v;Em4$U(EwN@CYA4&E|P$ zWsG2-8If5W4-CcVn>BURK2&uTZoj-H(hQZ6NkpnR?UqA5IZpO~FVhv#3a`ckl zyCmmlJYi4dd);&T1Mdd4rhjXNI&nqn8oGb4Kf$Fk^tE)(j3ew1(Ru1Mm58FXMD(%W z<&r-&%u3R8hfaqNxJQaP>Q(f_USERu%itnu#tawEi)e*fRf#^owgdCm?*-DgP<)9v zhde?U3!#r0>SgqT-XKEwOL#u5pRt1TGy0-H{1S;<%g4!n50>dQ}FyARNWQGSm;>gDZyroR~7Yz zjyk;h;=qejy++ryGbD>E*F9NDnV?oXkGt$Ovw`WBbHCD==sa2emygdhB9U7qXN3A( z{QP9)!aFP9T}*mAe)!2G-#Wo_sgthj+pbkh`z!LrNMQgyc)Elmgs7E2#lFG_dT2f7 z4+BK4wBh{>5VcZ;*#}S3MOC<&@FYFG3j2=%qE@Q#Bm+dPyuoZXK-9_`Tn@Z6=Doq* zH9*wL8~j)UM6GyWPTkpto^vPye`9K~WAiR3-J0ov{&4pPnhMTJGafbQ7&Ug`)I)lg z8eBM~LO-U)F`V{7Z&!nCBh$e7&$f-aUGG+dZKLF0{JqHep)S73vyB#~!!;pemFewM z2Qj;Nk?p)eTrtn9ecB-QF^}5s`(Cn}F{wYmPW+d~r{B*`a#0iA5AHbMHNh+&r9`G- zvA`YY4~;(y+;R44Vp!mg^ABXg@x3P5tVv)6go^KK7_7}37^bRtrFikHVAQQc`)sru zfe-?b21KNl*fi!&*zo2l#EsJiY)-0nu^suIv*d0*IrlJe#+yFdwb()GX|@;NeUNfi z8eZex6ZBdGn&0HvNseQO zH6e>s>F_IR`$%4*KGx&#NRgnv*kd0r4<9<-gMUK$!kNNHbVb=g&Ov7jn~dAdBM$u* zY6^{lxCb|T$$Maq4!c!%0H8B?M7D#V*(lnOuSQmLs7RAN(CK;wRMDYT8b8^AQ zEEHaCC?hU4|NP_5cNn2Q5HB>IHccRIpLz+(awVE^z4-$+UlrOz?`5ryUsY}bajPv( zsYQ)V89?DwMFM%N!&;@bbS&hATC0i|{Mu@ttki19rVcnJt0w5^H9_<+b4D+#hDMJv zL!?#B_~ziZ$jyd}kgN}8Up_-{H8Ofyg3Updwkswn0W(Lb{U;_ZfiMT=)5c9nKs{G# znd34O?4GN%1*gG7iK^7DKAn=_NL2;tT!_ogY*Pm5#}M-`Y&%5xJ@NwMm~;CWKS%|RCQ3$D4%?*tdH=9)c5#d)J_?i@p>ZOc-^#7PVJpB9Li#pp{MX- z)@@LX*VPcQ`$*=9>TIslt1Xqt3{`8`Zdk4_Bpy4sSTl|lr5UZ|bRucI;_9i~8Lv-P zIvtn){B-1GSAgS5MQizNnkUDR7;%iyXFE$7y!aV;Hz$&~`^138zQ^ypw2QGqSg3(( zOp~znb}t{-QlSD&KWFCg;Qwgp_4Jz~UfKC4uiVu|;! z#S6!@hG`^U#xf}bk($=!kc{vxx$nj3xn|q9E~j)++*s6IHLKiTy9DvuD|om zh#C^h&97uaqUC_R{&kYj*0NRZ_P;-bt`^7z;6>!G`o6xv){D}&@%ym?2QLzT|M%Sm z_FlBUv#Tbzp}T4P>#MS>5UZX0o_ycekNM#rE1RUM9R0zo!mg(93H7N}_)OJHr%8TG zrQM2F*GXYZWiPm$O+O<)NmnpZuisXEhr%?7GG~760ti^6@kV}gSNf@&vEtCgu z?G8D9O)!PjH}U7$9>*eiYioDJ7OKW_81^b&{Zin)T*T522df#mcri%eHyyxSvh;@dJmAl>iO=`MpAauZ*b@c~&3 z=AU3jfC;S=z`O-!*iHN!Xk7{B6ELH|gjPJ5`WWx(;G1}lj2Z48QvuTeX@JZb?ky>R zbU-Qq+FPK#1=?Gny(M!Vz9a>Z4oC$+dkJLa8N1Z(kJz5TuhKUf?;hWJgsWy!4;jtQ zU^)-F$k+>*^g&`0yNpSmrI-Q!rjGBS%%K<@O_hA`H+8U6F~Q#yN+s5)o9RwUm96?$ za5Pm;1%FdQswxEhP3^8Je^IwIJ6=<{g1@P4sIn0JO&vm2acX6={Smm%<}$r=N%p#N z!Wqw3BeL!s;rxH|?Zh#KkZ)&TcIW+Pf36))dU5aq=fH&v`zII{V7aN`?YpvUDX-q< z#=m)sU?No~`Ft|pbaBtJ#(DKD@A^NO9u>zf?pb;3MfclhKY!#${QL=7ciKkZUG(_* zt$fLFVykZ=Vw`cEdRsq~cKb`c!D4d{Jj5psGh3q)kq(AKux?L?aoH=oY_{*^dZ4Bm zXF&R41>$^V?HI6P7?O z)GueC2`*UO7mbgWO-9j_ze6%!Oi8#>n#N^T9}aemB#qNO(*$ZKLrp~GBU30J4>b{` z^SBeMkF`4CR5+-9XtP$CY>Mxr6G+o^A2lKL$ej|RCXODm0JYB$A?Van27E3{nL-aO zf535p%QERMW2uQ+?y_!{TncYz+C?;_5+xsDFy95 zF4X@Xx_CPvQ7;Q-+z~u68Cauy;d)S55|+mcoZJ$fP+pyLex`W(`t2jMIkFh_2jGT`uDxssh& z6V(&-7VT=T&tfMa?38$p@33<)6dz{@QNf{sQlZc#IMNFjVDMA#7m>HPZ07vJ#BL$x za0;0YTS$#i__!vElYk6WzEW=zx#UvEvMEeb3nX$lWvr^+(2PE!Jg45-j6b6KqF!5o zn5$f)-c|s)L5=DS1*q4MDQiyw?lok}k`y4_AX8RT0oDyNWhn~KJ0VlnjspBn$dt84 zWY+CL&x5!Z!o)|*s4lIt%YK%3!vC) zX%}Jz6kE+4c6kZKRxcXEU^0p_LOCI+H!tZe??U1r2S0n5%F66=z$upLzL-m^B_gMi z;3s&RqFiS*2Wz>bxJb$s-E2q{e9l5D@!cQ`Gd?wi)}TrvGT=geGO!;eR&lCPfxHKi z#6wo^4z^jVm~2|XrxR#AoeiJdKsM@v`7Yqxu5-}D(EYB7-Fb!8n}hKSV5&7jgVN^Z zLJ(&_bVe@jEIcwVt)Xg@S{&YzUx+Vo^QzCb;@%SP>o00>TJR9~tST}g`R)n9uc6L( zX>{2=G>N+^njeGM%uO0#jAKu?c5Pe8ZW9n zeFS%%=iNSe1RKVi)SfzmKWap@Clesw^m4&&I_6K+2YrqiHPoqfu5zYH)|-Xx|8EW7 zNc>|1MQ}mu^2Rw|vfDg&s6mTM2s@6wtf5>o(^&=r0he?tDZDUXTj z3QU$hFa{4LhmSGcESRM$?zkX6JF^t|&Tt;}Wc?Cs7wvy`qDisjAx>4W>5qa`-SOs^ zf@SHoaD~>k2L;AkSO9-O3?Lkk0AK{h_q&rk->A+xe7Z7#E58gd0^svg0|0EiaDhz5 zJgfh$`LU_`#{6pk=dqSZKq9~wzyyQ<;s629V_9yC-AApU0wNO;R}AY+P9656AxmZd znEi&f_oIJMy=5dQFxhA!w~+TrRvTZKCJkd*0iUFIh2AW`PcJh5czlTEqq5k|qLj<3 zz~im7&VG>`?Q@7_vq+KTbCyMTFZc5~VR0NJJ(Z1`@f&^XF<3*UT5WNKyddJ=&B4Kp zdMwYlP~8k!320Z?w!`?~kRO->hMKIRQS3EvDle=-ZGm~)_h$cAn75_Cr0gaZHdJ+2 ztz@BZx%|BTM{LsF&FHRQ^OR9UQsP#Jbjx>Vy) z8FfwOs6o994wWv3H^iyA@NQYr%d16}^2Ya08v*~g%-*CC$V#xVH*ExakvFM#3IT#h zPOJCQ313yd0x{hVr~IF8xsXoxKaPK)`rCwJqDsK$R0TCRI_M6O#PQ-Zc!SuXC6+`k zK;_GK>9-2QwW$s0e5hzY&b+kxm=;Z-E|dD2BcpQ%9FCJdi)TO`kc(O;0`0Sm2ycto z2{3oHPFKnF8t@4eGldK-KOHq*u}*)}OsrOk$OEB)vJRmgJ?NnHu^|SEm=Xqjy`YF` zkgil1uPsineM=mxyC@9QX5K)3Ry{N!nfQd@=hAhCgy^yxFzbVg=7+31Kgb3rBL#K;>5eA6T+fa>=!p61(mrk3f-z@ZOhIpJEkoz_*!C{WdL9n6U z=DBj3U{62cxhg^+>9?aQ=L?$p;ic76py;>VrmWD784fRq+yhhD9|Q+P$TpWK>3wrR zdvX`yPi4L?emJxsstlc}c%Z*)c4+5x*~Ti*>H>#j`@^3giwze9M@3Fmq<6A^&FJ=| zI-8}+Qj=?kdqP+uR79R=T0YDaaq3WIZ{;W!bm4p~N7sh=nG7Qy7zLPM<0 zlZN@uU6jW@q6ud>Lm5>v(_j5K{mKpp>F1g57;g|Hg5Zr3uwlM)9r>|OKk2cLg$3fN zAifLY3J~80aXE-TT?QBdS7L20LB~26*%A-pX&`KstNfR-p|*#{_x)@~TKzHz>@hibm_C=rGodxle;0OEWQzXP!XFbTw)0jr_I zPw0?g1suyQ7<&BoZ-3!9RB#fY9N@(AakFT%IgWhCLGm;396%0IDI71p?I2|4$D5Xweh+2j&Ci;A59W(Yvr_M&5AarHCC4CU z^OCYMVo=X`GqX4`@N%7#H8lpk6=t2mtDr)$%~aKA*WyIjRnfO}L zV$L$A(}?p2PG$#U#KoSou)}7AsN$4&U`9x3oYfr!g7bAfy93n{G+oLOQdm$vh9)0p zn%qGxf$}jbA-rA2kPA%4t6S`}sSK(zw$7t>w zOpaQZO8d-Xt7R6C24cC`-B?z=xgYMY-}DjY zwCbZ4y9W4St$|`TyP%j&q@#F(fm3~mg?a0;PXCwLMmwbr{}!BxzZrh1?q;FAoPS=Q zQX~&I`8H7?tBDTNKRhK~7gFmPo73}OmG%8Rga3D$W zwUfNkM2oV?$gx~LrW&SROdEP3zhfu>ko_UJNeZ;iAk2^gC59 z_9uJ)r_bvcr@NOa?)`Y;xf(mf?-~|lc0DltBRZiE8vZ2B{Kpf!*SO0jvGKD*oo_a8 z<%yJIZ&ooV9iLVAF8;{gfBs`WV_aJ|_3(`E)2b$$9yg0k>~wZ@bf6E5OhS8XP#`xL z42Y*jz!d{x5`3O?836Vqu)DdNWc!G}xDG60XmuSnkwa53G|O12y+@-7wVGEg>Gsp= z6hLQUXe?Jf_Q!e?>L<`S#1d0&2~W2}tK5HLrQLE0vXC2EG@Ej`a_hAHn!Ke=%IR@H zJR<{<2E+m&mRkv{A`^&uAY2)gV|Mb~&d}iOz9Sn`ct?K+LTM#JfoKN83`DAx2mxXX z5O;vMW+lRaXaV9q5TQUEv5v6`h-*L`0U{oDd;cLAw}^);^YUPq<6xKfd7(x3oq@;! zA_9ohR>G>t2Vx=+AAuMLiu+kW_yMurN`T^iE)cJu%)#X+&*6{%;dS`ETRo_TT26*O+eQ z)t=Gi+~@gB*B#xOo~~^bvwrk5J{0X~`m(Fx#=Pap&ojr5Iq?h{hyHJ)v;TjME1Pc2 z*wXan)TaM5Co=!jOv=9c-vHYJJ`_2gQRa3&3eWDFyAS?%hu}|rjCQ#4^mO>&&4s^x z7!VOw0{-^*g+SN?aTADf@b9``1;ovSbokelz`w>GtO#g6?E*v*5bi+Cw-O{E%7DNF z;R(cU>&dej_IC?TkMnS@ytbZiyMTBL#0o26J>Rwi@dSvkR>In4FAzU~zyaX~=i6NC zIr{+yEcMCmdp#LOrzvtf&lkX<1%NCP&h;fbKyuwG@dZgLNb;=`IK2DgKyn-;pr-8)>C0b8MHE??*h2CmRP^VE|C7kfZ?ZuY$h1% z;R`RZex1kG7VC~Ppk{ut%uJ6i4#cNqTmRm(4qiYAP_68 z$L9xzm-S!^!61V)2l`DT9WMT;P5J9c5cl=;X*4kQgW<|pa&(UJ-m#ql*=OgT0U{2F z=T;&Jh)Y0VfuI6Wy^8V{jtvo_jQ9$&vYt`-jh{at}T71>z7872G2^6T`B1zm98qoqHQvR&e&m zLk-;fhs#_JXM9{Vun7E{t|L!ej=*cxs<-M50f!TNWpHG>#*$s%fRw4k1GeE=x@!CoR0dWZk91w0m z{NfKp2M~ck?6eZrG0p)&1)|7GSjRXGL@W@Gtb}!pi$FL65f4OMJ{(XG9MEn!!dl{C zC{hZ=yDhC4#MkM(#R4RpC zsX$i3$i6$64SlwQc^eCvjO^zNd=-3i1G4!F|O9W$yUQEg}?FD2~+T`xCF?n!ftG^ImVRayguNvJeO=5XDx4 z1VkwijzCNRBEc_v?_ePqw-xt-mRtMUGd@l&BOlIuzbR$artKiI=$C!_pP5Z7y!OiPeSk~`d#+S4 zJ|-m64!;;)lQOaKA{d_(_dfJTfh-MV(bx-y2i@UH1nN z8-TDx#^wzrRUI*1>Ce??b{v-OotcB}HXO9uaQUEJ{rYK?QR=Qx%f#5c>TnQF0pV>B z4g<SyFytMfG2>oYwp)Gr{udrdl=b4K1Wk_i>nw*P$yOQ zYn)V!}QD2=~L9~9Lzz3%F0}Vdd!iVi-_z+_K zVAZ%b|GW%`ZNMfcW4XUG#=|Gl5#!ABsfz=243CCTEb@zo~ z+T<$q1LePh_I~7A`EEh02v-uc2m6O%v1!F{0xb9;{0u6=EN&2;(r24IrB>?Bh}SpE zGpc8DgR~(U(tt;w?E>OLNwM*cMDxAcM`vifYl!&{;^ z6e;zp-fN)`z{-!4JX0?-j~&_wuY|O6v8^zwIrx)gD!6bK)Y*Cw%Oz8c4XbIw0rNC%V9MEWJ(PO8E0K0rx*4 zPWF*0)8_#00@i|TCdfkOME84)rB{LM49FgX>|YJO-*<&{w}vK49zot#Trw^1bLw)g zKz6wTC;(3Y3E&Q(0lX`abdwb<50)I%ACa=5|Y&`DTxOahOw)xjHFSsqsi9*UW`ODaI) zOkBM@2UXtyPy(nGx+wGN`(J(rorI+A(}#BT;OZe6D6bpofi5FR2GKgCZNlO+s z(OR6p=q52Hu|iTIlh4%Z)Geq(ykDx5w;bNHC;3aK%`D>o4YnXr*h~4uLFcXP48H52 zOCEbc4YkMb7H+vQ&S!5cq|KS@19gzF5I2~053`0FkyUUH$Aj>}+p2wuoIWZb+6u5X@86QuP#nA zu{AVaJXhn_8hHyljvEB=h`7^SS{Aq_FX4{Q%CE(L6U^mM>wC9cT7)v_|>6{$SoUQwl(ef?7rJa)k-+22=%$Zavy~C zhY}4jIMPk#q6rBOC=#F41Ox}g%jRm_TSLyviZns3ek&yBHIpj+apKG7qFx>Wf6-{u zJEZ}$${5_6Nj`qHkiygX@X0MvN{KzUruw&FWF+KQH)_aQ?iQ$XJjOJJPc4bOCJEy% zuRhQUArsvpT1e;gz~D_UabGQnE@;87HI%y()_2EhGjbsv^tkGat&VHKQ|Q-L+hk>t zU`wk*vMSz$4)OFgEasBzHdcVa&)mYVAOyb^rk#dzM7p^YqB18ukNSJotf<|QgnaoqGb ztI*U0;P;`^H_XHxG%&$0e_`~r5o9A=nKgY-(_;!Ly>XxI9x_@_sPSqJ!AT0ubpfyM$+6)Lu}6+$ImxXV*{)0*~Xa8^;NFgN_9uI9Z<1 zrXXUibeuW9eL4aCKf_1Cs{M3PXf`3iGXxjQRZ7+iWBUCUAa)t)?Yse-Gs<1M*qX8Z zkqeL$495hA==OVv|L9JcV~1L_o(WNJ5mE99dX+hHXp`2xEBr0;gnXs`s5xdlmuKN z6voapkW4vD&$_@pkXOvrWX~kMp`6ksGTqQw8XH;ygXS!*Mk9PuWvL4pe*qFT+^z zBt!Dhp?=H;)lE}W2X-{#jFcpFw@j(R2N@lQpf1M`(rs~$xv;t@0e?&WV&1{oh8Nd- zR3hRmN|dC^JbPnl7iy6_pOZ1{))P>MKWB9JX%vwLDGMca=J^}TyU=4Gv`h>h_z)>P z8A6f^>THzqQgv&;gHqARuIa#UfubIp%%rT;I=fUzR^U1u7aVp8@yt+`RrUsw;?-yI z>uf_6C)l%x$F)MZbp<4nduT?mrg%VUMBl7w9=Q8PVpdWeey;KzCt?`g8c~l~0?RkY zEw1-S-Qut2;7Xr*sE4>v-DL5Mpex$h;v0d+nlF*x2J;V4H>D&FRr-53!}tf` zzz)OMMgHC*IIsj5dk=KJ>-R;s8R%qCxn9N3;k}nU1TzCn_pA8lV15CUkzh6sqBEh_A=u4YpqQJS zs+5E1U0`kla~zn^|1p>wz#I$5MS|m6KZw4q$TltKyLI_o6VrHwLz{x}Ww1sZra_5d zjrfEj)wF~U73GeI#~TYx5jC-*;uc8Ik*B|)aT8_c;@_#4rxrI!8EFdYql|{1my~mtRp*W%x|MrOqBaYtcXZlg(2Yd z-H?&1PCblBbfNJXggK*T(ekAp=Fp8fB@T-e^Wf$m(c_1cbqQ^Hg4Rk$&G8$3*Gf?4 z6AZb8u1I}o0P;2SvRJl86Y7Ke_IxH0 z!ra)U!_lolUdWHeeQHTF!3|RJH8tD0K`K6l1sOw`B%Napg6kDZ4wbXhYRH`~-O@kJ z<9m}w9Um!Ys&`u;71wI1qnQN-hEXq+-JGBrR4w(VIMVF@GV}-bFT-l}1r~ON{I2>C z3%x?|^S-Z!OYNO93%iOJ+nYHH|DER;%^t*{;dyFL4>&6%m>}w*#OJ-lo>yPp)Pta@ z{xrGt;YvauNw0G!RG$MsP-jowR*j!FwZ;B8b+>MuW~_F4EmFqIkLK2*lX%OjcfUlg zRqQdX=(8u#F6wRi@D1cu5EpQ`` zek08DH^Mys=9xlq2$QM1*Gv}_fxG}90&t<*#6X;A40I)u&^Ms#9O!xqByy|s zi4{8;liSm(AW_Pkp{9P+C;2hc%npY-#u-S4;yARU-!_qUTO4KhpqBhJ9^S4i`+4=c zU~fPElj^%EZ8*S^T8F(vEtf4cj5iezN3eVx#WJ%G%imG*hdG+X*dTsqSO&q?6D_{f zz@eGp>83*k&AQti(UNlE4AhjFGf>@^f5+6T*4U1E*^^jQdy>TugcTqZ0iyV=kt;U^ z6EfrslQ%rZfgek^hGogzf;a@v?nPTr72H?nUwMCPM$RJ6=1k{1<bIEQN(nX9_0+ z7Cv3Jau&eCC$U1(Wv<$o*#i07kHB*~8p~Y*&+Ujc+-X?_weZ|d%gU{V=l1bgCAIL} z?v#~10?+MHSw$nb*@oGWJP!&G=ZoJ9V_JO{ASgz9*3>%iTsXm@3_GyW>fpg0uZuJL zw1zrL=9;5hBR7cM%oDP5>YU~(yxH@I{UDkcwZwQ^y$7P-Xj3@o;jqf!dh~io%h$oe z?UYYq=L`o`25yi&H%IkO9(5>ElGIx*_)cmN$9*`e5^AFSYY6ErYr%OMTzmPWC%hh? z?LoyW+SI3daOdT3)JJ=e=M^pL3q5e@4nX`RT)JKAvpx8)@=^7P9>jMpvbUU!L34eh zv&gs?3Wi`~u-z@1QHRyAv{_paG|2ZR9&_^82Rg9gtXao}Sg=I1u=!?6EP&hZxERaIj=uiT z2Qn4#=AO`Yf3t14N`$)Zw@0Ux2epqe+qNl1sH0%+1Tz*)X#F3UImArUE1-PMwp9%x z)Go#z-E%Mlz+5jvJqGg=n0{v4H_&>Un;@xxPK%+_7kSXoWCKaWoE`#&NwQ7P3J&PP zI#MP1Gq<8n7(=mTjd^xm#G_=u!Ow2wNxCee}=gSfy= zYA=p~6ug%Ojg_cG>NI_j#?_J=gWs#5niluD)cF=c49&Ryphplx6Jg2jggi!{;2w*t z^NW`*G@dhwUt%0DFS2tq0ydaK&cmZ^JE>H-eo4 z3%N&MI@OcVx_59(4ZMY34Y$9J+CLZ;!Y~??4`p#J-%M3IHPD|S~}vKk-Kq9 zI%>J`51+jv%pk2%N?^K@opab6CWY*B7s6BN!3-}v&qz`?H#;g-K^$8qdJB0hCxmIg zg?ftP%EWJ>EQb57(-ztX4z>fbEll793=>+z_dw~B#isD#1Xghu;ubMVdc+)%HLZ(q zM3sN%1-r{`uJQppVmQ7v;t7=a+oe7(vcE@K2(AmBz3eV~H|1xx-*94Ocp_q&VV(ML zKX#|$FZDSKB;e{6Z-a;EfO_mPc!*wa@$7_LViVvYI_d|;6CR@ZgO%*BaFK5A!Nx-} zK29KENP235Z@z9(^ zED_XUXwA-gY+!P=WGblthGp^;3{4axwNKw*Lgm1M`aPS-=m@EO0#%Rog3g)H`4uRy z0-f{*Io5bZu+4(GLES5jGmnkVuXB8^h|<+{(88U+=_Yr0hr5(ZjSwKqt#jB00kUI; zBMQLDf&$6^LL4P|=IDZm4dQVy*EGG(=}(2XZpE;lD0LLGhdN2-z_im{reg&;4XF0G zWMsd~K19`eKH`iq81Z-}S?meT8N)CIHtMj45~N#f_8#hD*_Ju~1b4yJrhpo|uJ8<5 zzQJ|qh^0K~maGR=Ml002Gu%Zvv+xUzG3bR?V$kml0Of#bViUeA${HM^A%=f7CO%-2cZq#3*_Xt)KW(^$xA6}Q)`Ue^h zOY#={Q>C3r+-l>fOf_w6g*^D7COON#F6b8SscNy#NfT<}=b|SmUYjcT=uyU8={^nB zl6Mc+t%x@*s+Fl7IzSrw*`!J(^JEfc97bHIh{}X;ewp7|? zfY)Y2SB zjK@`j5B6Chm1=0g{wrj^Yn*}^U!@w2cW~fWnOTSk_QgpznG>>738(|cFYtJb*g~O6 z7nvDZWhI1Ac^aEn6VmD*iQZ~_27#%lmVo1!3e{1#$4|`sXd!^q3{CuM)OplQ|Y0D&z<^{d{0rV}(XK98xvA1vl%5vh_`NKXh1HB-y zT-2MCj+kiV_NJwyE*k$(H;OPLltyX2aLmi7gJPsGlpcIg^1(1uuu!_Q^|@yI5OgC z--NDOn4$Q?U{`&yz;>-FPw;DjL$Y$5U`v60vTBJ5*WuLwv)=A7>mAsE90-q<7Q?Lf z(SE{ZWrU!m-);fvvF=~t1Z~nA#4_b>Q{*rK+ym|35^qaZLfQJnVU)JK%f`z&N@5NA z@*TFLN0nhohIs;w$TQt#p?IBfmx(w`73Fq01ge%n-QLLN&?o4-P<)m-j1-mB+3az? zpsNMPypTlHSO_1@sUyr$Wa_GhLz?{((Tj~Q1bZx~8?==WIqMWo{sxh=0R`cgP-)<4 zf7pTxCq0!u15bNjN9k6xGdSGK?wf5Oauz+$_*t;qf^36iaEA<;Cdi@K?^BO-H}c!3 zj^bifPxaIqLKS7Tc)mHaz0?Cg!B8$ZWN}aM%Me#U8jQFamxQPv*eLl=oytrWO>MzN zsm7Wp!`;a(_$F*u;7RENUhL4?9>*u(PF2hZ5)}`k-pL0{rEp~iy_bIGB@Rh?oD#{d zg6+YGr7Ee(k8ksaxJG{ku1qdmnMxr<8hX@4ri!DuQ`_^mpuTZ`X@@B8atJ{TgAl}s zYqEu0?9ef|Fy*NxHC&kBPTkJ3_aIit-WlRdEBTaBpCb})-jbost;l-nIDM3c$_kw; zD~DVAu2%bcxV1C+-lM*5;z_)u>XI1LYQ<&K!ahuk^ECY=jVmi;r))LPerPXTn2PD9 z&Ps<{hOLMAUyD~f1Fxb98}mBx54m`#$%%x`Uh29lQW>G1T$WKyzSk?f_w@q8MV&!YX|) zOScIw|h1 z9TGCxs@FB!tbp*L?IO|$b+shXys$UF1@YZ5N!`t|#X;>6_OLfAvjrssKf6mTbfojo zc{l!cF4XZDVcLRO=;og>||>Ccuwh)uZ5-2D=FzdQejxc7`| zDvSPxNpF-iLWhLjiv^LIgdhllp{NLmGyxSP*ocans-OtLiij8(3o2@`0BTf{0c9Ku zmO)g+fCa}!utr6F_pJ4-_kBP7*Lt3B&sy^vxx3tRbMHO(oU`}Ydw0cC7VBPCOcBJ% z$emNU_^NGWws)DvAo*h5b)?&_{vk(G2~x?TW?0TkYt?mzvdZ5?%m>8x$jaff>vP&NPIS_5i! zcLVBP?sbtHz$#!-*NwVKS#xJu`G*`fuA_mGd)lwAtjr0qX2O7yVro@c*)q>mdJ~Zm zohEUq-JP}gpi3%aF=00xn#18DI5b~Ome>;Q5(6P02WnYblDodm&aI@ zEEO`x%iX%yDz~Y=kl@XOx{n)1BnoU^2$=W7SZ)VGo#$C%JoNLLHUk zO101=g?vi7WyFL(Qw_BnI@l0;85E~R-C~SMR&=jZ9uq=LorCE0NF+bBXMuI{QTPL9b)tAG}q9D=i3n@>Gqq znIVk1k9`(p=g+whHPXWTA&-&SR;&)v2!wiCi~nj4sB^3r9c}igbFCL&7QmbZ@I*&(^`OS89K98i+n^ARM!ICv28U71bB1yag6@MjSD{r1EbtNi} zSEd4c=-<5As*F!aFJ6f%=@a5OZ=ovf6KW}MBRF)7-gL87nGLu`*o1p;q+G?xFbdp4 z(zupV2E2W->D?|_$2;jov_0Z?;3JC6K&;;4rI|e9sc-GH4s|<;xV?3$hLqzEC5sV$ zl})-urFOz7W7MfFZ@}Ud1lxGQpHr)g4tW=K1FOmubuShd$Oh-KT?^7iQ}07L9yu|rR;&TTx%Fh3Nr zfpSliIPPqia|3F4@70wBkZfsZG!8kYhU6QVYdxX*J#}Wk7^E&j8+iiONjq`^4D-AZ zzbg;vcBWzzw!Y9+rQUJf#u8v99l2rrZ#TF(8=i>PMZmx`k0g5hN4qKIEmxN?yilPo}Lv-nb3YG-48NVM)A|s z=<5}GIP=Ge?rxcom}RL_BD71Pmxy9V;`#H{m}3?7wh4w>>hGn0UuB&Z#qs6}j`m`Gp(f7@M_ecOIeOlf44r4KO@_}0(NbQf z;Kct)ESqf=!v$j5oGqPV#Wvu--{Fp0##jWgY_^?qcH(lQF#ZWC=nimKtWLBV1b0OU zxGQ{113ST8@gCe2mzu3R!Cmnc+!csKS}3?HHuH)hmJLCJkhaA8s2#lZ5X)v{Pcea5 zHcFkhwbTPb+C1wei<_;4v!>u`wlW}=jXKNXMAweysSwL1+6y6VE|0_yA*9VISacpj z+T3noK1R7gEE|>tA#E`$A(`$p6>lXZ(=~%wHb|!H2eE9BOxFQo*)Yc;q;1A0+|nK+ zRe~}44Vb(=0`Q?ew{SMyn5;h_q>XAJz6l|1Q5negEpeK_QmX-9Uzq)xXC3LJhB}=@ z-rjOtvtqcAC7cjBl}f90|MSw+_g@*9u01x#3hussNSfp8fJ^8g1x;V-}yQ2Grm9r+KSSdc?ovd4A%K60;PwWJm5 z;>J&4Pj7w7F)cNIPTwfX7@4g|hlK6j3n5_5<~hxcystnu4ZW!ATh&TQFU}JZ*KO}L z+7*T1N72y*5MNo8XxZSjMRcs$y~TBl_;qto3#{1do1I%+V#SY|r?fzr<%MSN7Psr- z@6DlwOi$6KX2(KjPw~~}NrjHbM2APb`(`zm)NftE{@+(z=sMpV{>s@}bgP;5%F$YU zvN_slcz~1+(*~gOkNGxs+3!1&sNXmJYxuaS)&UMz#G#>!QzX_>g*&}L6l|fNREGO26D#MIE~53B>x#1VDg03K1E_;;GsHi7 z3iYB+M5y~!(dO=LS?W&0Eb=sIanMbw)3@RAx2Spe7fl-eatqaRY)&y;TV}uy1;7^*ifG zjf{_7*Z_wjI;qRGja~E4c+mcZcZCQ?HUN7rLOu-1I9y;Y$`p+OPF4S8moAxThNArxKd|f zME^`Zr*#L!mAYw80^S3n+Y%&UfSTO>=mXusgz#;vsO5||&W2DBj%wYJRRUND*a(V$ zgTlPFgwcQ)<9-QA?ZWKYUZgzvk#S~uu8>G>OQXo^E7eGEv2H9 zL1#p=DFJl4`JbGC03_YQ2`s+Eo%BCA9;GOEpR2G+oxz_w2&>e6uu3I?p9WT`sr;E$ zU_vSe6Ovtn%X_1Ots$D}Bfk7OgC@r+*RfZX`n6E}PSSpCR0r|BGHqMz{7mmxTHsz^ z-HQ4=(j)#pKEjp?OO@H`pg#9Z+d^AE(<#8N2lh>1zXbMHU?1ueVgQy-%jJ}U7n%HwGcy3O zlS+d?c*0IdfI1)I;c3a7HIM+6e2)_qfJ%WdxkUjaNQcUq6M##BFu7F$X7?dXF22nO zDwlGK+wf4il(V1>W(;wh^=-ybxs(&xhMYq6;^ejwp>ioFxeW`IOF1jrOrdfqC$0?v zl}kBG#~lit0>$k)lM5kd<^NGhHltTArjnr%@+&7(>E#jpE68v0YsAu$SuGXAbly2Z zq8XuEIwnY)MvN_8Z%KwnY%N*0q_rbROBY|soe`>~ldrUG1Y%xKO2$X5>zF6uqkye* zUMBf#1ad(vgDgv?b*}HB_uJR8-b1J=qRz!$@^FMw=VUKEKSHQ;Ym|H&u}Wn&O64P% zROd{v6q~0yW=ao@n54Q6fgQ>&l{F;YHG)cY35Q5k8r1ieo*N;hx?Prh9g|oc-4FvI-TdPnj^zL=2@y1$S@(iAXTyqcaeuyrSs71c+RSMJnRo1UA2&h z!SSXD_&nTZp0Oac8y(H_7Nm4zukmaJ8QmB+UZ^0c8+U|<6r?$$3wVx#xz5;89#xR( zjIrQN5+pj~_V9>;`3KSSc%Fhe2eA)$)`A5GG1GX#g5-m^4jxvJo`){uxeDgxVZZVi zf`xe)GssWK&%@R5O!cYH(X)BJ`jqF`+sHXajkse*YJ3VpXw`z$d-5^5RZ3#lKDN22 zL+oiZ1_DDMP!0kHOAzn?ffXRI2Lw)mz*8O!<3xs`%{V-jBS^%{$Z}3hB3ed`;uIzt z%P2jZ)I_9==E4ys5_#l999|-pM_t6pOEl$CUT{(p5j>hXXJsOxo4kuND-qL8ox>?g zH0h@N!^ucQbq*ij$LQ=1lp_NxEa?Of%suzk@$W-o}Z&gFZ-& z=PbEne2{XJlXeGrkmkc#dxw}uKEauB2b)JN=H%Zo&7-{I%)NuiquFs*-yu9F@8=}k z!91rf;4HgiGU0qddI0K0ZGA=fP>~4+F_R7E>$^&t{R|5}fg|e|^MIw%hpl4W>;U|P z3s!ms=1?`3L}EoYGsYN)fw|KuNL*8ys8m^+Y^exPwm{;V%2L?vVHR6KP#%QDHI*}! zXX}iwS9mB}A#qLRVx<}?Bvx1{n;~&c<$UGoI+J4+)0M{{aZTkK<%K%4B^4Ou;Z*z* zs43B&YW%vwMR_un__}hD@^Y%Fb%nXIDV1PdIS1-ZnABHHRUS z8o;Mj{$?+2BS0ZxPI?;#3JG%{#u*9;bE4ZIN;ZU3&<0Vm7di9VAWC)}r>qU4WPiZa z9in7$oRU(AlHJT%SPD_H(VUH?5G8v}!!AV{Q{6OKr9@-O5e>f-YfLME63V7kKeU@=8gwC>@%VQrs+BnPz3F*$whn z&8%^w8&oq*(K!AFrACu6j=n*gttlTj_9oxfaL19}R6kA5IMJJON|Q7WX5kXe@^RBv z@|Y%e90JE3G)u+_t&{_h=nMlnP&I4EO^V1*H8UvQ6(8vujVlFN#9(O{6k?HilO~XY zEwaegm{LsN(uXy^6vSHwMPpAPyfv@Ygi|nYEfO^diis`#j)p}+*)jq&6bi}KyiF58 z!P#1rY6ujw2KuW_LC{#$;v38>HPdU+4Hh#sm|Eiu`d^Sn8=1lI(3sbPTl}bI zYArUyVzI`g)^rf+0{he=1{qcwyIR7a`Cd&}EoKlLL8w}jQ2IlSQ!Of#F|-33+Tq*12+D^p)KTWe4?d{9>sP~q{|pRY|BJjnYjx)D3L0&IK)OLTJz|O z*v^Rz9^(a@o@mcAH)l^tr0^hpym6vcH+>GaXde4QHM=@OW$>6Bno8 zz)XR-ziS=0Kr!fLG%<`F*yPsh`w2N+R>Mwcn%3*`32|P2nOW0k z_T2otv|MYXSZIkS;-zd(w!gcxF+whHQdamI)6F+YbF_GVh9w~u{|9?!_7r!QD#Rgq zo3hH^bf@`MshNzRZ1AV-v~U#@wR!p`e@qJfuq0MHg+IT}^ca3Jdrr1%i|Y;KKk|pl zP5!w1^p}z}Z74sz&g?aw#9oliYH{;MewOzr#VYg^`fkY#?KNea%6JN8xAd@<*f%Sc zz?IEmrlgu^WmA>sRZuv*U%Xqpwl8+TD2-&pvB`$yGey{UG9Tq76?FJ;gq?hca)-cr zCF7*XSBvVKBg9`I)UeBj175M7d;I;<<2mM%tX${Big@KiH(D=~C{OY6pJ+tsFD}KO z?M}oJd%BoSL6+V-jUr{+vs(B>V_K|qm}}+FbtlarI*1av)BV%j&936jyHbZE{S#X7 zS4q3N&`p!-+*1%cWXG~j^G#+^j!BPlP5k3q2!~1Mx{R9w>pbqG&d9!JwQtMMs@^s$ z>!itytVY0PfEdsOs0FkEDgj4LX6SNls=6itmIH`@Sb!&B3BVeV1_%bM1z-U)Y&Lee z0`iB?5sMnRp{h9*X6*z*mz(xY)nQ_(?1*P0ajWfmX?V84A=uhawgWU5ln`K415LQT#_j9AC zr0E(8%Oc40IW{8o6!Kc@Jn^&s<6VR|b9B)Fx23an2Uj@@uGf=;ir3>NicVk~+TJ5K z0B)s~A+DrFB1T&`i$3-Tc46MobyQ*1NXanmYPGD&h)yG~ckq6wpWjqeiX zcU{!}U|v#~=aEl~tGKK6jS5sAb-CmQ*H;nMYcgc z){Yd?gf=rX8MI*Dh8GRWQxHLS09k(kSwNAsT| z-ktbIWZJ)g9lg|Dx#Kgr@8KittDODFmFHd>U3i#}y^u~a3M)E}3oDMqT`GEvb$IyF zcq+L#R1J zi4)SP{r#G>rEjwKpIfCGdK5g6Rcu#u_7*O1{wQkSiH~BR{%>7#hdl;dLs17(XQLm> zEp*9C65TU3>Z?yTbQ5h)%UO ziGBt~7%faML*Qgcoz2pzy7VS;P~m!}%OxCPZ@r}#<=(uPZRoB9&PB3>OugUJ} zI{DZJ@^y*Bi7Vm*vgNvieEWvew{Qt02gw2X7F`veFyWYjEs#U`f+o)(w-nT<{IyQP zM`fJtC-@T1buDTN?97Qb&oGBXd)zR=f)=x7c$2R9CRV4*6l90|s;)ZTNV8y{kz`#U z0{yiZiMPi$>6*w`d%{gkZWG23Ur5+sktTNIF4b@D!-kqak`!=5^cjU_nRvUdxTf5& z(Lcni{}uIe3&_qZ5gP71a?~qqGw}&$N)xWa_Y*ommdQM!uE4E8GUr z-pSXVl43?+k+M2?(^Jek*q=4svwm!pJQLhLX~QT zMGEGW3Toymd-S`+WiaoW-y~US~nkpMn9_AzOQ)4BMxE}mycazt| zMeML9?-svuBWwH%c4Sj%p>vASHn|yx*5p{|nS#72yUygbAi45m%vmkideT1}QWLh& z<39R?EKPZiZ*vyD=k44DeF-hNN2JdjTodAz`xNwk*$m~GKFgJqdeKR4a$kHQ_5z8e z+)O5}C1xx4^x2j>pEbH9->t0aBYrYZlWySJ^erqTVe#*}*iB)U&S1%tS7%kgVA=wx z19SppfP;XYfU|%qKr5gDpzg!XB7c`uYSa8@rs7;lZ#495TAgPR>YfZLz*PToGnM@N z{V$e(q`~wCy;?e7OYl!OP;O8*AXmtDWHkziH!KpQ813z>CIQx)x&g6OekQ9@@0IG= zfN~&>Y9Njx}xoEZo*)F69(&>Fj(J&!TKf));D3Wz6pc%O&F|i!eD(92J4$$ z`ESazwyp|S{S)jkkiXxoJZHaIQO7M@__|fAT2FQNnt6K-C zSY;u&52~6Aq@esK7+X+F0PzSAkCBM0z9^guCPo3BV3Kqe8=HR;+w zMVbM9kkT$at39GC&c+F&4aNs)t0iUf+nlx87QM{3SSxv$E^L^jm^nb2L|Q2E)`sgZ z>&<&T-{Kz1PH|Qb8}+ivQOk)>B^g?dB0UKG0smC2)@Cba8|L_Xhupi`^G zT5Tzx7lhbCjF9ALXDd4e)Scu6@pkQEeyqW2C*ziAlGabzDqxf|Cy3VxqwF=qp)Je| zBL|`dCu*45;+%oqCHGQp5tyf#pA;|AuHrA~L@dEib3oJiJ&$W56n1fG(KYvOXruFlAt@@}PEfj&#$E}6@n)Rz`x`UqblYS->l z?pMH$u;tQfu6^H3$WKoC#Bls0lHeR1x@aOxKE5Vy21+8yzo z=)#F;qQCOniABjKF?Zy@S)^hAe2(&uamQgvFEr#Pq@`y$eiOl5BWR*pvQn^4a?h-t ze5mJkOdP;nZg`%p3sNKY3%{6X_YF44y zZjxOWvB@db1FEXZZe^Y8!?aQ^NX~JmsS;k9_LIW8uuX0Q?od`$R@0T=G;P2UN~_8* zXYK1VD~C7!F}(4~*~|f!G4cRGs!7Pk8!{}3s|kO0Ez1rXaH=v|h7m9rU&0W*O4p!VU~C1(d|)gD;;n}~T0=*r zYiAam>JFT0J1`dk(+jlbfxt-+$oNkn8wBowz-|yI27wR|C5 zK0HitC7EmJj}tU0us()WS)FeRX0mKa)?vQg4eAonLvFl(bPMJs@th{K$+XVzK87S) zo7KdJJ+D8%%W zPH3=ACIjwJa#glE>r9`05hYl3f*Y-he}xXG1WVs>E%ZnG%-@nPh;Pf@XC3K7mBaM! zqAWA3Lr)oS`h;DGU*DD47tQe)u(r+`t=+d*@gWQc# zn~M}iPYF(4+1bv9OiN5P{tr!Jwy{Ao!%8sA5?4)nrXj#Oyaq2&qR zK9IZUHmT@?^l)s4Y>}>AWjok*$7=8#;0&N+ubq(DiZGL()77d>L)$5K6ZuZTh6Mxo zM*O}mhroX65kLW8R2!(*<{NZ}ZtC|1quTNf%+o3qns7jzmF-sHSZ>-(yvUxCJ*~p` zEh0eX$DWn#SK(4l$RYh;+YWn?=M9);(k^4iWhmxly-5tSoRn!{Dv@bfiWq~bGs6_- z#$TC71!!klwdA?hOlLA|DNGK6xv?9}jiVK@5c%%XfIccuW^NN$A2imEj_WYB*E<6{U*@G-KkV7-nSpvJd&9gaKs_fflQd|3 z6mgv<%SmMoO+H&zYeJlqg4(+`9I_6C-#JF^>!kq^Mv0Y_(yNF23Gl`Uj5I&DNc!bS4ALc5)Gsw9c) z$WMzgzK);Cp3m|yunY0m33lu?@Fnp5gqsRqg2Vpg`&6v>kv5ATZHatMjO2u6TekQa z<4j}}Ws^U33U!9~sM-rdX8dDKkj)#75P$~o0M39s03DD5m;zV{Fb2#5cms+6 zwtx&kD4-mG1aJY4fE)l7kOa5}FYqG33xGLb7hn$HAHY<=IlwBwXMhP{8z3HV6W{|l z0VoE{E^5U+E^fsgc$8|kdLY#-{7jqu+p}%<<;!xz1{MK|0lB$h2JO|gss~rs>JJIP z9`;^*O7CO$uV6#TsZ^4EP1O%$?GgUSYbH*v2VinZEMu#_X3rU`Mm;3;nur#WJ39 zm%{QadArD;<$?~92cSmTef!-jqM(J>}VU|DFw@@er@lRQcPa-vwM7G@s$ zh3qT5ZV+dihjEb=!B}NSrz{t7xe0uBFXjXB8>}QFdOhey59Jc(aXw`CAWFZ=Mwn7Q zQth=9J%&HTPHUPH^Lmjr#4|LobJO^JB_)C zZ^NU#7G*UdD!iwl{iNsin1otbOOJ4AdR`&Xo|LU?>a!iRNE2P;#tG63G0TW2G*g?x zIvrOU?U7aMBz=SiTCnsCe3Q@gp&F=bB?n}g2`k9qU{V;;we&#=N31AP%hsRp$J>&z z(w|zgYPKcuGI@sNiPl|z-ru_1wF+^7n5%Kq2C1Uz(1C;<8f-Q(6(&(jWs9?R`P+G0 z)QEpcK3CF%ievmGLz}wObSM-=d zueX>Jaz8jOf46euu;|`Tc}W8tkgQ2KPUNrxj-B8H)xl2|8Z@9Cz>g0C7y~U>z!@|C6R>(tJ1Gj)&H>sqpbh>H9pxLo}{1wNEYmHyx^7t9l)1{p)>X>z*mf`Xc1o+OUr zrU^Jgn+DntqCmb8e@oX1j^z zU5-tYQkf};k6>Z1=9}E09+O&ug?&y7%9XTA!)vm)^t+GCm1DEc_Q7_XdPz2SvOf=x zkjnV}Y&`0x?4`1!&-xSfk;tB#4yFc}5WVBLHK7XqRw9b!Cza>=OwQ6TNMCZz$ey3j z@$ztGTc5=g`gien?neGROQR(u6vreRb~hQL=E#PX+x?N{?p5d)@&w zXVl@h5O=V%vnRPTXW_odte7=mlcna1ZM8*;_&U^S@OZdpvwGdVk^jnjnEO>|wU0OE zsN9^nOGSlmg?O6mALd>a)|t{DY_f3R)s*t=9ryI|o6M6cOgE!lQl||(GE53-*yLCK z5_knISo4Btx{xw|g@u0Bz`H5s!*Rg>@gE;!kN=?w8(u1i4$=W#0jC)Qx-E8(d`uHH zj1Tg&U1fb)pj~Xx)+GFCu>t8O-=T{hrU&^ptOh!x+;4(-nRZJo)vnQR6ClccGFHMX z_Yb^YQ{f$a@ZURV6e8c3wOQ~t(87TB6=7+|kfk*gE%a#4~wkR;%9H(x)5cBsXMrDvXRN zR4Io`^=HQrzZ3pqJFuo3VuYwq;|)|Vi(Im@^!-Oa`JW%S~? z@~`a4ELx#s9@a!&q`a)KyKlZ+^awtN$-S6kr1KneRz!<4gq#sEoHb2QTgx4W>nJv* z32jIWc@`_-exEsCzhgs^eFNQ7RK7NCksvnWC^i8sTfSi@0uA#cj^b|NKXU@ZP6QaD zA{JwB5n0SLjs3`pV+YP+M`eLbX=6X4;lb=+DG5Gt)s6kAjQg|0k$d3d<`Z@zqO)ir zZR+Tb>UYJMdGgafg`Qj=!2YV3-cJjh*RQ@M9Tq@XT0M*ZRj8 zGy8$ECZB(uzASJT=0BB7M*sUG8~)hyWkF$F!S$0T?0Jk#6o(s1_U^_d%FxVX`rryz zXY?I8kGV-<*-hUrO4U-y>8ZpKyo0n;J4s)!vhAkL6&bX#3U(?c(_*evrnOeYq>`wl zH*9KlSd3?p(Ouhq2mocJ* z6sZZ(`YRGF5kuxPB%ieI3XY}8q(@KB+MVe8b(p#1XFMnW$3BVomuZ+W(oD`=mQ}B- zGwz{$8rVGJ!RCorPVnF?W0`}^69%oLuq-p_^*e~c$T~QjVLN_I3=V9b5=ZV@uz4D7 zAy{!rhr__;iH;@i<#@12?mlX>>-hPc`79d)8#XKwZnM|05~8g;i*M;7A2)EK9_O)L zhNJoO4TKa*g5;Ri13twj#~!aWS%NQM$EW|r@fr4R@tGjL#*eZWfSJWD!|1bot5Pf= zOriTqXK2m)Qag$D_y_Fd;ow5oK_iwnp6oe@%+?n7Wptv_@MWC1Vf#XtLEH&BPPt7_ zK5K3-UaigT%QS#@as$pz?xx(K$G!3n#VjZ?K`fAuD);G;D=ji5_S}OB#7oiv7(EkI`~eIDoD(_OeyNV-gx$m+UDvepvi7PRye%$c zy=17YLwb``mvYiv5>8`1OiuMG$Mq97Yt|00Q*nZjfy8Ky>o86=KM327zowZxj2_63 zH!la%e^JL_V)(hk#MP{ZF(D_8N^S!d^rvW+Ej^Z1Gj)z$K6Q@jLA|HpZoTI~ z0jMpCH-8Iim)j2$O+oGHe@IKl;6N=B)XD(aOONT+0V<}>5!?q=O}%Fjv}g-z2_PN; z;t|lA8>l3M_+k((08~M1TS28CRQ{=7Qt-RzR~S;T-cocPX$} z0ecg$?*SXGY!KM*fn5gdb--Q^Y%!d+uijG#+M&SSa0UQZ(gZYxOVQIXK_8UYGr31VJEpA+>?P|^+fMvEE7-C7W3zJqQc4VCCkBX znJL734&?XCBMueMS2hE-15TePQEmYo1Jv`8DIL3DXJ4$eo{QnfwV;+eeIFZNv>p{-ydHH^wwBpFH=Ml0%K=Zbmb`3tl`sIixwO9P zU^ICIn1M$W7u#Xp6#?WgmC_R`QcuF1eX1(D)ATZVndp)>UVq4B zde#Ax={hf)xDuvu+p~%g$Yp|@ECP+2dm4jzFS{BijIP78I z2(i_K+nUm0Ob|kY^ViwUbzk+xRf2YfjyHYT>0jO~&T?j*sy z7}NKJ644LsKAl*B45cSYqPd>>R3T|1-yy1N>`Nj#w5`TbXZFJ#+4v}$qQ4QoMKlUj zwF;<*Zq{B1{{2UdBlEO^fF|tas1z6;WeArjZ)MgiOn4TjrIuV%a&j*rhj5-<#F|#& z^c+ztSHlv^s=`esGH@+pm}iYn!G0eu?Dug#i>;KmGb{T{oy~(qd0aO|MxD_R`Fq*u zgX&KU%|hPq*=Y6m!w(G`{~b(jX-~Ft{Q1JE`po8&MrSGpD8Gv*at;wM{QmUe#oxam z3Ul@EH?{8w!|CmH;irE*tG#3O?&zJAN_Ex9#Ktod?^pbKb7=V5Q5>$ju;(6jji1Y( z3%wW3T3P0Ci1a-z)~YdZV4a6m*zTnMr%Bx_#Z) zu&sy~vE|?Lp)LB{kd@PtWImJ1zp^b4^bjvwpGwo{PrO@nuy)Am%(vduCn32e5mtW( z;dY_(S`StIp`M)owYIIj>}v3aNpn}3RuXzsXIn+DE4VT2l)HYc%48z1^|@^yP0?06 zse1fY-M#3mSBI?j8U9M$Qx%Vz6qtOqZOCfHw_eMU{Q>u9Uroz1CiMC>Z!FnMoVt7w-dzx-I+wzcf)$qkbN%c^aRdj}R+xxsnIoN~>^s=|Kez2#laL|8>6 zUHxmwie%^=cpQ@J5MlLrM_UQ|>W1q+@{i?=O`ds6y>Wm7^wACtdw_$ZD&hH|SBwGs_68 zAJaFx;qq*cR83Y-X8b4!C*1s$8CzO&UN77@`8^@Dc=y+&*on!L-#;9R^Z0z*dr>tU zuk&8ie5I_2I(y%nOFJjo$L)Pv;*kvX1IG^S{8=#6_H8KcTUV|}^3R{X_k@p-K>e6{ zedWfuy_$QBwSI@x*OKzTr(gGOZ0}v?VII1B(&MK|FZE}8K+B(N(Ln!sYENK?^2Z04 zw%?1~8bL>!)SHTYsf+C&3n~XALV{)VRy!<-tet3G%Kz z+{L5DzD-m^Y|FcbmtIp!Z+$=g&%kt>)K_mCY+XNLpAsV*R`kl+ZvES>oids-Is5X8 z{x;R%bf@?MqiXztV7HTmbs94*XH=Fy+_~cPKK<4eLuUj%)7=s}-!$0!gq9}kr%4)U zC9;8AmD9M6qZu}=Ln}^h)1O?icAMg#>6d2ojy5DZ(aUbN5C%@(-ds{0r|x(YOKE>Y z`JHp|vi@m7S>or}w>GXFIS`h;eC5;~R}7kOl2h*k%m%;jy7BLyaleb0wZ9j(clZAO zH{yT&_w}dS=|?xuTK?YXIyLR`NBQ8!z}LTv?*D$+^85VEsE_(8Z7^Y|xh z_wt)hlTLm-yK5-!=y2Okn_s(^?>KVeLuPDz&7r{$9XlU~7N7f?v}BFy1%WlrpoNn&f2|##Hdb}z$6tkRFQSE^iC0aAtZWRuhRtPHk8GIq=mo+tw9(_)4ESiQ z&nthwe_Vc`YITzYU$f_W=U*YYP7YR1<{xfYo>$aVt^P}fuTgS0<`2Hz-_}-Br5UpN z!|+$3MM;Ie;#gI>dUD`+Z9sk5)mL!ZMb3_)IquKqj#b_Kwf9?h;MgIV@YDA?dAW}Z zWA=~kbskOjah2~%JW7R+Rs(O1x68>ZhtyZ^g@4YBT~TwW`(wvWLum2luSsb_Zx=DJ zZruxqoBH?0{DV*by|lAG{L6Khi3jQX?%kuBf8SSP-TZTn(XtWl#%9@ytXBSC)4`8A zYHR5=rOdR-JU9e*mH4x3AFRxp`xe@MyReSm2Boe4luj)h{qxV!p^`)!hmyqDu@3iL z2Rd4eu3St1e(1XBK6k2OMj`qx@z(g&64Db`<1t~4ha38NyrWR-zOm$v?^s96lZbm} zW$<((Wf45EoBZ6|s|%Zy_i6H^ffsD2(4lAYb?RrUK2iL3l&NoQ7};Uh4W5W4AyU&n5M+@^n^3t?Z?X|y0-5Q_lV`kkmTo1{d2BdY@ymW4j3%sXk|6uwJj@g~MSR<6H zpP7H5-G1LgCXX#06NZ&{dyUwgYB?ZWy<#)ICP%Fom8l!UN6f!g&(qEhy|}tm7Ckf5 zu&qp;89uV>L-o8zK(t)meJ@cs+%YsB{(*9;wM=a`(S7E)SF~{FGdb?kv(H~Br_^O? zB3$^3dZ)&EEy--nwKvb4GNZM&H<9mQFQCN&7k^`a_U%_ zdI_lRpO5UnGzO%vlv5YV)Yd>ck?9z^h$&r<7UW$LEz5&7C{q>r@Q%Vc!b`=77uO6qEaH!nRqr-xhE z`XA}JT}fw+&<98#Xt#ZjIOr2!9V}B*!bi@1seW)3Zq|+zS#)})@OsEljKlb&HP@Do z!BeXD)c%3;3w>u-va?2L2agq$->)(sM|))&&XlR|gpaHmuYT|ksDI;jIee`uQwIR) zWA%e4K9sv1WMcm~e7=_r4Lkl4EdrV?7lGb>rGML*x11E2cdO88QaR{A!B*0FYxjX9oby4*Q0RZ>j77W|@);AZ0J$-{5;4e?92Tt_YcEru4&wQ3f0uVZ9=03%@AZADMT|EJucg0t8cG-w9{BHh+}f%i z=pp^qS9fTm)lY`TzZimV&7GE9tv5(4!r|KPMyoFkjbDbR`QF@exUI$_{9|>;^=S1e z^|N){}L^b|u?tgiqIA3;FM-3onCFA{J#>2QAHk;L+!Y%?5e}hyo^5Qq=N^L)z)E0{~K$j{pf{0 z^=$FNr`fgRqoS&UodH|Qt`=`By??C#&xI3q*VgYo67@Or`s$izof|)Q>|7D*Q~xz- zwb1*8`pTgU-Qqi!_ME<#>tXTJ=LL24*5OM#7ud(0hq>K6VcM**LvP1Zug}>ScmC?V zoHc%nPQhsXBmKHbBjfQpj~}7Cm*0Duv~2ur&`{jNv9_JRHDB&}o*qpy<-M})_}#-? zJ$j|g^ylp--1jcm-U^<_{Qmjc;a2n(|4}^QSknqi<2m=H_y09L?Z$cbnH8GFqb*U# zR4WQScRil|tL{2?(ZRckiyNGNAAO#ZGy2?YG>>s)U1H+V-dl?opFQh#-|4mes;w7h zENH%!SkSWLe(7ucs)}ItyFb40Lyo??&sc&ww<(R|bBK|&;^^M{DN7uu?zWz3atNEW z{%B^(v}3e?s+UB`6XTC=N?CBsb! zdJZGV$Cim-{})_+6EODK>t3GDiDs1|aLi$(#iOVT|$87$oToQe*S=(|rCGwc> zoE^ukd&0uc+s>)?OfWzEA^H5#w_hj|#j<-2Ek(dna`Zlj~U zrmU*D5Ve4`y5?`|NpxH>*w|xLjT7HFCC2h z`Z{Uq(PRG)TkiqYR2IF9LwcbkgaA?#dM8#;5lBEqMTkg=h>)P8sGzZefx#ynb%FVs|>>V}x z^8d90M;XJ#C7Yux-JOmU&JS}c?u?vxsWfUu@AR6Xc`Js=!x=BVdM&r?n(@CEpromv zqA^(gl=3xfdZF9PqfPyI!e@tq8)W5M?594|7H~>P)SsTno{=b;nGty^IHxeUxiFY< zDp+_bSb1tOrEoF7aPg72vFQQHDJ@UW`3x0(6lYx8BM&`*n@jXBamtMK?ePgQ+;H1x zIMT8BHpS+LX_BOW5$ahWvHc(Lzbl{Ko=yA~l)(&0c5ozZ@YTddm=oKVnsu&w-M?dQ zVR@N!1+%}Q|3eyPib4CMsZUKRw`JLkZJ1V{ zn%bX=JN5ekr-X95Bo7yF*Y z*zUK^n#`6*m0ENTEg3#Ig;BztP;Y)&^5zW2c9wP4MPJH-Qfw087IEsuaUmZ0pgUO5T>u{U~d9 z_Nuq(Y<+)D^jj^bq-n^FT&;{{(r0AkKjVoi`EzHe+Tye2d^PWLGAZGM4e=l^R`6OF1yW{<#+F4lj#5R&Ki%;nl&Noy)V4|JH`&^AT>F}!#i~0 zf)r~a5c4K+Nwg)4bEL|+Su2p%L|}(U zW)Tw9GXvu~bFUV6(@p!=Q+G@Dafpg=S6XkDGX0+waTfy!TLQOM9%F7cnoE6{d)IDG z>m4%BF$zZ(qn>>*sB?!L;Zd-gte3x&>+te-{KxS5%C2JNA+LMu1IZ2Ke}dbd1;Huq zHM0~`Ig44IuG-cnZH7|B=D1ogr0_OrQ}fbfo8GLZ?f*nIfFQeTSJS#+qS%`=!W~8* zpP8orRpwos%GDgt?MM@2y=48xcbd^s(kvKwYyUaS6eE)V5|7aULUC<#;LG3EJx`Yf zr3x6OOatsInBkur*Z7q>?uA#Y4(x3LQ&*3@X5 zl9#8dzzuq{+{ab>*y-X*aFq6x2NxJ#CvM`jJ-ZEuT0tWDM>kxW&8SiMz5MOj_jH-^ zy*g4J$J39*Vo#4!vL-Lp?gXE1+P^;C@bcR#xXt)|`Dp{q%Wm$lLuJOiaLUpa%ib&& zd^qr)d#j&epsTiJ$HlEX*Kjw~zQp_dAZ{~HckVOV68N#|kjcf4xkmmkKd53gB1PcK zHaiU*&<6ZDw6fsvd->Msn>XqVg{nU~GK7o#P*2HoPwn(6By36ZtKQDqpgWZs+}1|v z|6|@MauVqxr|lH2zx6)6U|;h2VBrCD{%^y&KmOb#is-1`WW((cZ@R6L_fZ@|{7w}1BrpC^{Rw!BY>Y%`_5)#>Fd%fH}I zcko+lxA*aNMn_n~!sThX^9wS%DrTk;HyMjzi3I(%wrP7CD~t2P~D4Nu8JY!ehJ z*>~eiiEl!Geq(>ezhe|FK&2{9mh}7ynw}wjfrr=zpgr8;;F4CLm*dD7uGOeMqlI ztkR-3AXbJs_5s!n|BQwA${U9t50mb+o3#zs`n`~F%CnZ5{(QE6Pv6Y$idnjmIhDp` zr++;3;+@)Y!5H!|W}WjnlQ=SxXs>&gn3T15{FhUqHh(-@me{nXbKB>!r_Vll)qEcx zOZ)l$K;3Zrr?i#k5valO65qhF_HmQ(?vvwn<{!3wr=@=m===C2yZ6-i+xAcEBgO)< zkJX8991CQOlWTsKkN@`hw!Ze~ct*!5-@uZz{(&9UKYePx&vhU5{W()!Hvr>0zdb;% zI}uo_En1&-rf%G6?1}Gqwomo>b+I<`tOta4(uX{9*`FigJdEn6xqbvS@+d7-E zalKQ7z_S0>zUV1al2)X@yWfVbjQ;d)Xvdnr_VgqFg-a-u15xa|Rmgu!xgp4ZcW2+l zus@9?hCluC_T<18uY|g1A3M_1E9&lV9?1BxY44ZXhJw$t@+_Uh3KXM8v;*x^)iNUO ze0st7uc?6>3s}3(<`QWMKBHe^{SKvzv-6bMM*B>iAI}&Q_>E5&w>$itwYz<}&gSc@ z?9`U=pV>ooe!BuY$JHS|V}au}zY=$!iG61r_!Wk)YZ{;IS>xK9y}!=6+#oA;bfR`a zmTaEMuakuF-i43%jRvk)!ywQofutFQn#z_r3pdP3O>>4g$+gIk=3sTU9U2>XtYDegU>e!FDa!s&u)q%ERe@RDkWWLq$&iv3)IX+|h05 z95}b>P|@U@--cI=-V3(MrX?Kza_igY*Ilc~zZZ_)doVtXTYso%R@a829g+vnXoFp! z4O2&JEXMPi8`=-Yc4wrH{+#-AIwzYpE*u<(CGGlbu&wnoECU&q0Sg1JZwQ=p^PBI- zBQjV9!NRPo|5=7Runa$a3r6jk5m|r1fVtoDNvWd)-ybHG@2jhH4vhHEGE~DdsNvLh z$zAGJz^Ogb&4p#?uD6MGWDnI zKc{AHw5|16JAbeYPAwWfVZDYx@0;JUn>@;Drj7NU7`2CQZ?@mh@ei8R!96?u`#sWQWB5|++x7_$;?zt|CRWg?;Du> zz}Wo@K10X$$l}fpYjjX$X1sno`o*qp+Qg#KPrb~Dh5O!IywLq+@!~K4T)Oo8*UOjNcC5+z znSbf$Gyi+p;R{E`hgDZs7bxxW^UwKGEXKaP#r-A^JsAIdCB*ROOfwc)nyiRe6IP^{iX4? z*4yLD2gfH{e=9oF?$-5S!1~<-tEvhoZEnjzEiTTIiy$EQ}-A#G!U)y5+o z+<@E%2gZHcbAR_}jZ_G2Ec=w-d%h!pq zB&P9~ek*HQ4aYWNp!vd7`im0|H&>_L5Bz6a>gbLwVN;Orblb!OF1;|X?}NK{!sqz` zE|)sET(XltMm*5nfXn6R=!={;4T0Q4?XLg%JkO6?4u82dSiY0dnjQ1pGP^y)@YhLE zjL}GM?&6ahRfYZZmE!%fM1fh4qo@8U+4amTG@k1Z+#V^De~o44NxdLCug+A2@$`?5 zh%hmPD&_ofh0?t!L=oqQeN8Y|#dSFIT>LR#WafMcr2{>dE8{EXh3ZWsyQ$2Wv=#`+ z@Lp=qui~2ZQ7(&4ads#cq#O5{#ffKeG8C~rMngojG7I*ugcV?n2sc#ha=d0!;3<`P z)@gBY)+CY7Zw5YBM$>q7c>3ALqZ7$#D#Z6<4&jCPY(gHYmfo53krSysB{wRhT$c>U zP_XISWK^?ixrdQKpuQ?U>#694dV}^*-E`p`KjPD0Ox#oAMb)+rRHX)QSLqrLZjg^O z*M-=4>Q!-Bz%I=Rp-sH`jN68^?w!r_(LOx3((MPPPIrm8j<`dWS`rBRM=@zK73?21 zZ8hI1!Dm&Aa$yf?)3fbbo`vyg0!>v8p+}+$NT-P}RO{7Y+E(K~w44HR*02~gMllly z%I<5oL;NW@)i z0n^RZ-Vr}rcDT4eZfR=%9QLMdhrMZp`QUQ08Xn;|tbn~~g~f$(tHb7lurCd=~-tC!}z5V_)) zCbc6~yI<>_9-Bru(gDh|P@aWyIh4zx{0QYoC}~jApgaWSAt)C^xfse9P`-e2GL(~{yfLc4-1x14 zEBOQeYP0!c+AqmZb&w*+!oZ9atg;vQ@jUlp7C^X&OK?Hc8YG|8Aqv>G6GK|8au>|t zc|kx$>0ADvEb}Y0ZplAtj-sTgEJO8aK`wc6rOqP?qR%{p=rdRY+Tbyw`N~SJ-d@;Z zdjPiKx!-*MczA>rSl-5+@co#_c;bi1OFxHiM>UK+@j9aY)v;!#;n`Zt;X(fXl$u>r z`I*VP);knElgv&F|kQ3x4>nw_kJlO}kWJQ}-fdyUc6U`(ohRbwzg- z_X4&EF769$xj!&py>7%`I%}v_S`)KZx?^jJN8jy-j+rZmtEK3n)kOT)W#pozPfThx zX*L~lI;&$r)*G79(y6rC>+7AR4l(bfWqbNxzwY5*VMSHb%2ev|(XpuXHP|KTD}8rM+po+ZKl6Iz zP_2mc8eR8Qs?B|s+7aW{=+NR>yuX>5Y?Job=|{JiT8;c5xl$ul}#3 z1Ii%gBn!t7eTl4;pGPq?pr4TlI4leAdOZhe0skC@yw@a2@)yUNH7AeUK>DWiV+L6G zIO@@)QvMk^Aq+N5JOGdN*`cHhqzB3=%;^Q*=kz8^5A!d`Nsmn;C2u*Fthu4&ZqnaM zf99+LpA~v!>0W+`oakvXNphcKrU+>w9VNY0PGZjFf&Zq5w2*&ZPQGHYQSypotC-V7 zeogwRoWTs_`IzciNyYrLTtbQoN%Dk4Rm^rJRX_lvX(fIw-iP&OLI9(STvDIOd`TPZ zshI0Z9wL2G29)@>_(bUy!KQ2d5)(hymSKw`ank za@jkG^?}cHc!_P4Zij#%0e<~+31xk0S<)ODVBq(1j0+%8*GLE_?o;M-Xu`N;QkKaH z(PZ_A=1Q}5AuT}fv&>8*)-o$yZ(@!~V>H*BE#s;0a>_VMg|HLjD%lR=1vF|_bMU-Q&cKvrl+&qZb2&Qe!=|-*=cU2LH?>Z# z4%WCoWaUBAI|!~mnTGE0Cu??x6?dK=&Yj#Yc86F4(K@3jS~%=P9xmP~GwGXni-V(6k^vCKg093ZQA`p{c4YRjG=Tvafxuk6qBnt4eDs^X`$g1 z>QxBSW!N01n^5i3ig_YSRN~cM&74ZZ0Mq`Qqv~bN^DFUj25>YuRtjIj{ z9O4F5GSk+=p&oV!Vfck|%3gC55s{O`S`>|oTu44u@i(6h|&=}X#u!L zvIy`un+4$n^va|W;BMx?bJ&bpD|^a61(5~J?}`l}vcRGqqiMuh5Kh1a!U>?3%056i z0ep)2FR=|LP_ekjcq`nUt%Iln4hEx1cPj;e*bk3I4uJBSWy4*XOMv45 z-+>3JO@Wt{WWYdHlqhht6qUU_!YE;T#JZ87Gi5G#0bE^$3R9{1Q4vACk>Yqok19LD zIH(|nn8kpPyd@=?M?ORR60}(`i(ob_$3i`avbY74L_En(5s>p7Qm`9jsf^PKn|kxD zIT&@g9JV!>5UV7p{F{b9x){3@zOLHpS3wa*2ZCQBtwbP?2`9N~{R@B`BwPeb4}>fd&T!Q_?hBf0Kq9;W%WZ_s^~G|bgR9m+4CHQt zuLNb)g|?aK>fA~q^?fh`St@jKRowDxrb;5!HO0zW*i{PKnvBJ$lamlb7k@CcFaSfb z*0JZ+g$s2vI*VgZz8UMylO&|ZkCZtWZNIhpYx#b^o>x0>A)<2St)RKqrY-trJz(;6 z_}9NCZ@&C%5>Wnc|BPyN&9CQw?&l{Sd_`A_wsVB_%B?4Z0xc&hRyj52Lj(pM6>a5? z`kh>_oVGJ4kYq{N;DDPnB+4?KV$vyT;H-pjXV@v!>*5QXY0Y7fkjQ*vPB$l9E0U9M zQZ`DAW&XwI<>og{21TzqSb_^MKy0njvvQf`GlgTv41K%WHn);o0+7du;?1Kn4KU{@yiwbyjULVrH7|QH~sZi`|UEUL$z9b05n(7Zi3bT+84Un-tQ!G zLxv1X19=z7jv!wL`M!r|K`-4b4CD(SPX_ro$etiqfZPbO$0(+oxJsGX;mKnZqRF!F z`~yOLPcv_^liEoURcUaP7^~b^{*5ntldgEa)}Qxjs_m5_OXJt+`|xXP8YEj1KB~5Z zECKniwf-$#Q*Bc~t^j!{$f+Q21vwYw7a&9LK9Gk%&H#A_$XVszG?M{2Z_VA~t3)a5H3S{1l!e+YE{H}<=NuxOafPX^nL9s*z4|#SRFN_901HA4 zjcqrnVwpM88$>I_sb3;&N9{&N7ZYgg`6@B*lJo)N7VG}$46iWkYT0VWDVEJS^OY?h zPsoll&a%iWOjb%BtF1F0Dp=+#=-r|exV>1$;~O{9%^>iBy?g=BU?X`!%FOc$(=Pf^ zQ6}7AB=Q9L_VgIBSnbXY<-xw1wSB}B>NqZhINA*%jy4D+vviAE2=hrN`;MEdS90T8 zlAd{(R*0{|R-5n^qle^~eG@a(;oLESvBa@@3LU&{RQSsdwf|+Z6M~t_P7Py2tR{fa^hQub5aNReYh6x6ill%c*wAo6R$l$G0yaK#1s*BfwRNJ9)=@q`ku$0%bd{T=!ichGleanCYxb1@;VMY z+zEw;JFD3dOq(93bNCvWL2>c_Fb4@ zSv0{y6g+41C<==$gTU3(x8i&aWcQt4r&sJheTnk)H(TAj+{Oep) z72i?{J)05v2y*aA%Dwap$>4q4&TG z+iP63Cv}bZJjY8BR%$byl4o?T6mA&2c@Q4748nun(#CWJ<;_t1rFVt?LH~<1O|`v5 zzlAXcVBmCTE2(%xdR|QdyZflt>4mU9wvKW3* zvt^(8yPRj3jFe~kB{qJpnV10c09<0a1KMbt1G@Id2dwqbR&3^{uOz?DuO!{O_jKXQ znWox(X>fV_I%$?B{-xRgNUJ>~p#cVV%*ML_0(HBM!hh~I%KAaKDIB8PjCOg<@cZ~> zn%@_|XTZRhX_Xp451OuQ>{+0PF``1)K)d z0z_KFbXRM1zI456d52%RearWhEP8m(MfI@exX@(Oc~tK-`J$5CF;`n9v>auQV#Z9L z<{VQm$;Dzd!A=JdJ)7ExhzD7(6VrU z$xbqOI&3{WW=&YaQYgpssz4CHh3h=ZhSK>^HZO+NMd zSZQT(F@za`KbL9_iW?FN`^);ElAbF*CpS23a!~S|L*mW}B|aow3YyNG z>gsbCPnVL4&v1!RCYN&_a-zAjOL3P;uh>&doJ+l5qNYk`6kpKlmpa|ly9L4gY)YI; zJ#M1@k-ml(`?zYSo7nZ_uMneUu4Y-s^5!5N#OHk{nFG7pcskQ+m(7@k((qyks56Cj zS2X-TJ_4Drqs>d2pb0MVO?LaC|EIJTHr5;8r2djrsP&q|EeHV+^&}sj8|42EaDHUv!qsS z+8j|p`bB=B)Dz6GaDA*NksjwOSY!k0N=b>@niW<+-bwzhBnSd5+&uNpN@e_;3c_Bh zndF+<1iWcT4dh2k%>P7vI3zv7zpfyKQDY>R)RwG;Jn{wd7o~ws3Y_el2d9 z5EQ{hxeoTr&h;Y>kpgpesNEEaI%=kKAr3DsQnEV?bP&X~R=bX3J-CjN`F9(`udj`v zdsxL5)>pB$x6&kH@%oSNr+PX902O$IL%pG5eZ9mYiGIjz84lZ7$% z%O}*+ujiz5Vkn7CI1`9`#bM$@9lJ2QWbuq$aw|uApWwg)Oh(S~jZC{xW*6Q~hGHDy zQqGx?L{m5dd5kOSIdL|7dx=F8!xTS3X2Lkc&p0)iT=8c59;nZ=!*rFC8kAksdzKqg(uS%UF=2=BXFb73r~*RB`L}5&qJQ zrDUk=gUWk^IR%wKla#dsjpEd=ze5y%)_ldjfA^jZl_Eqx3;IkVRBk|}iU5@|q=GP2 zP{}};i%11wZbM}RVakyT!qo9+F2NHj4s9t$DnzI#ppuI)rAP%~DxoqLVa_2Hgt-Zo zMufS7R1l^bDlQ0f2B{#-b*NlKn9E27VeUd@75o`o`3nGl3RjNoHtL3U_pkZn?w^2> z`D8O40NQ5IHiPz29f@%C7vLvgghYseb_}#*pdAD4*U)|q?bpzL4ee=!itn4w@@G!+ zC000Wx&f8j1gMlD6@;mRN*cmkL@Ee#8!E#HQ;t*+rjGyKAdr@FvO`~0F~QapDxbxv zlSes<)SbDQ_bYYhK{tk5SFH!4Q$c?Nx(Vl%~<>$o<8F5iXzFmbOCl%2>Gj@b4D*xH0GI?!|S-?yD z5l)C7q*LOD0N()r(9!YV0mF23tCo%)?eoA@{_TO&-H$a8J_5W4GQXKikApOkbiP#FzrH z2q9=dECWITRu<4LiDahQ?F8K_fbavN6CqrHs09K8gb5Jy9f7z8gd-3K5yBaWJ3yEM z5s47U7`K3!0>pEKK*p#6!T^X#Ks-doxB-MG5Z4g`8KVjaYaliv1Tw~LApC(CLz19=O1`mC z-)lm=0*{}z1>@ZU-2zeOeJYWcy@))dQHCE>PNG}42u=PqTtGw&E6V>htcnLiKC;gH zU?E<^Ld3yBtVKSWBS0KQ2zMZmPg4d&HxMTf3uHl$191Te_tHZT?0GWG9zNa7Qyd)v zOS=e`_C7+G1Hl1e9uVh&ID%Nr0b&UdpAZ7EU;{B5h$BEmBNoWV6Ai>Ggg`8UfmjH{ zEg)VY7IT4U(jMf^3lfa3l#pZ--|z8`#|-~|K}=y+Z7x%EJ;Tj*EsTQ z%dP=2jCr(tDyXlb6#g-jRfD_%=(on3XZr;W4KGl4xPs|w#GgQH1tP!% zMn(pT0MlFMFc2G#c@dNuaF|}?FgHN;2I4M4I0I1yge?%;5yAW@e3hbfT#mP z9|#-}mN3Rz1rSUib|M6fv9=NjDiCuJ0>)T-6NrgGG#~_wv9=lrA`s3%oIu974ul&J z7Z3s&<1P>rfLMtT$QTtsOb4PHA&@a@fxrS`21Fb(26CoOKpaH~WQ;pN(1D0S2xN>~ zKuiVVH9{a`)Bs@!#55q@A!8ux;RQqmLLg%x>tO@LR)j#tK-Oax5JL!oj8T_pAbK{M zM6lNGVG5glb}Bz-O~lk9jV5RugND(_eTwcOG^lkJs6=S^^_mB3tf0{d{W8BmqY?%g zfJPzo)7C)a5cJDJ20{AeLn9m+nBg8NqTM0xk5cxp(gN3#ph;lgo>0kFL>98VS zeBrzI4Etz#4F$ek$npeY$diA&@e2MvuZ=BmtGMbI#8aumIxq`RGILL&DO=&lmGkEn z=ZT#}_Qa!@-JU!X*WEyr0&xZ*yn*0>m4WJD&_wJ4)O{1r%O*<|rSq*-XWTbn_gt>0 z0g(s<3J4+)j$m<+2ZRX_^AG|o4#opP0>TZ5bBIM85SBo!MhL_r0SFur6M$HOSS$g; z42UHNfmkd9!U%}zKy)D%$UJO;*oF{@1u_qPAh1A~f<+`U4=NC|5dthCk$Dh-a022m zVu8$K0uX;71Y&{A0}BKlh$zGYnFk$+XoNs4ka-vaF%^iHhy^ka8z8nI1Y!a6c(_zN zJNko}=jFlu{6$C7K$$}Ey!@OCrVe1b4~S|PUex(rpk4se6GXGQ%}yI1R9IQvqQ6>u`y0Tcn|@N zrvx+RbPt}is}R)hKzOHrH1H-JyR`!N=#sju~d7KSxBBEkHWmpXG>jyID-(* zK-}fGAIFl1PAxG|_5W-%?K_?AiB!y>VvSVjQ1M49=1@UF#RajkM=FSo2~t69CLtBX zh6I(1h>bf^G3#qsZ>d^0dVvm=8A!z(DmbXDG=++@%KPJF^43qMXDjynb49%D$|_pl zFaIk3v99TuD#vGTEl%6KRo;CY$y)`-<|_Ipma`nud# z=fZw3ZnkVV7U<0vr_xVxMI3zo#pavlA&L#m_7t}(EgRrr= z>aER@E&6fra(k`7JlUZSmkKYpPbthZyJQxbeSl7x#m!-k!Hje!u4p?GXH!FwgeT;& zSNYTz?=eqmenp)Cc4emxL*>=)4`D7zzr734?6 z!IKoW+Kt82Q4Oe&T2URrFA(Ak%%6&>>glXVKjS$>b7gXey@f+OYPswYzfg$XYkpNs zR8M6ssx)#Yrm0qR*cRBg;up*C{1b21vX-S|R;GW0i1RM|qp=%V?>(j$IQXMC)m)Km zQ*UCm!3R*0{sR02jPx4BKsyH7G0={I_G@UrhW2Y{ zzlQcSXitOoG-ywQb_KL6NYUWf;ojmMg`NqIk}q=6eI{$fZSW|$@!1^38^AL_`?ERP z<3;;4Ie=oora&w3>zf9CebdA~zf&-|fW)6~3Hx<#3AIBvsMi17pk{tt<>1%7%0c(~ z*&Jaj3~&lMN&y+rc^<$RIxhy;LFbErK%%d5LdPm$OeOItu`cJk+Dpi;H1sB=DV+lU zQvCs-1EK*_0b2lufM;1}Bqy`hh!aO&Vs0al9nYNY)hajgP9O> z)Lz`kany$78D!DVFNg^DF$3$d! zOoY7#(bD$IZR#OJWI87t9ur|r2zTHykuf|b!tRn~!eb&kM>+`tFR|tEu9!%Ij6J`E z5b9(K!8?-}`?%KU=<^{;+B|uJD|Qm$JbO-wL6hTQ>~Yyf#vZQi3c7nv3TL)_St({C z;Rrjtgw*5|g}ozN!^r2_bkWy9UYCXP#8NB?wmh;+j9eXGVr8=J#d%yiQ@UkN5+|4& zpNyFg9(VH~)ZzSO)O_+n_JooNt{xETkobhX4dTwTll9$6cH;S*1>7ac*vo`J+0iA2 zrOr38uVh<`cWSAnZkYyah(!d-5b4oU^cn=G*zh1~$ zr;ch~RshlHUw}t0uE*&yc;qfA&SzQupm*nFspFdy3-CC?cU6!;-@?%oBazMK=P4`< z=tm(QbtEgEhnquqqzV;aEu5}k&dQ=8gsNr*cBzPe}ENTI|z%d1LNVbr_ zTQMPwUXim}9m87If?EketHT8N0;fLA4cRjOeudRz`cTd`bpk7~1#bqyQ#l=z6`_85 z{}Uu_6F7LFJZVOvPY_8>CbYO>S_}Ci9N>M;RGx!1cCBo^az=**47 z`RPw1w@bX#^AyoK{S;a_ysXLR=L#+ROtVl`(#ibWLbE>VQ&Ek2n%H}O$U z;O{tG^^dj3A5Sw__9#ceai@fb8mb|@A%^KiFnKP@<7}tQYtpkPPhgueX?gA|aDPcX z8F%G$4Eb%40dr=aTbJH7X(6LRPOhhJgkXoZl!zvBC;4X(iWwN{YO1$ODrQ{c5*#70 z^*Ihz9_C6qNWLFLD)9?-JFIs}dXRC8OFBoLFS*H?AP;vXKPP_*!j(Y8`6#{P(%p<3 zT;d9^8ec4K zMn#zhV2((S7GG~BMN#7{2SykIH;b_R(`ShOjgq#8w==d z0P;?d?}6L_G6CdsAj4cNp!Z&o8$kXD@;8t%AfEvlj$Ht~!$7_O@(Ymv2H61Q3n0U> z3!wL7kh?+t4)RZsQ6QI?&s4y%dC=Pvbp6mV=F^u7Y}YmmQy{13=D zkm0-)^K_;uW;4WI92Z4&k3j`-nGzgeTbP^CGiCk!W6kJ3v+dwd?*snyklSU4G7B0oZ76+Cj;4&m^89 z=CU_K<_J$~$R|=oEI9MGkGd`sm99ZR1%((js?x=m=x+0uRlRr#yBf9Tmk<~ zK2{sbXX_@cpsy3BKz!mVAsI47ND3iB`hrUGM)GiwZAUtlbcT*-r!A;5o*Dh*oJZE=3#pw&i^c?QK?To z_)~sUzK1)Q{c^o(4@dB)>QP`8RNsJ)xRS`0r7Kg6HN_=L7g z|Ah9chMa9RgQBAeKZ88VGmCG<1~fN#*d>2CVtn)aLwvv>Utc4Fy9a6 zE?|BG%iCOkYThRApZgx4YDZVr;<^g$ydY%uv#sb^-Jb1Nj2TlR-WXvM0zD zAj7c>p!Z{tyFn&{ECboTJo9bxQ)oCrBNA+n#|9``J?wZLM~tuhc!-bL_6c1EXE_z* zIFL_){K3PnMRUYB1>|WUp9I+p>R&HZqNrI z0iH=sH92w7XCErrgN~Y-`9R6w27S-euTiwD^WJ!SyDQ&}?S`rODF( z^1Uz_Wh~Pbw4I_pwNM^xp}!HL2zU!jo4ocyAmNFOa+X;atwA)ZUdRp7VNFffi8v4> zm{*D34UxAOb=ZP4B>p}`-j-@9hfQrok?Q5#xOCJ*NPBu+y_g%Gj(bS1gP**cxQo)^ zCvTnPq1vu^x7GzxX<%MSS#RAIad_Nd0)7ptgYCscm3m=BGdLmK*gQ-x@c?@Vla#(7 zkMzOpj3`aoqglqZm_pet+6lSNLS6MO$xf;aj$3nxtKkXAmpHk^Gd!AT51;_J z02V+9AOJ!Eu7G5K1%L5$fo9U6F*C^YknJJ+8fdhU!d8u-q zz(dDw!G#mwtMb)G!nhX0jbDymA5lj9I`mt!SBNX5KNW9MPZuWm8G94Vm9snSD;;4^ zo9vNhH|%MnUlp%aPZch!G+IaSRX$Tc(_HWk)0nR>PP?k9nLF_}z=nqIHTtbNscOJV=yik`pME!tY)x{O)}!$iDL4fN@1`Dy1D1 zY2l|YJ5*mRJjRX*J1ny zDT#5FORcB5i*%endsj_Y{k48Kebl7r}%cPfz?Z$n{pHFxgCt5%t3Z zvtZbdN?ObI5!m#2UBT~=8Wxu;s6S}aL}Tiete_SYjA-xdCJv$h$yx1o=A1_t(-3pm!L^7eJm2@^O$o zL9PI~ac#7>(L!jPh6bE1Pjidp`6CW%w(U>Uo|+i=BU}c5groV#-?%GUL53XTS3r&d zxeVl~Ajg4x3gi!K=`GMZ1>|WUp9I+p$G^cBfs|Tn3x~+y(3etQv??Ed=;{xFlW*c+W8u&MhVOlWr+zLHc5!m-@!i zyP6WNvA;>Lq*1+8vr7wqi_`J`^bgo)Qk=%OgqiL=1?5xy7FU;X!*EQy(rVOg3f4!q z@=o95S5N#XG0FOqMiQT+)qh9C!Oqkm3flrBmZ}(MSeOu#ov<^NMVV~@8QL5mLz`os z_g<7rolFU~Kqo82 zX)8rtkc)=Xf{P@*XS)mVpcfZwuly!V)@=l>bh#CQ2rh;RMwkC)NW?{^ATQ_dk_OoIO>n#s)b zm~%@tQzz=v(e!g6mIwN~XBJJY-880coH(=-@^e4^QrrEm_WRSBW#sDb;kjJ{@c$KK zOVKlS-zq-D!_V0BPw^fdWi#C>$oCp`v-!y&<8Ra+w`r1uByx{eg*YI|s>cKNq9@^d ze71`9lguq#w@TPa#ulDKVrG(^h5L|1(7BuyZ>{H0&t^qhqt4ckE0ezwEgS-{%kQt^ z7wW9{nkVKEI~TAPS>q1X$MUc1EW@bnIhQ(@vKD6IFYFmm8En8jHl3^T++gt7EHGz! z=Ui4SY(v=fkzcEu;7O^=k#)u@LPv3CduaS!IvY=VcFrH25sLUij7PnjB61WPv+IQh zy#aT{Y?aD#gV7cGXVr{vzC0iA9OL_ed`Tr?+U^DXLzM;rCb7zu8|W$2H_Dg|HYqe; z<<@ULEzEV|Q=Nf|1+A#6`>Be#t@;`FmnkCtKeo;^s;TP>^qB)8^DJWsQX0h((p0kI0`_cb8HV$`GBgp!#PIEPeY`EpzPwh{h6WZA|v z5?ff`+a@%kS~%gh=X_QtZ<=nC#JfV#irp(ou9($|pDDpr6qI5fOF}E=l;Xxq*u!VK z3CoS;&a_6qe)IG~p%s#K)Pj6OuS80nlaCTg z7Eq_>BReDo!>8MDD$_q=UxnY*uqEnFOQ=3@dTkbjY}0L2&_}@R0#wjb(;ICBtcMy`m8Eg5SF2Nt4e6&-BcS@QEkCDHMdnM zZTwL62^GIBG*t7Cqf|a87dg(JFQ1-^zF@DC&%T3LZO@g@yo37NUM?@WgCyCDd*pY} z+w3(xg;9tp_Q^f@QK%p6s-EH~WVC%+&$KA?Df`NvqLYYa_UxV+CsBXe@#brVq-6a# z^N~WYWW#FnxkAciJw-gT16jrJ5wGp=d`At?98`@R47sR&rivU4x~S<=!FyfTs6VN~ zxV$wQjcPnM_`TYuiska(YYwZ@xS^@I8xCaLWM52>BQP#|lv2+=q!zlS$IYn0-89^@ zRgYksd0iNWV_sZV4K~zt#>N~WHAi$|AK2F_FT4HkP&2K$mCQTzTh{UsbaPNGW|d>A z@?aAAH`5^-YQ(EhP>VI$S13D@kbCscZPP~Zg`uA?|8r!uPi{d)I!ctglSrx5Mb;&i z?31+LtwojoCmEY`f4fj_`6xP3Z&DuV#3UO2=M1XEma}Kt*p;Mm)?-^@CAysRS?lKt z?F;{ezG9DVpWTn!VE1dE36^Nz*j|!?2rzARs=*SCx10^ts83-BwNve5hrg~Sr!r!# zzq>-*ldqymjE|k|)#xtz3fp7XfyqO7nrBTUU-w4B9KHsYs4sK2>B-v-TqmB!7!{~A zhwN9JoqAF&yV4r#nl${4-m8`|V)dj2jK;vKRx)|`I9Q_5?rf5wKGCymi@_3U-`i$^ zB{ITo>lz88(bIHZe3Cn}70Zz(S7f!~_eyaU(o)P!X=ufiQru%HyCS_5`xz|pSU33- zV}dmrERlW5>Ias{T4$Zq$m!>Nu%f{dnOJKOSR#9il>wH>l3K?qm?<2G)e9_<8DfnD zOJpCl`Y61wk}~15*7xoQQS`yLHQkmJJwHO-X5s5YBQ%4Sczxgrb+aWxA96yo*6}az zVjJ0|_jXQFFzl=gq7;RXowHhmQgDKqe~b8vfM7OBMDL{rv$l!id%3}!DI!8Ivz7US zD5BT5l^ree?xnY~PKlCxy<0iUM7UmdDf3TJXs>@M+fT&qrIoS{h!T6plyc^X$i1uq z=2KBjuipTBlE}Z8F~GVeO6`T$Lu?cwdpS(zf1<$N045tPq6w)?mQExTa+#cTk*AQ^ z#JnL27y34_gG6J5^d{C3(FCD)6KB2%D`e+0Ux-44{`qW%h$W=uvv!Hb38Ap}nEI6} zqAl>4W}hm#Eo8g;iOaJs=%DtpYfM|%LET3ewv0DdTjyex1<%#pbdk#V&$P!}2fiyO z(>xP6jbbkv_B>M|j-L!;AMHR8bg zRws3`QsxmDm#~@2Wl#1XQUWmrL zr@%ARG)-xQQXXTxvZ#5Lay^g%ECgl%Yk)jpIWQNf1;oHSK+znptOT-v#lS3J9U!f? zDi;6+)mEo&SZ#aN(5{@~QP7^aIiiqrpMH|E*fW*5*fVkSd5lkbk#o@?*5{XXxAF7~?&d`aClGI{GZau>nJuf}f%%N=?$Vt83$ zf%8iH3F|-e3N%5$5nw(L@ZAYiT3rFQme7IRzO@gL23+3^)B#)i5Mh(c)z8z{A~$V* zjrv4D@kq1-nG@pMUq zc;kHDE;q82ou-X)g*6oT;(xN=<6UuM2dLMzEw1qmIW34wjyJp=Zu9_aleWec+#Q>z zLAhe(@)q0{`xtp)3s!2MAkS~XJM7QRot=nm{U%XXC9R3RSG2ftOcQOU2;Pd(#CR-P zSLv5e9WRoO#I^-5*WPxe$))|M0>?7>lz!wJ(-rgOPW%%6zvg4rh$V)t=8M&sSNdD# z)77X~hU4b0YMi(Jck|(Dq_<(OxuY7pPyg8bbv1gQ;al^i>f=Q83<)Y)TH2E>L7tN4 z_hd-W%cNC3d3MB~(%c@g9p&e^V&0`EC7Y7WrfNzRt5Q6kMycYAh$)STcT7)lY@^3J zwm}@wh=#MAkFM*aVH9 z_3W=~+(v9YYnCmkkyOw5$%bh}=Q1bQf*ZYZ*_Uk0Mtm-7oh_k}lFRvEBPtMgm{?ns z!s8Bmi_KSoxxVc0YLAJWyshue^e`hChHZP-M^6K9YDU(Q}_V=E}-tiNrE zy@+xS$wuz=7-DX-#q?r^*i&r&y~H8b54O}^)DS1ycFV#_;uYz17H}v((SA0paWZ=S zYa)`>_avFamj*Fi)C0D?7O$kJ%i1%N@xvDe$z8Nb)^9Cg?!0%X=cZ>){UCa~vCG*! zh_9voXWOAdxU-0uTMpjvIWDo5mTogh6w$N*<-CNA)}ae&N@!$Q_NXq zB?&pBk-us7t5V%lqY&TPCs)jlLanu{DrQC@|FKW2D2YN->??=mClNdB*~5h=QB&=B z=hua#gNAX=ONCx@^{bsn3n_CA6x-4cFXDae_6=r~s0Um*4U>Iw;f|TS-EQwz_H%WDYj(qo8vK338}a%|Oer-}oKZE4RM<{~u80|C{T@W3ki+2$Ok*BpQUEYG&(>j6+ z*CzOc-EE&DpEZgt<=lRNA<)agTQ=2Pk5p)GoR9v zpBT92YkJRQeWCeCHK&T+AznnoSJB>RPV-|1LtUEJ{M5lfy80kLa4>|fdBztG2JKXL z@xuqhc4|KHCvbVw)Ea&WH+Y)H#vjM!-&Y^zM{`5(YkuKR;s(a68~H)pka$fme=IlX zth$3A$qhTJ8R1WC=B-p4_+ib#D>dKq$2ares=wyPHiy2|yyT}f2NKj@S$NGM1kF#D zxaJ_E`jSP^9A?yfup|}ovejEG!G*!u8iysJkbhf!)Dl$~dRz0WC8aPhLcQC^3i|T|5aXVgKFV%R-A%S&b(j^Rru5dzf%8TiRt6N(wwrS_Jw+@ z|Fi`51$t`^ScH8c`_xY@;eA2-G}kN>`ofCT|5-xZh38Rt$dE{2f?BTRtat;59 zZmJYN{MDdGD(8TeV;Sq7R)yRuojJUF5ZA?iY8!9CyJx(^JU7gBZs_&#rERn-dO5y~ z|Ev^Cnp;6cq!~2MPA;aFt+U0dJlq9D>~+ID=VmUsc8925{~O{H^|6<)qrOc)gIYTofcBr!v9!*BY<9IXj8@I{=2SBQUw{sH18l$_paEk5 z7T^ak03Yv}m-OE+dVy-R`%!20V-@yE)PjC|uN_UDlY$Z2gQ(L}a2x9X(B*!Vl?=oTjPakL!-TuSW5s1%msU$^1!zsCYG>A0&u~*Qoeo1uN$hiy&y0SmLTnn8-&` zg*=^!9w)7o=QJTMNVDXbO{mq<#qz05$iJntdPGfVl5|~;?PfW>XU7J_3alLt08ztFjhE*mq< z`^$)zO`+zkWrX#{h336w4C8WT(;zv+r$Q$MC&J@$ijy%ybLW@i4jH1It2$UUffqHgjx`m9Lx>W?0q4>VubQAW zx)l!p;RAhMU7UZdw2lB@Si#MAA>3kLOqwy>d0ZZ58v*Ve&eN7mK7hGim+V>VwVDp^ z@1~FXzY2B-JlUA!14_$-dO?JqNnpt2s|x@X}rq_=Ub3Ly)@ zX&S$3+~_^*?ot*n!Qe#)|u;? zDNBr4^PWPFB_^qPdm-_a5i*qv39n2G%$h>7w~=LTEcEm?6_^cfL;~v@@z@Sr0%xh% ztAl)=`G+`iB(N+xQJts?DvM0itZ@bP%q_?H+1Wjca_j+nV$ZB{{2V*Er(g*4)E?6_ zX9zdR?%y+g2z$+*+B16yztMind?pF;(V#P5Pr~5zpEVj6BTiOBz?tq@sUui!L>K0U zeO_E~4K_o6#wHsfaYMSWM;vRF^=|)qR;D$nl3CBWWyOFONKlK}WuK}%n?ydQKV-`v z@oEmO#XfMXP}U_O7aE`2qDJt|(VsA@>{)R$TTp-5OO#znB({F6@^F$D+pr3pMU*}I z>$Yi?$SB5V^{*~|VPK4Aw=2FdeWT- zuzkxXyYt|yiJVFsjYjchjo5^Zh*2J08zAKm?~)O>n}VH-8kwW~othHq%HjPoWS+js zxfUEjfzxzpQtygst=Qv| z9DZ15)Jjbxe|%@eTlG?YY-h|{jSoM~J(GzTFBK0T5@41azH?p_pk8mDj_mZw!#d|C zvDE+ml8^9*fod)cC}7|g3>^G2;0*(_VBmKcnEPd53=9;&z+)JAra$34)ixtUC3I_9 z68a-?sRAvbjT7f9yd;bZ;wlB+PF*d|RZ#5ozin46NPV=oHpxP(o|=HGlrpGO6R;!F zu??aGyr?oQAwA z>`UqR25}XBjFi%l^$zouG`2zd4mV30&@km4_9tmtL;5@X1P7uahlsi4;5B3taqAq^ zhN(pC2S=P-M8snq9&%YdW{X20mp}!9gDcOi$2uHI^2zo15C=w{or^i@2$p9+0fB=l z&&$RB>PV1_bMY((QJ!@Nv)d6RmqPu3!&g4#4)zTgM=;8uM9oDo%Di&i zpAL49xE$-}NbJcf#~*Nzd!$2{IgXf~DMPrY4*#C?A?zeaYERA({+i>Kc?TG!QD;7s zg!yRttU-cN%E2fN_pHj130!^`BHgi9*_;H=&@)z#k#XGcF60sWx61Qway{+2uEXWQ z4co3AadDe@wFrh|hjOReGnah}ytreVBWjTk>}SA>OS(f1v*wOWY!3N^IOjN|+@1ta z(do*AZm&C><2F%?Ew|Ev6_8gbFERe7ZvvqpbQ zdAu66#&BHuZ8h$_{&(e>YUF#vUgh;_Y%2SV4LO4IWv;LVj^q_wJg(i&~Y`2=^a z1bfI-=JcZZ)r70mHy~7!ZAX=u*4oDN6WufH$mfQCtpD(1lK3vo5q@e?C|w-@4%#C! zOfB7Dt8B!KM(xy=NaBaTk&$Z|;a1N^`e@8FO`2p0IC0T=#`ECB#ebr|1Sc-Cg*FD9 zxSlPHufU0m9gRM#_2T=uGfVLtDY+tR0JB#bQz0F|&6N6AOc}sFmZnyu58yu=QO;um zk57y()@TK{pDnfeDVY5%hjo&|x1SSYMJwnj%%kAM^-f_g04FXxh4m{qas5*`EO6q| zt}=In6L-v2b^$nXSyx$)PGqEPx|hb=*+%A@ym`hpPm8gLx37(4F(vcr+PqZ8^}Ic8 zlxHlGsJxeIr){%tut0*-K6SMvTpznngMmF_+y3ec=kjV+74s3t?GL~v1)2ceRvHR>2u*kHsO z&2rWF!I<}Ie^u;Y_NyL2-pCxO6)s zE}wbo=6j~YTA}c*r-oQ%3VJ>LsI^StT~AwJEmW}U8NXVq75=$YmQ|vl<@HXy=)d<{<`@wo5 z?kg*P9#``S7zbPcRs(+nlpAppaZG%|IOh{fp3F1N}A7UjzL$ z(0>p8_jMo8@3#P^&T&5-enG{TiWiGr4xshHIF-><*;yrvx=G8@gY1_+3 zCq>1BS15G&LN_EG&a!^Xk96miqMw_FoceC$c4LdPxf@$cT?Y}*Sa;R{`j)hI_?!Sy zOT*gESg7tPOk9|RHoT!bVmK36)E!X~U&=~ioh6L2T%Ijt1MEVns72|o)vE%RSU)tx zINt!1W#FpyU{(lAJvx~xbTK&#c^cf#Gt>RmO9N5XzvZiK+o(wAb9tHVhn{)1O@}XP zX9rTOe>UKqd3r>}_@VU`vE@}2X+z6~dF6|S)wNJpQo7s*J!ztKw3Imc{YQ7sbU7DdV!6P)DR=;zFZR;}TDfkIQQ+zgywC3HSdD zcuX$+jqZC(sEqwI54lsno~J4EP?<86#!ce+aRmwJ2lleKNyO~9AYd#I2}}gSfbl>q zkVedI7v*BT90~2RT)fFaY?s`@TK7}C{brd_fy=Vxt)E?75V+i+$-M@YA5H`Sg&x#wF z&?o3Z?6>cA232y)*(I9jc}(>wU>Wcy;0GK4<^WHDNx(G-=X60hXOk>vxKfr=A-ame zJ0dFt0|NJjp)%}p!;j93W$4%Xi_YbOZO*ws zEg%LC4`Tcccby%BsDt{`&aVe?2Mw>Cmj;n@^#`3t2eESv&zu(q(a-c<&QpVr`L3j2 zmhz}sL`0)QM3oXz3Wt(9g^28R$f@Z>w9rvO&8bJcF*fopc6zw42!CyH-B@JyTQId*Vnd{f^6XOlQ7KuTF@RYh zjgjXK;C_|*%f$m&mNZqKHGtnOMard2Oo22|4*!?mnE2+)0>To#%6v>fUSgn|FA6+g z>8F`b3rMdF@#Zdpm$!bU`LKZEZ6KIC+C28@v&~<(5%(D)%$M2-MfxS?qtyZDVTZ9) zfj$pAjM)mW^RUC%puktb4kJ@RsiOZaUet@IqLIWidp)Wc+r(>oG4H5T#8Z2T@8~~> zSM;LZ(W1pAy@Yp+Q{qj%I3jhKP1H*!(*Lw6dyzz%pH1HDNn{+bRrF%(sdH?yUQ#{% zsjaLRT~C{2E9~{EXI!&Y_u_M@8*P$aN-klnS1uXw1W14vKpE?G#{(b&Aio3hJ0QQq zI$})`df(wpw4pk@qL^1~{0>SK8*igmM)584-Jip>M{8I5MlsTKzd{PqWJp1Bgtupp zVpGAJx}*|!lD5cJR7pO`_}#XCWV~BmjPS9iDd%(FK>iUXmA==ukanH5^)Nile*`W9 z?}6>WUEnnE8aN0%1G<1u4K>aefJl~F5eG)X+({XY4GwSz0|`JBkOJ_5c#!+v$JVd_ zKY#(izsMR65O5#s>-iG3y{-y*Yw~4vz!%als}Di@B5;oN9uNzh0agG8c;5a4>;Uco zr7})MM5~uOvK2z9_pvQ-s=IKi-(mV+p#B`#0&0f<6{v={dUe7tDF(IEp!V3#t(a4f z9dAz>KEtIfH+nkLgtUJ8F6%Pkn10%H>l`7gpYg!DQRtTfuDf(0BZYp>I$!9MLR)2> zF65*z{<5wT!i&_stT`Rjt8|lfX$SWzEyp^$<9vZ++q;b{eefP#w+gH0&(OB1So+XZ zM6Y8BHT@(~=qRG*oJ7Ad{>{7ShE=qWb%QEM5AKv|R}i(N9Or3gH^|Ge276*dVL3j_ zPHxB_!hCO!X(%4Th1>lbrVU}gwx>1}4dEBtZ}CngA?_P=ylY9AcyOoGyBG~wHH3K6 zJrR3kLU%+L;wSrF-jyWcO8ps8-pIJ_kS^pU$F~qiB@eKk>uOvc-Lc!XC>K{Cs6}kC z?=ZK!J(;vyV#UZ?Soa!$jdD;1~XcSYSV7KAGfs+c4dH)$Nr}Jua43 z;_~T>#0x6P`Ly4~1ta6zLSxkbz=Qg7r^ga~j`>(8afu<)e6f@8O25>6x|96M;A8G` za}ucI#pxpfWx>leH(iNk{MYJZu9&jW*P7p4sqh+d^&S`OYW+1ox`bsx2h|r{;bmb5 zHShVgOK)C~A(D-o&AVinWE0f6%TSk%t>*nQ+-1{%d8-V$-q>W`E5oigO*Zck5I-9E z=0gI)N0Uma7Laj}$j~70#6cp1UO=jYM22R8SDk64aU33<`w$nON_$~D zu0pwUb1{cZSxztEn40io>Km#EcXlqi#PrhXBShCkF4VrUM-5+VCT-VGaJDsjZ8xlQ z;vv%j+|-94xLF*9N;5f}oDR%r#2)SQ$f(*eg$>|kAMrn-@rED`r)s&`+?7Jk#E0{J{`Lj z+O^RB1MNT1QlOSgk;O$ECiZ>-Kn?Qu{?zhY4bGl!JT@fdCa7sDz{wUz`-|7xq z5M1_*SZ)kKL$kzj$NV^s8)DSZj|@w6l~bJut_2j2z@_HE|h z)_py1tY)!=-y9R68DoiW9`oL}IphSGuy-?KO;B^>3EjGROTmUi3#sqJ3k6Gb(s>WS zh~e!?YvKwcUg;KCkcBbcT9$=Y81Ahruz0#f3D|F>W7{(l@Jpp$?Rn=he@G*T50{Y= z^@++OWnPJp&=Az?U(WWkLZVtZ$%egXxU0M}h+3mRtvo)6TVr^w{B{ueUVl(|W)S<{ z@JxAq5S_~2XhZf=e3}2*0)>dtsYJ{nz1TTj$gK&jQ{QvI2ba0EA4sUHne`2n(eIHkE4F|gqVXGgg0+WK+nsX{)QrI5#U-Qmut~=r@#8LRq zn-Ak-jJusX3$ZaKij~#jUCdf)9oNAw=KNtLb@-1)reelBaKnfCJeEUz>Z04DpSIuj zH134$8t@;WlZ$QX9q1JLMBDrhuN2Q0ekmltYYHocGKF>3Ll ze$FJScJN`B!j!NNX#}oS)PfqM#Skm5s^r?KC7Nc}Qff{O`WfrFw$C+@nps16#>f;E zSHiaU7T7J6;?-h29aDLYNo2a|keEK=C5x!Ves5pFyOe~SrhhKZ9>L2(K4HFgWHn^8 zpcXqycn6Y5_f2ELXuaZ%tH5X}@uuryb|tcu^;uo+;&;cyXnbAq-QlOz#jc3%=+l}M zS8{jca&?WXq@koAgSBrmn>(=t!_Ve+sKe7=p&f}nk(MaQ6;-CGb|&cdj%r44qy1-nM98z3 zqVp!1@|Yfil>+4nJt5RoW^Vg7B3TfsQoF$P167)xt~v5-JMO5|SDtYdf7{q;Zqeg! zo7`rt3=siO)15L*1UyZRGSmrpn(mX~o^eizSNC!rQqx6Kgv^KZ8=_@G--omy(HtTD zA>)W>qtH8nI$xA7WGB#Hh~^9Z6KD+4bRjK)u}ic{IOaTcx+q7;I!}KfS}OEAPa7+m zEo7W$oD*#j`czR@i86(deesuQkuac&<|UfhL9Jq#L~A>^@2EMVsU6IB^#6%gbojob zMT$x~=JGco<8sRpHyjyplgm*-j!b1!A2Gue zt*q}O+%zszw)By2n*5a7KF?6&9OceFzec=@(#(IKVXy1MA2a+%d&y75jkRm^R5~7# z{RRAQpj4oxC*0Z(Tm?n}E$|&+0bIaN;688`cncVT+rS9`e|Wn35ikz80IUZ71}N#r z5k8XvX!k=Kuq6+fxHS)XaWhZ{K>s52*Fb*_^w&Ut4fNkb|2_2IL;pSWQ^^NVz8(M( zARIuA#=@pIYmn)D02f~b7rF-*D1k{`Ulc&OhLwzUCxg-yP?}vysR?_nd*eV?NK!DF zj-ZO%6kM7<%$YgD91T9GdEuJio^=&*UBA~kbA&J&I#p1XoOx z;23a)7r=brh_oth8hlAcQXVI8`E)mKiD}4utQ&d4)MDP(jg2tXm@VCu+a{Fx zq`)H^R&%WaqS4?o>jg-HVW(Lwz`ixy=j{-nR~iYtD{UTUjoG|&ZNzwE1n*iK;lAFR zx4X@An!bqlRU2uiKAG3p=H)Wg@lKavT>6{NIlUM=bBegCmnfl~5(W2qU)|>VIc2!$ zJT_K3ak%(AeyU{Au&fG6k<6@^T7_OKSzD3w4)NKT>byMYb=p|#JUd8uE$-& zVP`!T_lbTZ=sR&eVw1iRa$2ypv=`P&q5r5LR6SqCPvVDa-q=frFEo?MrZKScV$_5# z)J(OPSIF)l158*5>3h7_OO<;IiEH#B%Ke3eMAL5Nu0m|0o?>0!;e8VRt1jtapJe)2 zS9Z{%So^K25n7=jQTIXR)f}`&JxdkV9JofaSOv*N@6}nV6_Sr8H0@<9IivM@60`A> zYm>6mjUloQLEjzE|IhJ@{vD@83LWov^yg}S*U!+;47y+9Ym#jmNr%}1;@KmNvgqxZ zpc4%f44`oRO9!1m-K1UbDg~XfaD}^HuE2pSKxx7!+;008(Ax_qDmKVARgQy+jDO3&U(&xF#=~HIPD4&VdV>8w7~cWv%1?XSh2IF~Q z_e&%wPf00tssvdnAr7C`d#a3uyvx-b38cl8((qTem4_qGNKwPnf-(0XGMXQZ+aaY7 zi&_!?NU|$3TT$OimQ+k{MUIygeJM-X3(4?`QXEIZu9#bjT_jB$-rJ4MGxj?vjrbPo zI$JD1ASvdpZoVZhiEmWDktl|@322c#cj+__E2L;ADM1_7R|f2+LShInr@D)+V# z*65cg_g4p$(;nF}Ex10x67AoxT8Mn5d1Of~4DnX8EP;hkU*dpWfncBRg9Y0hSp>@s zR&#ifZn0%jGx$QYEJ4k@WKE?7638yA8!dQl%zEu%3x^xEUZ=5824g>JQ&qgd5S+$e z6*mYgfEblvFt|?hUd1en%+UR)B9?{U)c&UOEsMCR%TUFa@s6q2tKd6@$23I{^$1)D zaSn1D|2J*6%D;{Gn{K5FDF|X~cdEh#F?%!?aKT2+P-|5o-LW$u*b?0x@}tIAHK{u^ z6*J3@Ysf0cymYV|W|U*Ub|f}TE60ED__8~#_o(R@&mVSl>8+f9VTC-OI@=e~VYfl| zPzI*U9R;%A5BNsVVlCGFvNfFHY~Sr3H6zO;PSYDcs-%YEZwzvFB@td5=hHN?+cROx z1eg-*+~;N$)2?fBToO>-1+qLT$h=zE$8F)2xY6*taQ03_Ej)n_Ii$nj�yDwJ9n? z;4b=dyM2aRvvUmGnrXwAI8D4_}+z~7F`G*R~W3)e&CavBcGwqNsHsA+tClCHE{*Oh+PtT zoH!W!LJ}XB5sW_~A;e8?#iUD%;tE^QXzBW%l2XJ*X-3cNQq&|#V$YOP{2U3n2O@iZ zl9(RwfSr=o_Do|UevpcL@|mb@(s?~{Ch~8IC!|whE=a=V=}q7Wi#MMTc-=M{olUVX zA~g4Fr;$c~4I5`&tY9$zS-RRbCT%g|uCdPCUb2*M_d}Lf#oY6l5C0X-9{%=F6@nwl zZ20-iYV2os7NtV*4)fE0MI{yAPsOSK2eGxG$93AsU$%~~7&khMyZ_-rhqK82Rhw7X zf#Hj+e(Iyk^azV*XZU5^=kKn2_tSN@KZjQZb~0+Wpx54bGs`*Gy^PRLxjsgN|Am!O z&7Eu0WqvvEg@dp!2i|b7=*xjW9B_X5y??nR-#vkIm5}zk_VF*j7Y~Pyws<|OJGAPG zv;{|GQnT#XMrjIFYR4<2DC(48Os|wrO%KKirF3deF!qg+1Ucy7>zv}8G(rQ{iLP4Z zrH{R+#i)E_f{Qwd3NMRVqYYMZWD#q0r7DUn=Dn7w3Xp}r*X6r>WznB-i|y8f_T${A zCRXfC^*hMP;f1Q}RrK!QP~9CBp__k9b5fPu9lB6Gqzdh(9bCL(T4KudhDcx{5C+T) zMt_jNS7lleSV^{A-iq2HSt2iNMM@>`Ntsr(L$Y38T#5*hWXPwLqK-=7dorcS1(F%^ z8Kvl7C2L^!g1jNQx0t!0Yl=r^h}Bk8J5A7D0Gq zcPF99wA2jo@+U?gGsMf!bHHHDNGzt{UkI;c{nqaG`$1<|1*Se&w+1z^9gz(%`sa2`)&& zjGHFG9+4!(6-n^(CB(QHcFYS&RNUOFUZMIvrK)g1ISp6|WH--JE&+;w_1)v+f(Cq= z$Gj!oA$-6s+**TPHMvW3De$@VYQqX;ZTI+g-hfXb_bn+3#B31ffcPkg&w_Xzi1{EM zb8Ji@>)05#s0KB|G}Y!kGNv#x75|5Quku)g{SJdDW4R36jE|0#b?b>J; zw=bd=@w0u0@`~GYhO zkz+r5K~UmLV1fjEIi?&Yba?<@3v8kn*c zrUZg&*ydLVe>hJ?_~wXC-^r|E_?f5SCp-rIKR|yQ=syP*!kiLNiv%^l$*g`*dkt#; zfZD&HrUR9Epd#2DQ4MEF0r5c)-vjaQAU1(`0f-|&470C-_*tFYkJ>v(ETCqUA{wO` zRB0(nAzetFQi|-A&Y-53qJ`2m)SLkbRKUy0y0I>OzjIO}-p*PlPHCjrIUmF*1tOS< z74sDy!R#$!x&jl-l8WOM#9)p?Oi-X&nIYl`1)-IFRP3$5wXzn7lNIDv&aYye0$Ix3 zEechPDPybE7fiC4-5Rnx=1I*Rp7zJejs>=L(sROKS;?!Qu0Otp&0P|%s zqs4)}1SWf#h}O$yvi=kcdy!1e0g-3#m?q{Nad}AI4ZW{!3ufOI!1U29Ei5oE0CtyQinfN}o@@q;$INpI zSC}^fx-w*Podch|1u*?+&M7pQmje6C@RzqP@LazcFm5-`EIeXf3+xy~eXPTJecS?= zUMod~*OW@2VGxVkdX!kV88CJ!3kx4BtAWj2M21nLY~o@vOg3db7j@HkSlPnG-8B88 z)N+xb#zy5%E|h2XLUt_rn6U%0W1&`hL|NC2SZFjT_w*qanzkvoyFKo(_S;fAuy;7K zZQ9S@40Y*FowyrUe`v!?sR+{;Be<5ef?pu+z(`IWQDA($$Cd3{K%Z~uFsqFo5qgqD zV$Hz6-w%vdHb0NL+qLNVxqs#^UG@AQew`*&1@AD;P}i!0 ztF!7+u_jL^l;geQ?6Q8Nf-hbkQ$M!n$H{ZiIy-UraN)FvRU$X)H+3MSdgRqsQAyt<|LH;2>t~d%80ITrLg@jb*|E#hO-QNAcQL##R6hmwo5Y*2`_%RkLSgs0vyU7KyH8`E=P}rQ z7VTSU-MjcU^1*{9^upF~{2k^7+sTB_>Yr3;&AUQ_;%53H{<4eXN_AJtx5s$=o-h2bl;1$<6n^h(`0KBX}7t#&m( zpfL8Wj$}df2?*LLu7vhkOw?@2y!HYn@@M-?#6Q5|sqlt^U;AVoxWfiFr*NE*wE_ z#|k~0XuHI-gxDs=bn!YNsU^A#!-B$R#T~@&`mdbDLa%)KIq`y#L0wI7Ww^fN&ABsk zXB^9ZG`){5~Nu zUKyk0Q}bh*4tyPZLXCSl?)aib-p3xRGx%X;kRKVUn)3Zn?(`0J0>ekNs)N=Ndvo{q zch6H_*szwu2Sd3~sD7TFBU;+QJWu;`_M$~kjAZkHvi{JCs}7f;V(9nYfimZwmh75P z(NXPR3^~QyUD%4Rt7*ldAJzYR@Z-mYi%!dCqpxSpMjyEUqv-eZ<0=+B@gC%V)P5sL zgUZiT!Njo_XN6AUul7m%_1>me_i{%!E&kX4mxAc{&m+|@GuKAB-|Tgdp3D4Kdwk)9 z%!#a&zrU?rZ`}6J4}U$FxbDM4PWPvuu5@!U<-hH%-SB`qobC14ZobYX%w0B;_P#7_ zLxS`A`@stV8()6DlzCv&#vQm%xBXdnKjdsk;1XAc-8F7MA{gVBsfbA4ZmFvBm3}pt zWIWqj$^6ywLU23tmZHTEgn=MbRrxw5fZ+FxTZ(*`)#eL=5D+YZSr=f|D-e!@SxZ3S z;TMH3GsBX%yRL%pG6??$;VU?0f`0pvGOk}{uK;FO!t7n3a10cF0EK;Dt{DJAKFrjD zU=IjRfuINk=M6B^$1k&TK|XW;hkFb~b=ANLL5lITqMA7~^A|-iV@qb`vhpd#9B4N( z{T(C_jL-N*(T`!9o@+x~3)}PiQF{!_m6tkMC#g?u*}dqK^lvqfTx;4h`jI~xC)$!L zIfb$7wItW_c11rr(Xhd`uri>J{~Gdw-$2ouS2>5G_T!g~XMblYtGavt5^2rxRWT

$XEXY?QQGLs`9{31BLwxEiqZyA5F`Ja ziRbFN18C`$xVGTi>f080&(M<0^@;@CBT1xu(#98JQl-M4vH#y2I$2io%5|INelJl= zj^4vptsTJ0e{S>%V*Js4%Dg>j5`W$wvU?uOl2=ly`x@t(PX|%7xBS+5JX|%FwFyshyf8ObqY~ItGx!B5*nP%L>V>YwJ;kGAzdQYsijKCQqcyPZY4*6* z^<=-popN}Yw^h^5vo?w*_Hv4w$-l~eHSQH{7+JY_ts~N@>P?I9auxI_hOI zv2R#Os%qccE_B5aE^;|NAOkmX4$At2>xh1dNnzgaPNF|mRkd(cxtQyY!ixRw;J3fH zrdMQMekiFgt|7msm#7b_idz<{QaUqp^_wp@d%R}t0{3#L?%xL?(QjX?A_hZ_IkFj?$FJd2u+9k`q1jYb z^kDRl(wY1zkDSsDB>d%%lmsSfYE`Qq#@%m1&t=a(ati89KB+b|CEwqPAW|nD`4>D< z|Mx8rUDz|nzb0J`>_V?FUQ@RB;p#WBimoIk! zedu@y(mk1pcp(wT&27PjwubmL3kbR_i$`-*9)7w+5jQS+k~Kj=tO+;jUb=GQf}%;b z+)8$HNH+Lin9V`ix=V`<=-&)ym0bdS7yVb8_B;k#Vj?(w6tpPj?`o;5xIHQQsFki5 z6U8d9#w%D+Q*-9DPtM0jN=fb64>8|JquWEHf3g-S@Q`Vt0l!aQ!=8sw2un#c;FRae zJTB@p6iHb*Hv}#EQ00{}cm^+2E-JdLo8zi!pM4(j+~{TX@1@u5-D6gsDI>i%Br30$ zd38;VET|9_dytPUh=ht*-3<#mi64W@bc8tZy)<9OUhRvQGcYYOld@u3ac|I?+fH;h z{ebA=AjJD4#d*Eh0s2gM5lLis&}qnFAICe?$sXW5hN$6sdHaIjO3DJa>Hfbj_n9|A zSpDQ=Klb6CM^9dFd|A^v8;c3S&{#elEZgfmj5I%rI9cQ^!8B1{zJC20$MR~*kc%); z#IDc9J3jyQ^v$RD|A)5sjA}CL!iEVU34~BW3cZCEI*1Oah@c4|9qEdQv0(>mbWlk$ zYKnqbQBgCop(27cDq=Dw%8ZVJIwPZw=81i5iIq{*@5=Lh>;3iqeO>EX=j?0md*6q| zyX2g`_lf`b?NVR8xoyeU)omT`yN)isx}f4y?CsD4Q${@ARN%AX$)>Sog&+vzJ&NkYsgRzRNJI*Sn*TV7tlycWRnbYW4-R7+RKmn^y=Mi zq}Q%{v_t_-n75YXB&#t@iuFlExtBCO&(zdp0c~~*L#?@l-l2CzrgE^&k1MG*~AI@)3~=ec7~jiycSS|6JOel&_$UI!=_et|3~RnvBtdQl=0#@`_{1 zrVtBwi(`r&S>5F2#7uZ(9mks+Q}BpzoL3Pu{tprL=`tV-7 zPL=nFd}nPV(B9cdwS z;nwg<72M~4jWS$Ms@$q}e#t(m@u{Krg-4OU=zc)R2Y5&o$3{yC=wHFI^2RHG4YZI{1K)#ElxSo@tWPn zV$)W&!$9O*%6I8-&6t|$7vJahN58f%)BWvtSWTJ2_#}F1T(N$1qSbskB_+!2+#Tsd zUaAZ5J1Ru1Zi8sRShL=Lm(>Az2(C^hm%EF+ibu>VO~lgWYGq56ja+s~sTTTda?Va3 zW47i7RUqdjQ@OT^n!|{e+{E*gW)9 zE=Q85nlTrR!rsXDPeT=c-tfE$Cn!(xy1e?C?KQ?uT%7LB(PwdSmQ?@zj64(B7JSuk zb!n$l6w?0p;R(C#87a#3yq0Agoj1PLrj5Bg#k<$3Jm|CJu>P{aBi5=sJc)1{S;xy_ zZSVQ5-h1TLASbUGvltg|{29FZz>(~=>Em~}Z9DZtY}lMRf`xbP=$hX9SG*PlESCz( zKRfID-}}q$o{wfSZq8?KyaAvsKwE(JCfb{5}<_6pi?wBcwEqdkmv7TQ^8U!Z+~))TEK+8t4H~D48J5k@xC&Z4B-zkE5+nsb>gMFoMZr1vJJn(O_ zSNc0qzyI$P<7JSN8A;A-aFiny1Dl=@YXYHo3dJ5ME<;goQEYfZG|z&Yc_1%Xh(=g) zV0j&u7hv@*2R?B!;}lqhuIta_vrP@%)ExQjbvJAuAGDf6ADUc@SaC*>X5IeMG1VKn zq<`c$4Ab(-w-^URpN$Kv6$!+9VZVv*@`hHQ<2t=|o-Q8FCsl84v3<>+F8WdTm!Trx z?p{ckV zZpq~NR<8u5R<9-}S$PWpePvJR6Jk#pjW|JP+f%d_xshBGvWL$qVhzh<+3%enYA6Ei z;2T_5A>ceiwu+N}im9>5`m=O~a$e$X<;29_lq(a@8y*TPwROT&ZHRfTSOla1TEHLp z8JGn82cQFuKt9k5!~z|HNVkwbMP|W>Cchu*WP*UEz#l}v3gVhLNu&bQ#M|EQmE}5K zLqjF5&@P+fsEK!~-{UH3;++wMH-(%M{fp_-^~zOIWk zok?&!C7q~oHI|t6^*huCt)NU)jMT&%ZTiB#+1%AlG>k{IYEHAwUH+Ef+DMLPU+6K( z1P-Mya=vH;$Ego99m|oKSkX-Vs1O^HXD`DIGpRqn+{#gIul3Kj_hNt0mv&xFKly@%ps$%%&2fBSxSmiexnMLoe5=10 z-yZ^E%1=u+zW?Dj6S+)Ry1uB^;h1b*^5PEGBj>var^NDD7x9dK@%prvR!j9c>vLaP z|Er(7-e2CSiI0+ttvOFKYrk^hxq)x%e&IxOIl*F;z=azeEHMhGT>t%8b@Si`?U&pT zkhuZV#5Z*j)n}U=t|2(0EY@`s<6ZL7Sl{MQb{$pV-@--k4JwM9Dzy%i?Nc5rB_EPL zRvs!PT%(7l4C$a2x*pb^5jeE?|0#Z_8F%4F)E z8pd{z%7eSCwkpioxkDdQV+ptFpIVi>UnjIMt`pQ@yNK0`5-Eo5LPyO>vsc{<<4~*H zAp>91PBFJXxBL3(`|b^KtCn3U$T{<$mHmnvzVY8KpMLWF=y&P&&m#wFGQX{CnDMRg z@%OLMzK;Y=hrdqz{_3ytnD5^v^xyt_)AtMCy{|o~E{RtV|9R*&H|(>uedqjxaiPMZ zwN^)EjfO?B)WM908c#u@uprB7o=j$#6N`AVf)p&5pI{zO4v+Qh40wV>$Ed1+Cz4YF zg0LjQ=9P}qQxSnAWS5@&2qYm7>M4t$Owdt#idu1@g_(Ah;zAo@Sl)pP?FBBh5vU}I z3oYPTS zAdTkR$7G$LT;wl_8TFnJphxb;TEd=(Db|y9i7`KPMZ`oU@nZ0L6fE_wR3%si%l4qO zBqNLd*X}15N~hG#P$e`){U)}=nuX33ml+4ej4!u;BK=(1rlwqFG$7Q>ztpe7+Frk- zvayQDM!^EvGhAeZN-PhR`do2ul`f(dUlLd!Hu_Tz8wH|*$NLmaPXw@3QK znvV2))Z)eMmDwcn7KHSLE*4D?#P>yhtQ%qW7oQjS_Jvf;y81qHyXdPqua0P(V;UWi zZQ4^sa+LpRSYKuDs90RK<({$R6`i*{3`)eP;^T3DaT*qV54!^^0PREeGbgl_MVGjBoXmXq{ z+vaz=$>FW^jNj2Fr?;|Ieiuq>0#b)d>lD%(q)D}B2>~mjt)@NxX>Q*-nn4M}CC#yjOn9=*DeOO9d(X@XQtb>Sw$R@qJMV^xHD)F#SF zJlpmq*x#aW))c9z>T%7)Hbe}ZRFTy=w`^`J>@^N5wmQF@HrHhjW*KHh>fhhfSt zwZ}b98|k$Cg?5fHwfbC({cGnBnw>^=HD2`YMZUKBBt54&Z}h3&t#-N>?PKL6U89j0 z>8ABUT4Ka5s|M)-%^np&m^Ij@K`~v+P{j(z4kqNvT(vh9L=>E87f=D*2b^$HU!3%_4!8tZ`j_LV zN%faqXwu6rwVZKvA{EOmaGXS|ige@L5&f(px}kFCe^mBv{%1vvs^M-y%j$Yn_=&L$ zY0UvKIqyji`72{mXh>^Lju}HEZ`{*ly+80##`U{@l;zGS`7-gI)g8rIr9|z%ka@E0 z*JT+YHS}i@QK->3RXOX`_upS^35fi+cINK47X|{(+sqg@{N3-rt^8Wkc`f_<*AG{V zmVWbmQL#CC&R-$9r-nT~^?mrf*~`B9c6J>6azQwGO!*pgT$%cPXG?cfL{@$nd*9@wO!Sak5<_Z7I zk-rK(rmw$smpQy(g<`_sFtO`0NS%M&oagS`~AjqQl5vtcEDtC6<-$87dq}yqjoYpg@nK}$1W(qFq%ZyU) z;37YgpS&cW*%>Vt`KS{5lQ&t7;E(Ca++=;8Kg0Ahmzd;oK$K)$*i+I>_$)i7yuhU- zu^(%#u#Unjv?B8PG3m`Dvf{YbIp2=P+N_PqC(&Gsw6-;lZlQ-n`Kn=Vk=IC*c@8nf z&6G>B?UkJgzFE$$bu#0qm@&7kH|qJ7aYD7E10PwH3iL-{AJ9D zNxMZ@phx*}H4=hu*O83l+VjdOY}pH~poUn=nTxEx?8kk?i(^gAmhYWvyDC8%WK(>`>U^Wwj9AKDRi>lxNb4M*v-Wk>0? z^oareV-smzE)Ali`iBNjGhWPg;l-=~FJ^=La|T=8)yGw?sj^)xPp#Z4WWU7R%m|c{ z3g1Qf!ef}u3kfe>qs2sHkV#TSUBQf&NQ|uN^iC=Y6XS>MqH5k?;z&ijCf^*K5PaYI zvi`2YwbyGPY+T&~UZ&|>73rJvn>vPSNPocz!b;gG!#s0Tf7%IR2>;9AbC2Kk6OT#j z{SH5~IVQX2hxqCF(l$TDPtTWqN}h{ipY%79P8Fp)B+2Sue(rkzWugN9yu9RETdKSv z*}s>IKL-#_WJTXtUJ2O{xt|XtjalTai*SAy{(iM<(jEs2|`;Uw}`^^ z^YhYLtx9B@4Kq8a2>W9fxSArmM|CP7KiIf49NCTBLw<2d%Sjpz*AjPYyX<@K=ehw*i_d?@L>+ujuyBI zOJ9;3q$3RZ9j=MNA4Qk-pA2>#zKO^->B2ybx!SYbdL+Neo#* zUZ>ADbtaIvOCK6YW_w}&0JXy9f@r^SiRoBBv6GWiSEAo-7-Du6P8e|5E?cSbGzJO_ znm6q9MlirU#Co3TCXbW8RPO60jFUARyc_LLu+OA;Hd0QYK;b!#Z&k=!$wv-xq4NQ8 zy~^rYAXR)#<@}68m9(jBp9RaspLnr76PT1Y3K!)Xu3eJzzU16|L@_#v?;HJ1KMUPz zv1qbfw?}zYNPEv{kbE=Rq4o;i=yDNnd9zYkWkr@v*Hrd8)9C9odFBYW;9bOv{K+w+ z5|IKFT)9a|Sj&zU#~OoTiU*S(>aJ9F3Q1YcN5wMZkeD%@SkawUDHjs6So6d)jFB-D zIvu7-pH%LzB21HQsXSFhdLmt-Dev{T%9x|6?PW(ezY(uOrVl1>^|4n)LBKv>D)1O! z0h@s$;16I3a1vMqd;lC`M~Kz|*}(5WE`OER%PJM8zr2}rN}6G?&v&nNcOr3L*#CN4 z^V}F|Dym&)c+u~njW-Vw9|7h7^B&H!d7!^-d+PFS+r>KI5&(HIA=&bU$wnJ2Ve%GV>e1BP7bDA&}X5P+cvKO zdfdL!ZdaJ8B(ccwMtDdw5=I+iH$LtEG-qJtwE64#Ypu%k>FcxCTK}c5T0eR% zVW_@zedb#Ho)WH4%fjy|-um1u{GOV!-hY2xfxa*=Ig3crFU(87YPCwAmB+hk&DYP) z%esmrweq}CSBXI=+>jArwNF1PFEzsYfJ{GQ2O?1vTy>5Y@wVcjfo!%{=MQXu_+Z(GmBB`@@89Ns+AT}Cd|_n%*XbPc2c2Es z#DQ%OA56UaEsp-Q`{kI+;OALmtCiON=(&LlZ01O|FODKYGj__A)Op(fuU(f^PnM1RH*nomrOyhe23Wt-L} z_zq^e)}j_TIomL# zm)aS%Of*g4EF4WE%#%+t9O(sixUt=^GrhEDm<#)a>?hKk zfJxeOjqS<_u<*6BAIAGdTo17zFyfh)o&8yeZ4k!?MhK%_*b5teBE3obMeG9~68MO7 zVZWFEL^=;2Ye4M*6#{DAPo%G$Nh#mcOHDO_5pK?Q_DMJsQ6FEqz7OT3=2S}i*)-Mz z(Imlex2VN+bU}z4e%9m*;@!O6oi6b%Nje(MmE}_s-F)4hf+5Nvj-8U&~8Hg1SaV#6+Dv0@05=(LH7Kr;GmO=D!zizJNqvP)GR4kia zd9vH_PpMbsk#4&`W#yIUyO9=@Sh=SgX+gD>$Ge@9)=ePSvd_`_6BoO;2Q79t@m~%y zTnry3x)B`j z2Os70D|f32wb65Jz94Nq`4(}c^s^zY#y){Nm$XLr%76@%fxy?+X?U1VHxEt-^QfC_ zbgwQSY;!@Hipc+n{_I4`3fFa7U*pp1QG*F9<*u5EM!xB^&~6}LyLhAV@j}0A)pto{ zx)*+jtH{S>@yUzL2>Q5hJyd_!4^{c*E7*Rh%J)`Y?6+G;d4x=o)m6kC)*MVFM{&7# zM59zFKsc<9gTfDC!$blV3J{*Hb5WrH;aSmG&M^@8@__^afh zy`Gy~eZ_J5G^O|%F${HHBYK^hBR@+rjFa=qXjVnK5al6+Rbd&Dt$cTrJi(zeEQfMb7N>ckil{=x(0JZb)BXfT zXHZz(X+FOP50G)v<0+Z>b|+jmYlo|-Rgs6pKN!7wiVKmN{Eu>H0%ApnBvWd9o*|As z$5`7_R&I4xYM)Y)Z@&;Xi%&Ye@|@bHGdhYiLO0bwtQq<&w9V=~Z%)tna+FG2q)9V| z^`wo-J9vL*tk4N@K@M{8c_~NT!=)WXF`>qo(35 zHN6Hu!(Mmq{Ok0!+4-VaV^mD>J%>xOA2q_7d9Sb5FMcw_E++q;Q?QJpnPT>9;`mq> z=r2}o7usaGHi+Ggu`vaSj?-izn%U;Srhr}63-mWDcU4(EQB2oZ_c})~*J(KBh|-8% z#7y4g_QFJ`EwbRsGeSbEG=oI&-f5hU2rll{RRc5|?W>EC?YPWXmksKAIQ6^rlckGhEaNTmx*^1?!wVq#o=#Tn1 zr+owv0K@^|z;GZ0hzFuS&M_^2dAD-q%e#hTU`^j?Z9~UAi3Au8Tm*It9+1<3k(l0V z>9egzPMx|BrHyxsC+epg;>|<*C(I_Sltydp%t8GHwWMz@6D1e)@dn=xRx|VN`~$z= zJ)PjE=y#mLx{DlB#7GWLaqO^v#5|W0)j@fLTEadZPXEmeM-WN0V;#**Oeh+#rAj4A zWr7V=cJY4+;%UkMO%Sga8<9EgUoY8Ubj`~cpk^o@B)gl3Cu9#0a$G|+?#A4_;sMfc zvI{9o%}xmg%{DCFS?$>TDTL{=R>SN@heDUXQl>T%3+Y2siW=>k1LjL+a-3SC-ijCS zlk!mB>Zy#DSI|to=1Ri6ir@d{RVHCx#X5@3*9NKF)QH2}DswT+&vz;J&k)^F4OWlc zL|CMoVF;@khIti28+|I~RlL+Wn<%&OpU=TauHK`zyB9c@yof&n^D0jNAOdZ9ji;J_ z&v7nmiTJMWreSff)jj`RgeCIThNxcKdy%_HpQKMU=T))l+(f6>Sav2FNhYO`o)}nR z?WEXmpqmE?3p=fS7)QmI`EjP7gv7+q`!>0<)0&&cIXx&(cU{U>{#=FfZN-K%v!gKQ z1bH3b)6`jIzg@aSYp?Pa=AWQM%N&&lpV?NhcWS~_al)d7grl-H!=he?!HhS!a+jF) z3w=8=HE~)`QJ#5bH%?li-1p3397;wHN4d9g?+J5w6MKr^nX`X392bQ6SI(>bg;6niJU~gsZEY0(y+Et8Ea3YAJ^u^QfQ0?+Ui)Rwa)Lf z=^a*UUF@}09ky%f3T`yT*&5~4RW=RZ+CbD*G ztb_Qdz{6(Z<-xXHa4;JVX2U_PCyb-^r?ig%0)RLm92gFS0P#RHoGpj5m2j4vC0Y9K zPw+JI(qLOh3-kcIuE6QN;CaC7re6YvkiZ6f;5`UO&%%+XF^o4D#z-6-0<6Tr-*C`? zH;izq>OuRp3;8W|H~qRf8RAwIvpYCL@=`_X_Rk>>)j#*!nm~NSo|@v5k9rP|Q<7?e zyQ9PEX!-Q!uxr*N-Cs&&3$EJVliB`nJWI4C@D6 z80~;jc?sxv%h-0o`h`W$w&=s4ziV-F4)hz#NOoGBWWsuh#mL8EWEuAti_rxb`C5!5 zFj|ogBPWXy9Y!N9o-V^^Knp8Q0DzKeU~kNaDBXYbrCgg#mUnq{HGK;H)a<*db82HL?w zhk`zBp-)+8Sf5^n&UiUGo6&hde?D{Gtj4*=PSKwS&l-bHxeA$oEg&RK*;o~C{&Y~?H_VeHuYhd^_ z9fr$!XMep@g3ebw?7mJ;qxj;1N7mIlCgGt+Tf37@lLPgD3|I#!fQFsywNh{AwQB)6 zP!Gs}b$|kB@OI9EJ`4IR=(C{DqCbD~w6JmRlpMzM)F%tkx#o;cmZjr@&TLDEj!wCy zW3j14C(2?o%F?me2pZemqv+4K|7WbF!$4;~I&IGAWLP>b=*+ZqEH>jT9gEGf#zO{A z-prNrmlDka@}~Gy!-NWhf})C_Fd9kX05v~r#~zS+YzL*Ud`jp zoH~znPkec>HX-u6sJ%>MLMgsl~dGyOjfA< zEov+&d<}?Z5c4fWB!~tOogm&?h-eU__fr2n2!bjt)P`}#k`Awj#V;V_79tEp69^-S z3=0toViSlPAbtZeEE~r^hj7jLptz`bFSU4RV_4~9{-Mg97E>`yq^^-o)>x7RN%* z$I&eKE`m+*YPhR~-CKD0E$ILM>t};F1|lQ-#nrm2-4Ro!lc%Ikn>YNpV`F34n`;B1 z!2!ofyZ$Z6N}X1g`0hZ{+N(KBpXA26Z~Noj)!l+YgFOG)Hc~%j3j43PmGWP^U!I%( zjk&S0OmY3c2aec%X4bSRTVBR3`F-uxs1;Azwl$Xhb^YFuxIsIV&v} zce|1;s--*q7Tmtt-MMJJ<*16BZj0fYm?^KfyKgJ;9%S+1N#8c|#*`@)&D{fy!2$19 z@SliA1ibS|{cm&Xf6dsm|4Og_*T~cVD+P~v^26rFrT+>SI{`_ z$0xZRU+1i2_>^S$P%eS+2nTTjL?ejR7Q$lD4nhQijF0Uf{2w`d6og_z7QR9mdYSlg zCj^fry_kOZKO$?~dH~x%89t*5$J$dz1cL&iT;s z+Vx<=hr0CTtrY%0lSc%O^?fm^@pEYdOqLbGWHL;AEG9p}B*bD81d|ysao|A+80&lZ zXJ}Gkwu=R`W(b!o0*?8E2M6{LDwxo0fM&l%U_+2YD1o>0!SJ>S-hPL-^ZpRBA$Cm{VZx4PucCh%ykaASPG{ zSbW$8^Vc~3zGY~m;fw-dnf%t}fAA+AJ`JrCOqb&$INSiC3);^Z2);ev7n9+Lh=DBU zjP;$Rgf<0QG6v#dAzDC80ieC!8N24b3pu=r>Lu@uA;5aTR9EFU}{1pD$N+X&f3=TeU~{_PQtqbrws zt=7mBXrj$EZdeYFu_=Z@tHr<*22n6r4ujP&SOT2E|j5<3V;wFFN-R zavW_q49lYrLBK_yD2~F-1^pyj)CTr_;e@Xt1Yg6~ zPzccwRw@doeNNju=;j#n?m-3qxh}YUPu<_j86r9+yJdshH-oz-JzLofV!nll1knJZ z6U18!5e;JW-r$~tAgIz?Hn@Ek?OUH-v>CVWBHX@5bfxzv%2)FN|&`=PYK;ZUW zgxhz~(Cod3e#7m%2)FM;V~h75${5;sC=9pnL(LXbF-&p$F2e2m(EL*naQiO8?Yrn8 z2iij*aQi+~$iT4^;0U+xBHX@rb^WzhU% zIUyfrM=WL-*ZgzP42Ri0xP2T2PfIK&X)rktlh+oL3oxO;BoUwAV}F=9!DJ~9CVODw z1CzIEn1onNEM7ukk_(d=eh}OtIAGX=@e#dkh1pY>4Q4~=gb)k|6&USX6@;x84MzL+ z7=#iCo%ntp4}pWD7H`2293afYpSm;?XTOVsw_7y+_(b;Mm|_t3@xk2~htJm&rgL!O z&TwdVLi-WgVfgf)TmBnt1aS?-SqowLZ?FTzC!BMkD+bU7!3WwT4DCh%oJGUgc{r=J zd;|dymc#Tt#(Kl@Rjvf#i-EXX{$K_KB1~!cg9nvjn9d+ZTL^qmgUUhJf(QVy)?$I7 z{^kZ^vW2i%RDiGn!2pqEu_yz<1W{liEEbmkN;D90Ag)?0#)I$zvA{xDEGB}m24N2( z0v5+C<8T3iXVakwSRAvA!}32W97LdXBz-Sm1d6q4l#ZW=EiT1I_FPt@}&ogHt;S z_HNNfHI8GSF;#jRs~zBeNioNq?<`|lrbnw)joPzmG#)xd$Kx!OkH zdhFlgJ-}q(Auv&JmUQ9LLi-hp=j;I=92~HJd^HCSrsJTaIN$+{R>CM`^4aW>d)bT6 zlFE2toGEx$U7x*|Giq_8+;%94M@$fzAm)NtY9TBZxgb_Fu86zh{5<}60e{)kiOGAH zd?Q&p>FBJoba?3SEuAcM^p?&jbb>6M40QHcI;rR!kiEb5ePQGMe|P)e82@|UylE^iBHMPTxjDe%RzdNdpYN9 zT_(Q-LBr%IY@!p+Taq`v)2WR)BH7zaP-nciDN$4yJbFD5G;DJl5lbIbPU@8Rq<9p2 z3GVg1g^f~n=&kZ{YpwrLhzx8*6o-)|cd=G{&W-xo`J!g0AVG~ntV=M<>=R49hiV*O zP-F6fo4pSLJSB)}!^Db{LS~@H+9iesOD^k}CUp~am-9`{M!_&)##O6a#Wtm=iL{G; zJY{q&Ww6Tv?QwyRFy|^|o!;8iS!%nT=PYsMNVN(C;_R~(^b8ieb$X@HDrA1-qp8l4 z+fcor>y%|SvBChTLjM$<)-5xg>a*IeSf^aoXERRzyK-|svoj!xctrnHxvtOp4yISm z88drwYDsq#M^h+f2h=FXl#%DNh%SV%EKwfrb37~a#!i2Xg|6o`Ur?^x|6`q#t^#{{ z5YOu-^^6~|Nn)m9u6KG*$Xk#1tjoz4Z0Ge%9I#HR52O$|ziLB_OJZUK-ZVt+8@h!t zfrET#>(){(q5`S#<+aV}ioTuNZlDEt1~dVEfEwrregbsBUf>b13AhEE0Nw*@fvlRi zrl5aBf2oq10_Gw*;s~N69Im>amXJC;w7-toq_zpP*7_)#~C^5f*6CCfW{w4Z=)rOyn> z2&J=x(@l=Zu13Ps8eQn-f4?xwG`XDc1kN$hZvNux!bet@c{$bPkE|~sYrdqBG7$V$ z{D7ZiTGNeSe}N%4-)kT!qwWHKjH!W(DmFJZ-+VE2;%-rdaq#-0X2)Z)xa7t8>_^Uz zlXLQY9=S{x-&0w42ZV_`jS=f}n;qUNGWU2=Q}Xjf27cBqPSs5 zEi@+PmEW=n(*3L4tG3$Y;wrwOVsitcu*j-F;;u{eYBh5cYb&OV=3~l;aE*B~g;W#N z!u_Z2xUR@ByJkpBU>jkx{-jc_b}VGwmMqXYpl&z4&^2DPfIlH`^gY@&#)stWeBb8i zxdd0~c-xYW3+3-38ZF+SB@(Myn!=iGu^g%Wuhu3N4;Baz(3 zZjj8uvlM0?&iq_3W!h-jNd9p6UoL;BF zu3uB^&9POXA z`6h7eFTIKl2NF%PCMU9-RJp#?maO1cN=s?0AVElJ*kaLCL3}B8M_>t}OR+mbk@3fN z)V!UgBeWL}Sp6yU)h?@Xp6$FwlZsm7E+a+18*Tdw2WUx*H0@KPTOX%h60M6iWSYbK zGX}6b-37@!op0sg1i~rVNbPB3v}tF*C$@L4^EL)z`Sjo~@&3o^{^o@D1soHd=M3)) znP2yYpVL0^ku`-k*CgpCu3#?JrZhTvx%{i0)JRz2azGrQvg$8m+NAOQtFxHo%Y3>? z!KJRi<{R@6Ha1}s>VR!9{KO@FWA4*Vtl2_XdAW={z?kk{J?Pq?q8krZPj_F>-$X3q zFI}JAYEt{A8MNo~7{=_6X z#E@9y*%CSzG3CQGBx6qX&uaTyE=wc|-3WuACR)wAM~Py6LKFniFyHK_9+hY_Q?W+7 z*+|bzOQg0Te(W&f$2`n7>f%JZHb$-pbqx()-5#nX5l_o2V1?4%3vP;oU zTo>?C^Nzk)IR=*gzc_ZfO`=rD+lkqruh178Hp9tGs3M{AnMB#n?-RvyUTStgbp)!# zKY3Sy9tYoB;G_taA-_1DsBb6E!Kv=TVlFIPCsFRC^@$u|G5II&XUs{IVGyGr&VtCE zM7fWF{Eahy0hRWXcfa2+j_=pD6VJoP8~B(63PXM`YbP!bc!_81@Sc&sIM#YiqL6Xo zT#QF!J3Iy!G@N)e#2$zd5F6Tw0T8<(;!{`)?PQ335ThVM%YdkZ82XE27PJKrZ$ZrN zL@CJs}zTiTp$wuPHYNH-+4{ey6`%DXMa0%h`xjw__`cD`i4T1nY=) zP%k;cb&i&5jw%hkBPvpjD2*IeH$)Xs8a_<4NEKI#Y%T{?cpvwyDBC!qy=)<6H|~Yg zv2SE~t<@=1zqjwTuMJ%$vFuDd3wzn6z9)?5Pi)VAPkbQ%W*Bac?L)Mlw~^6aK(jfg z^J^bZBmby#ZZDyczUX31=iMAXD(HqfH@g+ido&IIr}S|`#3gL2F0AHdS&hUCSWA;l zz3f+my2*M4y+Dfvp#JPE$^|U*JH;}ZQ6*%z0D06`2`d$6u#Z30S*-(s;`;F^Hlm!~U6C^z)khbfjT<^4W|tS{Q3MynR2P5+^9FqGFg-@^TH zsWGM{bcOX(MS#KD9H-9nBAwL5R-@8s6#GJ5rtYX=tl3kYHQ*TKy0R`D@kZkZ?An+s zMZ=8qsyFvj$|G}-&mQ_TrdbwY7>4b^qK}C@d5f#}bR(C2Xv%~}`+E`dCCji*8~s*1 zo|ja8xZ7zi!`-mBCQLn=X|+TyHbmuP*_0~z5i#&bxzyJTz2>VbL72SB%1NH78EqVE zYFE<|InyL*dN1U)+WWY^(=Y@{!a*CH5?Q}ecGW*3I&l8g#5L9`Ck6UeF+r_+M7+*_ zE4tKJYxp-ksV8uQQ>XKFh|!?;gMOTzWXcHi6%K)l4OPP<;_q;|WW@<+s-5Vt~v z>xIz1!5Lq}?N?9%8=Pt}kY%ZFMHTR|1O@M7Kw-$Ad2dBspe6?TKJ)#Rl7v5si1CCp z)>c*q`nth_j1&7qtb)k?wPf9YA~ra!l|CW{1pKRMNl%Iy22u8iI2ocEV$ueuENB@J z_d*;B5!wQXCm@d4;B*z*IEbwf@uyyeb^*l1e3z@P`!v@19udr`8cvNzDKg?Sb?%i1 zx%OLR^DEDAorxNPfX*wWk!4JoQ5>H@1*}Q2b*k zsEO*&x@EOn@lM0eXVfB(bs`eiOAteLvW3(|cNHBm3fdzV2b<=+%rczo+ooAuGqlgY z&1yJ*PJ3p#-D1VC$_6#{C96cT+~{FCuV%k=y)7admzgAL!T@)!4Mm@gY*!?d?9k?_ zd=fbSNOtQZ4J~Sx7vr?(p>eTEfykw>*ESbq=QMAOb4_d1sMh#NJW{{h5M48}e|)0V zH@Xu7lzyH$gZ23dWeUBauGN^oK0A?oOmR8I(QMNly36K_uE6iK(Bl!^A-Td#>kjb{ z52)zf&ez2S`UT25l%o%me$5hOYyFul?T;J4x?0}hdEqbJV0(^T#&rb`x?kK*7vyIY~p1X zk|&ts)nx<3e`Fh#T?zY!(SwfFjpr9v@8~Aa#V+CA9E!T&kZpbwBbe zs&{u&USpeZB8QmBZL@Nc-7!q62@>*d5gO!&HIt1qO=>kc(Z7w9D}SLWz}I&|O+Y<= zgbHUXe{Qk&knPYOSK+7YE&OziG%T$Nuj0%lMoaH%6-GzX1~p?aYl {%-@T#z|Oo z&%Of5$hY-hmD_|?o&G*H^Wj`(z-oXml z{dL~N6AGZgo48O4tOeviJs<<1UkH7t>u~ZjpW$TT$i=jtfW(YwHTt=)%tIK4~%vg3SD$b6J^=V}= zG|OV?vz<3;Qe)j`yA)`q#jd)daI}MLnFVjhEKKS`U=sDZk zhr7#Yr{qT}cl43FP&oUIZb{|2KF7t#WjoMkw^%lz@^qiWN9l;lqkZ-tWy=jenn(0S zf0PhoV?@4!O6!rAG??=S^Z>T#Tp<_PdC5d}171W%f(O6`e29$xATkoxxx%Og8@M(r zTvus*RkjKKa`8xhtZ6IP@f$lxGg1|p5Sp=b$F~zl-ILk*nAi5=1Y(H z9pF;t%ij8(PGCHuvyv5q_pKVY1_-aibH{!{ak3kf8CQC@nv6IJ1vOs_$Z;aqDy zZ$e)AJz9oznR0K7QwHLGW|(cc0Y0Pyvdf5^=2x#4+HGQGrwlcFbE8j5;`EMrDKBkU z`l#wHeGb>yKPCrtxE8X%B(uyTS`hNPKu5^S9E%#2yC_~&2H_$*qj8d(*XM7}O37>1hdsv^kZr+U909*rC=^+yUAvl9hp_X`q)Wk}mZvE!mx zYG-h&nYE&jzk#I*et>kU7@MIi9Am6T)D1^a7Vt) z@OO7uPt-B$iH25dZ_eT5%Me44Q5V*?T2Fv@8)D=!YNu-%>1@b8JY$^^U?u=-anJA1hLFqJF+<;LHC|13?vpSfm}G-XM6OH1G1 z4pcFf%sr^`Q~F`&Ad+pX|eoH<<=JBV#QfJqJ3YoK4`j14^^&=D600`5|-eW z$?}r#qdHz5OG*)p*?9$8HB;ESH zZtsd44uOonQ|9*)_d4$uZ89!ckIC7C{OI+mwS*h;`+hs05pO7>Q^;mkH@AztjW=<9 z*?VG{F5d5OKYI$2TwWS~{6BlR4p_}cDQlltRDP5sC&juwavhyah-EUFDY^L8p1VczRzPa;E5<82t0k~l@*C2yIe&@|8EsmEbHx7`nJxY~mEB zqQ~_F3dq}2uO=Utx>xzc;w5&Pa;lDY0?I$33>J{@KzR;I-&mqIl#_L|g;1siOfz&y z-Jf~IqV_V!aZBR*-U$I2fB5! zr1AuQvx!!87+A56onhaskIKwQ(I#~I2aDtNfu=1@wEOG=)QAZamSo|zxJLA8k6J^? zFE?D|Mw#-NuKfve`&t)0DQTV2`tLpJ~ zY9gE*h2;ZoR8KSxw$M2E0q8fNzjLEvl3NkX{=tyeL7*``wSFCTH1-aya|emW8n2D% za2NkKqunrt^gzENCd12Wk3Jr1Z#t^B`Nr6) zU06f9>Rf{9XNRjUx3#lth*#S>i@%H!Gx zx4D-FkCi;;IF$O|5O3yqmj>OC{J|lX1`HCP{K)rj&t;Oo@afgbOcDhXrt8%x zf1uaUYvL2an~*WsFUkfD`pe+^roccxpp@;GYlZ<0)v zwK^h99IkRvhlNRIAvZbd5H_{*P=_9pj8~-^U%k1p@|>Tn#cl((g|$@G$F{JmTI|Ql zzo0U!(_Xey-C$Kxdbp3xbKPja^Fp^N&JA^IRfKL#!d}H2HpfHKsJ4S9;1|7)SDc!5B8 zC-L5*o}xb>8li+LptW!>p#r;COT;Bfn83OvELc2K;L?H`Zyrb?MDD?9 z-Qc{;EXqkeEidh=%_8g=oqH8UpS^mLuaX?}i9PB6T@>o!Mc8EPIXzhsw|7Hw!5z*jJK0%~4h1TO^AGq^js*v7>`=`cPEbO?SkZCLkc5yMb?-QlYQOt7uKZ!uWA;*3 zN@<2;-L`GgEW@F0S{o*6avNC#LB~WFuvj$Vk=1u;vteSR{Xocki6_TN9g2w>j;}iM ztzlmlxzbp^zNQV>x5T%nsfV=kbM<8lHo5$t|0C($mR_`Sv>3lPT8u zlt9#7=HxpCvOlD_^jZb798w1L+6KC=OQH7K?8VL-VZF4y?B7#7dad`eBDFhItn`p^ zlJ~d=_}vk&Re5(KWAdu%|6}XT!;(zfH(+)|5!oSE#C^xIGBX7QB{R3Is9cDt#wn+? z)yk5^l*LNTDJv@?E8EqqtjwUGvEJUo#u}$-A=;d33Y%u4#_GF#f8RgfaeRNA-uH7a z&x6Oo#q&JZa-QfuZUSUI)B1pg?G2cs{{>|Wm}1Zc)dMiafOX0qFvXB{su3_n&S#|( zOfm4Y>I#@57YAf3iPB6ugG`MvW+c?+Y*6rOE zcujQ!Oc6+0j)ExyNy{rRMIdR}CG)HpHAOQqXC9cMIWlLqH|B41Ku&QY&fQG2<|G2S z&^W6k5kKARW(8-#f6Worf*xFyut`=*qJRQUKYs}Y6mVks^C+Nz)4^X)0R^0LemVsd zaF)q$aearlcGXEPawwPz<`_5Re^Rm69%G&M0C(x?3Dkxw0BFBlc^G!W@jQC8NGeaZz;mR~x%w zx)P@StI5rw8BGgUs2io7q1Z#}JJO?}=tG)L>4i}IA`rA#)VxAE3$PlH1y~9w0&D~b z0P_L4fO5dp<`uR-c?&@tdZBUh{2TIxfHhZ}kpBThTtVX^uA*_rpnbOqPn=Z?m3_cn zz9gkh>5(#5>5)2DxfR+opa6TJe!FzT|0%$>`?m%SvhPq!U4I}lzJlv5gnQ4;(asXujG2rL+qsDCi z3C4c1mrbH}FH1DzoRa`SW?Vc)h92>};1)jyE)=yA#3%s?aKZIM(Y8!LDWCvQ2}l9R z0C|9|?Wc{;t%b&)+W%|33pfM)efuxUFGJ+*T(ieX9Tv)U$RiO<#W$e*NsUBKgzEV1 z64#}=HAbHf;seGz<$Bqq?wDC}yez09be19=!itjPxNInyJ0d~v)p!_J4EtC3C!u11 zq&nj``jqAK@`k6!mW2rNJCH(}mtbWF!A#yQ$n3zHsq+M-9qwkz?}CC3bdUdPWrd8T zj#;h3fNDZ$5>jJ6%;^|(YgBLG9C7-IgOkwLEiXBnoIuB347#xq_5YKhMApx$bbmyD zEMIA#S6@_#AFF;RNE=4?1(K9E?c_c#Np&2QCWAL(ezRnAYU9Ci{vBsWJUGtx34Dj$ z`XaJGC2MwlaSNzqErUWMP|5lQ3XOc|l<)buJE5y$3y%X zf?BSjAt#mZ?VfF%ukfy3tZ#IB?7(qK~y<@ zfVl?zW*!5KkAf+~hyj*|06pvyN8c(47{&mTtbjHQWMH=i%UQpF*Ow$PCIIk=9%@ZjaF0I>!w85?=aaYWxL_6J(DN>(tfy!(z-j zjah$AjQXr@)t?gMK5L%oFN={lb(2&t#^N*s(snVrM%^WCtDq5Se;CUeeCx<#jN%4L z9d)O%q+v`Q2$@S77(&ndC`EVFN9bdfvF8!7CH^PxBjCnY2&Fl?{G3$yj4% zF8L;Pld&|HeUl9MYd3Ara7^M7TPw`S}5@(&oGH%-3zF{nEYh8?#M;T2pO|Ge35Di z#KC9W#8zq6+Lp!o6|mfl$ufWE>`2r%jm1_YK`qsuGevdKA28p^C(FikM?AuQG%qhJ zK92A(XE<`ezhYi*>yWtbRevifbXn-d8orD z$V+4a>gWjywrrd_?5tcOi%>_MRm96Ct0Pv+Cz`X!Kq)p1lw$lhNP;DV)8M2BLX94^ z!y}OK4Sn7GJ?FgByOmrlZ?aFT)a>Ar9_gCMN-lxer!t3IpJ?GC6?;)bX6g>FRb zGz;qIcmo0C{Q8;R$luIcIUsxSjGQjWDknap{w!EJJgzTbBXX^UP@g^G`d+t9FL%1r z>4*9L<(_nipL6ZR(C}MIncX`y`j(1nM}>w(DoN=CgLR-*V`F(qITfkez1$$Ckh_C!J zXufiM6*Zvw8n{<}%^p_5-3z=DlS+b%W7}xp9 z4c;GEVMas)l}JBgERu#*2V@Gr< z%eh|cm`)Xei*F8HuAItcHA6%!jO)=H{$BY5*RL6LqsABz&0(&}IRFyHTS=|dDYC*2nZkO&T!LHWblWr|RCsBSh9X9w3M%-6zFrc0LhJeI$ zkMX5poHJ!5vRHf47SZqihV>AnC()Hr>y*ocF^&^M?!B}P#*aYcCHR2Gi>k`RL`1EH zUnV4?lC>sVNG!&ik!p&KC3>^2nr_Q5PEj2u1h@{DU^)W+i)^8PZ(L?ij1P*I|FVC| z%x51{)}y{3Me2IgOK4w0%Yv2#?G9+Gs{g@6*Zu<{>wp@-rhhPBiGLDC824$;$z363 zQ@TPN*(KSw;&%efw@u;LrOv6CiK(Yh=TZRBt{K_m^0uZC`}WgR%*WKHD325Xv|FLw z3T-yD+0fpG_BOOJ(8fS}3ffbtPmi*9R!)c}&wPODH~&a3e1MEK7m{Z@KzEookRi}i zZcZi7PDCwJ|IFE2fwJoka}*Ugs`e$Pp#n+O?ciuCu#MVZIXl&Pnrr?BC7tUj4l}6o zxj1o@Oc}>ziX&vIi6Za%EHm~Gix)?w#*fuHIorgDvASwV@y2v&&79q0RHv?$Q!BDhFvPWm+>n2UYI5MetuZ8)1cuA68Mr0faK$0R*plF* z@)w5i691!$?S_PspvCfghTxKbN2nh~QP!*h+@B&Jt6%`@Bbs8JI)L9JLR&>~m_kv2 zb$T4`p@?Qpjl)h6jk8XR!(S4)S<|~P8$=P->@M6Fkr$8Og++@d^Tb_vl?cxht^`%B z5MJg=+*J{am$DKYAezX_ScyL%^5CU?zbQb(j^FP#)0g|7Bsf^Xsr~miTsY9bRX_v&xV9+>J#hqEjPWB?We z<^pcRS&QL}m*H%`0=`uz5Wd10Mp&-`yD5M%00zJZKm&LIM(6xq1y+y&YychL4WI%% z0Zf1|fO3>xF$TZ@_#DlDF8;eKL(ygkR0n4$h756P{~PjVL!>(BhGNi=s1691cN@4B zsrjg3;X-n8K9V4uS)Y@S)(BVCOIBj13fI)+?R~kC+klbBUNA z%$)jJMBFm1KW7iy^^R^nN5v+M)lxXy*o3jVT#kh{>}I=l>dHB_Y>(wy0%u3F z%ko;?v9xa_|#(L$`R`6HFf;{VA{OPe! z8_tx^1)9((rXoQW+ZM51z7EKiiz6W`ak75D1m~$)BlxbvI}YSjXLL~GKu&eVux|-a ze{Z*Mux1=bo`AaY%}#O`eBD;dqDo@!DM&z+8JdLKW5!wMlwu0a!Pc3jxQAw@wXhTj zA0}95l;SU$N!EF9FdNL#*4b}xU(CMN;z|?_G_v^}EF$$^qjWf#C#=NPXm10LHX%I^@}-| zSLlr%XD;W>7$Gjw6dOy+Q8y`17+rEsU{x(i22f# zSB~rnh*mDNQ!8eVAh+xOBi+=Enx#D^{iz%Gh*qV%3n_rTPOsyXUrl(spJTt11r8(h z5{r9#)&tCI%P0vb@Uu*o9_R*smi5xp-5zJvcBS0A=?sw`u5ewg`CfXi zg7lA?WupyKE5nNvkUlyXV#xnKUE5|GM{%!=HmG8RM8`ojp_kfW+(_~ACYKw3BSh5#%yaBqqeA9ZRrifk-$dP0WLN^I8XVM%W%$V#a&j% z*{a2E8{rXU8`sAO|@HmWeaA4pn3~YsgL|EYngn?gR;P&Xi=n5_j{09b33EdrY2afyB zA@)kKxuPiY^Z{JI$cLOdfQ=PRAx|5?cZksB^f*kpD8NxuLbPjwIlU#WRP`dx{t^;Z z!{l_75E|99I7dp{8#NO+=S$r3)T=oMOFZ&4B+i*3mpke#&WR!7BYGh}p`X#pddSE1 z`z(NT{@{Mv0_G(?v)^k0hgeWGdh|d4Ur(Gith`NAVw+#gy_#$S0Nw- zQeXjm|5z%Wd4Nxe^`tYt8z)GLac8SoyHG~1!<3M{4rpaj2Zk%v5Y3xFMKRm zC4C$}2&|HMn$G~MWUSzik7ebv{^GmG`VG+CjPt-MgP4l@V3h&e#8TPEuj zV3pc6f&j2eW(1!GR>?TV9|u+$Jxj#|tIPwdG~@MWz$z(zinCyqa1;0ktdf(2^ReKp zpvAez5^NQf;tDNH>-19WL(2qfYAJq-g=C%f26M?0ZB2iJ+hFmvLdC*oUA74UR!RTY z$N{UAfK>uxTn<=ea4+VnWj45Sf&2_DZE||nF(1og?eloED#4Ze&QvjsZUz!Kjh);a zNK#$5yEJn*!hJMbx+~uGknTHaO+0ClcAqJ7*sVD#OFqdyn>V`!^Ot#r=0_8A7*Wdb08g$< zDQl|Y zo68)Gk*Uz&rJZZWK88HUb6j6%mKpz8hq2)s$li2~(Z7N1&75kaG|)#v8x{M6%ggdx zAuT_nte_Q@tQ)Yg8gMP-A55q0)64Q&(U&zJY)yTLEM1*#O#`8YvePuD0oy{GV_Mtb zUO@iMBx*nxP$!xExU6n?d58cnExbG|;rcmeJi}K3$Ir4{GDs3ZCIrtpn~waZG1zKC zaZ9y!+xAf8QeC5MODOg&aH#JMMZeW`+7zL9U+sHaLmR?Zr?qL?FnhH(Y&+Xfdv!-` zo7!+i+E=!p+K@%MUE{g8ACJCxE>@HnCa9xd$=QZzb=WI~#E_(p@|4FL{M8YjKsfRp zIXezHESgczk3$ngE9%8v2#si3y|4>4RkWl&vkQ4$G_O9T3mqm}U!SoOaYU3}pSBY9 zgXnw5p&`^A%}LIsA>3H?Th4(YIP)A_cY_e)qm&>&B$=gPJL%H_Ne+d{n2LhQ4qwq(2QTK{-i%7K|G?m z38Fe&2ADd5PX}gzu}rlNSQld+A>DyCI;RH`m!9i8B*^*8$nR9! zW$q0LNOs5##jqi zKMV=Y{)^>14Z+Qf8sBCg01e;;U;+GUd?jQ68$btm1E@8=6_bfm+T(~*99isj(mCvP zwuihA#`nDYMlIk5@4v>Qyq(7N^Vy2KfR9&ss3li;D8K6Ym?Yv=mr8mKMdAfu0sLwx z&Uj)#yFVZn5C)h82m*`;L;!`f*=Hef5GI^kt>g5yLVsh2j%_>mjp6hM-`Xla@F8L}2q z*M!gT$y$^xB$w>X*kp{&WqY$k#@mKar-+UY5tcia^o5s=g$$9X1&nw`r)vvo4tAr* zFy>aZ5Z**x6f6AK7(bE6+fu}=ve;I)Zu%YU2nB^LAdNwttR6(nPR)}q0pIgJe-C4^ zKg#%c|0~edL>ZI!Ta{I*wb*Z)?z=9nPDD&h^_9T^Y9RFpU)JCmNcn-E*T4*d|rfc--_p}uGVevFV*pZg56Qy5)8=NWE}(6@f( zGwg4|r24{V_(?)!{R}$hywJaX9v!zAQy@>nd8`TES|f{zvdb2das3j6bKtvx)Y-U~M@mpZroFDo5s1S%QV- zgnUYs{HT3wTkuKwD|>hwghF=NvmM)=P;gMCx)08zfUn3^;7r=<^cVnV61togM?c38 zDEEqEuHn|D9F!Hxt%8-Afe6N2u|5W`<{Tg+MH3Vk7Qd~Z`okxGJZ9}3{ zF31)BIYxcGzqCy4&I=60vOTXLiEh6Wi5?V0T6LjETFqus+NMkHX|;b6uT}dHuW37_ zEukLQYAyKS>c4Tp+Oa3t(NESG7vzq~q}(;;4>OyCU&-@3-q1OlN?aP%!JNG%u801` z$Dzjwr}1Xgp-*4YV@_YyV^%=B0@}Zz{R>(*Xx*UIL94S6+l4pbU3Ct;tGYj;tblh_ zR!_tWcvnr5Pz*Hl-)|%F9Tr#ejN_PoOE`IE55DNgMe+^)>85WGJ)Hwevgg%zcCBYo znU!G%#i^7B$}bQ;C3mtaqhyL@DP+|$k+-9;&&RQ0h;FMTr`V*>=79FSuhb~xV^F?b)$ck2 zxe8Ul;I*&BZK-;-?NEuwQVq$rI&`xw3$PSW1n3=d^;Ivm?H?lfYJ6=SLxjERBHNK6 z_r01V+xa24BK1bw!6A<#4bpaoJ(#e(#W9|&hI-NxnTtBQM#+}3)nPR%i43ETN>j$m z=xQh@ooHs0vkP#;mhtuc0zAP|#cAjUSJQ5erW;&MzjJnWqZ@S>Ih(rikdeXpsREIw ztL1F2z}(UPY@0reX{BWg)(sOEkZ%jphEWTsF@iG*7cuYUuH2Zm(D#ZAZgN|st32GmX$yB%EH?1kqP22Q zLugx=R&h>Po-?fpaYi&XC%p-^O7ufcb`$b%(Htwk3GFUgYZd1sHj6}7VLocQXrVPT zANgO=OlwL$I!d(4nlXSlA)0PY>%rdF&eiW}bHA@k(5u?q613~|+uA%5bU3}P1lYaP z^t(%l7j(h;+7j1w+C};uC8TvarXF}IKWk^{_m#MR)=iMgOWbhU)zbPB51fu9RS&t; zXtSivL&O>oW2hc-P17!wHVu)|biUHMA;L9nk+fyV{hBUGsvL3))^3zG4tWIYkWww% zJI6CHqdzgDD4;|ZCCr)e(dmy zWUMz$wwDDOzWeuah;re^<@BD2EsE#%iR~#Zs0hms?HMDaN3`#akh0$sb4xMap6KL5 z@`F}q3n(WXXH>~o&F@kDZFhA_3b0;6`&sXrD{<)EqqrJ}o(JtbXn%+HcW5U=I~m%G z&|ZXgead@9_9#-{D=zteGWOP2+Nz-a9`F*t0_*_H27HA%zL@1$1(*)_5l{%&07!+q zC=YBD?xNnLKTun$S0a;Z0Gn1K(^D_Vzw<9N4%bK9UIKOigs^1^Y#G}M5mng6xPxth zZ5uuQK;43^-@}#_u;mjh{r}vb@4)@}54bbbIy^5oA5*IM`sY>l`^@Wv$i5allMV5mEJ`D z8N#XFP1I!79iVSaYe6iuoYJ?%6K(*DBeoo>7Y+-8h9|d0-javgBiq7nDHhuk+oGf8 zo_20qSTxj@`{}oL6Bp|u^jo@JUul=XJ()JZs#4(W%d9|3zuBCu?{Ko>DBmE0gDVMM zs(fK*miR9PmlLTZ=&kae-M1v*t?H~DSrX!_{Krli;`pkz*a<^{)N|hz=mPaRvFiox z0{w0=>4J`~uN4#4Y4i0v#O~{Kar&)dx6j&%g5+HK2bRT%$n_@DgMd(!N@N~1l5;(Y zjD<#CE|bW5Zgk1@t)nxHp}CYg=1)d;?wC5zZ;a1n)UoawF}XgO^zrm7ZfmGJdR$7R#&*zXnd?#KDkY`8Uwa85c{agiNv+;1@X9?AlBH#keun z#~Y*qf0YG0({7?3Yk#v%j>T8TyiocYcG-K}Yg!tg? z%BOZ8$7u;}BVjtD#br8U#B&!2(eDa(M#ar63kM{`&2+3UIcm!UlmZF>m4Fn043G!d zYRxx22mA!M3pfMVxBrOpa>@*BRqY|ylYp;qfe|k_aSWU^05A>^0hkO30Zasp&LzVI zIRG9Y6c7&x1jGR%0f_)EU@QPWpd%sf?&^kLhbGJ<&-8{c&U|vAH-vGvat=8OW@@^i zw47+B{0x~7r>4~U4=0neD{*$+Z2@W+D-P|&Xw0)Y9fKZ?YBc0Ncm~oQE1yAPamolH zujV2;Zxf)_3w5U&P~(MqM4X6eb^C|_-U>O2$ zx%GYj`ygq#^E#=1#v9am^V0fxm8kdXY{4T3JD_T1MIEQAV!56VkXA_aIe_+V7p?j~ z`ZlK*o%RhFSN%i%_bMChL7_p0m94(o5`CQ-c|$AFx2VxKbeVc(A93#b^c8aL#zwDv z>L>ofTyj1|#Gje#oliR`Se5HLK>kTEJ=dov=n=@&{FpOu0J}=KA!l|R=5OKboZ>pX zyBTB6$;50n2U;bW*y(1XHUB31zvdKcK_of~bd~Z-F(=H2`YwU`!0z^Sf_WW~)U=-e zFLY}GKetB;4!3&=UIJJRy|gvr1&D{jH0zY(WNQFm#scIe;VkRC1?UaJ)z;b15MP8@ zRw%PZUs;Dni+p+FXIRzM3{0wUBQKwhxO&ACdsXDmOQGWeuD-$^5a#mc($U{txq$ml zMBrsLVV;V@c>a-9yxb^=288@eA?8G2v2RXDkQRu}%Y5Z_+3662@KlB$M? z6SUFN87|RK<%*ssT+=@D1LAjKc6;Fm)MVkZcBt~dC@gLVjpg;iDu;%RNMfK(MX@e# zXexLpCOdYrU6Vk7f+dT0=G7sJH4W0sY%EFLZ(9iNTxN-fyKEKQWvk#WTLpL7 zj$Z4`@=lDABvb>w{K#aBJ zv(s!{5~#z`nZ|TrTEHW+LKYSe9ud}+5;V(l(bgy-eRWCoC}2jI7#*$!jCYD9GEn8{ z@%TzzVtfn|jD?jhU%^3H1*t~+B>1I}n|;X1en9my{>eLP+5>n9xRfI>ZRlVml7Ba* zcK9T^KJ`f?0l@4N-2rYYzeEp}Uylobz8+qD=fjKd{K+C*M>>T(vl4EyG5nRoL2CbA zRIFtqd73w(!}2R+?r2jhX|T z^YOSm^;6D4=a^RNW%+;|BM#Vz{lPNTameZ3N;}M7SMIrhd|6corW$w)a+bXNxVIo@ z3Dp-It$bsr_W6HBOtLJjpH_f8Z<$%2UVvU}*#|dSw`bs}MA;KUL%$+7nJ??xogQ?` zM95j9(P`)4COdiTeVKD%>H?JZVuK*;t?0#(Zoe@X?Scgfy4nW+XkXEyOBLQnF!rgf zlhlVBl>U1~>(mv#MiVn{LJg z^~~(xPo;P@QOo&1P*_crW%5q0Z)k{J^`47t<4~1aE~PDys=C1?v~e4iN4aC#f*Vz@ zxY#!TJmoGfqheYY`ivQoGmi)r2`)La>maQ$6n+69t&wd73EIu(c&nri(i$<={7gt| z41{7HT$Gs(#XQ&(<~ZxzO#F}V>oDskXs|_Ei*AAjo3}OhCU%cG(K_cQXt1HIGb1q% z&0K3?ByI}mv(4ynU#B^!KUabOK=}{9csP0}WINDKVA-5mN`(w`nI554mc=|_59mXW zmo_^QM4)@8fDBXKaqIze0&nhd{C7|rcYF|WO|x0rGl&UR|5s`lLYnbsB;NSf- z`NL#y%2wl6NMN3th)lQ4D9cPl|7=;|I9-9k!HY^ z^8hJ;7B%*odQjS`#@Fba(l#*yr>mAir0TQI43A-?b(;S@n_bZ4!vmXpf+kLXN$hc7 zOVpp~bD68n)SvGIwXjHiLm$#p-J;*yhkd1fpx@qyUaalW?+SG}s!q{2h7!ZoTzyL@ zkXFd_t)W=0?vB1K6z!_()ayg>?{)7vTib}sHQ_*!;o7NL%+a-x#%eq{m)aoba24M( zm(@!Bo9~+I7f5sG%la{akX>BaPwasTgLng04HX7MhA4ITeZ{Z+8+HS^0|{QhUG{3T zYz;#=U-ett-XY{$pdQ#hgk7qBWb^Cy8;QcnlP&zRnMBkMi?nPi5&6>MQZ}m&f^4}B zW#j{lyJ;x?*cJHOGfFEzDmcEJp*Ien) zNQkR-K@c$n(vfMVS&#$}xn1Ed3zEdlQk;SG&HWPhdyp`;xC3{bz61!aNs+YIro7=; z33q~ABnxPcIjcM`^JMTXf<){e=F_lzHY`5{%MB}ozKHVcg+jDO zxRP9K#w}CFbGE46WFRa-q<9D+UH)~+cB&&U5P4ga=g1F4Zxtwrd18dk+4qrF>XY6WT#>pcXBspxqJmUi3^`$4+C34-ZOlN5Ls66f4nUc zI;1g`J1+g7pvJET`d~~3L~GYNcD5n@(P(WmatUuJKbfL)v8Al>##ynqZiT%u*@IsK;PsoH! z$NYY5CbQ5a?02t&+$wgzR~>bO(WRe7q{n5PAh43N)qVz+w!}3;a(@o(hoES50pR!xF42E7d7+J+aRB`2R zclT4*Qm{p$GZ*D7J0GUjz|`Y(RPhMntxjb-8IM`2y9z_;0g9L{B2=+Agt_*a#)BFT zHw#YCqQ&&a`w%KYJs{wg{1-b&khJJ9z1^6N%qkEk8S9){fJ`^fEE5;#S}%9|!uKf~ zzDt+k3-nD9V{r$SOZY05PlWGS5sZILg#|NnZe=f4 zKK{}=AwJ-hJnyRnU3M?qQ?>#C5hLx|CYyT)(@cBKuk7#*B>SnVWoU8W1f^L<6LTl1 zT4iqH;IqnSGB2_JSyht^FAiF*9FVcZ0jpJAG7k_L!~bCx)fW-}NUhYCZgsCT-&eLH z|F-;K<&Tg&wd3>+@ot{F75b@?U-d`25xX{tNV{~?^qZXMF8U5ZL^-yLIa}aW?%u_y zQm%$Nk)jdz1odnE0VjSX^_%jRJ=rRHfGD&~w@!b6dT9C4n)(1a#ZqXU_5gj!vca03 zh}d9BwPq)xzF5BF@e`3~%M6}45gxo&@B)vMc~HP|)f~Zt0+s+_lc43eD4&-hL>~~X zYZeE36wvJ0;#2FSCDp zt~YMCnbJOI#O17dpK(?>y_EJdu#tGbAt$T$*jeqB;M2JqPLx)H`nH96Q?sBF0kQGy2Y zQQOae!+;W(nPqUgcwacRH-MS~z7*I3+p}QH~ z(Xea>EJ;pjBfaRT&cV)xgJZDv46MBkI0bkISOY`r3OiE2^X)9TCR-eveXgFRTG82#&Iar?T%(S=TfN0ShXwXK%2{0 zO$O(58?jS;lyj^NRHi&R7u&$!IhS*|&3(D%0_R*C{=FKTaW~V@xDvp7D2?7tr-VQcrYyW+nC!kWwu#M;s>?tA4V3wP#eiJ%W<_ zyLL`Ru{UaodA>stk4mB^LsCz+^hCVtJv z*0q#&*tB|h@}U1M`5t@ZV9+haLwn+2K(ss?DzT4rWB!30qNMUMBiRMWeVSvo73J=g z{$DZ0+RMO7w5+|j0FO5(1C>~eGi?Ol%a~|fGyj?V-PJy1a&-fmR0Gglw3Rg{+tl42 z8zH4(B+yrRz)pz|_EkN#6P$Arv6t1~+o~%_8yPXisl(Kf;3CBg`}p`M194%Ly3JOn z#@*5m*;>@dTe@bOQjLw)4%)zD_?294S~!gB=Gqmw(9bSZp;mJ zg#LK9>kUoJ9g!{%^w-1H&ki0C9SO~oXV~d&;DZe}EP7s&dsKT4QrtT;xD~RB{eD7`Ijl-X}K6N&?@s4z5P&biNT&ADR zpmqjp7Hq9<-4i&iaY5L>z00omo;|$bcJC?C)a_i&kEssBLE%*Iv z=lKV>AFNOI8+VE|e%k@}snd`A=x+F; z%|AH?j=T*!^UGIf;f8+?&-R}9_w9Fmj*k;n@!N9$sA}Oi^1gl%jJp=!$YgDn+J znP0zdO`VRpdgtMC)f3rRcEi^g+UjP4*Qey^k_^kMZ+}+b{q!wUayR2!()@E@u2%2a zGrTXj6vck(UFhvTy&=GJm%Xv1MBCDDrSC{fo$*XtbErGga~F3_T%6@O46Zfo4|@+2 z1r+z`Fd>2oE$pD{;8ejdQ31O&u&WU! ze}##r(Oo{U3nuLsV4@Qyp2Myi>2MuC_*A}dtyI{x2`05L`4dcr!(_gu=1`j#_CL!v zt_XUyYs2?q zaQC$9O$q(p$5~NETtBsxe!>{s?^(+H(a7v)mNGsneuNU5==&-k`^NSeD-jok>Fx7Y zqSgz)cWka8S4LcrU$O%^!-A!^CKN5$daYpjGS!#sQ&^S@&x#QE#wBr|*IYk?CTZsx z4uvdMUA?{|_I~B{0@c38N$NYr@A24l(ZsUM4;q7>?XpGpq(6jCGZJFQEr!~H_^?@N zlXH(R_MKS$SfZvLkl^zy{|qH)H*gNb6US<3{afif=eEmqrMayXmZ)!Tf$s*dTwdp( zK{&8n_(@%=^Ab$R4QPC5K*p!XZANsLpgVP04K&tP#b2WAGR2VJZ_8up1%cOun8Hl# zB=ZCDQQbk#;fe^ zxok_9wz56o&w%Bx#CS(*Kq0?8{erS+OCmBO{!Y}D+glRdGlGjhyIj(K&kyT&Yhqp! zjOh<~-(`pijo6EQE=3ybkFh>+)N$MwQHzwj6=BK7*Q^sE0Q3LQ%B`KAuqB>QL^*6MXn?#G zerLXFEtheVby$Jr21uGq4;s1U36jWLh-v1X(j$Gu?LqTU?F9?45kgN%*e%qlpm`G< z7vjBo{BMCa?F!R8?&ONezpaC3lTWt7a-<|E8uKn_UaF(Fn_UTxArDKCEueu`*-~0= z4B@hz`31Nn+IiE<>r8s3|9g3isL1hCH>xt~B<9$*6Ld+yd#Ha!NJ37cUT-@QJ;-qd zUl4CF@FZ@xh|(VPTc_fLfjt;}68XERyglHzJk=gU;9$^6>_t&ndwSEB3z?nc>n_xF zlin~Env!x!l{-ownm*dswHLRl{LJn)c^hJ5=2^WBB-==u8#a(^+Y&f=rej+-VWg#W zo+&qXlmt;;EF513I++_8cTI$HFK5)JV=nPKOO>Xu++#CanmXL;1p~Mv22wl(u*Zm| z)I+V+VI9s!EXT*sh{No(?BVE2ptS5Fe^&|d7I65M8VK?8;;=8(>y76PWBQ`sVqa=r z*ed%`1)RA`H&H}cLy3Dd^G80ZpIN|ti=HH!Ty~_yW4Y#E(?SEfFUl9OL$`&qbqMob zdkcuUIo)|Hk)MG4IiyWZPz*|NbpluUuh{b{_n|x%RC838@lPyGGRsk-=Ir@%f_qWUyeA=*UN%#xp zdh;>;&Sv~u#$SRJ9f&Tnn_yapOBYoqSki&%qD+GrDDeS=GX7t#m47Wu^r{Mhw&cCjLl?a}y0%m#wkiNj-t zfFL#-VqPA@K^1c=vFo6ocbsheP|!X3BU#i?z&%B;Y)ZwfQp6W?nxo}^JT11h9ath5 zteeb>9eP&9vXFSAWm&uvUMtRvgVj;Y}SO2I~$;weh$^+G1l|xpyY(vT}?a(;T)D7=fqq=CzK~d6?X|;8x-SqJJuVf2h_FswL*qMQwCO z(2@Mcz^RAo*WPMbyZ7Zza!TK~-zMGu^y|O#?iIaTU3mL$@9Se1|33NszSFmVbp!=I zXsWNTfBUJrdeY1?3V)rkAO&Ykyb>k>R%gmLRo|2s6V9QSluiVbwiF%dzW^H< z^nfHu>%&R1y3y^*dLM_EKVBN-A0lP=v!vtwCraJ@J)}|oF;br=S;loKNk&}CMq^qE z(iof~FfK~*H!@S^8)v0Zj1y9FjjK~)jii)vW7d^Id0{nG{;;}HKBYQOeyRG7d_(nE z`IqWWIlB5H5>I%JsC9XctR*lI=`J4;Td3ivEtGKN7Fswui~JVb5}1!1$!c?r7q#Ky zr?-*fQ@cGJ`29FrP4h(+_$Ly( zGuk|yyRC?_&5pjmOx3X!^m?_GTt?eZ;lw^E=TlR@TbDBxlP0AP#yz!^XU_LS9$>61mgm1ac?gN8~x` zePk#3K6+`uO2mSo9+&PtR!aS5E1?YkB#6KI%75hE)wkp=)w7Z3T?&!s2@er#T}~n2 zQ;YBqrVf$%(ua_J*%jyk;LDZRJ>4iP;NSc&f5=lIcaZ*?{?4YTaW>UYX> z6Rz~xPHc8;aNJ8{GkVTYHo82z?{Yu|<6BMsv+7LEHZma@TPtRJd2{C%l&PzSO@C%K zlONow)UB1nAru?YKebJ#>z{KxY|TSuzGb!pzZ*4|K+l zcD$goYP91A9e3yqvY|75wBwWL*FJN-@+JDpBiko=drUEbV5)(r7F!y*zq~=c7x5U}=FnEUg+n#S&QRzkhshQ@x90;*((G_!Rp+Q$Rkk z5kaLiqLy+4(SgAWkcr0G9ls;axXeMGA)G_|1};Dk_&-3lP3t4IrS~B#)b%t6&!44F z@}Hqqr6Mg|E%&ZQRfA4Y`u{S6a+ZC9J?&4n@&~wT9>AK+PxV zqvl8Jedhb>r_4{%qvs=SqAMx<^ed<2S=A!>4i_CVhPEA%K--SGK;Dil@{h##cveYD zYG1ZGucGwxM&=_Ob4UNIgFn_OU)vEwlqw0LN5IAmjj39W_@GUmgjo0UK5xXbYomWC zN%$V0(Q!?3B;t`K*$?q(GyM5XtwDdb?DIgpqx7PCvYoyT9MNUp@R``HB;7 z{~S!<8g%vW`72NFXT;6J1PbylOMeRlZi?6Nd_6^%r46*+dp?r@ZXD?rdw&`NHwXIm zK6A&;@`Q+J^Ue#Cc;-b&yinn29;iq(uQim#tFe#8uK_jXsh2e6OFI<9=c*nf=&BmS z>#7+eFsdBGKdKfZG^+B2XH?_Mp3y^J_(s*g2#%_L;T_fdBA}!Eg0_LfD7LLd1vniSQ)P z6OsJs5MAs5klNLN)LsXq_J4rXekUUvdnUskYn;)Kt>kqQUgC9{k4w%70cyZJPy?Vq z4Y&=|fLS1AH_RQ};cb`)4i~_y^a1NX{I8{^d0xM;zQ+HW4jldzr2iBQ$O8|%SJ#27 zEt#Ef;$4d1K?*#`1Bag$e?BT))crHZw)%;d4tmO)FC4;Swy%Rv3fjSYOR|IimQ)hY zt$j&+x1dS9UXn@tUQ*wAy!L(P^MZcoeIxmu|BaMU(z8L4a-JpOm+;_itaOGLV2Qu* zLmoMic_7zAdCEngK(0>{3_aw^_s;RI*wCrFd|g%FM3og#S|1>N#z3x5w1M=A*_`fk zwbAR-u|fC6*eLZW+MMePcvgkInsE*LD`N{Qkimz|$;iS6@#YBM;K>oW!Fxj3h3ABb z3-1fz5uO(!BS5N0@SKuNT-;Qs}S~QsS`tTOOj# zTj4HTvxrBrL5H`UoqC_S&8I68JU<3gc!*M~yfslzg)*Ynlz1M|Q+T?7U6f5B@YIAq zZ~K(u(+ENF!fn$-zTay9INJ4X?`+nl@0@~Ob7?lRdRO=B$Eu~rgT{64ksbSXQa$9{ zqo%;+UlOvAa{|MGW~SI=n@`J=?cespq@OevF1v?JO3ZDN-eO<@)*dUHn81L^XD9UQ z&R)7UZBuc`?WUtMf1Q$-!o&PC_FAekH(Q)f)*bK?eu?|Xu|?Ilc+^=?P4|}6$?Ix% zjdNJNL!XO}4xRkx@FmHq8($ncR1}KahfamcwFs9NjXKt=df&_+LWUX}Ny*{GPUI?Q z7iT)B*ByK#v{blZLpv0B^R#nHo%ox*k(tK!70QJ-1)VMHOue8FbI&+xDTfu`ayG1! zye09nFx06OW$aq>f!zboj1fQA`PtXHakD%S2s1BRqJ@YBpwz{I6OL3QoPsMw@%GV>~TtmrS>(<)BI*+b_-HCtq+^2jF;DkC>f3|$K~HG-9A zxjGE0+%GO3x)^%cXpdZhn8T*(_Dw72xH_RX;!9bHcGIe{HxbUFb#ia^L}HC?IEUJc zE1XU0jJ$Y{+_>X#{ZP)$YtCpji(4`;3qoz)s2nM-b5{9B>zt$h|C>pE5xt`R&+$uw z$MO|VKvYtStA-Gvsx7j<`8xKpht`Xyh7v>f8ts$2QQ+vP(qBv%k_?0js}p@A5?R#dSpPm@+mdkfedNCyy=j()0`C=qClfBRyFPH21+R= zEyUBbZNWe9@G^}-oiDimybW4c4_LjC`U?13_l>}!XP8@WF_#0H3R6?f0?G=u|0s1e zDn0p{v;2VCbX8_DsIDu`a)0mk@gz%uUW!agdWvv*TsyGP<~N_e#iRyI<_DTR!?53S zRlBSt6H;-#X`kL=oC3!3u0Hty_xYRI}ir4*kdF4{hN{iTfT-^C;{;Jlt1nxjgpcoFN7`Zb@>w2 zc@^Q%=Z0&3~;hWYt8??XIN-nbs)ONfjC^S>OGOW^1H);Q+U2dc_+qS%Ra1hnI(hAsB4dF z2EeFB+SuWzA>i|z=7GyAyAJ9B;UR=emKtWf6tr4Tbv7oEqkYSN^fApIjl!+NzKIim zfShF6(w~`tt#U6}vmn4If)RhBzr1}IYndgE$3V5$QC;xhFjL`@Uqj$?K~z5fe;SIw zQ;ek6QRmJFz!bEi*>lKFs!=tTq>2c?;Zgn^M9?AZvNZvWD+v8P3J#Yg7*#KKREn2O zHTqvRX9bc+Z-O)YMN(@$2lP0sPpTK3E7hr<7U0xsPaO4Nm%jEWXVWl6A#D2aHCQ7a zS#D_;mJ3eUl&a2}!tR8{c7ekIdJi*p2@v1pFJaEICy(ZV;uQh$Rp4{}J}IYZU|ey` z>2K*zX0cWc7_?BS-69?TtV)f4@-l9 z;v)%0w|Ae*mYPrPI;S!in7xHIkMf{Ie+~Pv*Bn*?p6iYXj{<%FTBM{r9DM#)^S~15 zRJ9o~(B{wB;n9iMa`BSKHU9c=Rxh&L7eKS4_Ph3*d&>n7$K%5~@%aDnPlEVQ5RBFn zXDGSD0W6A-;_@tcbPIG(z&@?kXW(-gRKDnclN$t{K)Q2hnBkd)3N+@AXbZN|Yf!7z z9E`X|BjTtO2%=HkvOUWijBmoqdRsZsN*f*f|IIe!b#|naH1=`+h_^4 ztV|vw^+vc%ZgVN`JBAc&_^U2V=-SYU!MmelAH>kBV&`Z~+Cm0PA`Ly&e!Og32$$ z_@C>Sa#=oF8c)8QknaOzurj10a_%f>Mi^H8Pi*H^J!(PoKs0UTbidTd($Uh(-E@a{u@+I~Ch`NRdh*BMue-cEA zkSe_kWRqROON@V7zZ7ZlC;~%fJ|2(;R)7mxOULj5XvL#GDQ{3RNN7iEpGVH6ZWfp* zE^h|}R{%kv&XO#>%K`<7qw>u_N0JZe7*?URBan0an+5HP%h>_Jo4bsF#^)fTE-Jqh z2rCz|x{l#}w00;m7?wIn!*dVm8G3iY=cJbRx{Stv<~BAD_=8wkGNpG&&fkbpTDXiUsu9m6M}F}ZzG z37~3_(16yCLe9-^78Jskp#i~-phQ#I(z`RD#1K^eRUo2w2|k@NM|IXtrViHQxkvQY zUhgsjkj6kpZ&dy-5TmI~Dbl7>#!P1|GIekP&y~}oo(GMo6m`)F1&w*!JkSTuI!LIU z`D&!I=9@ZLisv5Fqeg&?{(D_?fDENaeb78G2QmVLr!Ji(20Ck3QwLdiu7DoZVV4mQ z0Ms)*YJ2m5*DfPKpv;_-FMW%srdEZlJX0^-ooWkIei#U?16q2e6SO|54+xwNuUz#W z;@I22sXYVgr4nFFIHB@oKx31nORp4xjQBn&ZBEA0vI2Sy=78+2GtSbAfpc|pSdd$@CuM2b_qY0I$8k6#<90-YR_|Z z7EmPuf-j@;O?D;jFTK(WO8nX)HJ9qOSo$WU3~2@=4cl3+_jiHG@z_t|+26-0tH)Rp=8N_~5M=jg zifuNdN#_r=^`c=Pkk)fGTp;PijqvuxPCY{>#EoPEIcCReGmpJ+)gK~>9&y6VSmvsy zk;lx3sE`FI1z_=ZU~V#4jxGI`J3Ds>dS6L2f7EY}4`B zvw)B$f2U)tzZEwgUc^jPU((zXa&!lbUk$pC{n4}l%=z!h!ymUvK(+t%$K=$Non*(( zvnFR2oKgo3@faQL^%yYQ4hokT9q>9UvHuEq6ra4i}7HP+Uc7 zwir>s^ndgm(RH^Gn9mu0dLA74)T6v(ccf@ZWW&rO1+Dc~t9dm#Jf|e`AXtx1+J(Wv z0#UAZX5j>w$!}wa6L;IhlSEfdc2YILsCV(`X$*PXx4dk(tvpK{9RVfQ?=4vZ);J>k zkw>}S{|Hx4bTSRjgy&k#yVBv6C09+rB6&1tI1$L{+DE;Ku4bSLfbbNoN=tqn4uEklMD8t-ivSthJ<4~xM6#^b(cSJ5=q{@PP~s)N4P#yJsp!x!K^)jF zc6*e&150U`ykBd*97NBx3$p^ted{^ms3aRf_b7MUEU1JnBM=KWfN&d*k=0rUQoL{Z zE1=`s?G$~1P7ySxGH3W2WricK)#_Fq|0IO{a##!~apch?g3&BE-&1;L!gqVc6=3A9 zBLaz|!feEtM|t38!4uf>ImE&fP{kJj^cyhb(7;F_f=i}!fo>7h$p%^(My!OKR;zn; zd~b;5&~U+SUzY~7hhTR(rGF;80A$<-7! zif2Fd&qIHGbC%0-mK=~<=*hO`ta4yoQqM`^F{S9}2y<3%;&K>0xr7e403qm$(&2RU z`f=7sAN4gewh++JiSAx_eOD`qybi34B5uV+@;WemA>A5^%Y5wbcRwQIPCf90Hf%PT z94W#88;Ch7Z8$wGtrj$-QP-Sxg0>RhPt_PNGI<>0_A(?;u@QfT{4ysb5a@%IqAz_! zmT@`;b3@!79E^^lSCrCeK%!bhdW+I&??50Eebh!qteY4;xiyWvq!=xzv>XSTQgIpx zThNqxC>~RSPU*Wy?p&l-{7nsCpf>J$s{j}YdduYgMRGJ|=5}sK_gQO}4&Fq&2onh6 z4Bv;xbb~N`u_5f5nF@J4H)$K$dW`kuIID11hnqRe4b%}1=x9Yphnuq$H>nGW`b$aMh3Bz0k)iUw-dan{p5>Q`W2T4d66k!*pP8P5%Q z)pRhLm+qHGr)dL*RxUIe{cHzn`BweoXwja?9>Dwm-=$BdiJ1@3BQKbbEQz+64DH(QAn zJ0>rv+vKlp&bqF+;S6SW8LVv)r=v92R(pvxjBnp7WGUN-H;)KIG3IF$X0ITn;*?Tb z1)A~|sUT!srTh{5HTCs}ST7H*mFKtK_fenz{1OVJbz;6G?yQi`>3tt}7~U?@KbiI0 z$HkeLssB5$vu&TwUytfvNo~O&_@DbZDfit?Z|3VxkiS>(w=$&zTdQYp+P-YkKfT4z z999Q@BE0dZL{ens+~zDS-BR**0^{es{L{K>D%ev^{WZM1Rfx8g~v0 zY^ETaKA_Xwa%}aNJIchettkGL7OV^Ai{L8)+e*>wbIe$M1;JEAXGbD=ES}K|lqsRu zBVx&JofuQG&8O(t6=cwd8WA(xBUQ+>-vQ%d^m6clHVQiSGt%NBqY?-up;%3^3S?(@ z@PUmJ>}jy+vAqrKjUdyW2aF%0Qus7kaIMs_D%~BWFL=M1rtaRK=28(J5?$h#>a#7`122c032f;eNol8@-G^u))us zo~5P@*&f}67X*Bun$7ArSahOcYC>QGwnu?P)WedHKDT@n$V5$C{*uYfQ5sjge+|M~ zsfM?vy`Jwj0g=Jx8n*cUI?>5B7TbJ)-X5l=y|Rr1Cu>6+#URrtWhe%U##Nwi>I!uO zZ&u4ZSB*38jm6-nI{$n?rvFoO4D{!=?E&Kk zbjpaq;sN&N?GPB1YvloC;shF5$5OI#pq8lu#vEftH zKYg~VVj#K2Ai|3M9gku>q+u#Ts-D}f12qf+cRSFQfliq;SafB>N2sqq)(C*Et5O&9 zbMEAth{1h2Unh`7%_Ba706&Xl%l;j^Etah}LFt9FUMRMKjdV@#-fY?N*i|{fz*_$@ zIO~&Q+ZB-Pl4HC2CU#XpFp%J%oCyZXcG zFRa@BfNYf~0olx=LmavXcm6?<_Rm-S4`z=)ov?N!ReSBkcmErolbmuN-%B*}Aso>2 z8St={dA_~MDK~6vvStSrx~?ZZ7JRg$v{Cq%r0JzvxL!d!m4o|i7F+&#C;E{6Y%mw* zX}!o$37r0Ln67;yyP=Dfs~4o*ARMuaMI+$M_!?VEpANN+a8;DQL5xp~5CDeD-w>hXwI9i1beS6~c|sQ%rvO;SN>D$! zXm0_6^&A81;8tf(x*Ivdz`919CA&ef8iRzBc@?fW#L@9m!pXY~4zf~Dcv}vqp&7Lo z=GX7)pQ~}fE;=|iSJ_w{vRy)ah>6ez&C-VYDMTL?AVgk_@T;w#Bw$9{!Xo@M+VoiA zB6deVFbW)Ak?ohu0Zj$2i*d+GUEx<*_N`JTPau@IdR_ZgBZ7Fdsgq;{KW;%S3JsfP z0Gbv;?G#Y4jmi^3xP?QHsv)s40Oz^^!L8ZUU6NB|&!1P}gEKV&fbzO7t|BY-gAHA;~4_OHX&_^2*s;C{Vl79Pf zEY+w~*z^lPJuAfSNpS3AM zkJc6-yo!$yt?if~SiS<>EUMAApM?;y^Z&?TImD9eQz`-VEZ2yGWQr`dqo1&nKW&Tq z4*F@OoY70|aFm?hhf7k4+7Fu^1Jox4+x-rSr6{*)LslFozQPkT0QD<_SjfOM+%`f& zar!o~8?&ekSU-JR1Xj?_&0<)Yx9NNSUSb>qG3i4$C0M4ycE9HtybiEBdt3#e9+(jW za;!8KGDxt`gP*}c%mDTFcNzPTGit)HTzec8QwM=X0xrQFCERcB@Rfw`#o;wLNEmz? zz=RLCqo0qxp`54)fw`ZB!C9^rIj8q39P@=0^-m*g7p2Lk)j5eU_(Kn*#b1${9zHGlzr&GnhFJfn@sz5NVF< zqA__z8msr5<5jCSP8bJVy6B~mD9B0@u`3I~2zdG|G0Fi$69u4f(dZ`v1QL~&OI%J| zi~(Fi%N420Znw;0aFJ}A2{(9RBj7ytGwkdB>2tP=;bin7jwuX23&2F9?Dn6JtyWI7 zKwOr@ltBVPn3oL*H<~+wBxwqylsuX{P7j2ca+jfwv``ji7cu!AEbHvcK$!fli@ivT z!@`yYOjQTVdiyePEvdRLULwP!uu61}NbS8h1Z`l|EsAI)s6wg@|~eLjmZW4;0LTwP+gfnp(0nq0Kz9>S0KMgCf=Hw1qW0KZ0} zHtY0WyMyx#O^4Ka`%Vz5rt4x7xk?IaMJMRzZJxq?1y`f~T}BnsLRUDo(0*2uTLoc< z0X~^EAUvTaIZAFS;nI{jnlKt2@Lt+^yC1m1@Mxr(AwTPYN zHG=$evo_8{dkJ9ed7PrzO9ZbxRW_D_a8(|4LM*|x*L|1Kk9?vmT$p8lU&@3Au?z-? z_l*cn)Fe;IO@2tB?F16OaTjnv;d8*F)9SVnF^cjy;#%UO2;cyDoQP$9`$!h!r@1k& zCzQkR1{`3_3r&`f_Sr+Q{>X4}aI3W+1DC#o>!L3?PzI~*}Oh+&8GS-n# zG=#SWaP`WZCiq4cpvmny_T0cU#x_Drv7&+)iCI(!G*wiHbQiQMWHA!WjV(Qoav0j6 zCQE3tOthH*q5NV*cx^b7FbQVZaE%Q(3oT;T`jPR);nhR?I8gl;*F`rnTNb;}M|hlH z;e>l{1gL*p&X_?yQ5ELCAf!2nGYFS~;lzW`PwiHnw?_A-&~qV|+5 z#+G>-kEiGoLkQ5`#sl4yjQ$}&$cc~GS1UVCC<3+b)7UU%DGA%%m}f{i=svKo2DMAM zE_#zKrGdgl2+Q+3jk5xc54+3wj7(7z)-AHvmTbQTNk!G`_Qp7${81(z6ud%JZZm>V z>?We%8zq2KD1F$T!D*;%1V+&>o7kSXr~tt7%N9w!(cX~7m^N>NcrGt7E`zWj&`qW2 zv7X3)4{@_X$+X3ak+VTn-+8siGQHk9)pgBU1%DFokRS4se>84|`V{uFZ3|c8^D!aF zD&&*6hy2SsgI^m=5byaOpNvE4MkIm&UXJXh{j5OJ*?l8&i>K( zK0c^rUvc}1**Sp|e~+P8n?5ghivP29n1H`7bRt2ZcYWpK^{j(wYDKHnWQFY>t1oV8 zwVKP}7{4>*D_8GUtNH&Ghqpj$i@g7wcB`uvHEDshc=nvV)2(pwAg#gaTFU5smFPRa z@>xB4^v*>Nowv8C(_p}7A`6n1ogL?$s3=wP*lp7{)j6pWLK;tdcFOp9EIcc2-1AZ; zUMPrq;BDcdE;r4-i&oCob!Ghdc$Jsm{v7bLsA>XNjtwvCCliJmPX89sJ|dtm zZTr*olV;~bn{P5MSoWE$nKK4rz}=Pi^>&Biyn_{Q3BId0*m|ugf_RhlLp~?WJy(U( zR);((bf?zn!Cknn9O4cCgD%%2gwwy}wB<6qyz1|GHK@~1Io8}MJrXt%*?V67wo}*E zSGWM8<%;khHRmla5ByW@ z1lLaGL>IRPdRtKjI%kQ6MU$4q?!H#7nL*Jk2^JA>)%sL{L?_qFDvA5uF7&lkXMDZB zgvNetTpGRmeLus}fPI_MZBivL&dW!WsQ)cRtFCVihI`ojpl|TlNxVrkb^R>E$TqaZ zGVD#NVB@wQ*NZPe$o~i(OcA@Ciai=oRSMM>==-etR{-*ewACpzSz2&dcuGd$;pz3~ zr}otp+&Xff8F{tY%lKO3U46uL-mn647#aRbLi={-oH}b8L%`5TBa)-D+*GGH5yfM@EVYcD(3v^_r1?yM>+&E@X=s4j?5-1>g3dfcZMowHTvAPG6L-)dF<-;f8#uSmTwwG>$;j_*DHfY0OW z@SPM+TNd)6@SWdApEBVTNrV>fCxYwMy3^VPCBrsXb-eEp$FHA%u-D_>@SO{swp!#v zd8^fo>!=5`5BIx1{}lKv@JoH$D_z=0aol9jhPG?3#)g7h*|S79-fh|ORpIS(!2t}oH};41Z&BCwO= zVTOV3HYq5$5uw{85Hil~|Ga(vD%qH)$!+db`W394zkho)kNe^D^C@KF*(s9b{zGm&>HC0> zEz%PIQK|Exn^33yYQmpIIo5`I(c7D+9c#h|qhxEt&(hne(}?E-?1p-!Kx**tF|u^#gX$FvrBq>tFn<22#Z`=f+1x?ixGaHQZ$@JqRsptEh zf|)&!GRyRnCxzX;;O$NRqwX;h+^(1qlunPjoav`TlDYePT8w15C+Y+lp%N8_d8bIS z6o`@`Bh;fzFz@(C-Fu=iWR`N28)i!gks>~rsHU8Y&J8EuiX$)Y4D?67x7zkq8_SNvskoxyTT_%4&-+0l74B1b#q)y+6T*+nb<7+oV z{FZEQg8vaEsS0prkthzh2q%#VUL8FJxkbQ~EEC8{>VZxQcL#2+N%_Y?0^;km>RW_%F&v?Ou98GerlomiVi zRvq@x48}-#YLe#DkX33P4d*dh2|>R@FfBG!xy+@}R9FV{2prfI@;#kUm>wH;0aMq3{RrqZGjCr*J|~Rt8VVkH?(+DdB^vQr239~8+OZX$?5ro z&d$W2tuhtYUVi=~Dd6^zNoM3YwPoL7v(RBT%(NIeMsQfS{E~l_DOB4(^O95jyR!|i z`i7Hg-rYX(gBjUMZGj$^2pzV^Oe>PV2o9^3|BI|L-LIXJDU}9OLWxlb&eT^H}0@2-o0>T(Z))v#FWAzZTW6$|X~Ra%JyEHdxcw+};k!ae{*K z+Es7Gy?w#4s7+%KZh-B!g+`(w3%}RSI+zRfv|eVY13lOV6iSnhrtcvHHFNfgQ5=Q0 zWH+0DE)IC3ktoN)yY%dsOFp{iz1#(z?}Bm zS&RsCW4LF?B7+GKjp0z5V06PCf?<4wPVL~|gy>go-VyJbi@!23mvsL*xV*@k%W?a? z>E>NlIQx_|tGIKR&i#3)TJ~M#&z}TMWeH0{kD0<~+o$f6&)!uQUZUJ~kD#;`Ayp3s zJT^sf3qJg-(MQQY8SRyN)eP7$2hHb~k312Gz92w&d2w;fVfzFQ4Q`pOj}m5@lTJ%k z@Zsv!qO@Q%Hn1D154F2|9^7A;K-}yn65)vrU@zZ~{n|GzU>lLFSdmFAPh3QU-E^i1 zJG=cx7Gu=hSk$w1iO~(3CJLoVM3?O$OvOh)Y8T!ReuLY1h{gsFOHb4;Vcz3l?Iwqj z4SELHbYR=HvplR7IqnlSu;jOZcA$nhH7A{wtk{oRP>o81B~Jjvg%G_|Ny#vw>zN?8gBKR~X`AGT+;aKXNIQYgR025^dd#-=l(l#PhaXN!on7D`m zFimHObZ55z{;(SLYxW?|b$frw_OsvC+`d>zZUyR6_^0$==4?Ij^NX+k5dB?m=+ifm z9@ES|_iyYzi50al|Iz$um)k)nq-VKr zuwQJ3JP-S-Hpz~w;)eBHsISsn7g;qZ{yK6ks1YL~M!|^tpa0gRN4DG-z(OOpZxAgv z!crpuw&?Fflu80|{2*%Op>mrhWaY$!6?}sVShMm1YlUk6klw}527cQ1!{y%B$KQ=H zZ5vm6UwguPlW(>#30oWk9P>g8^Wzpr7{~nF!Ytn69L6#Cw=nC?ho+v4oOF(+G?`CA+{9Mi0Y`ErXRjbnDUFduGljB(7%EzG4Yju?*lqlH<%#nHhr zC0dwMTO36kv#5pnc#Cr!$8>LD{@UX3;g~z2B+*~Y6A)5$80p3@raFWa6iRaW#Y~4G z0;vw9k)O;@5Ry_0Q)Y{E3da;~VV>RMMB$iuEliUwjvwx<`xFPBhUxB)Qk&ukreW^) zKPrpCKznIZD3g{LjA$>7iDLS9&u2gUG6pHIK#gR$l zKI)IMo8p+HacTV=zzYgn95)=(p@r$c#fil+2U?gGTbw`~Q>}%Wvc*ZoG3#2GLR%br z95b|q>9oZO!7%|(x&LG~Ku9mcNMk>lpCP2)Awq{wVb3Qu>NH$L zV0XtbZwD3r(_CTO!Fkc-n1p;tta(F4F^yOG%>8r7(@M22o zyw8XQIlP48__^ts1jI+VGR8!(SAHC2@+8JYwpV@zWrB+_f%M8xqD&fMOq6=%XHh0) zF($mdoA>*p&{I)%X5yE{9_#K%_@6vt#1r^Xal7MG;v##f{y>6hdd=mUj#xqxPeq~;dHggY7- y7#bMH8yFa&u;EscXp0`$bhn~R1+UUP1w$hRLo+KAODj_Y1tS9kOI|J}25kUW07eA> literal 0 HcmV?d00001 diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__OBJSTORE__/HierarchicalDesign/HDProject/HDProject b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__OBJSTORE__/HierarchicalDesign/HDProject/HDProject new file mode 100644 index 0000000000000000000000000000000000000000..61cf52f920d64109b3dff0f4812a335941aacaf2 GIT binary patch literal 321 zcmb742HW+|Bmr6FJ9}%QBhHEWonQ}+l{u4?bmBMx1)#;Gzob_-c*P*75rX3 zf=OavSm;Q&6YET5gVZaZG+xfZw5oFD1Fylui9$f@1Cj-Y8Vir$YYV2}#>ye?4x2qJ z(3G&1GS8>#Oqy(?j^qM1Gqsiy$W$5)+E4^4E%=uA8TPONA;BNZ-^;^C1O-+=drXl2AD)TA$Zu!+H0*x5iM*|Gm%5 z?ViseQL7qc`R-=t^_gepHa6t*^~P5lR!wzPs$7%4RR!Id!q_`nJo@DRK{>_E(z~3F zbm&+5t@f31mKICgC!$IR8sqB(`lvHDg~r8BxC@>3(=!#WsUx?#^vc)~g=`J9e>SUegIC!APYF0qr(VLV0 zB~{@b<{+TG3eL+BGp5A=dX1gH!n9Og({`cl1n&Msy(|lP@u4hK7&|}ROh2}$Ge-<= zUUjbM#S7YvxrpfyAD)X3XYnYRiWI%857DjiMp*<^Ihs}M)6{I|u5ebITBgj^MUmXW z6~w%G*?-+Xx!hs_xIQp;>`334DpXVp9RC?bt~gI96N}=*xoN2ze00eBpukCvl2tb+ zskaimq8_5TVkP2LmrmTA3g>RPZd&Enl74byDGQf^W;U3D=3HLXSBURDXs(@X( z04J%TgYP4!EBg)TB)C%G&IA#eieuV=EVp!3%|N3FNd4-z8GygTh#c60Q;Q6{eQz~6`|O>Xz)BYMUh~$D_t0Q znWtkj%n03?E`I#JlN^t_0Dy>5>+JU5+9MCj1H3he%=n2YMvsvK@mH=`Q?L)vpn@De zCh*i$yhZ@?j)b<{cuaF|f*N8G2u)64Tau*$7=1VkXtrJ(*tFeNrncv?9!t^%(9c zRBECx^c@=F-OKZn{>f2RGfV>KTva4gQGr@VG&dNuDnd2&L~K^{JfUIDM7EswmQj$@ zNXP<)A&3bbyb7L|k($Q>)Wc;SKaesO`=J>rAen~u5CI6H#b)$$sb6n#yrnr%UA-Q~ zG{|7)8=5M&$Wv#eeevJ6{kmR+14W?A9X=xAnMo1w>2 zrK>2)Sv7qNo*VOuyW6@Q{WvgKKGc2lNSZH>$|xPH)6z4zlr1&O_Dwj;GA280rOLtt zwy5MC{OM;||G2K|K{4qc2q!T>)(W0{81z~;L}_yTKWi1f`y{l-Yny3py-UZ+0&9S! zBg{>Jh^Yoshw04GD5gc>mnz((*-=ecWo12z3lmWBPTvY=1+JJ34aVWy__nZl!lb&D zc81!QHuUdHP0!XWK&DI2;2maD1qVmM6$+El%ZRG|!ywL!cV3m8^bdWD#>&gGncsk( zw4S!JDUgf+A)fH`&y9V74hb!&DkLA7Oz1fNRU7v?kk%>%88{1_}MC z;wx-WdQ_*exneG8E;u`T_-k%NM?0s#cd+o`zXh;mbY@8c=W|_Q0l9iO8nBu$t6-FC z@11|bBr#M&klIk^ir-7HhhTx>J%~A-xJBz1ujcg(Df}GMz65=MCgxzil*3{ cDbt^8+H{O#ifhl_qow?<8cyh-z5Hza1MrurlmGw# literal 0 HcmV?d00001 diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__OBJSTORE__/ProjectNavigator/dpm_project_main/dpm_project_main b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__OBJSTORE__/ProjectNavigator/dpm_project_main/dpm_project_main new file mode 100644 index 0000000000000000000000000000000000000000..336370f8091a49f5e89f82fedc83a9ed04bd24ac GIT binary patch literal 25 VcmZQzU}9nb;{Q;<00ev>0sw562oC@N literal 0 HcmV?d00001 diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__OBJSTORE__/ProjectNavigator/dpm_project_main/dpm_project_main_StrTbl b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__OBJSTORE__/ProjectNavigator/dpm_project_main/dpm_project_main_StrTbl new file mode 100644 index 0000000000000000000000000000000000000000..359501c5e254de720bb0edd97879dcdaa4c50d01 GIT binary patch literal 10 NcmZQz00RyN1^@se00{s9 literal 0 HcmV?d00001 diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Current-Module b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Current-Module new file mode 100644 index 0000000000000000000000000000000000000000..8947873d0af86aefd32bad2f1c40d57033091203 GIT binary patch literal 27 XcmZQzU}9nb;{Q;<00fL6f`JhLd|Lny_T*f!EcE^S z4;rsO(R_<6yz#*oKl}+GkRXByA(Sw}iNHpr(I}#cA(lAexgmi>>?Co^9rrwllX>Kc zXI{i9ypl>9={U$BlPt2yQJ%{idE`?-Aw?8ZLMdgG>mToYP@!8TRa9%Q5!X^jJqqoh|!AN`^M1{q?Q5%DNvjLScDonTTlC7NbNWM`H+ z=C#{cFuKT+e3=y`tE{ok2Ak%xWptYzcG+W}1G67;#Id?3oN^{V=YmW5m)^eO8h!zw CA~(YT literal 0 HcmV?d00001 diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-system_StrTbl b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__OBJSTORE__/xreport/Gc_RvReportViewer-Module-Data-system_StrTbl new file mode 100644 index 0000000000000000000000000000000000000000..02f66d942f60e7f5a4c4f780965baac5a8640c39 GIT binary patch literal 22889 zcmd^HTXWh-7S7}Tj4oa>n;L`3Z4#HADH~rx4aOAU9j9umR07&qYu&0PY?FVo|8`Gb z)l#cLzy!!_o*Z3{PJeyw=QOWgv48(~_1{;=zrO@Ndcq{}qVVK(vwrv*;cy&Hyl{H* z`gVAE@agsMUtS#(97iNQc=GUbq8_g`>mO_A%PVyJfZYiu@E1BhcT;=~@85fP^5yN} z;pcu=)Au?Wd!6?~fa~C+I8i_{_&$H^5(#d6MGbxS?I5PYh;3;F9^!3-BOy zgZRsB_!vgd;c>&bBY9|tUh28N_k9r?`a`gchFZt*D4NXKdgzj;B$~y@cSqPish#7* zn}+BHK%@5<0Kg*s8+lnuZHeDA1+-SHG;VV6#s+AY0~)9OH&*IWM0@H*vD>y z8_84H2wb>uNigmYZw8HX97K&?tM&f

yZ?Uijkt%Ofd%`QTi&T8%tg|K?lZBpmYk zLmK!sxpu`3g$5R#M&knyHVnZUD0>DrsY|9fExFNHOyM>KKEE@ZkostWSm`r1x;;$p zBNDjb7@Gu3Z`vqbx)j1GYDEA%0ie?aHcYIu^rnr{IZlBVo}fO{E{%+NhtoK2P%&fB zts`*bD1=>4hwe1t(&gNAJKui2IRC@BxxB2Q2X8XL;YlqeGbMyyhu59$OJ6M2BFPJ& zQWt@lRr`j-4HF*}aiS?;;Km@z(b!ERa#FiK?K$n6GiP{u*14#ma&V+B!h<~$#Tc{| z!$xge8-ers3yD*uiNFui84~hgSRp$Ow)K_?0mynvBHtNcD(pL7ykG|bJE$Epz2+8m zbrf>aaD8)r+qrPQwlDgp{npoS%Y=e^DMvFzdjJk+3U%-k_EC;C8#>o+9Ov`|v`^EM z)=hUX?4P!~!)mGmyCV%Fb!uCOq#mcwFG^7$W-zU_Fb>oT(1(Z7&>i{s?z&TdNqkVU zHIeF__MKk4dviN<&c4yVobK)Q*+qYu9QC{~nx#7d%YnVlTNciYvacIJ(dyi^{-`K3 zpofpio*>Yo%&ZAOxmC?vERXECP&%if>(9SqP_`|b)Vi)AG+y<_i1TEZ{Zdadj{V8K z2O5)GcdOSquMk>X+zl`K-P4YdYF;A zIGlPRgit>#DybJ(Q4t1}$E-qJcwEZTr1GTct~WpzghV7kZa6`Ix+Dav46%e3%c?vn zhPZ8tAwkN)$D-73d60UIlf<3kj+cNaLI8tXkv|JU8s&OE>>>4TT*aK1Aekl&@rgS7 zpW5{v|1c8dfIZ#%DgJzK^n=;sUnp?bVV_;bT;c^km^uE10&@fQ*=5X)Ak~Gu{73Gr z_(XxdGcTpNevv0dkIH$ryTPy!E%7LEWv>RL3wBT1sibK6N(z?p*}P2) zr<2jl^TEum$&@5e;7o>-Q2MOEn|wNFZdo}eha|0-GAP&PmK9oPh@cE2WXBa4EYG3; zV&+(`mSYYg7AR=akg5+EB(A_Hdro&+DH#_d)y-j~M2j2F6Y=ucO7|-UhcEFnq)0g| z7nUqn*Bkgif!kj6459xT%z_ayoL-t*`=-VlBtH zH6sK%Ct9$TZ(H#Ao+bb%H4)=0Yxt|Ukhetgs?vCQL1~%1kO{NALM4)~L|)17Pfk`h z-~2ZP)J}aL2|y}rz6l*9y%2{(%twRGbui0qri35^rJ$@8%K_}MmF21mHs}{h`=2sd zrA6{w&~LU|w8&qjebpWJ)?<0zv#Td_TDRqGO6koLRli_sGJAHzC`Qq}dP;V(`n;Ve z!+-O=>;pG3t-dE_UkzG>)~Dc}o%YxB4)oW8*n@ZRi={U*hI9rQCLr>M!ierMu9-Z$fEVnhAi6ao5J ziO_GrQ17|~pWiCI{^_V@oxa?-L8YK|%RutZQ(-~p$AQ&VG2 zJSbynuw_V@_oQ`E{=;-gtb|1Jvq5S<;SZYq@`@P*Q#P-b9|MrDU*Qmv2}U6QfQ^jO z0p|R&g^BBfaYXQfrC5Ax54(?$`v(!3qg&ehR3uC(G74%{N`7+7wk<{}t@Q~v+KZC( z0ETK<0HQ_|loho}6AT@}Y%PZ2Fu4f1d33;p-?DGJ+!sFoYV23SuP=bRG+HM!sLvyd33O5 zO*ttU%2xVpv$ZAfWSdlSQwgEDHHOh#)P>k>Nr1ISl*y!h3n>T|&E(AuVaYUrSkFUU zwt+l+z%WSfBlV!PuEq^cp#rwNdS0kc7wr<8Togm-MKV@1=9p#iU7Pw~cxXT|i4#pU9_EQRhTuI53}hTo z84OnFTV_jitKM@b+Yb(fJ&)Z<&QJg^%05^^VGx;;<8Z4ic;|MZJ`0&yfOuaR$XjvY z$1HviO=EpZvRGJlUwHp@yA58N<}=uhd04h?N3thxgN5aH-@=h@13LH=$5n>O%0_=TPF7II7d!h!9{%68!A z-m-YCwyXnZ=3)MacGEI=WWCu0+tM6Nqqy!1kr)HA>j#M0ILV&XQ ze)LRx{GbT(wzK^~R2LG4(I_OE-tD$sTjvM^P zv5v(NasI1d78kHeGL`*Gg7gh~7Rh^x`~RB>+1zcVW9+$K{95_+L(IQXI3EJx%7TMI zior_fbT{KqoUP3tvlpjp%Mbl1?jR5|4^kC>1Yk4n_$LbBL2PF~RHe9sj%9_D?3=MC zzER*08i!>^*-H*|T6=mzY6@|}LTq9=h(^|@XF3f9l%sUVpertV*Jq1MI&To8n>$*UNTVjgAyiv Pw|8hLgn@+s&A2n zJ05uAg*QI<;)g#01QLXeU_y+B5=J-?L=r_bF~kx_JP9O{gdGRTq>xG)>EaAB$s(H^ za>*l~0tzWoUQ7w4lu=Fvl~hqp4Ykza#6`XS(x7Q0O*AWM5x3GtI~{b=MK?Y4(nmi7 z3^JsRVQq{s${6EJFsWop$uu*fS>~8$fkp8W%dE&(HCb2GazddU^n+$gIQCo3gEZ!+!J@ zuT8SAk{yf@1a>!BIxL<#EEcJQ1OD@`gZ~|z{P`S(=n<1FNMdw~-W+w`zd<-&CaWM` zvj?~H%fnA^{``D!LU5W8diWUNr%ZJ@?HqMKb`ZS1$NmbF&j*PAoSgdPKnbxbWuSq^Dq>ERxk0V6)MzNwP^%=r3@1+BwHru#V9U_=LSPt8sbQgs1=%JzKY5uLMfaXYVMk%nJ!bdG)5Iq^j|@=rT=ewg8o0gmCL$?`CuI6}~peMVt0 z)F*39OJ4NaS-Pb`7<}jBMH-!Bw6sl={Rt*_35oo8iHn9yUp861^Z_bxc#y;yC4Lao z3}!=)zw~93#dA!-CSIW_j|9V9@r`Ngq{o84gbK=KY2<%@e)(>ya zCL~EQ5Ep~D%6Jb}y3Zt~n)A>HnVrI9>C=RqcCPyq4_$m{%fC1}wRjqoE5k=5Pu^YzX7 z?dZb$GQ61fr-Luw>fEhH;d&hiY4hXSPt%+mMp!aIE5+YU>C>}j1}J?vo9m*34op3m zNq_21hU1&txp(%B{pO8tug@-~KLGOpKJ+2m0W|TKiq!D zz^#%f?V5aHD{-(yqFD3d6f+vbY}q_Lig8z$9&gknBK#V$7BqXs z;(I5ycIjY;6uS}5>*u{S5P8aLL4*Yrw)kw_oKT0P$HF#N9cdEs< zqiL4+aO-pWPb#B^~9b;lLmtgAy5k~T?=g8dh2+!ABITw{t-lg{T8H(kP+?cIg1C%5xHyZ z)x~iGpXwTpwebb|nOXVIK3k7crWKd`V*Ro#Ni|k_JN2|m+1+dlswS)HYOT%mmeva7 z!eTC}Vud>PJV{YkyYs-++RJMY5)waK!kD#_3 zr2*^^dHG*tN9=!v4}Nu8!INr>vReNoz{DrZ`z_+Njx2!n-LP2Ms@68ck(-02`Hx}S zYy}Ofp|XbBZLrTbejIbURjs}L>XDWHSKME^3pZ@oD{ob6c$7TJYNu@#IvIvbTGd*e zsA9XOBs3R4hE=x}Z&2;i%K%DSMT4xDVbp8|Z8L&ggHn3P@&)o6A&RF!;;2N<_rWb?Z zYXAk9!-fO5Jffb|)!@n2gR z|IIO8;d@}f1h+V6i56t}him*}E91Wd^2v3R%L_jLy_NA#$LMMURc6iTGTg-p z{nX0%AIGRqu#c_?yY#@6CRYSwZe6$h(aJd7>OlO6(I-j|44ZlwuJNPy?W{wLx(5u} zX8udT`cXUU5Tt`7z6;hH!ZSKhM8XanMRKg>x?sIskRCylf^~MtTs$$Z!QL)Pk04Bq z(_FOJBArDVK~=zRhN&aaY5no765A4S?((iunB8)Z>bILnpX0{>sz$~T^OU&wPHB{0 zdy2x$YZk;lx7Xf*U)Y9G6sRB}s#+0^z|pI%GLpaBahbKxR|PYa(JLIo)_`NPn^hsy z(Zbhc!31SYzMCx*f@?hGEc+agGBLsa1MJwNgly3*J66+n95OXk8OtC4+ z=pmer^JGPz?P{IekyLQ)0L|_(+}}{2uLj1>!^NxW*;oD(7q@ag%IBGQUgeRN)hPw6 z%rWMz8Me>250BB$bGX(ciUw8JeTvnAiX^FG|1Afh$5;|)I7>EAQ`Pxk?x(kJ5rVW0 zu7rU5MJpA!2)%t~vhHig005P|_)PWywoT6~}>1rSLlE@hZ9& z2v!7Ftt~yUZ?qLh!pr9}YK<}2k1K`t9>e9u5Ec5*-50`wGkj51wYQsgSzQgOOb8Zh z*hNbRoQZn6YHoR;aW5o0%UW6P1ewFJ5}e&cPiK*3&bpzZ^n5x%D@|S*5W%9H?>)E?q{k$88cY3NPY%x?H0suo5q2Y2>HsT<0E3&ad^C!Jtm{};Ty->y%ktJcG0vhONIOzX>|E4+SL&+_ zuKCmFG=zK=GD0)(ZKzqsY^aJ2zcD<5_@F=r|);WDgll_3J&Rwv>tm zH?|WiYlge45RPx82m6o>EbmDIcg-`XO@;op;LCku{8g|n!v~KT8L6sNtXXFAT3#(v zqdgqUuH;v&@^0a3r?tK;$oGdV1r)G40t(wkSb(FVh`Qi+$qjcAuKmYk@!QL&Zx4dN z$k^!GBvxh44i-W7MBuA%du0k1xNfk>60tpLHuE}1a&jll|4eF8!jKT_MG|4heJP(6ZR!XS#Dup3N3X; zEsACxtKvSFA!u|hdi8Pr!S5!7EW zig|yZ^;aL_(6dZA^iELU)T~M}q$0x2uC|H@xAu10Um}~m#p)Wk?xZKbL@d7!Bfc8_ G`QZNuOk=SC literal 0 HcmV?d00001 diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/Autonym/regkeys b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/Autonym/regkeys new file mode 100644 index 000000000..e69de29bb diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/HierarchicalDesign/HDProject/regkeys b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/HierarchicalDesign/HDProject/regkeys new file mode 100644 index 000000000..eb2d1a187 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/HierarchicalDesign/HDProject/regkeys @@ -0,0 +1,24 @@ +CommandLine-Map + +s +CommandLine-Ngdbuild +ngdbuild -ise ../__xps/ise/system.ise -p xc5vfx70tff1136-1 -nt timestamp -bm system.bmm E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/implementation/system.ngc -uc system.ucf system.ngd +s +CommandLine-Par + +s +CommandLine-Xst + +s +Previous-NGD + +s +Previous-NGM + +s +Previous-Packed-NCD + +s +Previous-Routed-NCD + +s diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/HierarchicalDesign/regkeys b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/HierarchicalDesign/regkeys new file mode 100644 index 000000000..e69de29bb diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/ProjectNavigator/regkeys b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/ProjectNavigator/regkeys new file mode 100644 index 000000000..4bd7af120 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/ProjectNavigator/regkeys @@ -0,0 +1,3 @@ +ISE_VERSION_LAST_SAVED_WITH +11.1 +s diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/ProjectNavigator11/regkeys b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/ProjectNavigator11/regkeys new file mode 100644 index 000000000..f90e0607f --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/ProjectNavigator11/regkeys @@ -0,0 +1,6 @@ +ISE_VERSION_LAST_SAVED_WITH +11.1 +s +XISE_FILE +system.xise +s diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/XSLTProcess/regkeys b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/XSLTProcess/regkeys new file mode 100644 index 000000000..87cda855f --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/XSLTProcess/regkeys @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/XSLTProcess.xmsgs +s diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/_ProjRepoInternal_/regkeys b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/_ProjRepoInternal_/regkeys new file mode 100644 index 000000000..f1906afd8 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/_ProjRepoInternal_/regkeys @@ -0,0 +1,21 @@ +ISE_VERSION_CREATED_WITH +11.1 +s +ISE_VERSION_LAST_SAVED_WITH +11.1 +s +LastRepoDir +E:\my_projects\Wittenstein\release\svn\main\FreeRTOS\Demo\PCC440_Xilinx_Virtex5_GCC\__xps\ise\ +s +OBJSTORE_VERSION +1.3 +s +PROJECT_CREATION_TIMESTAMP +2009-06-11T19:26:19 +s +REGISTRY_VERSION +1.1 +s +REPOSITORY_VERSION +1.1 +s diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/bitgen/regkeys b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/bitgen/regkeys new file mode 100644 index 000000000..949818c61 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/bitgen/regkeys @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/bitgen.xmsgs +s diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/bitinit/regkeys b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/bitinit/regkeys new file mode 100644 index 000000000..dae1ec74d --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/bitinit/regkeys @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/bitinit.xmsgs +s diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/common/regkeys b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/common/regkeys new file mode 100644 index 000000000..fac2f6efe --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/common/regkeys @@ -0,0 +1,12 @@ +IncrementalMessagingEnabled +true +s +MessageCaptureEnabled +true +s +MessageFilterFile +filter.filter +s +MessageFilteringEnabled +true +s diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/cpldfit/regkeys b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/cpldfit/regkeys new file mode 100644 index 000000000..f3969b3ea --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/cpldfit/regkeys @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/cpldfit.xmsgs +s diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/dumpngdio/regkeys b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/dumpngdio/regkeys new file mode 100644 index 000000000..7e5b4bbcd --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/dumpngdio/regkeys @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/dumpngdio.xmsgs +s diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/fuse/regkeys b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/fuse/regkeys new file mode 100644 index 000000000..77fa32d32 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/fuse/regkeys @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/fuse.xmsgs +s diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/hprep6/regkeys b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/hprep6/regkeys new file mode 100644 index 000000000..e654ecd77 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/hprep6/regkeys @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/hprep6.xmsgs +s diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/idem/regkeys b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/idem/regkeys new file mode 100644 index 000000000..7b9c3214a --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/idem/regkeys @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/idem.xmsgs +s diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/libgen/regkeys b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/libgen/regkeys new file mode 100644 index 000000000..a2612daeb --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/libgen/regkeys @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/libgen.xmsgs +s diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/map/regkeys b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/map/regkeys new file mode 100644 index 000000000..447e64cb9 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/map/regkeys @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/map.xmsgs +s diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/netgen/regkeys b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/netgen/regkeys new file mode 100644 index 000000000..b15e57f97 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/netgen/regkeys @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/netgen.xmsgs +s diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/ngc2edif/regkeys b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/ngc2edif/regkeys new file mode 100644 index 000000000..2cb66e467 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/ngc2edif/regkeys @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/ngc2edif.xmsgs +s diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/ngcbuild/regkeys b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/ngcbuild/regkeys new file mode 100644 index 000000000..af67ad186 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/ngcbuild/regkeys @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/ngcbuild.xmsgs +s diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/ngdbuild/regkeys b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/ngdbuild/regkeys new file mode 100644 index 000000000..47ac460f4 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/ngdbuild/regkeys @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/ngdbuild.xmsgs +s diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/par/regkeys b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/par/regkeys new file mode 100644 index 000000000..17ae8fbf3 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/par/regkeys @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/par.xmsgs +s diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/platgen/regkeys b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/platgen/regkeys new file mode 100644 index 000000000..d4497d267 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/platgen/regkeys @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/platgen.xmsgs +s diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/runner/regkeys b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/runner/regkeys new file mode 100644 index 000000000..d5e13d0a7 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/runner/regkeys @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/runner.xmsgs +s diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/simgen/regkeys b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/simgen/regkeys new file mode 100644 index 000000000..e12ea3860 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/simgen/regkeys @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/simgen.xmsgs +s diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/taengine/regkeys b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/taengine/regkeys new file mode 100644 index 000000000..fc0b03832 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/taengine/regkeys @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/taengine.xmsgs +s diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/trce/regkeys b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/trce/regkeys new file mode 100644 index 000000000..cffe0cfaa --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/trce/regkeys @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/trce.xmsgs +s diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/tsim/regkeys b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/tsim/regkeys new file mode 100644 index 000000000..52a3e8bb0 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/tsim/regkeys @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/tsim.xmsgs +s diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/vhpcomp/regkeys b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/vhpcomp/regkeys new file mode 100644 index 000000000..2d5cd6a1f --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/vhpcomp/regkeys @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/vhpcomp.xmsgs +s diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/vlogcomp/regkeys b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/vlogcomp/regkeys new file mode 100644 index 000000000..9a5c2fab9 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/vlogcomp/regkeys @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/vlogcomp.xmsgs +s diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/xpwr/regkeys b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/xpwr/regkeys new file mode 100644 index 000000000..c3f895c39 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/xpwr/regkeys @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/xpwr.xmsgs +s diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/xreport/regkeys b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/xreport/regkeys new file mode 100644 index 000000000..e69de29bb diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/xst/regkeys b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/xst/regkeys new file mode 100644 index 000000000..5b1ae90bb --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/xst/regkeys @@ -0,0 +1,3 @@ +ClientMessageOutputFile +_xmsgs/xst.xmsgs +s diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/version b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/version new file mode 100644 index 000000000..eec4d2283 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/version @@ -0,0 +1,10 @@ +REPOSITORY_VERSION +1.1 +REGISTRY_VERSION +1.1 +OBJSTORE_VERSION +1.3 +ISE_VERSION_CREATED_WITH +11.1 +ISE_VERSION_LAST_SAVED_WITH +11.1 diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/xmsgprops.lst b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/xmsgprops.lst new file mode 100644 index 000000000..e69de29bb diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/libgen.opt b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/libgen.opt new file mode 100644 index 000000000..55880074d --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/libgen.opt @@ -0,0 +1 @@ + -p virtex5 -msg __xps/ise/xmsgprops.lst diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/platgen.opt b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/platgen.opt new file mode 100644 index 000000000..58d810347 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/platgen.opt @@ -0,0 +1,2 @@ + -p xc5vfx70tff1136-1 -lang vhdl -msg __xps/ise/xmsgprops.lst + diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/rtosdemo_compiler.opt b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/rtosdemo_compiler.opt new file mode 100644 index 000000000..f61f00059 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/rtosdemo_compiler.opt @@ -0,0 +1,20 @@ +ppc440_0 +RTOSDEMO_SOURCES = /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/main.c +RTOSDEMO_HEADERS = +RTOSDEMO_CC = powerpc-eabi-gcc +RTOSDEMO_CC_SIZE = powerpc-eabi-size +RTOSDEMO_CC_OPT = -O0 +RTOSDEMO_CFLAGS = -D GCC_PPC440 -mregnames +RTOSDEMO_CC_SEARCH = # -B +RTOSDEMO_LIBPATH = -L./ppc440_0/lib/ # -L +RTOSDEMO_INCLUDES = -I./ppc440_0/include/ -I../../Source/include -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop +RTOSDEMO_LFLAGS = # -l +RTOSDEMO_LINKER_SCRIPT = /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld +RTOSDEMO_CC_DEBUG_FLAG = -g +RTOSDEMO_CC_PROFILE_FLAG = # -pg +RTOSDEMO_CC_GLOBPTR_FLAG= # -msdata=eabi +RTOSDEMO_CC_INFERRED_FLAGS= -mcpu=440 +RTOSDEMO_CC_START_ADDR_FLAG= # # -Wl,-defsym -Wl,_START_ADDR= +RTOSDEMO_CC_STACK_SIZE_FLAG= # # -Wl,-defsym -Wl,_STACK_SIZE= +RTOSDEMO_CC_HEAP_SIZE_FLAG= # # -Wl,-defsym -Wl,_HEAP_SIZE= + $(RTOSDEMO_CC_INFERRED_FLAGS) \ diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/simgen.opt b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/simgen.opt new file mode 100644 index 000000000..953cc8cf8 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/simgen.opt @@ -0,0 +1 @@ + -p virtex5 -lang vhdl $(PPC440_0_BOOTLOOP) -msg __xps/ise/xmsgprops.lst -s mti -X C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/ diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/system.filters b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/system.filters new file mode 100644 index 000000000..327e64088 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/system.filters @@ -0,0 +1,121 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + \ No newline at end of file diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/system.gui b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/system.gui new file mode 100644 index 000000000..ca0d0805d --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/system.gui @@ -0,0 +1,101 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + \ No newline at end of file diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/system.xml b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/system.xml new file mode 100644 index 000000000..43aff9d0b --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/system.xml @@ -0,0 +1,4197 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + PowerPC 440 Virtex-5 + A wrapper to instantiate the PowerPC 440 Processor Block primitive + + + + + + Unique Processor ID + + + Reset Value for Endian Storage Byte Ordering + + + Reset Value for User Defined Storage Attributes: Tattribute[4:7] + + + Interrupt Mask for Crossbar-related Interrupts + + + Arbitration Priority for all CPU Fetch Requests + + + Arbitration Priority for all Speculative CPU Fetch Requests + + + Arbitration Priority for all CPU Fetch Requests Initiated by ICBT Instructions + + + Arbitration Priority for all CPU Cacheable Load Requests + + + Arbitration Priority for CPU Non-cacheable Load Requests + + + Arbitration Priority for all CPU Load Requests Initiated by DCBT Instructions + + + Arbitration Priority for an Urgent CPU Load Request + + + Arbitration Priority for CPU Write Requests Initiated by flush Instruction + + + Arbitration Priority for CPU Write Requests Initiated by store Instructions + + + Arbitration Priority for an Urgent CPU Write Request + + + + + + + + + + + + + + + Internal DCR Register Base Address + + + Internal DCR Register High Address + + + APU Controller Configuration Register Value + + + UDI Configuration Register 0 Value + + + UDI Configuration Register 1 Value + + + UDI Configuration Register 2 Value + + + UDI Configuration Register 3 Value + + + UDI Configuration Register 4 Value + + + UDI Configuration Register 5 Value + + + UDI Configuration Register 6 Value + + + UDI Configuration Register 7 Value + + + UDI Configuration Register 8 Value + + + UDI Configuration Register 9 Value + + + UDI Configuration Register 10 Value + + + UDI Configuration Register 11 Value + + + UDI Configuration Register 12 Value + + + UDI Configuration Register 13 Value + + + UDI Configuration Register 14 Value + + + UDI Configuration Register 15 Value + + + Base Address of Memory + + + High Address of Memory + + + Mask Used to Determine a Row Conflict + + + Mask Used to Determine a Bank Conflict + + + Control and Configuration for the MC Interface + + + Secondary Arbitration Priority for all Instruction Fetches from CPU + + + Secondary Arbitration Priority for all Data Writes from CPU + + + Secondary Arbitration Priority for all Data Reads from CPU + + + Secondary Arbitration Priority for SPLB1, DMA2 and DMA3 + + + Secondary Arbitration Priority for SPLB0, DMA0 and DMA1 + + + Memory Control Interface Arbitration Mode + + + Max Number of Quad-words per Burst thru Xbar to MC Interface + + + C_MPLB_AWIDTH + + + C_MPLB_DWIDTH + + + C_MPLB_NATIVE_DWIDTH + + + Watchdog Counter Threshold + + + Secondary Arbitration Prio for Instr Fetches + + + Secondary Arbitration Prio for Data Writes + + + Secondary Arbitration Prio for Data Reads + + + Secondary Arbitration Prio for SPLB1, DMA2, DMA3 + + + Secondary Arbitration Prio for SPLB0, DMA0, DMA1 + + + MPLB Arbitration Mode + + + Allow MBusy to Block MPLB + + + Max Num of Quad-words in Bursts + + + Allow Locked Transfer + + + Allow Read Addr Pipelining + + + Allow Write Addr Pipelining + + + Allow Posted Writes + + + C_MPLB_P2P + + + Enable Watchdog Timer + + + C_SPLB0_AWIDTH + + + C_SPLB0_DWIDTH + + + C_SPLB0_NATIVE_DWIDTH + + + SPLB Support Bursts + + + Allow SPLB0 to Access MPLB Addr + + + Number of MPLB Addr Ranges + + + Base Addr + + + High Addr + + + + + + + + + + + + + + + + + + + + + + + + + + + Number of Masters + + + Mid Width + + + SPLB Allow Locked Transfer + + + Enable SPLB Read Pipeline + + + Propagate MIRQ Signals from Xbar onto SPLB + + + Use P2P + + + C_SPLB1_AWIDTH + + + C_SPLB1_DWIDTH + + + C_SPLB1_NATIVE_DWIDTH + + + + + + Allow SPLB1 to Access MPLB Addr + + + Number of MPLB Address Ranges + + + Base Addr + + + High Addr + + + + + + + + + + + + + + + + + + + + + + + + + + + Number of Masters + + + Mid Width + + + + + + + + + + + + + + + Number of DMA Channel + + + + + + DMA 0 + + + + + + + + + + + + + + + DMA 1 + + + + + + + + + + + + + + + DMA 2 + + + + + + + + + + + + + + + DMA 3 + + + + + + + + + + + + Enable the Auto-lock Feature for the DCR Indirect Mode + + + Synchronization Mode for the External MDCR Interface + + + Synchronization Mode for the External SDCR Interface + + + Generate Timing Constraint to Resynchronize SPLB MBusy Outputs + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + JTAG HALT + + + JTAG HALT INV + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + JTAG TCK + + + JTAG TDI + + + JTAG TMS + + + JTAG TRST + + + JTAG TDO + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Trace Trigger Event In + + + Trace Branch Status + + + Trace Clock + + + Trace Execution Status + + + Trace Status + + + Trace Trigger Event Out + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Processor Local Bus (PLB) 4.6 + 'Xilinx 64-bit Processor Local Bus (PLB) consists of a bus control unit, a watchdog timer, and separate address, write, and read data path units with a a three-cycle only arbitration feature' + + + + + + Number of PLB Masters + + + Number of PLB Slaves + + + PLB Master ID Bus Width + + + PLB Address Bus Width + + + PLB Data Bus Width + + + Include DCR Interface and Error Registers + + + Base Address + + + High Address + + + DCR Address Bus Width + + + DCR Data Bus Width + + + External Reset Active High + + + IRQ Active State + + + <qt>Number of PLB Clock Periods a PLB Master that Received a Rearbitrate from an OPB2PLB Bridge on a Read Operation is Denied Grant on the PLB Bus</qt> + + + Enable Address Pipelining Type + + + Device Family + + + Optimize PLB for Point-to-point Topology + + + Selects the Arbitration Scheme + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + XPS BRAM Controller + Attaches BRAM to the PLBV46 + + + + + + Base Address + + + High Address + + + Native Data Bus Width of PLB Slave + + + PLB Address Bus Width + + + PLB Data Bus Width + + + Number of PLB Masters + + + Master ID Bus Width of PLB + + + PLB Slave is Capable of Bursts + + + PLB Slave Uses P2P Topology + + + Smallest Master Data Bus Width + + + Device Family + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Block RAM (BRAM) Block + The BRAM Block is a configurable memory module that attaches to a variety of BRAM Interface Controllers. + + + + + + Size of BRAM(s) in Bytes + + + Data Width of Port A and B + + + Address Width of Port A and B + + + Number of Byte Write Enables + + + Device Family + + + + + + + + + + + + + + + + + + + + XPS UART (Lite) + Generic UART (Universal Asynchronous Receiver/Transmitter) for PLBV46 bus. + + + + + + Device Family + + + Clock Frequency of PLB Slave + + + Base Address + + + High Address + + + PLB Address Bus Width + + + PLB Data Bus Width + + + PLB Slave Uses P2P Topology + + + Master ID Bus Width of PLB + + + Number of PLB Masters + + + PLB Slave is Capable of Bursts + + + Native Data Bus Width of PLB Slave + + + UART Lite Baud Rate + Baud Rate + + + Number of Data Bits in a Serial Frame + Data Bits + + + Use Parity + + + Parity Type + + + + + + + + + + Serial Data In + + + Serial Data Out + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + XPS General Purpose IO + General Purpose Input/Output (GPIO) core for the PLBV46 bus. + + + + + + Base Address + + + High Address + + + PLB Address Bus Width + + + PLB Data Bus Width + + + PLB Slave Uses P2P Topology + + + Master ID Bus Width of PLB + + + Number of PLB Masters + + + Native Data Bus Width of PLB Slave + + + PLB Slave is Capable of Bursts + + + Device Family + + + Channel 1 is Input Only + + + Channel 2 is Input Only + + + GPIO Data Channel Width + GPIO Data Width + + + GPIO2 Data Channel Width + + + GPIO Supports Interrupts + + + Channel 1 Data Out Default Value + + + Channel 1 Tri-state Default Value + + + Enable Channel 2 + + + Channel 2 Data Out Default Value + + + Channel 2 Tri-state Default Value + + + + + + + + + + GPIO1 Data IO + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + GPIO2 Data IO + + + + + XPS General Purpose IO + General Purpose Input/Output (GPIO) core for the PLBV46 bus. + + + + + + Base Address + + + High Address + + + PLB Address Bus Width + + + PLB Data Bus Width + + + PLB Slave Uses P2P Topology + + + Master ID Bus Width of PLB + + + Number of PLB Masters + + + Native Data Bus Width of PLB Slave + + + PLB Slave is Capable of Bursts + + + Device Family + + + Channel 1 is Input Only + + + Channel 2 is Input Only + + + GPIO Data Channel Width + GPIO Data Width + + + GPIO2 Data Channel Width + + + GPIO Supports Interrupts + + + Channel 1 Data Out Default Value + + + Channel 1 Tri-state Default Value + + + Enable Channel 2 + + + Channel 2 Data Out Default Value + + + Channel 2 Tri-state Default Value + + + + + + + + + + GPIO1 Data IO + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + GPIO2 Data IO + + + + + XPS General Purpose IO + General Purpose Input/Output (GPIO) core for the PLBV46 bus. + + + + + + Base Address + + + High Address + + + PLB Address Bus Width + + + PLB Data Bus Width + + + PLB Slave Uses P2P Topology + + + Master ID Bus Width of PLB + + + Number of PLB Masters + + + Native Data Bus Width of PLB Slave + + + PLB Slave is Capable of Bursts + + + Device Family + + + Channel 1 is Input Only + + + Channel 2 is Input Only + + + GPIO Data Channel Width + GPIO Data Width + + + GPIO2 Data Channel Width + + + GPIO Supports Interrupts + + + Channel 1 Data Out Default Value + + + Channel 1 Tri-state Default Value + + + Enable Channel 2 + + + Channel 2 Data Out Default Value + + + Channel 2 Tri-state Default Value + + + + + + + + + + GPIO1 Data IO + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + GPIO2 Data IO + + + + + XPS General Purpose IO + General Purpose Input/Output (GPIO) core for the PLBV46 bus. + + + + + + Base Address + + + High Address + + + PLB Address Bus Width + + + PLB Data Bus Width + + + PLB Slave Uses P2P Topology + + + Master ID Bus Width of PLB + + + Number of PLB Masters + + + Native Data Bus Width of PLB Slave + + + PLB Slave is Capable of Bursts + + + Device Family + + + Channel 1 is Input Only + + + Channel 2 is Input Only + + + GPIO Data Channel Width + GPIO Data Width + + + GPIO2 Data Channel Width + + + GPIO Supports Interrupts + + + Channel 1 Data Out Default Value + + + Channel 1 Tri-state Default Value + + + Enable Channel 2 + + + Channel 2 Data Out Default Value + + + Channel 2 Tri-state Default Value + + + + + + + + + + GPIO1 Data IO + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + GPIO2 Data IO + + + + + XPS IIC Interface + PLBV46 interface to Philips I2C bus v2.1 + + + + + + Output Frequency of SCL Signal + + + Use 10-bit Address + + + Width of GPIO + + + PLBv46 Bus Clock Frequency + + + Width of glitches removed on SCL input + + + Width of glitches removed on SDA input + + + Base Address + + + High Address + + + Master ID Bus Width of PLB + + + Number of PLB Masters + + + PLB Address Bus Width + + + PLB Data Bus Width + + + Native Data Bus Width of PLB Slave + + + Device Family + + + + + + + + + + IIC Serial Data + + + IIC Serial Clock + + + + + + + + + IIC General Purpose Output + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + XPS Multi-Channel External Memory Controller(SRAM/Flash) + Xilinx Multi-CHannel (MCH) PLBV46 external memory controller + + + + + + Device Family + + + Number of Memory Banks + + + Number of MCH Channels + + + Arbitration Mode Between PLB and MCH Interface + + + Include PLB Slave Interface + + + Include Write Buffer + + + Master ID Bus Width of PLB + + + Number of PLB Masters + + + PLB Slave Uses P2P Topology + + + PLB Data Bus Width + + + MCH and PLB Address Bus Width + + + Smallest Master Data Bus Width + + + Data Bus Width of MCH + + + MCH and PLB Clock Period + + + Base Address of Bank 0 + + + High Address of Bank 0 + + + Base Address of Bank 1 + + + High Address of Bank 1 + + + Base Address of Bank 2 + + + High Address of Bank 2 + + + Base Address of Bank 3 + + + High Address of Bank 3 + + + Page mode flash enable of Bank 0 + + + Page mode flash enable of Bank 1 + + + Page mode flash enable of Bank 2 + + + Page mode flash enable of Bank 3 + + + Use Falling Edge IO Register in Interface Signals + + + Data Bus Width of Bank 0 + Data Width + + + Data Bus Width of Bank 1 + + + Data Bus Width of Bank 2 + + + Data Bus Width of Bank 3 + + + Maximum Data Bus Width + Maximum Data Width + + + Execute Multiple Memory Accesses To Match Bank 0 Data Bus Width To PLB Data Bus Width + + + Execute Multiple Memory Accesses To Match Bank 1 Data Bus Width To PLB Data Bus Width + + + Execute Multiple Memory Accesses To Match Bank 2 Data Bus Width To PLB Data Bus Width + + + Execute Multiple Memory Accesses To Match Bank 3 Data Bus Width To PLB Data Bus Width + + + Bank 0 is Synchronous + + + Pipeline Latency of Bank 0 + + + TCEDV of Bank 0 + + + TAVDV of Bank 0 + + + TPACC of Bank 0 + + + THZCE of Bank 0 + + + THZOE of Bank 0 + + + TWC of Bank 0 + + + TWP of Bank 0 + + + TLZWE of Bank 0 + + + Bank 1 is Synchronous + + + Pipeline Latency of Bank 1 + + + TCEDV of Bank 1 + + + TAVDV of Bank 1 + + + TPACC of Bank 1 + + + THZCE of Bank 1 + + + THZOE of Bank 1 + + + TWC of Bank 1 + + + TWP of Bank 1 + + + TLZWE of Bank 1 + + + Bank 2 is Synchronous + + + Pipeline Latency of Bank 2 + + + TCEDV of Bank 2 + + + TAVDV of Bank 2 + + + TPACC of Bank 2 + + + THZCE of Bank 2 + + + THZOE of Bank 2 + + + TWC of Bank 2 + + + TWP of Bank 2 + + + TLZWE of Bank 2 + + + Bank 3 is Synchronous + + + Pipeline Latency of Bank 3 + + + TCEDV of Bank 3 + + + TAVDV of Bank 3 + + + TPACC of Bank 3 + + + THZCE of Bank 3 + + + THZOE of Bank 3 + + + TWC of Bank 3 + + + TWP of Bank 3 + + + TLZWE of Bank 3 + + + Interface Protocol of Ch 0 + + + Depth of Access Buffer of Ch 0 + + + Depth of Read Data Buffer Depath of Ch 0 + + + Interface Protocol of Ch 1 + + + Depth of Access Buffer of Ch 1 + + + Depth of Read Data Buffer of Ch 1 + + + Interface Protocol of Ch 2 + + + Depth of Access Buffer of Ch 2 + + + Depth of Read Data Buffer of Ch 2 + + + Interface Protocol of Ch 3 + + + Depth of Access Buffer of Ch 3 + + + Depth of Read Data Buffer of Ch 3 + + + Cacheline Size of Ch0 + + + Write Transfer Type of Ch0 + + + Cacheline Size of Ch1 + + + Write Transfer Type of Ch1 + + + Cacheline Size of Ch2 + + + Write Transfer Type of Ch2 + + + Cacheline Size of Ch3 + + + Write Transfer Type of Ch3 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Memory Address Bus + + + Memory Chip Enable Active Low + + + Memory Output Enable + + + Memory Write Enable + + + Memory Byte Enable + + + Memory Advanced Burst Address/Load New Address + + + Memory Data Bus + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Memory Reset/Power Down + + + Memory Qualified Write Enable + + + Memory Chip Enable Active High + + + Memory Linear/Interleaved Burst Order + + + Memory Clock Enable + + + Memory Read Not Write + + + + + + + + + PLBv46 IP Interface (IPIF) to LogicCORE PCI Express Bridge + Bridge between the PLBv46 IPIF and the Xilinx LogiCORE PCI Express Interface core + + + + + + Device Family + + + Number of IPIF devices + + + Include Registers for Each IPIF BAR High-order Bits to be Substituted in Translation. + + + Number of PCI Devices + + + Number of Lanes + + + PCI Configuration Space Header Device ID + + + PCI Configuration Space Header Vendor ID + + + PCI Configuration Space Header Class Code + + + PCI Configuration Space Header Rev ID + + + PCI Configuration Space Header Subsystem ID + + + PCI Configuration Space Header Subsystem Vendor ID + + + Completion Timeout + + + Device Sub Family + + + Master Address Bus Width + + + Master Data Bus Width + + + Smallest Master Data Bus Width + + + Native Data Bus Width of PLB Master + + + Master ID Bus Width of PLB + + + Number of PLB Masters + + + Smallest Master Data Bus Width + + + PLB Address Bus Width + + + Base Address + + + High Address + + + PLB Data Bus Width + + + Native Data Bus Width of PLB Slave + + + PLB Slave Uses P2P Topology + + + IPIF BAR0 Base Address + + + IPIF BAR1 Base Address + + + IPIF BAR2 Base Address + + + IPIF BAR3 Base Address + + + IPIF BAR4 Base Address + + + IPIF BAR5 Base Address + + + IPIF BAR0 High Address + + + IPIF BAR1 High Address + + + IPIF BAR2 High Address + + + IPIF BAR3 High Address + + + IPIF BAR4 High Address + + + IPIF BAR5 High Address + + + Remote PCI device BAR to which IPIF BAR0 is translated when configured with FIFOs + + + + Remote PCI device BAR to which IPIF BAR1 is translated when configured with FIFOs + + + + Remote PCI device BAR to which IPIF BAR2 is translated when configured with FIFOs + + + + Remote PCI device BAR to which IPIF BAR3 is translated when configured with FIFOs + + + + Remote PCI device BAR to which IPIF BAR4 is translated when configured with FIFOs + + + + Remote PCI device BAR to which IPIF BAR5 is translated when configured with FIFOs + + + + IPIF BAR 0 Address Size + + + IPIF BAR 1 Address Size + + + IPIF BAR 2 Address Size + + + IPIF BAR 3 Address Size + + + IPIF BAR 4 Address Size + + + IPIF BAR 5 Address Size + + + Remote PLB device BAR to which PCI BAR0 is translated when configured with FIFOs + + + Remote PLB device BAR to which PCI BAR1 is translated when configured with FIFOs + + + Remote PLB device BAR to which PCI BAR2 is translated when configured with FIFOs + + + Power of 2 defining the Size in Bytes of PCI BAR0 Space + + + Power of 2 defining the Size in Bytes of PCI BAR1 Space + + + Power of 2 defining the Size in Bytes of PCI BAR2 Space + + + Type of Board + + + Device Name + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Processor Local Bus (PLB) 4.6 + 'Xilinx 64-bit Processor Local Bus (PLB) consists of a bus control unit, a watchdog timer, and separate address, write, and read data path units with a a three-cycle only arbitration feature' + + + + + + Number of PLB Masters + + + Number of PLB Slaves + + + PLB Master ID Bus Width + + + PLB Address Bus Width + + + PLB Data Bus Width + + + Include DCR Interface and Error Registers + + + Base Address + + + High Address + + + DCR Address Bus Width + + + DCR Data Bus Width + + + External Reset Active High + + + IRQ Active State + + + <qt>Number of PLB Clock Periods a PLB Master that Received a Rearbitrate from an OPB2PLB Bridge on a Read Operation is Denied Grant on the PLB Bus</qt> + + + Enable Address Pipelining Type + + + Device Family + + + Optimize PLB for Point-to-point Topology + + + Selects the Arbitration Scheme + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + XPS 10/100 Ethernet MAC Lite + 'IEEE Std. 802.3 MII interface MAC with PLBV46 interface, lightweight implementation' + + + + + + Device Family + + + Base Address + + + High Address + + + Clock Period of PLB Slave + + + PLB Address Bus Width + + + PLB Data Bus Width + + + PLB Slave Uses P2P Topology + + + Master ID Bus Width of PLB + + + Number of PLB Masters + + + Native Data Bus Width of PLB Slave + + + PLB Slave is Capable of Bursts + + + Duplex Mode + + + Include Second Transmitter Buffer + + + Include Second Receiver Buffer + + + + + + + + + + Ethernet Transmit Clock Input + + + Ethernet Receive Clock Input + + + Ethernet Carrier Sense Input + + + Ethernet Receive Data Valid + + + Ethernet Receive Data Input + + + Ethernet Collision Input + + + Ethernet Receive Error Input + + + Ethernet PHY Reset + + + Ethernet Transmit Enable + + + Ethernet Transmit Data Output + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + PowerPC 440 DDR2 Memory Controller + A wrapper to instantiate the PowerPC 440 DDR2 Memory Controller + + + + + + Bank Address Width of DDR Memory + + + Number of Generated DDR Clock Pairs. + + + Data Bus Width of DDR + + + Column Address Width of DDR Memory + + + Number of DDR2 Memory Ranks + + + Number of Chip Select in DDR2 Memory Rank (a.k.a log2C_NUM_RANKS_MEM) + + + DDR2 Data Mask Width + + + C_DQ_BITS + + + DDR2 On Die Termination Width + + + Additive Latency of DDR2 Memory + + + Support ECC Logic + + + Setting for On Die Termination + + + DQS Bit Width + + + DDR2 Strobe Width + + + Row Address Width of DDR Memory + + + Burst Length of DDR Memory + + + CAS Latency of DDR Memory + + + Include Support for Registered DIMMs. + + + Clock Ratio between CPMINTERCONNECTCLK to DDR2 Clock + + + Memory Base Address + + + Memory High Address + + + TREFI of DDR + + + TRAS of DDR + + + TRCD of DDR + + + TRFC of DDR + + + TRP of DDR + + + TRTP of DDR + + + TWR of DDR + + + TWTR of DDR + + + Clock Period(ps) of MIB Clock + + + IDELAY High Performance Mode + + + SKip 200us Power-up Time for Simulation + + + Number of IDELAYCTRL Primitives (V4 only) that are explicitly instantiated + + + LOC Constraints of IDELAYCTRL Primitive + + + Read Data Pipeline + + + IO Column Location of DQS Groups + + + Master Slave Location of DQ IO + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + XPS System ACE Interface Controller(Compact Flash) + Interface between the PLBV46 and the Microprocessor Interface (MPU) of the System ACE Compact Flash solution peripheral + + + + + + Base Address + + + High Address + + + Width of System ACE Data Bus + + + PLB Address Bus Width + + + PLB Data Bus Width + + + PLB Slave Uses P2P Topology + + + Master ID Bus Width of PLB + + + Number of PLB Masters + + + Native Data Bus Width of PLB Slave + + + PLB Slave is Capable of Bursts + + + Device Family + + + + + + + + + + Address Input + + + Clock Input + + + Active high Interrupt Output + + + Active LOW Chip Enable + + + Active LOW Output Enable + + + Active LOW Write Enable + + + Data Input/Output + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Clock Generator + Clock generator for processor system. + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + PowerPC JTAG Controller + JTAGPPC wrapper allows the PowerPC to connect to the JTAG chain of the FPGA. + + + + + + + + + + + + + + + + + + + + + + + + + + + + Processor System Reset Module + Reset management module + + + + + + Device Subfamily + + + Number of Clocks Before Input Change is Recognized On The External Reset Input + + + Number of Clocks Before Input Change is Recognized On The Auxiliary Reset Input + + + External Reset Active High + + + Auxiliary Reset Active High + + + Number of Bus Structure Reset Registered Outputs + + + Number of Peripheral Reset Registered Outputs + + + Device Family + + + + + + + + + + + + + + + + + + + + + + + + + + XPS Interrupt Controller + intc core attached to the PLBV46 + + + + + + Device Family + + + Base Address + + + High Address + + + PLB Address Bus Width + + + PLB Data Bus Width + + + PLB Slave Uses P2P Topology + + + Number of PLB Masters + + + Master ID Bus Width of PLB + + + Native Data Bus Width of PLB Slave + + + PLB Slave is Capable of Bursts + + + Number of Interrupt Inputs + + + Type of Interrupt for Each Input + + + Type of Each Edge Senstive Interrupt + + + Type of Each Level Sensitive Interrupt + + + Support IPR + + + Support SIE + + + Support CIE + + + Support IVR + + + IRQ Output Use Level + + + The Sense of IRQ Output + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + \ No newline at end of file diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/xplorer.opt b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/xplorer.opt new file mode 100644 index 000000000..53ea0c72f --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/xplorer.opt @@ -0,0 +1 @@ + -device xc5vfx70tff1136-1 data/system.ucf 7 0 diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/xpsxflow.opt b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/xpsxflow.opt new file mode 100644 index 000000000..b8fbf7ab1 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/xpsxflow.opt @@ -0,0 +1 @@ + -device xc5vfx70tff1136-1 data/system.ucf 0 diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/blockdiagram/svg10.dtd b/Demo/PPC440_Xilinx_Virtex5_GCC/blockdiagram/svg10.dtd new file mode 100644 index 000000000..110f5ced5 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/blockdiagram/svg10.dtd @@ -0,0 +1,1704 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/blockdiagram/system.css b/Demo/PPC440_Xilinx_Virtex5_GCC/blockdiagram/system.css new file mode 100644 index 000000000..0d7b46bd6 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/blockdiagram/system.css @@ -0,0 +1,446 @@ + + text.bif_label { + fill: #000000; + stroke: none; + font-size: 6pt; + font-style: normal; + font-weight: 900; + text-anchor: middle; + font-family: Verdana Arial Helvetica sans-serif; + } + + text.debug_label { + fill: #555555; + stroke: none; + font-size: 8pt; + font-style: normal; + font-weight: 900; + text-anchor: middle; + font-family: Times Arial Helvetica sans-serif; + } + + text.ionum_label { + fill: #555555; + stroke: none; + font-size: 10pt; + font-style: normal; + font-weight: 900; + text-anchor: middle; + font-family: Verdana Arial Helvetica sans-serif; + } + + text.iogrp_label { + fill: #000088; + stroke: none; + font-size: 10pt; + font-style: normal; + font-weight: 900; + text-anchor: middle; + font-family: Verdana Arial Helvetica sans-serif; + } + + tspan.iogrp_label_super { + fill: #000000; + stroke: none; + font-size: 8pt; + font-style: normal; + font-weight: 900; + text-anchor: middle; + baseline-shift:super; + font-family: Arial Courier san-serif; + } + + text.p2pbus_label { + fill: #000000; + stroke: none; + font-size: 10pt; + font-style: italic; + font-weight: bold; + text-anchor: start; + writing-mode: tb; + font-family: Verdana Arial Helvetica sans-serif; + } + + text.multip_label { + fill: #000000; + stroke: none; + font-size: 6pt; + font-style: italic; + font-weight: bold; + text-anchor: start; + writing-mode: tb; + font-family: Verdana Arial Helvetica sans-serif; + } + + + + text.bc_iplabel { + fill: #000000; + stroke: none; + font-size: 6pt; + font-style: italic; + font-weight: bold; + text-anchor: middle; + font-family: Courier Arial Helvetica sans-serif; + } + + text.bc_iptype { + fill: #AA0017; + stroke: none; + font-size: 6pt; + font-style: italic; + font-weight: bold; + text-anchor: middle; + font-family: Verdana Arial Helvetica sans-serif; + } + + text.splitbus_label { + fill: #000000; + stroke: none; + font-size: 6pt; + font-style: normal; + font-weight: bold; + text-anchor: middle; + font-family: sans-serif; + } + + text.sharedbus_label { + fill: #000000; + stroke: none; + font-size: 10pt; + font-style: italic; + font-weight: bold; + text-anchor: start; + font-family: Verdana Arial Helvetica sans-serif; + } + + + text.p2pbus_label_horiz { + fill: #000000; + stroke: none; + font-size: 6pt; + font-style: italic; + font-weight: bold; + text-anchor: start; + font-family: Verdana Arial Helvetica sans-serif; + } + + + + text.key_title { + fill: #AA0017; + stroke: none; + font-size: 12pt; + font-weight: bold; + text-anchor: middle; + font-family: Arial Helvetica sans-serif; + } + + text.key_header { + fill: #000000; + stroke: none; + font-size: 10pt; + font-weight: bold; + text-anchor: middle; + font-family: Arial Helvetica sans-serif; + } + + text.key_label { + fill: #000000; + stroke: none; + font-size: 8pt; + font-style: italic; + font-weight: bold; + text-anchor: start; + font-family: Verdana Arial Helvetica sans-serif; + } + + text.key_label_ul { + fill: #000000; + stroke: none; + font-size: 8pt; + font-style: italic; + font-weight: bold; + text-anchor: start; + text-decoration: underline; + font-family: Verdana Arial Helvetica sans-serif; + } + + text.specs_header { + fill: #000000; + stroke: none; + font-size: 10pt; + font-weight: bold; + text-anchor: start; + font-family: Arial Helvetica sans-serif; + } + + text.specs_start { + fill: #000000; + stroke: none; + font-size: 8pt; + font-style: italic; + font-weight: bold; + text-anchor: start; + font-family: Verdana Arial Helvetica sans-serif; + } + + text.specs_middle { + fill: #000000; + stroke: none; + font-size: 8pt; + font-style: italic; + font-weight: bold; + text-anchor: middle; + font-family: Verdana Arial Helvetica sans-serif; + } + + text.intr_symbol { + fill: #000000; + stroke: none; + font-size: 8pt; + font-weight: bold; + text-anchor: start; + font-family: Arial Helvetica sans-serif; + } + + text.busintlabel { + fill: #810017; + stroke: none; + font-size: 7pt; + font-style: italic; + font-weight: 900; + text-anchor: middle; + font-family: Verdana Arial Helvetica sans-serif; + } + + text.mpmctitle { + fill: #FFFFFF; + stroke: none; + font-size: 16pt; + font-weight: bold; + text-anchor: middle; + font-family: Arial Verdana Helvetica sans-serif; + } + + text.mpmcbiflabel { + fill: #FFFFFF; + stroke: none; + font-size: 6pt; + font-style: normal; + font-weight: 900; + text-anchor: middle; + font-family: Verdana Arial Helvetica sans-serif; + + } + + text.buslabel { + fill: #CC3333; + stroke: none; + font-size: 8pt; + font-style: italic; + font-weight: bold; + text-anchor: middle; + font-family: Verdana Arial Helvetica sans-serif; + } + + text.iplabel { + fill: #000000; + stroke: none; + font-size: 8pt; + font-style: italic; + font-weight: 800; + text-anchor: middle; + font-family: Courier Arial Helvetica sans-serif; + } + + text.iptype { + fill: #AA0017; + stroke: none; + font-size: 8pt; + font-style: italic; + font-weight: bold; + text-anchor: middle; + font-family: Verdana Arial Helvetica sans-serif; + } + + text.ipclass { + fill: #000000; + stroke: none; + font-size: 7pt; + font-style: normal; + font-weight: bold; + text-anchor: start; + font-family: Times Arial Helvetica sans-serif; + } + + text.procclass { + fill: #000000; + stroke: none; + font-size: 7pt; + font-style: normal; + font-weight: bold; + text-anchor: middle; + font-family: Times Arial Helvetica sans-serif; + } + + + text.portlabel { + fill: #000000; + stroke: none; + font-size: 8pt; + font-style: normal; + font-weight: bold; + text-anchor: middle; + font-family: Verdana Arial Helvetica sans-serif; + } + + text.ipdbiflbl { + fill: #000000; + stroke: none; + font-size: 8pt; + font-style: normal; + font-weight: bold; + font-family: Verdana Arial Helvetica sans-serif; + } + + text.mmMHeader { + fill: #FFFFFF; + stroke: none; + font-size: 10pt; + font-style: normal; + font-weight: bold; + text-anchor: middle; + font-family: Verdana Arial Helvetica sans-serif; + } + + text.mmSHeader { + fill: #810017; + stroke: none; + font-size: 10pt; + font-style: normal; + font-weight: bold; + text-anchor: middle; + font-family: Verdana Arial Helvetica sans-serif; + } + + text.busintlabel { + fill: #810017; + stroke: none; + font-size: 7pt; + font-style: italic; + font-weight: 900; + text-anchor: middle; + font-family: Verdana Arial Helvetica sans-serif; + } + + text.mpmctitle { + fill: #FFFFFF; + stroke: none; + font-size: 16pt; + font-weight: bold; + text-anchor: middle; + font-family: Arial Verdana Helvetica sans-serif; + } + + text.mpmcbiflabel { + fill: #FFFFFF; + stroke: none; + font-size: 6pt; + font-style: normal; + font-weight: 900; + text-anchor: middle; + font-family: Verdana Arial Helvetica sans-serif; + + } + + text.buslabel { + fill: #CC3333; + stroke: none; + font-size: 8pt; + font-style: italic; + font-weight: bold; + text-anchor: middle; + font-family: Verdana Arial Helvetica sans-serif; + } + + text.iplabel { + fill: #000000; + stroke: none; + font-size: 8pt; + font-style: italic; + font-weight: 800; + text-anchor: middle; + font-family: Courier Arial Helvetica sans-serif; + } + + text.iptype { + fill: #AA0017; + stroke: none; + font-size: 8pt; + font-style: italic; + font-weight: bold; + text-anchor: middle; + font-family: Verdana Arial Helvetica sans-serif; + } + + text.ipclass { + fill: #000000; + stroke: none; + font-size: 7pt; + font-style: normal; + font-weight: bold; + text-anchor: start; + font-family: Times Arial Helvetica sans-serif; + } + + text.procclass { + fill: #000000; + stroke: none; + font-size: 7pt; + font-style: normal; + font-weight: bold; + text-anchor: middle; + font-family: Times Arial Helvetica sans-serif; + } + + + text.portlabel { + fill: #000000; + stroke: none; + font-size: 8pt; + font-style: normal; + font-weight: bold; + text-anchor: middle; + font-family: Verdana Arial Helvetica sans-serif; + } + + text.ipdbiflbl { + fill: #000000; + stroke: none; + font-size: 8pt; + font-style: normal; + font-weight: bold; + font-family: Verdana Arial Helvetica sans-serif; + } + + text.mmMHeader { + fill: #FFFFFF; + stroke: none; + font-size: 10pt; + font-style: normal; + font-weight: bold; + text-anchor: middle; + font-family: Verdana Arial Helvetica sans-serif; + } + + text.mmSHeader { + fill: #810017; + stroke: none; + font-size: 10pt; + font-style: normal; + font-weight: bold; + text-anchor: middle; + font-family: Verdana Arial Helvetica sans-serif; + } + diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/blockdiagram/system.svg b/Demo/PPC440_Xilinx_Virtex5_GCC/blockdiagram/system.svg new file mode 100644 index 000000000..c7e3fcaec --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/blockdiagram/system.svg @@ -0,0 +1,1078 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + plb_v46_0 + + + + + + + + + + xps_ethernetlite + Ethernet_MAC + + + SPLB + + + + + xps_gpio + LEDs_8Bit + + + SPLB + + + + + xps_gpio + LEDs_Positions + + + SPLB + + + + + xps_gpio + Push_Buttons_5Bit + + + SPLB + + + + + xps_gpio + DIP_Switches_8Bit + + + SPLB + + + + + xps_iic + IIC_EEPROM + + + SPLB + + + + + xps_intc + xps_intc_0 + + + SPLB + + + 0 + + + + + xps_mch_emc + SRAM + + + SPLB + + + + + xps_sysace + SysACE_CompactFlash + + + SPLB + + + + + xps_uartlite + RS232_Uart_1 + + + SPLB + + + 1 + 0 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + clock_generator + clock_generator_0 + + + + + + + + + plbv46_pcie + PCIe_Bridge + + + SPLB + + + MPLB + + + + + ppc440mc_ddr2 + DDR2_SDRAM + + + PPC4 + + + + + jtagppc_cntlr + jtagppc_cntlr_inst + + + JTAG + + + + + proc_sys_reset + proc_sys_reset_0 + + + RESE + + + + + ppc440_virtex5 + ppc440_0 + + + MPLB + + + SPLB0 + + + PPC4 + + + JTAG + + + RESE + + + + 0 + + + + + + + + + SLAVES OF plb_v46_0 + + PROCESSOR + + + + + bram_block + xps_bram_if_cntlr_1_bram + + PORTA + + + + + xps_bram_if_cntlr + xps_bram_if_cntlr_1 + + + SPLB + + PORTA + + + + + + + + + + + + + ppc440_0_SPLB0 + + + + + + + + ppc440_0_PPC440MC + + + + + + + + ppc_reset_bus + + + + + + + + + + + + + + + ppc440_0_jtagppc_bus + + + + + + + + + + + + + + + + + + x + + + + + + x + + + + + y + x + + + + + KEY + + SYMBOLS + + bus interface + + shared bus + Bus connections + + master or initiator + + slave or target + + master slave + + monitor + External Ports + + monitor + + output + + inout + Interrupts + + interrupt + controller + + interrupted + processor + + interrupt + source + x = controller ID + y = priority + + COLORS + Bus Standards + + DCR + + FCB + + FSL + + LMB + + OPB + + PLB + + SOCM + + Xilinx P2P + + USER P2P + + + + + SPECS + + EDK VERSION + 11.1 + + ARCH + virtex5 + + PART + xc5vfx70tff1136-1 + + GENERATED + Fri Jun 12 17:10:55 2009 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + IP + + + + diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/data/system.ucf b/Demo/PPC440_Xilinx_Virtex5_GCC/data/system.ucf new file mode 100644 index 000000000..fd1b9bdd6 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/data/system.ucf @@ -0,0 +1,495 @@ +Net fpga_0_RS232_Uart_1_RX_pin LOC = AG15 | IOSTANDARD=LVCMOS33; +Net fpga_0_RS232_Uart_1_TX_pin LOC = AG20 | IOSTANDARD=LVCMOS33; +Net fpga_0_LEDs_8Bit_GPIO_IO_pin<0> LOC = AE24 | IOSTANDARD=LVCMOS18 | PULLDOWN | SLEW=SLOW | DRIVE=2; +Net fpga_0_LEDs_8Bit_GPIO_IO_pin<1> LOC = AD24 | IOSTANDARD=LVCMOS18 | PULLDOWN | SLEW=SLOW | DRIVE=2; +Net fpga_0_LEDs_8Bit_GPIO_IO_pin<2> LOC = AD25 | IOSTANDARD=LVCMOS18 | PULLDOWN | SLEW=SLOW | DRIVE=2; +Net fpga_0_LEDs_8Bit_GPIO_IO_pin<3> LOC = G16 | IOSTANDARD=LVCMOS25 | PULLDOWN | SLEW=SLOW | DRIVE=2; +Net fpga_0_LEDs_8Bit_GPIO_IO_pin<4> LOC = AD26 | IOSTANDARD=LVCMOS18 | PULLDOWN | SLEW=SLOW | DRIVE=2; +Net fpga_0_LEDs_8Bit_GPIO_IO_pin<5> LOC = G15 | IOSTANDARD=LVCMOS25 | PULLDOWN | SLEW=SLOW | DRIVE=2; +Net fpga_0_LEDs_8Bit_GPIO_IO_pin<6> LOC = L18 | IOSTANDARD=LVCMOS25 | PULLDOWN | SLEW=SLOW | DRIVE=2; +Net fpga_0_LEDs_8Bit_GPIO_IO_pin<7> LOC = H18 | IOSTANDARD=LVCMOS25 | PULLDOWN | SLEW=SLOW | DRIVE=2; +Net fpga_0_LEDs_Positions_GPIO_IO_pin<0> LOC=E8 | IOSTANDARD=LVCMOS33 | PULLDOWN | SLEW=SLOW | DRIVE=2; +Net fpga_0_LEDs_Positions_GPIO_IO_pin<1> LOC=AF23 | IOSTANDARD=LVCMOS33 | PULLDOWN | SLEW=SLOW | DRIVE=2; +Net fpga_0_LEDs_Positions_GPIO_IO_pin<2> LOC=AG12 | IOSTANDARD=LVCMOS33 | PULLDOWN | SLEW=SLOW | DRIVE=2; +Net fpga_0_LEDs_Positions_GPIO_IO_pin<3> LOC=AG23 | IOSTANDARD=LVCMOS33 | PULLDOWN | SLEW=SLOW | DRIVE=2; +Net fpga_0_LEDs_Positions_GPIO_IO_pin<4> LOC=AF13 | IOSTANDARD=LVCMOS33 | PULLDOWN | SLEW=SLOW | DRIVE=2; +Net fpga_0_Push_Buttons_5Bit_GPIO_IO_pin<0> LOC = AJ6 | IOSTANDARD=LVCMOS33 | PULLDOWN | SLEW=SLOW | DRIVE=2; +Net fpga_0_Push_Buttons_5Bit_GPIO_IO_pin<1> LOC = AJ7 | IOSTANDARD=LVCMOS33 | PULLDOWN | SLEW=SLOW | DRIVE=2; +Net fpga_0_Push_Buttons_5Bit_GPIO_IO_pin<2> LOC = V8 | IOSTANDARD=LVCMOS33 | PULLDOWN | SLEW=SLOW | DRIVE=2; +Net fpga_0_Push_Buttons_5Bit_GPIO_IO_pin<3> LOC = AK7 | IOSTANDARD=LVCMOS33 | PULLDOWN | SLEW=SLOW | DRIVE=2; +Net fpga_0_Push_Buttons_5Bit_GPIO_IO_pin<4> LOC = U8 | IOSTANDARD=LVCMOS33 | PULLDOWN | SLEW=SLOW | DRIVE=2; +Net fpga_0_DIP_Switches_8Bit_GPIO_IO_pin<0> LOC=U25 | IOSTANDARD=LVCMOS18 | PULLDOWN | SLEW=SLOW | DRIVE=2; +Net fpga_0_DIP_Switches_8Bit_GPIO_IO_pin<1> LOC=AG27 | IOSTANDARD=LVCMOS18 | PULLDOWN | SLEW=SLOW | DRIVE=2; +Net fpga_0_DIP_Switches_8Bit_GPIO_IO_pin<2> LOC=AF25 | IOSTANDARD=LVCMOS18 | PULLDOWN | SLEW=SLOW | DRIVE=2; +Net fpga_0_DIP_Switches_8Bit_GPIO_IO_pin<3> LOC=AF26 | IOSTANDARD=LVCMOS18 | PULLDOWN | SLEW=SLOW | DRIVE=2; +Net fpga_0_DIP_Switches_8Bit_GPIO_IO_pin<4> LOC=AE27 | IOSTANDARD=LVCMOS18 | PULLDOWN | SLEW=SLOW | DRIVE=2; +Net fpga_0_DIP_Switches_8Bit_GPIO_IO_pin<5> LOC=AE26 | IOSTANDARD=LVCMOS18 | PULLDOWN | SLEW=SLOW | DRIVE=2; +Net fpga_0_DIP_Switches_8Bit_GPIO_IO_pin<6> LOC=AC25 | IOSTANDARD=LVCMOS18 | PULLDOWN | SLEW=SLOW | DRIVE=2; +Net fpga_0_DIP_Switches_8Bit_GPIO_IO_pin<7> LOC=AC24 | IOSTANDARD=LVCMOS18 | PULLDOWN | SLEW=SLOW | DRIVE=2; +Net fpga_0_IIC_EEPROM_Sda_pin LOC=F8 | SLEW = SLOW | DRIVE = 6 | IOSTANDARD=LVCMOS33; +Net fpga_0_IIC_EEPROM_Scl_pin LOC=F9 | SLEW = SLOW | DRIVE = 6 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_A_pin<30> LOC=K12 | SLEW = FAST | DRIVE = 8 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_A_pin<29> LOC=K13 | SLEW = FAST | DRIVE = 8 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_A_pin<28> LOC=H23 | SLEW = FAST | DRIVE = 8 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_A_pin<27> LOC=G23 | SLEW = FAST | DRIVE = 8 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_A_pin<26> LOC=H12 | SLEW = FAST | DRIVE = 8 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_A_pin<25> LOC=J12 | SLEW = FAST | DRIVE = 8 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_A_pin<24> LOC=K22 | SLEW = FAST | DRIVE = 8 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_A_pin<23> LOC=K23 | SLEW = FAST | DRIVE = 8 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_A_pin<22> LOC=K14 | SLEW = FAST | DRIVE = 8 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_A_pin<21> LOC=L14 | SLEW = FAST | DRIVE = 8 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_A_pin<20> LOC=H22 | SLEW = FAST | DRIVE = 8 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_A_pin<19> LOC=G22 | SLEW = FAST | DRIVE = 8 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_A_pin<18> LOC=J15 | SLEW = FAST | DRIVE = 8 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_A_pin<17> LOC=K16 | SLEW = FAST | DRIVE = 8 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_A_pin<16> LOC=K21 | SLEW = FAST | DRIVE = 8 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_A_pin<15> LOC=J22 | SLEW = FAST | DRIVE = 8 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_A_pin<14> LOC=L16 | SLEW = FAST | DRIVE = 8 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_A_pin<13> LOC=L15 | SLEW = FAST | DRIVE = 8 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_A_pin<12> LOC=L20 | SLEW = FAST | DRIVE = 8 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_A_pin<11> LOC=L21 | SLEW = FAST | DRIVE = 8 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_A_pin<10> LOC=AE23 | SLEW = FAST | DRIVE = 8 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_A_pin<9> LOC=AE22 | SLEW = FAST | DRIVE = 8 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_A_pin<8> LOC=AE12 | SLEW = FAST | DRIVE = 8 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_A_pin<7> LOC=AE13 | SLEW = FAST | DRIVE = 8 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_CEN_pin LOC=J10 | IOSTANDARD=LVDCI_33; +Net fpga_0_SRAM_Mem_OEN_pin LOC=B12 | IOSTANDARD=LVDCI_33; +Net fpga_0_SRAM_Mem_WEN_pin LOC=AF20 | SLEW = FAST | DRIVE = 12 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_BEN_pin<3> LOC=J11 | IOSTANDARD=LVDCI_33; +Net fpga_0_SRAM_Mem_BEN_pin<2> LOC=K11 | IOSTANDARD=LVDCI_33; +Net fpga_0_SRAM_Mem_BEN_pin<1> LOC=D10 | IOSTANDARD=LVDCI_33; +Net fpga_0_SRAM_Mem_BEN_pin<0> LOC=D11 | IOSTANDARD=LVDCI_33; +Net fpga_0_SRAM_Mem_ADV_LDN_pin LOC=H8 | IOSTANDARD=LVDCI_33; +Net fpga_0_SRAM_Mem_DQ_pin<0> LOC=AG22 | PULLDOWN | SLEW = FAST | DRIVE = 12 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_DQ_pin<1> LOC=AH22 | PULLDOWN | SLEW = FAST | DRIVE = 12 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_DQ_pin<2> LOC=AH12 | PULLDOWN | SLEW = FAST | DRIVE = 12 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_DQ_pin<3> LOC=AG13 | PULLDOWN | SLEW = FAST | DRIVE = 12 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_DQ_pin<4> LOC=AH20 | PULLDOWN | SLEW = FAST | DRIVE = 12 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_DQ_pin<5> LOC=AH19 | PULLDOWN | SLEW = FAST | DRIVE = 12 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_DQ_pin<6> LOC=AH14 | PULLDOWN | SLEW = FAST | DRIVE = 12 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_DQ_pin<7> LOC=AH13 | PULLDOWN | SLEW = FAST | DRIVE = 12 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_DQ_pin<8> LOC=AF15 | PULLDOWN | SLEW = FAST | DRIVE = 12 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_DQ_pin<9> LOC=AE16 | PULLDOWN | SLEW = FAST | DRIVE = 12 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_DQ_pin<10> LOC=AE21 | PULLDOWN | SLEW = FAST | DRIVE = 12 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_DQ_pin<11> LOC=AD20 | PULLDOWN | SLEW = FAST | DRIVE = 12 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_DQ_pin<12> LOC=AF16 | PULLDOWN | SLEW = FAST | DRIVE = 12 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_DQ_pin<13> LOC=AE17 | PULLDOWN | SLEW = FAST | DRIVE = 12 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_DQ_pin<14> LOC=AE19 | PULLDOWN | SLEW = FAST | DRIVE = 12 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_DQ_pin<15> LOC=AD19 | PULLDOWN | SLEW = FAST | DRIVE = 12 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_Mem_DQ_pin<16> LOC=J9 | PULLDOWN | IOSTANDARD=LVDCI_33; +Net fpga_0_SRAM_Mem_DQ_pin<17> LOC=K8 | PULLDOWN | IOSTANDARD=LVDCI_33; +Net fpga_0_SRAM_Mem_DQ_pin<18> LOC=K9 | PULLDOWN | IOSTANDARD=LVDCI_33; +Net fpga_0_SRAM_Mem_DQ_pin<19> LOC=B13 | PULLDOWN | IOSTANDARD=LVDCI_33; +Net fpga_0_SRAM_Mem_DQ_pin<20> LOC=C13 | PULLDOWN | IOSTANDARD=LVDCI_33; +Net fpga_0_SRAM_Mem_DQ_pin<21> LOC=G11 | PULLDOWN | IOSTANDARD=LVDCI_33; +Net fpga_0_SRAM_Mem_DQ_pin<22> LOC=G12 | PULLDOWN | IOSTANDARD=LVDCI_33; +Net fpga_0_SRAM_Mem_DQ_pin<23> LOC=M8 | PULLDOWN | IOSTANDARD=LVDCI_33; +Net fpga_0_SRAM_Mem_DQ_pin<24> LOC=L8 | PULLDOWN | IOSTANDARD=LVDCI_33; +Net fpga_0_SRAM_Mem_DQ_pin<25> LOC=F11 | PULLDOWN | IOSTANDARD=LVDCI_33; +Net fpga_0_SRAM_Mem_DQ_pin<26> LOC=E11 | PULLDOWN | IOSTANDARD=LVDCI_33; +Net fpga_0_SRAM_Mem_DQ_pin<27> LOC=M10 | PULLDOWN | IOSTANDARD=LVDCI_33; +Net fpga_0_SRAM_Mem_DQ_pin<28> LOC=L9 | PULLDOWN | IOSTANDARD=LVDCI_33; +Net fpga_0_SRAM_Mem_DQ_pin<29> LOC=E12 | PULLDOWN | IOSTANDARD=LVDCI_33; +Net fpga_0_SRAM_Mem_DQ_pin<30> LOC=E13 | PULLDOWN | IOSTANDARD=LVDCI_33; +Net fpga_0_SRAM_Mem_DQ_pin<31> LOC=N10 | PULLDOWN | IOSTANDARD=LVDCI_33; +Net fpga_0_SRAM_ZBT_CLK_OUT_pin LOC=G8 | SLEW = FAST | DRIVE = 12 | IOSTANDARD=LVCMOS33; +Net fpga_0_SRAM_ZBT_CLK_FB_pin LOC=AG21 | IOSTANDARD=LVCMOS33; +Net fpga_0_PCIe_Bridge_RXN_pin LOC=AF1 | IOSTANDARD = LVDS_25; +Net fpga_0_PCIe_Bridge_RXP_pin LOC=AE1 | IOSTANDARD = LVDS_25; +Net fpga_0_PCIe_Bridge_TXN_pin LOC=AE2 | IOSTANDARD = LVDS_25; +Net fpga_0_PCIe_Bridge_TXP_pin LOC=AD2 | IOSTANDARD = LVDS_25; +Net "pcie_bridge/*SPLB_Clk" TNM_NET = "SPLB_Clk"; +Net "pcie_bridge/*Bridge_Clk" TNM_NET = "Bridge_Clk"; + +## Timing constraints between clock-domain boundaries +# +TIMESPEC "TS_PLB_PCIe" = FROM "SPLB_Clk" TO "Bridge_Clk" 8 ns datapathonly; +TIMESPEC "TS_PCIe_PLB" = FROM "Bridge_Clk" TO "SPLB_Clk" 8 ns datapathonly; + +Net fpga_0_Ethernet_MAC_PHY_tx_clk_pin LOC=K17 | IOSTANDARD = LVCMOS25; +Net fpga_0_Ethernet_MAC_PHY_rx_clk_pin LOC=H17 | IOSTANDARD = LVCMOS25; +Net fpga_0_Ethernet_MAC_PHY_crs_pin LOC=E34 | IOSTANDARD = LVCMOS25; +Net fpga_0_Ethernet_MAC_PHY_dv_pin LOC=E32 | IOSTANDARD = LVCMOS25; +Net fpga_0_Ethernet_MAC_PHY_rx_data_pin<0> LOC=A33 | IOSTANDARD = LVCMOS25; +Net fpga_0_Ethernet_MAC_PHY_rx_data_pin<1> LOC=B33 | IOSTANDARD = LVCMOS25; +Net fpga_0_Ethernet_MAC_PHY_rx_data_pin<2> LOC=C33 | IOSTANDARD = LVCMOS25; +Net fpga_0_Ethernet_MAC_PHY_rx_data_pin<3> LOC=C32 | IOSTANDARD = LVCMOS25; +Net fpga_0_Ethernet_MAC_PHY_col_pin LOC=B32 | IOSTANDARD = LVCMOS25; +Net fpga_0_Ethernet_MAC_PHY_rx_er_pin LOC=E33 | IOSTANDARD = LVCMOS25; +Net fpga_0_Ethernet_MAC_PHY_rst_n_pin LOC=J14 | IOSTANDARD = LVCMOS25 | TIG; +Net fpga_0_Ethernet_MAC_PHY_tx_en_pin LOC=AJ10 | IOSTANDARD = LVDCI_33; +Net fpga_0_Ethernet_MAC_PHY_tx_data_pin<3> LOC=AH10 | IOSTANDARD = LVDCI_33; +Net fpga_0_Ethernet_MAC_PHY_tx_data_pin<2> LOC=AH9 | IOSTANDARD = LVDCI_33; +Net fpga_0_Ethernet_MAC_PHY_tx_data_pin<1> LOC=AE11 | IOSTANDARD = LVDCI_33; +Net fpga_0_Ethernet_MAC_PHY_tx_data_pin<0> LOC=AF11 | IOSTANDARD = LVDCI_33; +Net fpga_0_Ethernet_MAC_MDINT_pin LOC=H20 | IOSTANDARD = LVCMOS25 | TIG; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<0> LOC=AF30 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<1> LOC=AK31 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<2> LOC=AF31 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<3> LOC=AD30 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<4> LOC=AJ30 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<5> LOC=AF29 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<6> LOC=AD29 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<7> LOC=AE29 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<8> LOC=AH27 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<9> LOC=AF28 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<10> LOC=AH28 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<11> LOC=AA28 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<12> LOC=AG25 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<13> LOC=AJ26 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<14> LOC=AG28 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<15> LOC=AB28 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<16> LOC=AC28 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<17> LOC=AB25 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<18> LOC=AC27 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<19> LOC=AA26 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<20> LOC=AB26 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<21> LOC=AA24 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<22> LOC=AB27 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<23> LOC=AA25 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<24> LOC=AC29 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<25> LOC=AB30 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<26> LOC=W31 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<27> LOC=V30 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<28> LOC=AC30 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<29> LOC=W29 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<30> LOC=V27 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<31> LOC=W27 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<32> LOC=V29 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<33> LOC=Y27 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<34> LOC=Y26 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<35> LOC=W24 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<36> LOC=V28 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<37> LOC=W25 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<38> LOC=W26 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<39> LOC=V24 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<40> LOC=R24 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<41> LOC=P25 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<42> LOC=N24 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<43> LOC=P26 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<44> LOC=T24 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<45> LOC=N25 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<46> LOC=P27 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<47> LOC=N28 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<48> LOC=M28 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<49> LOC=L28 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<50> LOC=F25 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<51> LOC=H25 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<52> LOC=K27 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<53> LOC=K28 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<54> LOC=H24 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<55> LOC=G26 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<56> LOC=G25 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<57> LOC=M26 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<58> LOC=J24 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<59> LOC=L26 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<60> LOC=J27 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<61> LOC=M25 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<62> LOC=L25 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQ_pin<63> LOC=L24 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQS_pin<0> LOC=AA29 | IOSTANDARD = DIFF_SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQS_pin<1> LOC=AK28 | IOSTANDARD = DIFF_SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQS_pin<2> LOC=AK26 | IOSTANDARD = DIFF_SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQS_pin<3> LOC=AB31 | IOSTANDARD = DIFF_SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQS_pin<4> LOC=Y28 | IOSTANDARD = DIFF_SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQS_pin<5> LOC=E26 | IOSTANDARD = DIFF_SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQS_pin<6> LOC=H28 | IOSTANDARD = DIFF_SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQS_pin<7> LOC=G27 | IOSTANDARD = DIFF_SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQS_N_pin<0> LOC=AA30 | IOSTANDARD = DIFF_SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQS_N_pin<1> LOC=AK27 | IOSTANDARD = DIFF_SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQS_N_pin<2> LOC=AJ27 | IOSTANDARD = DIFF_SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQS_N_pin<3> LOC=AA31 | IOSTANDARD = DIFF_SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQS_N_pin<4> LOC=Y29 | IOSTANDARD = DIFF_SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQS_N_pin<5> LOC=E27 | IOSTANDARD = DIFF_SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQS_N_pin<6> LOC=G28 | IOSTANDARD = DIFF_SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DQS_N_pin<7> LOC=H27 | IOSTANDARD = DIFF_SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_A_pin<0> LOC=L30 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_A_pin<1> LOC=M30 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_A_pin<2> LOC=N29 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_A_pin<3> LOC=P29 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_A_pin<4> LOC=K31 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_A_pin<5> LOC=L31 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_A_pin<6> LOC=P31 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_A_pin<7> LOC=P30 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_A_pin<8> LOC=M31 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_A_pin<9> LOC=R28 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_A_pin<10> LOC=J31 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_A_pin<11> LOC=R29 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_A_pin<12> LOC=T31 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_BA_pin<0> LOC=G31 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_BA_pin<1> LOC=J30 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_RAS_N_pin LOC=H30 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_CAS_N_pin LOC=E31 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_WE_N_pin LOC=K29 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_CS_N_pin LOC=L29 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_ODT_pin<0> LOC=F31 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_ODT_pin<1> LOC=F30 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_CKE_pin LOC=T28 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DM_pin<0> LOC=AJ31 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DM_pin<1> LOC=AE28 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DM_pin<2> LOC=Y24 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DM_pin<3> LOC=Y31 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DM_pin<4> LOC=V25 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DM_pin<5> LOC=P24 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DM_pin<6> LOC=F26 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_DM_pin<7> LOC=J25 | IOSTANDARD = SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_CK_pin<0> LOC=AK29 | IOSTANDARD = DIFF_SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_CK_pin<1> LOC=E28 | IOSTANDARD = DIFF_SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_CK_N_pin<0> LOC=AJ29 | IOSTANDARD = DIFF_SSTL18_II; +Net fpga_0_DDR2_SDRAM_DDR2_CK_N_pin<1> LOC=F28 | IOSTANDARD = DIFF_SSTL18_II; +############################################################################ +# +# PPC440MC_DDR2 BRAM Location Constraints +# +############################################################################ + +##------------------------------------------------------------------------------ +## MIG 2.0 Constraints +##------------------------------------------------------------------------------ +########################################################################### +## Define multicycle paths - these paths may take longer because additional +## time allowed for logic to settle in calibration/initialization FSM +########################################################################### + +NET "DDR2_SDRAM*/mc_mibclk" TNM = FFS "TNM_CLK0"; +NET "DDR2_SDRAM*/mi_mcclk90" TNM = FFS "TNM_CLK90"; + +NET "DDR2_SDRAM*/mc_mibclk" TNM_NET = "mc_clk"; +TIMESPEC "TS_MC_CLK" = PERIOD "mc_clk" 5.000 ns; + + +## MUX Select for either rising/falling CLK0 for 2nd stage read capture +INST "*/u_phy_calib/gen_rd_data_sel*.u_ff_rd_data_sel" TNM = "TNM_RD_DATA_SEL"; +TIMESPEC "TS_MC_RD_DATA_SEL" = FROM "TNM_RD_DATA_SEL" TO "TNM_CLK0" +"TS_clk_div_slow_0_clk_div_slow_0_DDR2_CLK_i" * 4; + +## Calibration/Initialization complete status flag (for PHY logic only) +INST "*/u_phy_init/u_ff_phy_init_data_sel" TNM = "TNM_PHY_INIT_DATA_SEL"; +TIMESPEC "TS_MC_PHY_INIT_DATA_SEL_0" = FROM "TNM_PHY_INIT_DATA_SEL" TO + "TNM_CLK0" +"TS_MC_CLK" * 4; + +TIMESPEC "TS_MC_PHY_INIT_DATA_SEL_90" = FROM "TNM_PHY_INIT_DATA_SEL" TO + "TNM_CLK90" "TS_MC_CLK" * 4; + +## Select (address) bits for SRL32 shift registers used in stage3/stage4 +## calibration +INST "*/u_phy_calib/gen_gate_dly*.u_ff_gate_dly" TNM = "TNM_GATE_DLY"; +TIMESPEC "TS_MC_GATE_DLY" = FROM "TNM_GATE_DLY" TO "TNM_CLK0" +"TS_MC_CLK" * 4; + +INST "*/u_phy_calib/gen_rden_dly*.u_ff_rden_dly" TNM = "TNM_RDEN_DLY"; +TIMESPEC "TS_MC_RDEN_DLY" = FROM "TNM_RDEN_DLY" TO "TNM_CLK0" +"TS_MC_CLK" * 4; + +INST "*/u_phy_calib/gen_cal_rden_dly*.u_ff_cal_rden_dly" + TNM = "TNM_CAL_RDEN_DLY"; +TIMESPEC "TS_MC_CAL_RDEN_DLY" = FROM "TNM_CAL_RDEN_DLY" TO "TNM_CLK0" +"TS_MC_CLK" * 4; + +## MUX select for read data - optional delay on data to account for byte skews +INST "*/usr_rd/gen_rden_sel_mux*.u_ff_rden_sel_mux" TNM = "TNM_RDEN_SEL_MUX"; +TIMESPEC "TS_MC_RDEN_SEL_MUX" = FROM "TNM_RDEN_SEL_MUX" TO "TNM_CLK0" +"TS_MC_CLK" * 4; + +########################################################################### +## LOC placment of DQS-squelch related IDDR and IDELAY elements +## Each circuit can be located at any of the following locations: +## 1. Ununsed "N"-side of DQS diff pair I/O +## 2. DM data mask (output only, input side is free for use) +## 3. Any output-only site +########################################################################### + +INST "*/gen_dqs[0].u_iob_dqs/u_iddr_dq_ce" LOC = "ILOGIC_X0Y96"; +INST "*/gen_dqs[0].u_iob_dqs/u_iodelay_dq_ce" LOC = "IODELAY_X0Y96"; +INST "*/gen_dqs[1].u_iob_dqs/u_iddr_dq_ce" LOC = "ILOGIC_X0Y58"; +INST "*/gen_dqs[1].u_iob_dqs/u_iodelay_dq_ce" LOC = "IODELAY_X0Y58"; +INST "*/gen_dqs[2].u_iob_dqs/u_iddr_dq_ce" LOC = "ILOGIC_X0Y62"; +INST "*/gen_dqs[2].u_iob_dqs/u_iodelay_dq_ce" LOC = "IODELAY_X0Y62"; +INST "*/gen_dqs[3].u_iob_dqs/u_iddr_dq_ce" LOC = "ILOGIC_X0Y100"; +INST "*/gen_dqs[3].u_iob_dqs/u_iodelay_dq_ce" LOC = "IODELAY_X0Y100"; +INST "*/gen_dqs[4].u_iob_dqs/u_iddr_dq_ce" LOC = "ILOGIC_X0Y102"; +INST "*/gen_dqs[4].u_iob_dqs/u_iodelay_dq_ce" LOC = "IODELAY_X0Y102"; +INST "*/gen_dqs[5].u_iob_dqs/u_iddr_dq_ce" LOC = "ILOGIC_X0Y256"; +INST "*/gen_dqs[5].u_iob_dqs/u_iodelay_dq_ce" LOC = "IODELAY_X0Y256"; +INST "*/gen_dqs[6].u_iob_dqs/u_iddr_dq_ce" LOC = "ILOGIC_X0Y260"; +INST "*/gen_dqs[6].u_iob_dqs/u_iodelay_dq_ce" LOC = "IODELAY_X0Y260"; +INST "*/gen_dqs[7].u_iob_dqs/u_iddr_dq_ce" LOC = "ILOGIC_X0Y262"; +INST "*/gen_dqs[7].u_iob_dqs/u_iodelay_dq_ce" LOC = "IODELAY_X0Y262"; + +########################################################################### +## DQS Squelch-related timing constraints +########################################################################### + +########################################################################### +## Half-cycle path constraint from IDDR to CE pin for all DQ IDDRs +## for DQS Read Postamble Glitch Squelch circuit +########################################################################### +## Max delay from output of IDDR to CE input of DQ IDDRs = tRPST + some slack +## where slack account for rise-time of DQS on board. For now assume slack = +## 0.400ns (based on initial SPICE simulations, assumes use of ODT), so +## time = 0.4*Tcyc + 0.40ns = 1.6ns @333MHz +INST "*/gen_dqs[*].u_iob_dqs/u_iddr_dq_ce" TNM = "TNM_DQ_CE_IDDR"; +INST "*/gen_dq[*].u_iob_dq/gen_stg2_*.u_iddr_dq" TNM = "TNM_DQS_FLOPS"; +TIMESPEC "TS_DQ_CE" = FROM "TNM_DQ_CE_IDDR" TO "TNM_DQS_FLOPS" 1.9 ns; + +########################################################################### +## LOC and timing constraints for flop driving DQS CE enable signal +## from fabric logic. Even though the absolute delay on this path is +## calibrated out (when synchronizing this output to DQS), the delay +## should still be kept as low as possible to reduce post-calibration +## voltage/temp variations - these are roughly proportional to the +## absolute delay of the path +########################################################################### + +INST "*/u_phy_calib/gen_gate[0].u_en_dqs_ff" LOC = SLICE_X0Y48; +INST "*/u_phy_calib/gen_gate[1].u_en_dqs_ff" LOC = SLICE_X0Y29; +INST "*/u_phy_calib/gen_gate[2].u_en_dqs_ff" LOC = SLICE_X0Y31; +INST "*/u_phy_calib/gen_gate[3].u_en_dqs_ff" LOC = SLICE_X0Y50; +INST "*/u_phy_calib/gen_gate[4].u_en_dqs_ff" LOC = SLICE_X0Y51; +INST "*/u_phy_calib/gen_gate[5].u_en_dqs_ff" LOC = SLICE_X0Y128; +INST "*/u_phy_calib/gen_gate[6].u_en_dqs_ff" LOC = SLICE_X0Y130; +INST "*/u_phy_calib/gen_gate[7].u_en_dqs_ff" LOC = SLICE_X0Y131; + +########################################################################### +## Control for DQS gate - from fabric flop. Prevent runaway delay - +## two parts to this path: (1) from fabric flop to IDELAY, (2) from +## IDELAY to asynchronous reset of IDDR that drives the DQ CEs +## A single number is used for all speed grades - value based on 333MHz. +## This can be relaxed for lower frequencies. +########################################################################### + +NET "*/u_phy_io/en_dqs*" MAXDELAY = 600 ps; +NET "*/u_phy_io/gen_dqs*.u_iob_dqs/en_dqs_sync" MAXDELAY = 850 ps; + +########################################################################### + +INST "*/gen_dq[0].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y42; +INST "*/gen_dq[1].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y43; +INST "*/gen_dq[2].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y45; +INST "*/gen_dq[3].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y46; +INST "*/gen_dq[4].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y41; +INST "*/gen_dq[5].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y42; +INST "*/gen_dq[6].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y44; +INST "*/gen_dq[7].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y44; +INST "*/gen_dq[8].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y28; +INST "*/gen_dq[9].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y32; +INST "*/gen_dq[10].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y33; +INST "*/gen_dq[11].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y34; +INST "*/gen_dq[12].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y26; +INST "*/gen_dq[13].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y28; +INST "*/gen_dq[14].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y33; +INST "*/gen_dq[15].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y34; +INST "*/gen_dq[16].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y35; +INST "*/gen_dq[17].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y36; +INST "*/gen_dq[18].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y38; +INST "*/gen_dq[19].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y39; +INST "*/gen_dq[20].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y36; +INST "*/gen_dq[21].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y37; +INST "*/gen_dq[22].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y38; +INST "*/gen_dq[23].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y39; +INST "*/gen_dq[24].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y46; +INST "*/gen_dq[25].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y49; +INST "*/gen_dq[26].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y53; +INST "*/gen_dq[27].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y55; +INST "*/gen_dq[28].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y49; +INST "*/gen_dq[29].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y52; +INST "*/gen_dq[30].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y54; +INST "*/gen_dq[31].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y56; +INST "*/gen_dq[32].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y52; +INST "*/gen_dq[33].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y56; +INST "*/gen_dq[34].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y58; +INST "*/gen_dq[35].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y59; +INST "*/gen_dq[36].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y54; +INST "*/gen_dq[37].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y57; +INST "*/gen_dq[38].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y58; +INST "*/gen_dq[39].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y59; +INST "*/gen_dq[40].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y120; +INST "*/gen_dq[41].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y121; +INST "*/gen_dq[42].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y122; +INST "*/gen_dq[43].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y123; +INST "*/gen_dq[44].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y120; +INST "*/gen_dq[45].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y121; +INST "*/gen_dq[46].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y123; +INST "*/gen_dq[47].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y124; +INST "*/gen_dq[48].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y124; +INST "*/gen_dq[49].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y126; +INST "*/gen_dq[50].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y132; +INST "*/gen_dq[51].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y133; +INST "*/gen_dq[52].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y125; +INST "*/gen_dq[53].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y126; +INST "*/gen_dq[54].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y133; +INST "*/gen_dq[55].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y134; +INST "*/gen_dq[56].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y134; +INST "*/gen_dq[57].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y136; +INST "*/gen_dq[58].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y137; +INST "*/gen_dq[59].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y138; +INST "*/gen_dq[60].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y135; +INST "*/gen_dq[61].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y136; +INST "*/gen_dq[62].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y138; +INST "*/gen_dq[63].u_iob_dq/gen_stg2_*.u_ff_stg2a_rise" RLOC_ORIGIN = X0Y139; + + +INST "DDR2_SDRAM/*/*u_rdf" LOC = RAMB36_X0Y19; +INST "DDR2_SDRAM/*/*u_rdf1" LOC = RAMB36_X0Y18; +INST "DDR2_SDRAM/*/*gen_wdf[0]*u_wdf" LOC = RAMB36_X0Y17; +INST "DDR2_SDRAM/*/*gen_wdf[1]*u_wdf" LOC = RAMB36_X0Y16; + + +############################################################################### + +# Prevent unrelated logic from being packed into any slices used + +# by read data capture RPM's - if unrelated logic gets packed into + +# these slices, it could cause the DIRT strings that define the + +# IDDR -> fabric flop routing to become unroutable during PAR stage + +# (unrelated logic may require routing resources required by the + +# DIRT strings - MAP does not currently take into account DIRT + +# strings when placing logic + +############################################################################### + +AREA_GROUP "DDR_CAPTURE_FFS" GROUP = CLOSED; + + + +Net fpga_0_SysACE_CompactFlash_SysACE_MPA_pin<0> LOC=G5 | IOSTANDARD = LVCMOS33; +Net fpga_0_SysACE_CompactFlash_SysACE_MPA_pin<1> LOC=N7 | IOSTANDARD = LVCMOS33; +Net fpga_0_SysACE_CompactFlash_SysACE_MPA_pin<2> LOC=N5 | IOSTANDARD = LVCMOS33; +Net fpga_0_SysACE_CompactFlash_SysACE_MPA_pin<3> LOC=P5 | IOSTANDARD = LVCMOS33; +Net fpga_0_SysACE_CompactFlash_SysACE_MPA_pin<4> LOC=R6 | IOSTANDARD = LVCMOS33; +Net fpga_0_SysACE_CompactFlash_SysACE_MPA_pin<5> LOC=M6 | IOSTANDARD = LVCMOS33; +Net fpga_0_SysACE_CompactFlash_SysACE_MPA_pin<6> LOC=L6 | IOSTANDARD = LVCMOS33; +Net fpga_0_SysACE_CompactFlash_SysACE_CLK_pin LOC=AH17 | IOSTANDARD = LVCMOS33 | PERIOD = 30000 ps; +Net fpga_0_SysACE_CompactFlash_SysACE_MPIRQ_pin LOC=M7 | IOSTANDARD = LVCMOS33 | TIG; +Net fpga_0_SysACE_CompactFlash_SysACE_CEN_pin LOC=M5 | IOSTANDARD = LVCMOS33; +Net fpga_0_SysACE_CompactFlash_SysACE_OEN_pin LOC=N8 | IOSTANDARD = LVCMOS33; +Net fpga_0_SysACE_CompactFlash_SysACE_WEN_pin LOC=R9 | IOSTANDARD = LVCMOS33; +Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<0> LOC=P9 | IOSTANDARD = LVCMOS33; +Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<1> LOC=T8 | IOSTANDARD = LVCMOS33; +Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<2> LOC=J7 | IOSTANDARD = LVCMOS33; +Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<3> LOC=H7 | IOSTANDARD = LVCMOS33; +Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<4> LOC=R7 | IOSTANDARD = LVCMOS33; +Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<5> LOC=U7 | IOSTANDARD = LVCMOS33; +Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<6> LOC=P7 | IOSTANDARD = LVCMOS33; +Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<7> LOC=P6 | IOSTANDARD = LVCMOS33; +Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<8> LOC=R8 | IOSTANDARD = LVCMOS33; +Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<9> LOC=L5 | IOSTANDARD = LVCMOS33; +Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<10> LOC=L4 | IOSTANDARD = LVCMOS33; +Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<11> LOC=K6 | IOSTANDARD = LVCMOS33; +Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<12> LOC=J5 | IOSTANDARD = LVCMOS33; +Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<13> LOC=T6 | IOSTANDARD = LVCMOS33; +Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<14> LOC=K7 | IOSTANDARD = LVCMOS33; +Net fpga_0_SysACE_CompactFlash_SysACE_MPD_pin<15> LOC=J6 | IOSTANDARD = LVCMOS33; +Net fpga_0_clk_1_sys_clk_pin TNM_NET = sys_clk_pin; +TIMESPEC TS_sys_clk_pin = PERIOD sys_clk_pin 100000 kHz; +Net fpga_0_clk_1_sys_clk_pin LOC = AH15 | IOSTANDARD=LVCMOS33; +Net fpga_0_rst_1_sys_rst_pin TIG; +Net fpga_0_rst_1_sys_rst_pin LOC = E9 | IOSTANDARD=LVCMOS33 | PULLUP; +Net fpga_0_PCIe_Diff_Clk_IBUF_DS_P_pin LOC=AF4 | IOSTANDARD = LVDS_25; +Net fpga_0_PCIe_Diff_Clk_IBUF_DS_N_pin LOC=AF3 | IOSTANDARD = LVDS_25; diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/etc/bitgen.ut b/Demo/PPC440_Xilinx_Virtex5_GCC/etc/bitgen.ut new file mode 100644 index 000000000..9bdebfcf7 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/etc/bitgen.ut @@ -0,0 +1,14 @@ +-g TdoPin:PULLNONE +-g DriveDone:No +-g StartUpClk:JTAGCLK +-g DONE_cycle:4 +-g GTS_cycle:5 +-g TckPin:PULLUP +-g TdiPin:PULLUP +-g TmsPin:PULLUP +-g DonePipe:No +-g GWE_cycle:6 +-g LCK_cycle:NoWait +-g Security:NONE +-g Persist:No + diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/etc/download.cmd b/Demo/PPC440_Xilinx_Virtex5_GCC/etc/download.cmd new file mode 100644 index 000000000..f46037346 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/etc/download.cmd @@ -0,0 +1,6 @@ +setMode -bscan +setCable -p auto +identify +assignfile -p 5 -file implementation/download.bit +program -p 5 +quit diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/etc/fast_runtime.opt b/Demo/PPC440_Xilinx_Virtex5_GCC/etc/fast_runtime.opt new file mode 100644 index 000000000..52396f17f --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/etc/fast_runtime.opt @@ -0,0 +1,83 @@ +FLOWTYPE = FPGA; +############################################################### +## Filename: fast_runtime.opt +## +## Option File For Xilinx FPGA Implementation Flow for Fast +## Runtime. +## +## Version: 4.1.1 +############################################################### +# +# Options for Translator +# +# Type "ngdbuild -h" for a detailed list of ngdbuild command line options +# +Program ngdbuild +-p ; # Partname to use - picked from xflow commandline +-nt timestamp; # NGO File generation. Regenerate only when + # source netlist is newer than existing + # NGO file (default) +-bm .bmm # Block RAM memory map file +; # User design - pick from xflow command line +-uc .ucf; # ucf constraints +.ngd; # Name of NGD file. Filebase same as design filebase +End Program ngdbuild + +# +# Options for Mapper +# +# Type "map -h " for a detailed list of map command line options +# +Program map +-o _map.ncd; # Output Mapped ncd file +-w; # Overwrite output files. +-pr b; # Pack internal FF/latches into IOBs +#-fp .mfp; # Floorplan file +-ol high; +-timing; +.ngd; # Input NGD file +.pcf; # Physical constraints file +END Program map + +# +# Options for Post Map Trace +# +# Type "trce -h" for a detailed list of trce command line options +# +Program post_map_trce +-e 3; # Produce error report limited to 3 items per constraint +#-o _map.twr; # Output trace report file +-xml _map.twx; # Output XML version of the timing report +#-tsi _map.tsi; # Produce Timing Specification Interaction report +_map.ncd; # Input mapped ncd +.pcf; # Physical constraints file +END Program post_map_trce + +# +# Options for Place and Route +# +# Type "par -h" for a detailed list of par command line options +# +Program par +-w; # Overwrite existing placed and routed ncd +-ol high; # Overall effort level +_map.ncd; # Input mapped NCD file +.ncd; # Output placed and routed NCD +.pcf; # Input physical constraints file +END Program par + +# +# Options for Post Par Trace +# +# Type "trce -h" for a detailed list of trce command line options +# +Program post_par_trce +-e 3; # Produce error report limited to 3 items per constraint +#-o .twr; # Output trace report file +-xml .twx; # Output XML version of the timing report +#-tsi .tsi; # Produce Timing Specification Interaction report +.ncd; # Input placed and routed ncd +.pcf; # Physical constraints file +END Program post_par_trce + + diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/etc/xmd_ppc440_0.opt b/Demo/PPC440_Xilinx_Virtex5_GCC/etc/xmd_ppc440_0.opt new file mode 100644 index 000000000..65076f3a8 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/etc/xmd_ppc440_0.opt @@ -0,0 +1 @@ +connect ppc hw -debugdevice cpunr 1 diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/platgen.opt b/Demo/PPC440_Xilinx_Virtex5_GCC/platgen.opt new file mode 100644 index 000000000..d8575bc54 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/platgen.opt @@ -0,0 +1,7 @@ +-p +xc5vfx70tff1136-1 +-lang +vhdl +-msg +__xps/ise/xmsgprops.lst +system.mhs diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/psf2Edward.log b/Demo/PPC440_Xilinx_Virtex5_GCC/psf2Edward.log new file mode 100644 index 000000000..fb1007f55 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/psf2Edward.log @@ -0,0 +1,45 @@ +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\Temp\WA00101_002\WA00101_002\FreeRTOS\Demo\PPC440_Xilinx_Virtex5_GCC\syste + m.mhs line 251 - deprecated core for architecture 'virtex5fx'! +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - + C:\Temp\WA00101_002\WA00101_002\FreeRTOS\Demo\PPC440_Xilinx_Virtex5_GCC\syste + m.mhs line 296 - deprecated core for architecture 'virtex5fx'! +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\Temp\WA00101_002\WA00101_002\FreeRTOS\Demo\PPC440_Xilinx_Virtex5_GCC\syste + m.mhs line 251 - deprecated core for architecture 'virtex5fx'! +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - + C:\Temp\WA00101_002\WA00101_002\FreeRTOS\Demo\PPC440_Xilinx_Virtex5_GCC\syste + m.mhs line 296 - deprecated core for architecture 'virtex5fx'! + +Checking platform configuration ... +IPNAME:plb_v46 INSTANCE:plb_v46_0 - +C:\Temp\WA00101_002\WA00101_002\FreeRTOS\Demo\PPC440_Xilinx_Virtex5_GCC\system.m +hs line 107 - 1 master(s) : 12 slave(s) +IPNAME:plb_v46 INSTANCE:ppc440_0_SPLB0 - +C:\Temp\WA00101_002\WA00101_002\FreeRTOS\Demo\PPC440_Xilinx_Virtex5_GCC\system.m +hs line 288 - 1 master(s) : 1 slave(s) + +Checking port drivers... +WARNING:EDK:2099 - PORT:Peripheral_Reset CONNECTOR:sys_periph_reset - + C:\Temp\WA00101_002\WA00101_002\FreeRTOS\Demo\PPC440_Xilinx_Virtex5_GCC\syste + m.mhs line 446 - floating connection! + +Performing Clock DRCs... + +Performing Reset DRCs... + +Overriding system level properties... + +Running system level update procedures... + +Running UPDATE Tcl procedures for OPTION SYSLEVEL_UPDATE_PROC... + +Running system level DRCs... + +Performing System level DRCs on properties... + +Running DRC Tcl procedures for OPTION SYSLEVEL_DRC_PROC... +WARNING:EDK:494 - + C:\Temp\WA00101_002\WA00101_002\FreeRTOS\Demo\PPC440_Xilinx_Virtex5_GCC\synth + esis\ not found. +WARNING:EDK:2530 - Timing and Resource utilization information not added diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/revup/system_mhs.11.1 b/Demo/PPC440_Xilinx_Virtex5_GCC/revup/system_mhs.11.1 new file mode 100644 index 000000000..886583480 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/revup/system_mhs.11.1 @@ -0,0 +1,458 @@ + +# ############################################################################## +# Created by Base System Builder Wizard for Xilinx EDK 11.1 Build EDK_L.29.1 +# Thu Jun 11 19:28:07 2009 +# Target Board: Xilinx Virtex 5 ML507 Evaluation Platform Rev A +# Family: virtex5 +# Device: xc5vfx70t +# Package: ff1136 +# Speed Grade: -1 +# Processor number: 1 +# Processor 1: ppc440_0 +# Processor clock frequency: 125.0 +# Bus clock frequency: 125.0 +# Debug Interface: FPGA JTAG +# ############################################################################## + PARAMETER VERSION = 2.1.0 + + + PORT fpga_0_RS232_Uart_1_RX_pin = fpga_0_RS232_Uart_1_RX_pin, DIR = I + PORT fpga_0_RS232_Uart_1_TX_pin = fpga_0_RS232_Uart_1_TX_pin, DIR = O + PORT fpga_0_LEDs_8Bit_GPIO_IO_pin = fpga_0_LEDs_8Bit_GPIO_IO_pin, DIR = IO, VEC = [0:7] + PORT fpga_0_LEDs_Positions_GPIO_IO_pin = fpga_0_LEDs_Positions_GPIO_IO_pin, DIR = IO, VEC = [0:4] + PORT fpga_0_Push_Buttons_5Bit_GPIO_IO_pin = fpga_0_Push_Buttons_5Bit_GPIO_IO_pin, DIR = IO, VEC = [0:4] + PORT fpga_0_DIP_Switches_8Bit_GPIO_IO_pin = fpga_0_DIP_Switches_8Bit_GPIO_IO_pin, DIR = IO, VEC = [0:7] + PORT fpga_0_IIC_EEPROM_Sda_pin = fpga_0_IIC_EEPROM_Sda_pin, DIR = IO + PORT fpga_0_IIC_EEPROM_Scl_pin = fpga_0_IIC_EEPROM_Scl_pin, DIR = IO + PORT fpga_0_SRAM_Mem_A_pin = fpga_0_SRAM_Mem_A_pin_vslice_7_30_concat, DIR = O, VEC = [7:30] + PORT fpga_0_SRAM_Mem_CEN_pin = fpga_0_SRAM_Mem_CEN_pin, DIR = O + PORT fpga_0_SRAM_Mem_OEN_pin = fpga_0_SRAM_Mem_OEN_pin, DIR = O + PORT fpga_0_SRAM_Mem_WEN_pin = fpga_0_SRAM_Mem_WEN_pin, DIR = O + PORT fpga_0_SRAM_Mem_BEN_pin = fpga_0_SRAM_Mem_BEN_pin, DIR = O, VEC = [0:3] + PORT fpga_0_SRAM_Mem_ADV_LDN_pin = fpga_0_SRAM_Mem_ADV_LDN_pin, DIR = O + PORT fpga_0_SRAM_Mem_DQ_pin = fpga_0_SRAM_Mem_DQ_pin, DIR = IO, VEC = [0:31] + PORT fpga_0_SRAM_ZBT_CLK_OUT_pin = SRAM_CLK_OUT_s, DIR = O + PORT fpga_0_SRAM_ZBT_CLK_FB_pin = SRAM_CLK_FB_s, DIR = I, SIGIS = CLK, CLK_FREQ = 125000000 + PORT fpga_0_PCIe_Bridge_RXN_pin = fpga_0_PCIe_Bridge_RXN_pin, DIR = I + PORT fpga_0_PCIe_Bridge_RXP_pin = fpga_0_PCIe_Bridge_RXP_pin, DIR = I + PORT fpga_0_PCIe_Bridge_TXN_pin = fpga_0_PCIe_Bridge_TXN_pin, DIR = O + PORT fpga_0_PCIe_Bridge_TXP_pin = fpga_0_PCIe_Bridge_TXP_pin, DIR = O + PORT fpga_0_Ethernet_MAC_PHY_tx_clk_pin = fpga_0_Ethernet_MAC_PHY_tx_clk_pin, DIR = I + PORT fpga_0_Ethernet_MAC_PHY_rx_clk_pin = fpga_0_Ethernet_MAC_PHY_rx_clk_pin, DIR = I + PORT fpga_0_Ethernet_MAC_PHY_crs_pin = fpga_0_Ethernet_MAC_PHY_crs_pin, DIR = I + PORT fpga_0_Ethernet_MAC_PHY_dv_pin = fpga_0_Ethernet_MAC_PHY_dv_pin, DIR = I + PORT fpga_0_Ethernet_MAC_PHY_rx_data_pin = fpga_0_Ethernet_MAC_PHY_rx_data_pin, DIR = I, VEC = [3:0] + PORT fpga_0_Ethernet_MAC_PHY_col_pin = fpga_0_Ethernet_MAC_PHY_col_pin, DIR = I + PORT fpga_0_Ethernet_MAC_PHY_rx_er_pin = fpga_0_Ethernet_MAC_PHY_rx_er_pin, DIR = I + PORT fpga_0_Ethernet_MAC_PHY_rst_n_pin = fpga_0_Ethernet_MAC_PHY_rst_n_pin, DIR = O + PORT fpga_0_Ethernet_MAC_PHY_tx_en_pin = fpga_0_Ethernet_MAC_PHY_tx_en_pin, DIR = O + PORT fpga_0_Ethernet_MAC_PHY_tx_data_pin = fpga_0_Ethernet_MAC_PHY_tx_data_pin, DIR = O, VEC = [3:0] + PORT fpga_0_Ethernet_MAC_MDINT_pin = fpga_0_Ethernet_MAC_MDINT_pin, DIR = I, SIGIS = INTERRUPT, SENSITIVITY = LEVEL_LOW, INTERRUPT_PRIORITY = MEDIUM + PORT fpga_0_DDR2_SDRAM_DDR2_DQ_pin = fpga_0_DDR2_SDRAM_DDR2_DQ_pin, DIR = IO, VEC = [63:0] + PORT fpga_0_DDR2_SDRAM_DDR2_DQS_pin = fpga_0_DDR2_SDRAM_DDR2_DQS_pin, DIR = IO, VEC = [7:0] + PORT fpga_0_DDR2_SDRAM_DDR2_DQS_N_pin = fpga_0_DDR2_SDRAM_DDR2_DQS_N_pin, DIR = IO, VEC = [7:0] + PORT fpga_0_DDR2_SDRAM_DDR2_A_pin = fpga_0_DDR2_SDRAM_DDR2_A_pin, DIR = O, VEC = [12:0] + PORT fpga_0_DDR2_SDRAM_DDR2_BA_pin = fpga_0_DDR2_SDRAM_DDR2_BA_pin, DIR = O, VEC = [1:0] + PORT fpga_0_DDR2_SDRAM_DDR2_RAS_N_pin = fpga_0_DDR2_SDRAM_DDR2_RAS_N_pin, DIR = O + PORT fpga_0_DDR2_SDRAM_DDR2_CAS_N_pin = fpga_0_DDR2_SDRAM_DDR2_CAS_N_pin, DIR = O + PORT fpga_0_DDR2_SDRAM_DDR2_WE_N_pin = fpga_0_DDR2_SDRAM_DDR2_WE_N_pin, DIR = O + PORT fpga_0_DDR2_SDRAM_DDR2_CS_N_pin = fpga_0_DDR2_SDRAM_DDR2_CS_N_pin, DIR = O + PORT fpga_0_DDR2_SDRAM_DDR2_ODT_pin = fpga_0_DDR2_SDRAM_DDR2_ODT_pin, DIR = O, VEC = [1:0] + PORT fpga_0_DDR2_SDRAM_DDR2_CKE_pin = fpga_0_DDR2_SDRAM_DDR2_CKE_pin, DIR = O + PORT fpga_0_DDR2_SDRAM_DDR2_DM_pin = fpga_0_DDR2_SDRAM_DDR2_DM_pin, DIR = O, VEC = [7:0] + PORT fpga_0_DDR2_SDRAM_DDR2_CK_pin = fpga_0_DDR2_SDRAM_DDR2_CK_pin, DIR = O, VEC = [1:0] + PORT fpga_0_DDR2_SDRAM_DDR2_CK_N_pin = fpga_0_DDR2_SDRAM_DDR2_CK_N_pin, DIR = O, VEC = [1:0] + PORT fpga_0_SysACE_CompactFlash_SysACE_MPA_pin = fpga_0_SysACE_CompactFlash_SysACE_MPA_pin, DIR = O, VEC = [6:0] + PORT fpga_0_SysACE_CompactFlash_SysACE_CLK_pin = fpga_0_SysACE_CompactFlash_SysACE_CLK_pin, DIR = I + PORT fpga_0_SysACE_CompactFlash_SysACE_MPIRQ_pin = fpga_0_SysACE_CompactFlash_SysACE_MPIRQ_pin, DIR = I + PORT fpga_0_SysACE_CompactFlash_SysACE_CEN_pin = fpga_0_SysACE_CompactFlash_SysACE_CEN_pin, DIR = O + PORT fpga_0_SysACE_CompactFlash_SysACE_OEN_pin = fpga_0_SysACE_CompactFlash_SysACE_OEN_pin, DIR = O + PORT fpga_0_SysACE_CompactFlash_SysACE_WEN_pin = fpga_0_SysACE_CompactFlash_SysACE_WEN_pin, DIR = O + PORT fpga_0_SysACE_CompactFlash_SysACE_MPD_pin = fpga_0_SysACE_CompactFlash_SysACE_MPD_pin, DIR = IO, VEC = [15:0] + PORT fpga_0_clk_1_sys_clk_pin = dcm_clk_s, DIR = I, SIGIS = CLK, CLK_FREQ = 100000000 + PORT fpga_0_rst_1_sys_rst_pin = sys_rst_s, DIR = I, SIGIS = RST, RST_POLARITY = 0 + PORT fpga_0_PCIe_Diff_Clk_IBUF_DS_P_pin = PCIe_Diff_Clk, DIR = I, DIFFERENTIAL_POLARITY = P, SIGIS = CLK + PORT fpga_0_PCIe_Diff_Clk_IBUF_DS_N_pin = PCIe_Diff_Clk, DIR = I, DIFFERENTIAL_POLARITY = N, SIGIS = CLK + + +BEGIN ppc440_virtex5 + PARAMETER INSTANCE = ppc440_0 + PARAMETER C_IDCR_BASEADDR = 0b0000000000 + PARAMETER C_IDCR_HIGHADDR = 0b0011111111 + PARAMETER C_PPC440MC_ROW_CONFLICT_MASK = 0x003FFE00 + PARAMETER C_PPC440MC_BANK_CONFLICT_MASK = 0x00C00000 + PARAMETER C_PPC440MC_CONTROL = 0xF810008F + PARAMETER C_SPLB0_USE_MPLB_ADDR = 1 + PARAMETER C_SPLB0_NUM_MPLB_ADDR_RNG = 1 + PARAMETER C_SPLB1_NUM_MPLB_ADDR_RNG = 0 + PARAMETER HW_VER = 1.01.a + PARAMETER C_SPLB0_RNG0_MPLB_BASEADDR = 0x80000000 + PARAMETER C_SPLB0_RNG0_MPLB_HIGHADDR = 0xffffffff + PARAMETER C_SPLB0_RNG_MC_BASEADDR = 0x00000000 + PARAMETER C_SPLB0_RNG_MC_HIGHADDR = 0x0fffffff + BUS_INTERFACE MPLB = plb_v46_0 + BUS_INTERFACE SPLB0 = ppc440_0_SPLB0 + BUS_INTERFACE PPC440MC = ppc440_0_PPC440MC + BUS_INTERFACE JTAGPPC = ppc440_0_jtagppc_bus + BUS_INTERFACE RESETPPC = ppc_reset_bus + PORT CPMC440CLK = clk_125_0000MHzPLL0 + PORT CPMINTERCONNECTCLK = clk_125_0000MHzPLL0 + PORT CPMINTERCONNECTCLKNTO1 = net_vcc + PORT EICC440EXTIRQ = ppc440_0_EICC440EXTIRQ + PORT CPMMCCLK = clk_125_0000MHzPLL0_ADJUST + PORT CPMPPCMPLBCLK = clk_125_0000MHzPLL0_ADJUST + PORT CPMPPCS0PLBCLK = clk_125_0000MHzPLL0_ADJUST +END + +BEGIN plb_v46 + PARAMETER INSTANCE = plb_v46_0 + PARAMETER C_DCR_INTFCE = 0 + PARAMETER C_FAMILY = virtex5 + PARAMETER HW_VER = 1.04.a + PORT PLB_Clk = clk_125_0000MHzPLL0_ADJUST + PORT SYS_Rst = sys_bus_reset +END + +BEGIN xps_bram_if_cntlr + PARAMETER INSTANCE = xps_bram_if_cntlr_1 + PARAMETER C_SPLB_NATIVE_DWIDTH = 64 + PARAMETER C_SPLB_SUPPORT_BURSTS = 1 + PARAMETER C_SPLB_P2P = 0 + PARAMETER C_FAMILY = virtex5 + PARAMETER HW_VER = 1.00.b + PARAMETER C_BASEADDR = 0xffffe000 + PARAMETER C_HIGHADDR = 0xffffffff + BUS_INTERFACE SPLB = plb_v46_0 + BUS_INTERFACE PORTA = xps_bram_if_cntlr_1_port +END + +BEGIN bram_block + PARAMETER INSTANCE = xps_bram_if_cntlr_1_bram + PARAMETER C_FAMILY = virtex5 + PARAMETER HW_VER = 1.00.a + BUS_INTERFACE PORTA = xps_bram_if_cntlr_1_port +END + +BEGIN xps_uartlite + PARAMETER INSTANCE = RS232_Uart_1 + PARAMETER C_FAMILY = virtex5 + PARAMETER C_BAUDRATE = 9600 + PARAMETER C_DATA_BITS = 8 + PARAMETER C_USE_PARITY = 0 + PARAMETER C_ODD_PARITY = 0 + PARAMETER HW_VER = 1.01.a + PARAMETER C_BASEADDR = 0x84000000 + PARAMETER C_HIGHADDR = 0x8400ffff + BUS_INTERFACE SPLB = plb_v46_0 + PORT RX = fpga_0_RS232_Uart_1_RX_pin + PORT TX = fpga_0_RS232_Uart_1_TX_pin + PORT Interrupt = RS232_Uart_1_Interrupt +END + +BEGIN xps_gpio + PARAMETER INSTANCE = LEDs_8Bit + PARAMETER C_FAMILY = virtex5 + PARAMETER C_ALL_INPUTS = 0 + PARAMETER C_GPIO_WIDTH = 8 + PARAMETER C_INTERRUPT_PRESENT = 0 + PARAMETER C_IS_DUAL = 0 + PARAMETER HW_VER = 2.00.a + PARAMETER C_BASEADDR = 0x81440000 + PARAMETER C_HIGHADDR = 0x8144ffff + BUS_INTERFACE SPLB = plb_v46_0 + PORT GPIO_IO = fpga_0_LEDs_8Bit_GPIO_IO_pin +END + +BEGIN xps_gpio + PARAMETER INSTANCE = LEDs_Positions + PARAMETER C_FAMILY = virtex5 + PARAMETER C_ALL_INPUTS = 0 + PARAMETER C_GPIO_WIDTH = 5 + PARAMETER C_INTERRUPT_PRESENT = 0 + PARAMETER C_IS_DUAL = 0 + PARAMETER HW_VER = 2.00.a + PARAMETER C_BASEADDR = 0x81420000 + PARAMETER C_HIGHADDR = 0x8142ffff + BUS_INTERFACE SPLB = plb_v46_0 + PORT GPIO_IO = fpga_0_LEDs_Positions_GPIO_IO_pin +END + +BEGIN xps_gpio + PARAMETER INSTANCE = Push_Buttons_5Bit + PARAMETER C_FAMILY = virtex5 + PARAMETER C_ALL_INPUTS = 1 + PARAMETER C_GPIO_WIDTH = 5 + PARAMETER C_INTERRUPT_PRESENT = 0 + PARAMETER C_IS_DUAL = 0 + PARAMETER HW_VER = 2.00.a + PARAMETER C_BASEADDR = 0x81400000 + PARAMETER C_HIGHADDR = 0x8140ffff + BUS_INTERFACE SPLB = plb_v46_0 + PORT GPIO_IO = fpga_0_Push_Buttons_5Bit_GPIO_IO_pin +END + +BEGIN xps_gpio + PARAMETER INSTANCE = DIP_Switches_8Bit + PARAMETER C_FAMILY = virtex5 + PARAMETER C_ALL_INPUTS = 1 + PARAMETER C_GPIO_WIDTH = 8 + PARAMETER C_INTERRUPT_PRESENT = 0 + PARAMETER C_IS_DUAL = 0 + PARAMETER HW_VER = 2.00.a + PARAMETER C_BASEADDR = 0x81460000 + PARAMETER C_HIGHADDR = 0x8146ffff + BUS_INTERFACE SPLB = plb_v46_0 + PORT GPIO_IO = fpga_0_DIP_Switches_8Bit_GPIO_IO_pin +END + +BEGIN xps_iic + PARAMETER INSTANCE = IIC_EEPROM + PARAMETER C_IIC_FREQ = 100000 + PARAMETER C_TEN_BIT_ADR = 0 + PARAMETER C_FAMILY = virtex5 + PARAMETER HW_VER = 2.01.a + PARAMETER C_BASEADDR = 0x81600000 + PARAMETER C_HIGHADDR = 0x8160ffff + BUS_INTERFACE SPLB = plb_v46_0 + PORT Sda = fpga_0_IIC_EEPROM_Sda_pin + PORT Scl = fpga_0_IIC_EEPROM_Scl_pin +END + +BEGIN xps_mch_emc + PARAMETER INSTANCE = SRAM + PARAMETER C_FAMILY = virtex5 + PARAMETER C_NUM_BANKS_MEM = 1 + PARAMETER C_NUM_CHANNELS = 0 + PARAMETER C_MEM0_WIDTH = 32 + PARAMETER C_MAX_MEM_WIDTH = 32 + PARAMETER C_INCLUDE_DATAWIDTH_MATCHING_0 = 0 + PARAMETER C_SYNCH_MEM_0 = 1 + PARAMETER C_TCEDV_PS_MEM_0 = 0 + PARAMETER C_TAVDV_PS_MEM_0 = 0 + PARAMETER C_THZCE_PS_MEM_0 = 0 + PARAMETER C_THZOE_PS_MEM_0 = 0 + PARAMETER C_TWC_PS_MEM_0 = 0 + PARAMETER C_TWP_PS_MEM_0 = 0 + PARAMETER C_TLZWE_PS_MEM_0 = 0 + PARAMETER HW_VER = 3.00.a + PARAMETER C_MEM0_BASEADDR = 0xf8000000 + PARAMETER C_MEM0_HIGHADDR = 0xf80fffff + BUS_INTERFACE SPLB = plb_v46_0 + PORT RdClk = clk_125_0000MHzPLL0_ADJUST + PORT Mem_A = 0b0000000 & fpga_0_SRAM_Mem_A_pin_vslice_7_30_concat & 0b0 + PORT Mem_CEN = fpga_0_SRAM_Mem_CEN_pin + PORT Mem_OEN = fpga_0_SRAM_Mem_OEN_pin + PORT Mem_WEN = fpga_0_SRAM_Mem_WEN_pin + PORT Mem_BEN = fpga_0_SRAM_Mem_BEN_pin + PORT Mem_ADV_LDN = fpga_0_SRAM_Mem_ADV_LDN_pin + PORT Mem_DQ = fpga_0_SRAM_Mem_DQ_pin +END + +BEGIN plbv46_pcie + PARAMETER INSTANCE = PCIe_Bridge + PARAMETER C_FAMILY = virtex5 + PARAMETER C_IPIFBAR_NUM = 2 + PARAMETER C_PCIBAR_NUM = 1 + PARAMETER C_DEVICE_ID = 0x0505 + PARAMETER C_VENDOR_ID = 0x10EE + PARAMETER C_CLASS_CODE = 0x058000 + PARAMETER C_REV_ID = 0x00 + PARAMETER C_SUBSYSTEM_ID = 0x0000 + PARAMETER C_SUBSYSTEM_VENDOR_ID = 0x0000 + PARAMETER C_COMP_TIMEOUT = 1 + PARAMETER C_IPIFBAR2PCIBAR_0 = 0x00000000 + PARAMETER C_IPIFBAR2PCIBAR_1 = 0x00000000 + PARAMETER C_PCIBAR2IPIFBAR_0 = 0xf8000000 + PARAMETER C_PCIBAR2IPIFBAR_1 = 0x00000000 + PARAMETER C_PCIBAR_LEN_0 = 20 + PARAMETER C_PCIBAR_LEN_1 = 28 + PARAMETER C_BOARD = ml507 + PARAMETER HW_VER = 3.00.b + PARAMETER C_BASEADDR = 0x85c00000 + PARAMETER C_HIGHADDR = 0x85c0ffff + PARAMETER C_IPIFBAR_0 = 0xc0000000 + PARAMETER C_IPIFBAR_HIGHADDR_0 = 0xdfffffff + PARAMETER C_IPIFBAR_1 = 0xe0000000 + PARAMETER C_IPIFBAR_HIGHADDR_1 = 0xefffffff + BUS_INTERFACE SPLB = plb_v46_0 + BUS_INTERFACE MPLB = ppc440_0_SPLB0 + PORT PERSTN = net_vcc + PORT REFCLK = PCIe_Diff_Clk + PORT RXN = fpga_0_PCIe_Bridge_RXN_pin + PORT RXP = fpga_0_PCIe_Bridge_RXP_pin + PORT TXN = fpga_0_PCIe_Bridge_TXN_pin + PORT TXP = fpga_0_PCIe_Bridge_TXP_pin + PORT MSI_request = net_gnd +END + +BEGIN plb_v46 + PARAMETER INSTANCE = ppc440_0_SPLB0 + PARAMETER C_FAMILY = virtex5 + PARAMETER HW_VER = 1.04.a + PORT PLB_Clk = clk_125_0000MHzPLL0_ADJUST + PORT SYS_Rst = sys_bus_reset +END + +BEGIN xps_ethernetlite + PARAMETER INSTANCE = Ethernet_MAC + PARAMETER C_FAMILY = virtex5 + PARAMETER HW_VER = 2.01.a + PARAMETER C_BASEADDR = 0x81000000 + PARAMETER C_HIGHADDR = 0x8100ffff + BUS_INTERFACE SPLB = plb_v46_0 + PORT PHY_tx_clk = fpga_0_Ethernet_MAC_PHY_tx_clk_pin + PORT PHY_rx_clk = fpga_0_Ethernet_MAC_PHY_rx_clk_pin + PORT PHY_crs = fpga_0_Ethernet_MAC_PHY_crs_pin + PORT PHY_dv = fpga_0_Ethernet_MAC_PHY_dv_pin + PORT PHY_rx_data = fpga_0_Ethernet_MAC_PHY_rx_data_pin + PORT PHY_col = fpga_0_Ethernet_MAC_PHY_col_pin + PORT PHY_rx_er = fpga_0_Ethernet_MAC_PHY_rx_er_pin + PORT PHY_rst_n = fpga_0_Ethernet_MAC_PHY_rst_n_pin + PORT PHY_tx_en = fpga_0_Ethernet_MAC_PHY_tx_en_pin + PORT PHY_tx_data = fpga_0_Ethernet_MAC_PHY_tx_data_pin +END + +BEGIN ppc440mc_ddr2 + PARAMETER INSTANCE = DDR2_SDRAM + PARAMETER C_DDR_BAWIDTH = 2 + PARAMETER C_NUM_CLK_PAIRS = 2 + PARAMETER C_DDR_DWIDTH = 64 + PARAMETER C_DDR_CAWIDTH = 10 + PARAMETER C_NUM_RANKS_MEM = 1 + PARAMETER C_CS_BITS = 0 + PARAMETER C_DDR_DM_WIDTH = 8 + PARAMETER C_DQ_BITS = 8 + PARAMETER C_DDR2_ODT_WIDTH = 2 + PARAMETER C_DDR2_ADDT_LAT = 0 + PARAMETER C_INCLUDE_ECC_SUPPORT = 0 + PARAMETER C_DDR2_ODT_SETTING = 1 + PARAMETER C_DQS_BITS = 3 + PARAMETER C_DDR_DQS_WIDTH = 8 + PARAMETER C_DDR_RAWIDTH = 13 + PARAMETER C_DDR_BURST_LENGTH = 4 + PARAMETER C_DDR_CAS_LAT = 4 + PARAMETER C_REG_DIMM = 0 + PARAMETER C_MIB_MC_CLOCK_RATIO = 1 + PARAMETER C_DDR_TREFI = 3900 + PARAMETER C_DDR_TRAS = 40000 + PARAMETER C_DDR_TRCD = 15000 + PARAMETER C_DDR_TRFC = 75000 + PARAMETER C_DDR_TRP = 15000 + PARAMETER C_DDR_TRTP = 7500 + PARAMETER C_DDR_TWR = 15000 + PARAMETER C_DDR_TWTR = 7500 + PARAMETER C_MC_MIBCLK_PERIOD_PS = 8000 + PARAMETER C_IDEL_HIGH_PERF = TRUE + PARAMETER C_NUM_IDELAYCTRL = 3 + PARAMETER C_IDELAYCTRL_LOC = IDELAYCTRL_X0Y6-IDELAYCTRL_X0Y2-IDELAYCTRL_X0Y1 + PARAMETER C_DQS_IO_COL = 0b000000000000000000 + PARAMETER C_DQ_IO_MS = 0b000000000111010100111101000011110001111000101110110000111100000110111100 + PARAMETER HW_VER = 2.00.a + PARAMETER C_MEM_BASEADDR = 0x00000000 + PARAMETER C_MEM_HIGHADDR = 0x0fffffff + BUS_INTERFACE PPC440MC = ppc440_0_PPC440MC + PORT mc_mibclk = clk_125_0000MHzPLL0_ADJUST + PORT mi_mcclk90 = clk_125_0000MHz90PLL0_ADJUST + PORT mi_mcreset = sys_bus_reset + PORT mi_mcclkdiv2 = clk_62_5000MHzPLL0_ADJUST + PORT mi_mcclk_200 = clk_200_0000MHz + PORT DDR2_DQ = fpga_0_DDR2_SDRAM_DDR2_DQ_pin + PORT DDR2_DQS = fpga_0_DDR2_SDRAM_DDR2_DQS_pin + PORT DDR2_DQS_N = fpga_0_DDR2_SDRAM_DDR2_DQS_N_pin + PORT DDR2_A = fpga_0_DDR2_SDRAM_DDR2_A_pin + PORT DDR2_BA = fpga_0_DDR2_SDRAM_DDR2_BA_pin + PORT DDR2_RAS_N = fpga_0_DDR2_SDRAM_DDR2_RAS_N_pin + PORT DDR2_CAS_N = fpga_0_DDR2_SDRAM_DDR2_CAS_N_pin + PORT DDR2_WE_N = fpga_0_DDR2_SDRAM_DDR2_WE_N_pin + PORT DDR2_CS_N = fpga_0_DDR2_SDRAM_DDR2_CS_N_pin + PORT DDR2_ODT = fpga_0_DDR2_SDRAM_DDR2_ODT_pin + PORT DDR2_CKE = fpga_0_DDR2_SDRAM_DDR2_CKE_pin + PORT DDR2_DM = fpga_0_DDR2_SDRAM_DDR2_DM_pin + PORT DDR2_CK = fpga_0_DDR2_SDRAM_DDR2_CK_pin + PORT DDR2_CK_N = fpga_0_DDR2_SDRAM_DDR2_CK_N_pin +END + +BEGIN xps_sysace + PARAMETER INSTANCE = SysACE_CompactFlash + PARAMETER C_MEM_WIDTH = 16 + PARAMETER C_FAMILY = virtex5 + PARAMETER HW_VER = 1.01.a + PARAMETER C_BASEADDR = 0x83600000 + PARAMETER C_HIGHADDR = 0x8360ffff + BUS_INTERFACE SPLB = plb_v46_0 + PORT SysACE_MPA = fpga_0_SysACE_CompactFlash_SysACE_MPA_pin + PORT SysACE_CLK = fpga_0_SysACE_CompactFlash_SysACE_CLK_pin + PORT SysACE_MPIRQ = fpga_0_SysACE_CompactFlash_SysACE_MPIRQ_pin + PORT SysACE_CEN = fpga_0_SysACE_CompactFlash_SysACE_CEN_pin + PORT SysACE_OEN = fpga_0_SysACE_CompactFlash_SysACE_OEN_pin + PORT SysACE_WEN = fpga_0_SysACE_CompactFlash_SysACE_WEN_pin + PORT SysACE_MPD = fpga_0_SysACE_CompactFlash_SysACE_MPD_pin +END + +BEGIN clock_generator + PARAMETER INSTANCE = clock_generator_0 + PARAMETER C_CLKIN_FREQ = 100000000 + PARAMETER C_CLKFBIN_FREQ = 125000000 + PARAMETER C_CLKOUT0_FREQ = 125000000 + PARAMETER C_CLKOUT0_PHASE = 90 + PARAMETER C_CLKOUT0_GROUP = PLL0_ADJUST + PARAMETER C_CLKOUT0_BUF = TRUE + PARAMETER C_CLKOUT1_FREQ = 125000000 + PARAMETER C_CLKOUT1_PHASE = 0 + PARAMETER C_CLKOUT1_GROUP = PLL0 + PARAMETER C_CLKOUT1_BUF = TRUE + PARAMETER C_CLKOUT2_FREQ = 125000000 + PARAMETER C_CLKOUT2_PHASE = 0 + PARAMETER C_CLKOUT2_GROUP = PLL0_ADJUST + PARAMETER C_CLKOUT2_BUF = TRUE + PARAMETER C_CLKOUT3_FREQ = 200000000 + PARAMETER C_CLKOUT3_PHASE = 0 + PARAMETER C_CLKOUT3_GROUP = NONE + PARAMETER C_CLKOUT3_BUF = TRUE + PARAMETER C_CLKOUT4_FREQ = 62500000 + PARAMETER C_CLKOUT4_PHASE = 0 + PARAMETER C_CLKOUT4_GROUP = PLL0_ADJUST + PARAMETER C_CLKOUT4_BUF = TRUE + PARAMETER C_CLKFBOUT_FREQ = 125000000 + PARAMETER C_CLKFBOUT_BUF = TRUE + PARAMETER HW_VER = 3.00.a + PORT CLKIN = dcm_clk_s + PORT CLKFBIN = SRAM_CLK_FB_s + PORT CLKOUT0 = clk_125_0000MHz90PLL0_ADJUST + PORT CLKOUT1 = clk_125_0000MHzPLL0 + PORT CLKOUT2 = clk_125_0000MHzPLL0_ADJUST + PORT CLKOUT3 = clk_200_0000MHz + PORT CLKOUT4 = clk_62_5000MHzPLL0_ADJUST + PORT CLKFBOUT = SRAM_CLK_OUT_s + PORT RST = net_gnd + PORT LOCKED = Dcm_all_locked +END + +BEGIN jtagppc_cntlr + PARAMETER INSTANCE = jtagppc_cntlr_inst + PARAMETER HW_VER = 2.01.c + BUS_INTERFACE JTAGPPC0 = ppc440_0_jtagppc_bus +END + +BEGIN proc_sys_reset + PARAMETER INSTANCE = proc_sys_reset_0 + PARAMETER C_EXT_RESET_HIGH = 0 + PARAMETER HW_VER = 2.00.a + BUS_INTERFACE RESETPPC0 = ppc_reset_bus + PORT Slowest_sync_clk = clk_125_0000MHzPLL0_ADJUST + PORT Ext_Reset_In = sys_rst_s + PORT Dcm_locked = Dcm_all_locked + PORT Bus_Struct_Reset = sys_bus_reset + PORT Peripheral_Reset = sys_periph_reset +END + +BEGIN xps_intc + PARAMETER INSTANCE = xps_intc_0 + PARAMETER HW_VER = 2.00.a + PARAMETER C_BASEADDR = 0x81800000 + PARAMETER C_HIGHADDR = 0x8180ffff + BUS_INTERFACE SPLB = plb_v46_0 + PORT Intr = fpga_0_Ethernet_MAC_MDINT_pin&RS232_Uart_1_Interrupt + PORT Irq = ppc440_0_EICC440EXTIRQ +END + diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/revup/system_mss.11.1 b/Demo/PPC440_Xilinx_Virtex5_GCC/revup/system_mss.11.1 new file mode 100644 index 000000000..188abc721 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/revup/system_mss.11.1 @@ -0,0 +1,125 @@ + + PARAMETER VERSION = 2.2.0 + + +BEGIN OS + PARAMETER OS_NAME = standalone + PARAMETER OS_VER = 2.00.a + PARAMETER PROC_INSTANCE = ppc440_0 + PARAMETER STDIN = RS232_Uart_1 + PARAMETER STDOUT = RS232_Uart_1 +END + + +BEGIN PROCESSOR + PARAMETER DRIVER_NAME = cpu_ppc440 + PARAMETER DRIVER_VER = 1.00.b + PARAMETER HW_INSTANCE = ppc440_0 + PARAMETER COMPILER = powerpc-eabi-gcc + PARAMETER ARCHIVER = powerpc-eabi-ar +END + + +BEGIN DRIVER + PARAMETER DRIVER_NAME = bram + PARAMETER DRIVER_VER = 1.00.a + PARAMETER HW_INSTANCE = xps_bram_if_cntlr_1 +END + +BEGIN DRIVER + PARAMETER DRIVER_NAME = generic + PARAMETER DRIVER_VER = 1.00.a + PARAMETER HW_INSTANCE = xps_bram_if_cntlr_1_bram +END + +BEGIN DRIVER + PARAMETER DRIVER_NAME = uartlite + PARAMETER DRIVER_VER = 1.14.a + PARAMETER HW_INSTANCE = RS232_Uart_1 +END + +BEGIN DRIVER + PARAMETER DRIVER_NAME = gpio + PARAMETER DRIVER_VER = 2.13.a + PARAMETER HW_INSTANCE = LEDs_8Bit +END + +BEGIN DRIVER + PARAMETER DRIVER_NAME = gpio + PARAMETER DRIVER_VER = 2.13.a + PARAMETER HW_INSTANCE = LEDs_Positions +END + +BEGIN DRIVER + PARAMETER DRIVER_NAME = gpio + PARAMETER DRIVER_VER = 2.13.a + PARAMETER HW_INSTANCE = Push_Buttons_5Bit +END + +BEGIN DRIVER + PARAMETER DRIVER_NAME = gpio + PARAMETER DRIVER_VER = 2.13.a + PARAMETER HW_INSTANCE = DIP_Switches_8Bit +END + +BEGIN DRIVER + PARAMETER DRIVER_NAME = iic + PARAMETER DRIVER_VER = 1.14.a + PARAMETER HW_INSTANCE = IIC_EEPROM +END + +BEGIN DRIVER + PARAMETER DRIVER_NAME = emc + PARAMETER DRIVER_VER = 2.00.a + PARAMETER HW_INSTANCE = SRAM +END + +BEGIN DRIVER + PARAMETER DRIVER_NAME = pcie + PARAMETER DRIVER_VER = 1.00.a + PARAMETER HW_INSTANCE = PCIe_Bridge +END + +BEGIN DRIVER + PARAMETER DRIVER_NAME = emaclite + PARAMETER DRIVER_VER = 1.14.a + PARAMETER HW_INSTANCE = Ethernet_MAC +END + +BEGIN DRIVER + PARAMETER DRIVER_NAME = memcon + PARAMETER DRIVER_VER = 1.00.a + PARAMETER HW_INSTANCE = DDR2_SDRAM +END + +BEGIN DRIVER + PARAMETER DRIVER_NAME = sysace + PARAMETER DRIVER_VER = 1.12.a + PARAMETER HW_INSTANCE = SysACE_CompactFlash +END + +BEGIN DRIVER + PARAMETER DRIVER_NAME = generic + PARAMETER DRIVER_VER = 1.00.a + PARAMETER HW_INSTANCE = clock_generator_0 +END + +BEGIN DRIVER + PARAMETER DRIVER_NAME = generic + PARAMETER DRIVER_VER = 1.00.a + PARAMETER HW_INSTANCE = jtagppc_cntlr_inst +END + +BEGIN DRIVER + PARAMETER DRIVER_NAME = generic + PARAMETER DRIVER_VER = 1.00.a + PARAMETER HW_INSTANCE = proc_sys_reset_0 +END + +BEGIN DRIVER + PARAMETER DRIVER_NAME = intc + PARAMETER DRIVER_VER = 1.11.a + PARAMETER HW_INSTANCE = xps_intc_0 +END + + diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/revup/system_xmp.11.1 b/Demo/PPC440_Xilinx_Virtex5_GCC/revup/system_xmp.11.1 new file mode 100644 index 000000000..406959b34 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/revup/system_xmp.11.1 @@ -0,0 +1,69 @@ +#Please do not modify this file by hand +XmpVersion: 11.1 +VerMgmt: 11.1 +IntStyle: default +MHS File: system.mhs +MSS File: system.mss +Architecture: virtex5 +Device: xc5vfx70t +Package: ff1136 +SpeedGrade: -1 +UserCmd1: +UserCmd1Type: 0 +UserCmd2: +UserCmd2Type: 0 +GenSimTB: 0 +SdkExportBmmBit: 1 +SdkExportDir: SDK/SDK_Export +InsertNoPads: 0 +WarnForEAArch: 1 +HdlLang: VHDL +SimModel: BEHAVIORAL +UcfFile: data/system.ucf +EnableParTimingError: 1 +ShowLicenseDialog: 1 +Processor: ppc440_0 +BootLoop: 1 +XmdStub: 0 +SwProj: RTOSDemo +Processor: ppc440_0 +Executable: RTOSDemo/executable.elf +Source: RTOSDemo/../../Common/Minimal/BlockQ.c +Source: RTOSDemo/../../Common/Minimal/blocktim.c +Source: RTOSDemo/../../Common/Minimal/comtest.c +Source: RTOSDemo/../../Common/Minimal/countsem.c +Source: RTOSDemo/../../Common/Minimal/death.c +Source: RTOSDemo/../../Common/Minimal/dynamic.c +Source: RTOSDemo/../../Common/Minimal/flash.c +Source: RTOSDemo/../../Common/Minimal/GenQTest.c +Source: RTOSDemo/../../Common/Minimal/integer.c +Source: RTOSDemo/../../Common/Minimal/QPeek.c +Source: RTOSDemo/../../Common/Minimal/recmutex.c +Source: RTOSDemo/../../Common/Minimal/semtest.c +Source: RTOSDemo/../../../Source/tasks.c +Source: RTOSDemo/../../../Source/list.c +Source: RTOSDemo/../../../Source/queue.c +Source: RTOSDemo/../../../Source/croutine.c +Source: RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S +Source: RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c +Source: RTOSDemo/../../../Source/portable/MemMang/heap_2.c +Source: RTOSDemo/flop/flop-reg-test.c +Source: RTOSDemo/flop/flop.c +Source: RTOSDemo/partest/partest.c +Source: RTOSDemo/serial/serial.c +Source: RTOSDemo/main.c +DefaultInit: EXECUTABLE +InitBram: 0 +Active: 1 +CompilerOptLevel: 0 +GlobPtrOpt: 0 +DebugSym: 1 +ProfileFlag: 0 +SearchIncl: ../../Source/include ../Common/include ./RTOSDemo ./RTOSDemo/flop +ProgStart: +StackSize: +HeapSize: +LinkerScript: RTOSDemo/RTOSDemo_linker_script.ld +ProgCCFlags: -D GCC_PPC440 -mregnames +CompileInXps: 1 +NonXpsApp: 0 diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/system.bsb b/Demo/PPC440_Xilinx_Virtex5_GCC/system.bsb new file mode 100644 index 000000000..8ebdf68ef --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/system.bsb @@ -0,0 +1 @@ +„æÄ®Òôtt¦Êè¬ÊäæÒÞÜ@Dbb\b\`bDvC„æÄ®Òôtt¦Êè„ÞÂäÈ@D°ÒØÒÜðD@D¬ÒäèÊð@j@š˜j`n@ŠìÂØêÂèÒÞÜ@ ØÂèÌÞäÚD@D‚Dv-„æÄ®ÒôttªàÈÂèʄÞÂäÈ@D‚¤†’¨Š†¨ª¤ŠD@DìÒäèÊðjDv.„æÄ®ÒôttªàÈÂèʄÞÂäÈ@DˆŠ¬’†Š¾¦’´ŠD@DðÆjìÌðn`èDv'„æÄ®ÒôttªàÈÂèʄÞÂäÈ@D ‚†–‚ŽŠD@DÌÌbbflDv'„æÄ®ÒôttªàÈÂèʄÞÂäÈ@D¤¦¨¾ ž˜‚¤’¨²D@D`Dv&„æÄ®ÒôttªàÈÂèʄÞÂäÈ@D¦ ŠŠˆŽ¤‚ˆŠD@DZbDv$„æÄ®Òôtt¦Êè¦òæèÊÚ@DààÆhh`D@Db\``\ÂDv8„æÄ®ÒôttªàÈÂèʦòæèÊÚ@D„ª¦¾Œ¤Š¢D@Dbdj\``````D@DààÆhh`¾`Dv8„æÄ®ÒôttªàÈÂèʦòæèÊÚ@D†˜–¾Œ¤Š¢D@Db``\``````D@DààÆhh`¾`Dv9„æÄ®ÒôttªàÈÂèʦòæèÊÚ@D ¤ž†¾Œ¤Š¢D@Dbdj\``````D@DààÆhh`¾`Dv4„æÄ®Òôtt‚ÈÈ äÞÆÊææÞä@DààÆhh`¾`D@D  †hh`D@DààÆhh`¾`Dv6„æÄ®ÒôttªàÈÂèʆÞÚàÞÜÊÜè@DààÆhh`¾`D@D†‚†ŠD@Dœž@†‚†ŠDv3„æÄ®ÒôttªàÈÂèʆÞÚàÞÜÊÜè@DààÆhh`¾`D@D†¾ª¦Š¾Œ ªD@D`Dv6„æÄ®ÒôttªàÈÂèʆÞÚàÞÜÊÜè@DààÆhh`¾`D@Dˆž†š@¦’´ŠD@DœÞÜÊDv6„æÄ®ÒôttªàÈÂèʆÞÚàÞÜÊÜè@DààÆhh`¾`D@D’ž†š@¦’´ŠD@DœÞÜÊDv>„æÄ®Òôtt‚ÈÈ ÊäÒàÐÊäÂØ@Dˆˆ¤d¾¦ˆ¤‚šD@DààÆhh`ÚƾÈÈädD@DààÆhh`¾`Dv@„æÄ®Òôtt‚ÈÈ ÊäÒàÐÊäÂØ@Dˆ’ ¾¦îÒèÆÐÊæ¾p„ÒèD@Dðàæ¾ÎàÒÞD@DààÆhh`¾`DvF„æÄ®ÒôttªàÈÂèʆÞÚàÞÜÊÜè@Dˆ’ ¾¦îÒèÆÐÊæ¾p„ÒèD@DŽ¾ª¦Š¾’œ¨Š¤¤ª ¨D@DŒ‚˜¦ŠDvC„æÄ®Òôtt‚ÈÈ ÊäÒàÐÊäÂØ@DŠèÐÊäÜÊ辚‚†D@Dðàæ¾ÊèÐÊäÜÊèØÒèÊD@DààÆhh`¾`DvA„æÄ®ÒôttªàÈÂèʆÞÚàÞÜÊÜè@DŠèÐÊäÜÊ辚‚†D@DŽ¾ª¦Š¾’œ¨Š¤¤ª ¨D@DŒ‚˜¦ŠDv8„æÄ®Òôtt‚ÈÈ ÊäÒàÐÊäÂØ@D’’†¾ŠŠ ¤žšD@Dðàæ¾ÒÒÆD@DààÆhh`¾`Dv?„æÄ®ÒôttªàÈÂèʆÞÚàÞÜÊÜè@D’’†¾ŠŠ ¤žšD@DŽ¾ª¦Š¾’œ¨Š¤¤ª ¨D@DŒ‚˜¦ŠDv8„æÄ®Òôtt‚ÈÈ ÊäÒàÐÊäÂØ@D˜Šˆæ¾p„ÒèD@Dðàæ¾ÎàÒÞD@DààÆhh`¾`Dv>„æÄ®ÒôttªàÈÂèʆÞÚàÞÜÊÜè@D˜Šˆæ¾p„ÒèD@DŽ¾ª¦Š¾’œ¨Š¤¤ª ¨D@DŒ‚˜¦ŠDv=„æÄ®Òôtt‚ÈÈ ÊäÒàÐÊäÂØ@D˜Šˆæ¾ ÞæÒèÒÞÜæD@Dðàæ¾ÎàÒÞD@DààÆhh`¾`DvC„æÄ®ÒôttªàÈÂèʆÞÚàÞÜÊÜè@D˜Šˆæ¾ ÞæÒèÒÞÜæD@DŽ¾ª¦Š¾’œ¨Š¤¤ª ¨D@DŒ‚˜¦ŠDv=„æÄ®Òôtt‚ÈÈ ÊäÒàÐÊäÂØ@D †’ʾ„äÒÈÎÊD@DàØÄìhl¾àÆÒÊD@DààÆhh`¾`Dv:„æÄ®ÒôttªàÈÂèʆÞÚàÞÜÊÜè@D †’ʾ„äÒÈÎÊD@DŽ¾ª¦Š¾ˆš‚D@DŒ‚˜¦ŠDv@„æÄ®ÒôttªàÈÂèʆÞÚàÞÜÊÜè@D †’ʾ„äÒÈÎÊD@DŽ¾ª¦Š¾’œ¨Š¤¤ª ¨D@DŒ‚˜¦ŠDv@„æÄ®Òôtt‚ÈÈ ÊäÒàÐÊäÂØ@D êæо„êèèÞÜæ¾j„ÒèD@Dðàæ¾ÎàÒÞD@DààÆhh`¾`DvF„æÄ®ÒôttªàÈÂèʆÞÚàÞÜÊÜè@D êæо„êèèÞÜæ¾j„ÒèD@DŽ¾ª¦Š¾’œ¨Š¤¤ª ¨D@DŒ‚˜¦ŠDv?„æÄ®Òôtt‚ÈÈ ÊäÒàÐÊäÂØ@D¤¦dfd¾ªÂäè¾bD@Dðàæ¾êÂäèØÒèÊD@DààÆhh`¾`Dv;„æÄ®ÒôttªàÈÂèʆÞÚàÞÜÊÜè@D¤¦dfd¾ªÂäè¾bD@D†¾„‚ªˆ¤‚¨ŠD@Drl``Dv9„æÄ®ÒôttªàÈÂèʆÞÚàÞÜÊÜè@D¤¦dfd¾ªÂäè¾bD@D†¾ˆ‚¨‚¾„’¨¦D@DpDv9„æÄ®ÒôttªàÈÂèʆÞÚàÞÜÊÜè@D¤¦dfd¾ªÂäè¾bD@DŽ¾ ‚¤’¨²D@DœÞÜÊDvA„æÄ®ÒôttªàÈÂèʆÞÚàÞÜÊÜè@D¤¦dfd¾ªÂäè¾bD@DŽ¾ª¦Š¾’œ¨Š¤¤ª ¨D@DŒ‚˜¦ŠDv6„æÄ®Òôtt‚ÈÈ ÊäÒàÐÊäÂØ@D¦¤‚šD@Dðàæ¾ÚÆоÊÚÆD@DààÆhh`¾`DvD„æÄ®Òôtt‚ÈÈ ÊäÒàÐÊäÂØ@D¦ò悆Š¾†ÞÚàÂÆèŒØÂæÐD@Dðàæ¾æòæÂÆÊD@DààÆhh`¾`DvH„æÄ®ÒôttªàÈÂèʆÞÚàÞÜÊÜè@D¦ò悆Š¾†ÞÚàÂÆèŒØÂæÐD@DŽ¾ª¦Š¾’œ¨Š¤¤ª ¨D@DŒ‚˜¦ŠDvK„æÄ®Òôtt‚ÈÈ ÊäÒàÐÊäÂØ@Dðàæ¾ÄäÂÚ¾Ò̾ÆÜèØä¾bD@Dðàæ¾ÄäÂÚ¾Ò̾ÆÜèØäD@DààÆhh`¾`DvB„æÄ®ÒôttªàÈÂèʆÞÚàÞÜÊÜè@Dðàæ¾ÄäÂÚ¾Ò̾ÆÜèØä¾bD@DŽ¾šŠš¾¦’´ŠD@Dp@–„DvS„æÄ®ÒôttªàÈÂèʦ®@D¦®¾ŽŠœŠ¤‚¨Š¾šŠš¨Š¦¨D@D¨¤ªŠD@D¨Êæè‚àྚÊÚÞäò¾ààÆhh`¾`D@DààÆhh`¾`DvS„æÄ®ÒôttªàÈÂèʨÊæè‚àà@D¨Êæè‚àྚÊÚÞäò¾ààÆhh`¾`D@D¦®¾„žž¨šŠšD@Dðàæ¾ÄäÂÚ¾Ò̾ÆÜèØä¾bDvK„æÄ®ÒôttªàÈÂèʨÊæè‚àà@D¨Êæè‚àྚÊÚÞäò¾ààÆhh`¾`D@D¦®¾„žž¨¾ ‚¤D@D†¾„‚¦Š‚ˆˆ¤DvT„æÄ®ÒôttªàÈÂèʨÊæè‚àà@D¨Êæè‚àྚÊÚÞäò¾ààÆhh`¾`D@D¦®¾ˆ‚¨‚¾’œ¦D@Dðàæ¾ÄäÂÚ¾Ò̾ÆÜèØä¾bDvK„æÄ®ÒôttªàÈÂèʨÊæè‚àà@D¨Êæè‚àྚÊÚÞäò¾ààÆhh`¾`D@D¦®¾ˆ‚¨‚¾ ‚¤D@D†¾„‚¦Š‚ˆˆ¤DvT„æÄ®ÒôttªàÈÂèʨÊæè‚àà@D¨Êæè‚àྚÊÚÞäò¾ààÆhh`¾`D@D¦®¾Š‚ ¾’œ¦D@Dðàæ¾ÄäÂÚ¾Ò̾ÆÜèØä¾bDvK„æÄ®ÒôttªàÈÂèʨÊæè‚àà@D¨Êæè‚àྚÊÚÞäò¾ààÆhh`¾`D@D¦®¾Š‚ ¾ ‚¤D@D†¾„‚¦Š‚ˆˆ¤DvW„æÄ®ÒôttªàÈÂèʨÊæè‚àà@D¨Êæè‚àྚÊÚÞäò¾ààÆhh`¾`D@D¦®¾ ¤žŽ¤‚š¾’œ¦D@Dðàæ¾ÄäÂÚ¾Ò̾ÆÜèØä¾bDvN„æÄ®ÒôttªàÈÂèʨÊæè‚àà@D¨Êæè‚àྚÊÚÞäò¾ààÆhh`¾`D@D¦®¾ ¤žŽ¤‚š¾ ‚¤D@D†¾„‚¦Š‚ˆˆ¤DvU„æÄ®ÒôttªàÈÂèʨÊæè‚àà@D¨Êæè‚àྚÊÚÞäò¾ààÆhh`¾`D@D¦®¾¦¨‚†–¾’œ¦D@Dðàæ¾ÄäÂÚ¾Ò̾ÆÜèØä¾bDvL„æÄ®ÒôttªàÈÂèʨÊæè‚àà@D¨Êæè‚àྚÊÚÞäò¾ààÆhh`¾`D@D¦®¾¦¨‚†–¾ ‚¤D@D†¾„‚¦Š‚ˆˆ¤DvJ„æÄ®ÒôttªàÈÂèʨÊæè‚àà@D¨Êæè‚àྚÊÚÞäò¾ààÆhh`¾`D@D¦®¾¦¨ˆ’œD@D¤¦dfd¾ªÂäè¾bDvK„æÄ®ÒôttªàÈÂèʨÊæè‚àà@D¨Êæè‚àྚÊÚÞäò¾ààÆhh`¾`D@D¦®¾¦¨ˆžª¨D@D¤¦dfd¾ªÂäè¾bDvH„æÄ®ÒôttªàÈÂèʨÊæè‚àà@D¨Êæè‚àྚÊÚÞäò¾ààÆhh`¾`D@D¦®¾¬Š†¨ž¤¦¾’œ¦D@D¦¤‚šDvS„æÄ®ÒôttªàÈÂèʨÊæè‚àà@D¨Êæè‚àྚÊÚÞäò¾ààÆhh`¾`D@D¦®¾¬Š†¨ž¤¦¾ ‚¤D@D†¾šŠš`¾„‚¦Š‚ˆˆ¤DvZ„æÄ®ÒôttªàÈÂèʦ®@D¦®¾ŽŠœŠ¤‚¨Š¾ Š¤’ ¨Š¦¨D@D¨¤ªŠD@D¨Êæè‚àྠÊäÒàÐÊäÂؾààÆhh`¾`D@DààÆhh`¾`DvW„æÄ®ÒôttªàÈÂèʨÊæè‚àà@D¨Êæè‚àྠÊäÒàÐÊäÂؾààÆhh`¾`D@D¦®¾„žž¨šŠšD@Dðàæ¾ÄäÂÚ¾Ò̾ÆÜèØä¾bDvO„æÄ®ÒôttªàÈÂèʨÊæè‚àà@D¨Êæè‚àྠÊäÒàÐÊäÂؾààÆhh`¾`D@D¦®¾„žž¨¾ ‚¤D@D†¾„‚¦Š‚ˆˆ¤DvI„æÄ®ÒôttªàÈÂèʨÊæè‚àà@D¨Êæè‚àྠÊäÒàÐÊäÂؾààÆhh`¾`D@D¦®¾ˆ‚¨‚¾’œ¦D@D¦¤‚šDvT„æÄ®ÒôttªàÈÂèʨÊæè‚àà@D¨Êæè‚àྠÊäÒàÐÊäÂؾààÆhh`¾`D@D¦®¾ˆ‚¨‚¾ ‚¤D@D†¾šŠš`¾„‚¦Š‚ˆˆ¤DvI„æÄ®ÒôttªàÈÂèʨÊæè‚àà@D¨Êæè‚àྠÊäÒàÐÊäÂؾààÆhh`¾`D@D¦®¾Š‚ ¾’œ¦D@D¦¤‚šDvT„æÄ®ÒôttªàÈÂèʨÊæè‚àà@D¨Êæè‚àྠÊäÒàÐÊäÂؾààÆhh`¾`D@D¦®¾Š‚ ¾ ‚¤D@D†¾šŠš`¾„‚¦Š‚ˆˆ¤DvL„æÄ®ÒôttªàÈÂèʨÊæè‚àà@D¨Êæè‚àྠÊäÒàÐÊäÂؾààÆhh`¾`D@D¦®¾ ¤žŽ¤‚š¾’œ¦D@D¦¤‚šDvW„æÄ®ÒôttªàÈÂèʨÊæè‚àà@D¨Êæè‚àྠÊäÒàÐÊäÂؾààÆhh`¾`D@D¦®¾ ¤žŽ¤‚š¾ ‚¤D@D†¾šŠš`¾„‚¦Š‚ˆˆ¤DvJ„æÄ®ÒôttªàÈÂèʨÊæè‚àà@D¨Êæè‚àྠÊäÒàÐÊäÂؾààÆhh`¾`D@D¦®¾¦¨‚†–¾’œ¦D@D¦¤‚šDvU„æÄ®ÒôttªàÈÂèʨÊæè‚àà@D¨Êæè‚àྠÊäÒàÐÊäÂؾààÆhh`¾`D@D¦®¾¦¨‚†–¾ ‚¤D@D†¾šŠš`¾„‚¦Š‚ˆˆ¤DvN„æÄ®ÒôttªàÈÂèʨÊæè‚àà@D¨Êæè‚àྠÊäÒàÐÊäÂؾààÆhh`¾`D@D¦®¾¦¨ˆ’œD@D¤¦dfd¾ªÂäè¾bDvO„æÄ®ÒôttªàÈÂèʨÊæè‚àà@D¨Êæè‚àྠÊäÒàÐÊäÂؾààÆhh`¾`D@D¦®¾¦¨ˆžª¨D@D¤¦dfd¾ªÂäè¾bDvL„æÄ®ÒôttªàÈÂèʨÊæè‚àà@D¨Êæè‚àྠÊäÒàÐÊäÂؾààÆhh`¾`D@D¦®¾¬Š†¨ž¤¦¾’œ¦D@D¦¤‚šDvW„æÄ®ÒôttªàÈÂèʨÊæè‚àà@D¨Êæè‚àྠÊäÒàÐÊäÂؾààÆhh`¾`D@D¦®¾¬Š†¨ž¤¦¾ ‚¤D@D†¾šŠš`¾„‚¦Š‚ˆˆ¤Dv \ No newline at end of file diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/system.log b/Demo/PPC440_Xilinx_Virtex5_GCC/system.log new file mode 100644 index 000000000..6ce55ba3f --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/system.log @@ -0,0 +1,235 @@ +No logfile was found. + +Xilinx Platform Studio (XPS) +Xilinx EDK 11.2 Build EDK_LS3.47 + +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. + +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - C:\Temp\WA00101_002\WA00101_002\FreeRTOS\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line 251 - deprecated core for architecture 'virtex5fx'! + +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - C:\Temp\WA00101_002\WA00101_002\FreeRTOS\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line 296 - deprecated core for architecture 'virtex5fx'! + +Generating Block Diagram to Buffer + +Generated Block Diagram SVG + +At Local date and time: Mon Jun 29 21:01:23 2009 + make -f system.make program started... + +********************************************* +Creating software libraries... +********************************************* +libgen -mhs system.mhs -p xc5vfx70tff1136-1 -msg __xps/ise/xmsgprops.lst system.mss +libgen +Xilinx EDK 11.2 Build EDK_LS3.47 +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. + +Command Line: libgen -mhs system.mhs -p xc5vfx70tff1136-1 -msg +__xps/ise/xmsgprops.lst system.mss + +Release 11.2 - psf2Edward EDK_LS3.47 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\Temp\WA00101_002\WA00101_002\FreeRTOS\Demo\PPC440_Xilinx_Virtex5_GCC\syste + m.mhs line 251 - deprecated core for architecture 'virtex5fx'! +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - + C:\Temp\WA00101_002\WA00101_002\FreeRTOS\Demo\PPC440_Xilinx_Virtex5_GCC\syste + m.mhs line 296 - deprecated core for architecture 'virtex5fx'! +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\Temp\WA00101_002\WA00101_002\FreeRTOS\Demo\PPC440_Xilinx_Virtex5_GCC\syste + m.mhs line 251 - deprecated core for architecture 'virtex5fx'! +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - + C:\Temp\WA00101_002\WA00101_002\FreeRTOS\Demo\PPC440_Xilinx_Virtex5_GCC\syste + m.mhs line 296 - deprecated core for architecture 'virtex5fx'! + +Checking platform configuration ... +IPNAME:plb_v46 INSTANCE:plb_v46_0 - +C:\Temp\WA00101_002\WA00101_002\FreeRTOS\Demo\PPC440_Xilinx_Virtex5_GCC\system.m +hs line 107 - 1 master(s) : 12 slave(s) +IPNAME:plb_v46 INSTANCE:ppc440_0_SPLB0 - +C:\Temp\WA00101_002\WA00101_002\FreeRTOS\Demo\PPC440_Xilinx_Virtex5_GCC\system.m +hs line 288 - 1 master(s) : 1 slave(s) + +Checking port drivers... +WARNING:EDK:2099 - PORT:Peripheral_Reset CONNECTOR:sys_periph_reset - + C:\Temp\WA00101_002\WA00101_002\FreeRTOS\Demo\PPC440_Xilinx_Virtex5_GCC\syste + m.mhs line 446 - floating connection! + +Performing Clock DRCs... + +Performing Reset DRCs... + +Overriding system level properties... + +Running system level update procedures... + +Running UPDATE Tcl procedures for OPTION SYSLEVEL_UPDATE_PROC... + +Running system level DRCs... + +Performing System level DRCs on properties... + +Running DRC Tcl procedures for OPTION SYSLEVEL_DRC_PROC... +WARNING:EDK:494 - + C:\Temp\WA00101_002\WA00101_002\FreeRTOS\Demo\PPC440_Xilinx_Virtex5_GCC\synth + esis\ not found. +WARNING:EDK:2530 - Timing and Resource utilization information not added +WARNING:EDK:411 - pcie - + C:\Temp\WA00101_002\WA00101_002\FreeRTOS\Demo\PPC440_Xilinx_Virtex5_GCC\syste + m.mss line 77 - deprecated driver! +WARNING:EDK:411 - emaclite - + C:\Temp\WA00101_002\WA00101_002\FreeRTOS\Demo\PPC440_Xilinx_Virtex5_GCC\syste + m.mss line 83 - deprecated driver! +INFO:EDK:1740 - List of peripherals connected to processor instance ppc440_0: + - DDR2_SDRAM + - DIP_Switches_8Bit + - Ethernet_MAC + - IIC_EEPROM + - LEDs_8Bit + - LEDs_Positions + - PCIe_Bridge + - Push_Buttons_5Bit + - RS232_Uart_1 + - SRAM + - SysACE_CompactFlash + - xps_bram_if_cntlr_1 + - xps_intc_0 + +-- Generating libraries for processor: ppc440_0 -- + + +Staging source files. +Running DRCs. +Running generate. +Running post_generate. +Running include - 'make -s include "COMPILER=powerpc-eabi-gcc" +"ARCHIVER=powerpc-eabi-ar" "COMPILER_FLAGS=-mcpu=440 -O2 -c" +"EXTRA_COMPILER_FLAGS=-g"'. + +Running libs - 'make -s libs "COMPILER=powerpc-eabi-gcc" +"ARCHIVER=powerpc-eabi-ar" "COMPILER_FLAGS=-mcpu=440 -O2 -c" +"EXTRA_COMPILER_FLAGS=-g"'. +Compiling common +powerpc-eabi-ar: creating ../../../lib/libxil.a + +Compiling lldma +Compiling standalone +Compiling gpio +Compiling emaclite +Compiling iic +Compiling pci +Compiling uartlite +Compiling sysace +Compiling intc +Compiling cpu_ppc440 +Running execs_generate. +powerpc-eabi-gcc -O0 /cygdrive/c/Temp/WA00101_002/WA00101_002/FreeRTOS/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/Temp/WA00101_002/WA00101_002/FreeRTOS/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/Temp/WA00101_002/WA00101_002/FreeRTOS/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/Temp/WA00101_002/WA00101_002/FreeRTOS/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/Temp/WA00101_002/WA00101_002/FreeRTOS/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/Temp/WA00101_002/WA00101_002/FreeRTOS/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/Temp/WA00101_002/WA00101_002/FreeRTOS/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/Temp/WA00101_002/WA00101_002/FreeRTOS/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/Temp/WA00101_002/WA00101_002/FreeRTOS/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/Temp/WA00101_002/WA00101_002/FreeRTOS/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/Temp/WA00101_002/WA00101_002/FreeRTOS/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/Temp/WA00101_002/WA00101_002/FreeRTOS/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/Temp/WA00101_002/WA00101_002/FreeRTOS/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/Temp/WA00101_002/WA00101_002/FreeRTOS/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/Temp/WA00101_002/WA00101_002/FreeRTOS/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/Temp/WA00101_002/WA00101_002/FreeRTOS/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/Temp/WA00101_002/WA00101_002/FreeRTOS/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/Temp/WA00101_002/WA00101_002/FreeRTOS/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/Temp/WA00101_002/WA00101_002/FreeRTOS/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/Temp/WA00101_002/WA00101_002/FreeRTOS/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/Temp/WA00101_002/WA00101_002/FreeRTOS/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/Temp/WA00101_002/WA00101_002/FreeRTOS/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/Temp/WA00101_002/WA00101_002/FreeRTOS/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/Temp/WA00101_002/WA00101_002/FreeRTOS/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mcpu=440 -Wl,-T -Wl,/cygdrive/c/Temp/WA00101_002/WA00101_002/FreeRTOS/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D GCC_PPC440 -mregnames +powerpc-eabi-size RTOSDemo/executable.elf + text data bss dec hex filename + 53754 372 86524 140650 2256a RTOSDemo/executable.elf + + +Done! + +Writing filter settings.... + +Done writing filter settings to: + C:\Temp\WA00101_002\WA00101_002\FreeRTOS\Demo\PPC440_Xilinx_Virtex5_GCC\__xps\system.filters + +Done writing Tab View settings to: + C:\Temp\WA00101_002\WA00101_002\FreeRTOS\Demo\PPC440_Xilinx_Virtex5_GCC\__xps\system.gui + +Xilinx Platform Studio (XPS) +Xilinx EDK 11.2 Build EDK_LS3.47 + +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. + +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\_xps_tempmhsfilename.mhs line 237 - deprecated core for architecture 'virtex5fx'! + +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\_xps_tempmhsfilename.mhs line 282 - deprecated core for architecture 'virtex5fx'! + +Generating Block Diagram to Buffer + +Generated Block Diagram SVG + +At Local date and time: Tue Jun 30 18:32:58 2009 + make -f system.make hwclean started... + +rm -f implementation/system.ngc +rm -f platgen.log +rm -f __xps/ise/_xmsgs/platgen.xmsgs +rm -f implementation/system.bmm +rm -f implementation/system.bit +rm -f implementation/system.ncd +rm -f implementation/system_bd.bmm +rm -f implementation/system_map.ncd +rm -f __xps/system_routed +rm -rf implementation synthesis xst hdl +rm -rf xst.srp system.srp +rm -f __xps/ise/_xmsgs/bitinit.xmsgs + + +Done! + +At Local date and time: Tue Jun 30 18:33:07 2009 + make -f system.make netlistclean started... + +rm -f implementation/system.ngc +rm -f platgen.log +rm -f __xps/ise/_xmsgs/platgen.xmsgs +rm -f implementation/system.bmm + + +Done! + +At Local date and time: Tue Jun 30 18:33:13 2009 + make -f system.make bitsclean started... + +rm -f implementation/system.bit +rm -f implementation/system.ncd +rm -f implementation/system_bd.bmm +rm -f implementation/system_map.ncd +rm -f __xps/system_routed + + +Done! + +At Local date and time: Tue Jun 30 18:33:24 2009 + make -f system.make libsclean started... + +rm -rf ppc440_0/ +rm -f libgen.log +rm -f __xps/ise/_xmsgs/libgen.xmsgs + + +Done! + +At Local date and time: Tue Jun 30 18:33:31 2009 + make -f system.make programclean started... + +rm -f RTOSDemo/executable.elf + + +Done! + +At Local date and time: Tue Jun 30 18:33:37 2009 + make -f system.make swclean started... + +rm -rf ppc440_0/ +rm -f libgen.log +rm -f __xps/ise/_xmsgs/libgen.xmsgs +rm -f RTOSDemo/executable.elf + + +Done! + +Writing filter settings.... + +Done writing filter settings to: + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\__xps\system.filters + +Done writing Tab View settings to: + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\__xps\system.gui + diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/system.make b/Demo/PPC440_Xilinx_Virtex5_GCC/system.make new file mode 100644 index 000000000..1583668ae --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/system.make @@ -0,0 +1,277 @@ +################################################################# +# Makefile generated by Xilinx Platform Studio +# Project:C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.xmp +# +# WARNING : This file will be re-generated every time a command +# to run a make target is invoked. So, any changes made to this +# file manually, will be lost when make is invoked next. +################################################################# + +# Name of the Microprocessor system +# The hardware specification of the system is in file : +# C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs +# The software specification of the system is in file : +# C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mss + +include system_incl.make + +################################################################# +# PHONY TARGETS +################################################################# +.PHONY: dummy +.PHONY: netlistclean +.PHONY: bitsclean +.PHONY: simclean +.PHONY: exporttosdk + +################################################################# +# EXTERNAL TARGETS +################################################################# +all: + @echo "Makefile to build a Microprocessor system :" + @echo "Run make with any of the following targets" + @echo " " + @echo " netlist : Generates the netlist for the given MHS " + @echo " bits : Runs Implementation tools to generate the bitstream" + @echo " exporttosdk: Export files to SDK" + @echo " " + @echo " libs : Configures the sw libraries for this system" + @echo " program : Compiles the program sources for all the processor instances" + @echo " " + @echo " init_bram: Initializes bitstream with BRAM data" + @echo " ace : Generate ace file from bitstream and elf" + @echo " download : Downloads the bitstream onto the board" + @echo " " + @echo " sim : Generates HDL simulation models and runs simulator for chosen simulation mode" + @echo " simmodel : Generates HDL simulation models for chosen simulation mode" + @echo " behavioral_model : Generates behavioral HDL models with BRAM initialization" + @echo " structural_model : Generates structural simulation HDL models with BRAM initialization" + @echo " timing : Generates timing simulation HDL models with BRAM initialization" + @echo " " + @echo " netlistclean: Deletes netlist" + @echo " bitsclean: Deletes bit, ncd, bmm files" + @echo " hwclean : Deletes implementation dir" + @echo " libsclean: Deletes sw libraries" + @echo " programclean: Deletes compiled ELF files" + @echo " swclean : Deletes sw libraries and ELF files" + @echo " simclean : Deletes simulation dir" + @echo " clean : Deletes all generated files/directories" + @echo " " + @echo " make : (Default)" + @echo " Creates a Microprocessor system using default initializations" + @echo " specified for each processor in MSS file" + + +bits: $(SYSTEM_BIT) + +ace: $(SYSTEM_ACE) + +exporttosdk: $(SYSTEM_HW_HANDOFF_DEP) + +netlist: $(POSTSYN_NETLIST) + +libs: $(LIBRARIES) + +program: $(ALL_USER_ELF_FILES) + +download: $(DOWNLOAD_BIT) dummy + @echo "*********************************************" + @echo "Downloading Bitstream onto the target board" + @echo "*********************************************" + impact -batch etc/download.cmd + +init_bram: $(DOWNLOAD_BIT) + +sim: $(DEFAULT_SIM_SCRIPT) + cd simulation/behavioral; \ + $(SIM_CMD) & + +simmodel: $(DEFAULT_SIM_SCRIPT) + +behavioral_model: $(BEHAVIORAL_SIM_SCRIPT) + +structural_model: $(STRUCTURAL_SIM_SCRIPT) + +clean: hwclean libsclean programclean simclean + rm -f _impact.cmd + +hwclean: netlistclean bitsclean + rm -rf implementation synthesis xst hdl + rm -rf xst.srp $(SYSTEM).srp + rm -f __xps/ise/_xmsgs/bitinit.xmsgs + +netlistclean: + rm -f $(POSTSYN_NETLIST) + rm -f platgen.log + rm -f __xps/ise/_xmsgs/platgen.xmsgs + rm -f $(BMM_FILE) + +bitsclean: + rm -f $(SYSTEM_BIT) + rm -f implementation/$(SYSTEM).ncd + rm -f implementation/$(SYSTEM)_bd.bmm + rm -f implementation/$(SYSTEM)_map.ncd + rm -f __xps/$(SYSTEM)_routed + +simclean: + rm -rf simulation/behavioral + rm -f simgen.log + rm -f __xps/ise/_xmsgs/simgen.xmsgs + +swclean: libsclean programclean + @echo "" + +libsclean: $(LIBSCLEAN_TARGETS) + rm -f libgen.log + rm -f __xps/ise/_xmsgs/libgen.xmsgs + +programclean: $(PROGRAMCLEAN_TARGETS) + +################################################################# +# SOFTWARE PLATFORM FLOW +################################################################# + + +$(LIBRARIES): $(MHSFILE) $(MSSFILE) __xps/libgen.opt + @echo "*********************************************" + @echo "Creating software libraries..." + @echo "*********************************************" + libgen $(LIBGEN_OPTIONS) $(MSSFILE) + + +ppc440_0_libsclean: + rm -rf ppc440_0/ + +################################################################# +# SOFTWARE APPLICATION RTOSDEMO +################################################################# + +RTOSDemo_program: $(RTOSDEMO_OUTPUT) + +$(RTOSDEMO_OUTPUT) : $(RTOSDEMO_SOURCES) $(RTOSDEMO_HEADERS) $(RTOSDEMO_LINKER_SCRIPT) \ + $(LIBRARIES) __xps/rtosdemo_compiler.opt + @mkdir -p $(RTOSDEMO_OUTPUT_DIR) + $(RTOSDEMO_CC) $(RTOSDEMO_CC_OPT) $(RTOSDEMO_SOURCES) -o $(RTOSDEMO_OUTPUT) \ + $(RTOSDEMO_OTHER_CC_FLAGS) $(RTOSDEMO_INCLUDES) $(RTOSDEMO_LIBPATH) \ + $(RTOSDEMO_CFLAGS) $(RTOSDEMO_LFLAGS) + $(RTOSDEMO_CC_SIZE) $(RTOSDEMO_OUTPUT) + @echo "" + +RTOSDemo_programclean: + rm -f $(RTOSDEMO_OUTPUT) + +################################################################# +# BOOTLOOP ELF FILES +################################################################# + + + +$(PPC440_0_BOOTLOOP): $(PPC440_BOOTLOOP) + @mkdir -p $(BOOTLOOP_DIR) + cp -f $(PPC440_BOOTLOOP) $(PPC440_0_BOOTLOOP) + +################################################################# +# HARDWARE IMPLEMENTATION FLOW +################################################################# + + +$(BMM_FILE) \ +$(WRAPPER_NGC_FILES): $(MHSFILE) __xps/platgen.opt \ + $(CORE_STATE_DEVELOPMENT_FILES) + @echo "****************************************************" + @echo "Creating system netlist for hardware specification.." + @echo "****************************************************" + platgen $(PLATGEN_OPTIONS) $(MHSFILE) + +$(POSTSYN_NETLIST): $(WRAPPER_NGC_FILES) + @echo "Running synthesis..." + bash -c "cd synthesis; ./synthesis.sh" + +__xps/$(SYSTEM)_routed: $(FPGA_IMP_DEPENDENCY) + @echo "*********************************************" + @echo "Running Xilinx Implementation tools.." + @echo "*********************************************" + @cp -f $(UCF_FILE) implementation/$(SYSTEM).ucf + @cp -f etc/fast_runtime.opt implementation/xflow.opt + xflow -wd implementation -p $(DEVICE) -implement xflow.opt -ise ../__xps/ise/$(SYSTEM).ise $(SYSTEM).ngc + touch __xps/$(SYSTEM)_routed + +$(SYSTEM_BIT): __xps/$(SYSTEM)_routed $(BITGEN_UT_FILE) + xilperl $(NON_CYG_XILINX_EDK_DIR)/data/fpga_impl/observe_par.pl $(OBSERVE_PAR_OPTIONS) implementation/$(SYSTEM).par + @echo "*********************************************" + @echo "Running Bitgen.." + @echo "*********************************************" + @cp -f $(BITGEN_UT_FILE) implementation/bitgen.ut + cd implementation; bitgen -w -f bitgen.ut $(SYSTEM); cd .. + +$(DOWNLOAD_BIT): $(SYSTEM_BIT) $(BRAMINIT_ELF_FILES) __xps/bitinit.opt + @cp -f implementation/$(SYSTEM)_bd.bmm . + @echo "*********************************************" + @echo "Initializing BRAM contents of the bitstream" + @echo "*********************************************" + bitinit -p $(DEVICE) $(MHSFILE) $(SEARCHPATHOPT) $(BRAMINIT_ELF_FILE_ARGS) \ + -bt $(SYSTEM_BIT) -o $(DOWNLOAD_BIT) + @rm -f $(SYSTEM)_bd.bmm + +$(SYSTEM_ACE): $(DOWNLOAD_BIT) $(RTOSDEMO_OUTPUT) + @echo "*********************************************" + @echo "Creating system ace file" + @echo "*********************************************" + xmd -tcl genace.tcl -jprog -hw $(DOWNLOAD_BIT) -elf $(RTOSDEMO_OUTPUT) -target ppc_hw -ace $(SYSTEM_ACE) + +################################################################# +# EXPORT_TO_SDK FLOW +################################################################# + + +$(SYSTEM_HW_HANDOFF): $(MHSFILE) __xps/platgen.opt + mkdir -p $(SDK_EXPORT_DIR) + psf2Edward.exe -inp $(SYSTEM).xmp -xml $(SDK_EXPORT_DIR)/$(SYSTEM).xml $(SEARCHPATHOPT) + xdsgen.exe -inp $(SYSTEM).xmp -report $(SDK_EXPORT_DIR)/$(SYSTEM).html $(SEARCHPATHOPT) -make_docs_local + +$(SYSTEM_HW_HANDOFF_BIT): $(SYSTEM_BIT) + @rm -rf $(SYSTEM_HW_HANDOFF_BIT) + @cp -f $(SYSTEM_BIT) $(SDK_EXPORT_DIR)/ + +$(SYSTEM_HW_HANDOFF_BMM): implementation/$(SYSTEM)_bd.bmm + @rm -rf $(SYSTEM_HW_HANDOFF_BMM) + @cp -f implementation/$(SYSTEM)_bd.bmm $(SDK_EXPORT_DIR)/ + +################################################################# +# SIMULATION FLOW +################################################################# + + +################## BEHAVIORAL SIMULATION ################## + +$(BEHAVIORAL_SIM_SCRIPT): $(MHSFILE) __xps/simgen.opt \ + $(BRAMINIT_ELF_FILES) + @echo "*********************************************" + @echo "Creating behavioral simulation models..." + @echo "*********************************************" + simgen $(SIMGEN_OPTIONS) -m behavioral $(MHSFILE) + +################## STRUCTURAL SIMULATION ################## + +$(STRUCTURAL_SIM_SCRIPT): $(WRAPPER_NGC_FILES) __xps/simgen.opt \ + $(BRAMINIT_ELF_FILES) + @echo "*********************************************" + @echo "Creating structural simulation models..." + @echo "*********************************************" + simgen $(SIMGEN_OPTIONS) -sd implementation -m structural $(MHSFILE) + + +################## TIMING SIMULATION ################## + +implementation/$(SYSTEM).ncd: __xps/$(SYSTEM)_routed + +$(TIMING_SIM_SCRIPT): implementation/$(SYSTEM).ncd __xps/simgen.opt \ + $(BRAMINIT_ELF_FILES) + @echo "*********************************************" + @echo "Creating timing simulation models..." + @echo "*********************************************" + simgen $(SIMGEN_OPTIONS) -sd implementation -m timing $(MHSFILE) + +dummy: + @echo "" + diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/system.mhs b/Demo/PPC440_Xilinx_Virtex5_GCC/system.mhs new file mode 100644 index 000000000..3581b1400 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/system.mhs @@ -0,0 +1,458 @@ + +# ############################################################################## +# Created by Base System Builder Wizard for Xilinx EDK 11.1 Build EDK_L.29.1 +# Thu Jun 11 19:28:07 2009 +# Target Board: Xilinx Virtex 5 ML507 Evaluation Platform Rev A +# Family: virtex5 +# Device: xc5vfx70t +# Package: ff1136 +# Speed Grade: -1 +# Processor number: 1 +# Processor 1: ppc440_0 +# Processor clock frequency: 125.0 +# Bus clock frequency: 125.0 +# Debug Interface: FPGA JTAG +# ############################################################################## + PARAMETER VERSION = 2.1.0 + + + PORT fpga_0_RS232_Uart_1_RX_pin = fpga_0_RS232_Uart_1_RX_pin, DIR = I + PORT fpga_0_RS232_Uart_1_TX_pin = fpga_0_RS232_Uart_1_TX_pin, DIR = O + PORT fpga_0_LEDs_8Bit_GPIO_IO_pin = fpga_0_LEDs_8Bit_GPIO_IO_pin, DIR = IO, VEC = [0:7] + PORT fpga_0_LEDs_Positions_GPIO_IO_pin = fpga_0_LEDs_Positions_GPIO_IO_pin, DIR = IO, VEC = [0:4] + PORT fpga_0_Push_Buttons_5Bit_GPIO_IO_pin = fpga_0_Push_Buttons_5Bit_GPIO_IO_pin, DIR = IO, VEC = [0:4] + PORT fpga_0_DIP_Switches_8Bit_GPIO_IO_pin = fpga_0_DIP_Switches_8Bit_GPIO_IO_pin, DIR = IO, VEC = [0:7] + PORT fpga_0_IIC_EEPROM_Sda_pin = fpga_0_IIC_EEPROM_Sda_pin, DIR = IO + PORT fpga_0_IIC_EEPROM_Scl_pin = fpga_0_IIC_EEPROM_Scl_pin, DIR = IO + PORT fpga_0_SRAM_Mem_A_pin = fpga_0_SRAM_Mem_A_pin_vslice_7_30_concat, DIR = O, VEC = [7:30] + PORT fpga_0_SRAM_Mem_CEN_pin = fpga_0_SRAM_Mem_CEN_pin, DIR = O + PORT fpga_0_SRAM_Mem_OEN_pin = fpga_0_SRAM_Mem_OEN_pin, DIR = O + PORT fpga_0_SRAM_Mem_WEN_pin = fpga_0_SRAM_Mem_WEN_pin, DIR = O + PORT fpga_0_SRAM_Mem_BEN_pin = fpga_0_SRAM_Mem_BEN_pin, DIR = O, VEC = [0:3] + PORT fpga_0_SRAM_Mem_ADV_LDN_pin = fpga_0_SRAM_Mem_ADV_LDN_pin, DIR = O + PORT fpga_0_SRAM_Mem_DQ_pin = fpga_0_SRAM_Mem_DQ_pin, DIR = IO, VEC = [0:31] + PORT fpga_0_SRAM_ZBT_CLK_OUT_pin = SRAM_CLK_OUT_s, DIR = O + PORT fpga_0_SRAM_ZBT_CLK_FB_pin = SRAM_CLK_FB_s, DIR = I, SIGIS = CLK, CLK_FREQ = 125000000 + PORT fpga_0_PCIe_Bridge_RXN_pin = fpga_0_PCIe_Bridge_RXN_pin, DIR = I + PORT fpga_0_PCIe_Bridge_RXP_pin = fpga_0_PCIe_Bridge_RXP_pin, DIR = I + PORT fpga_0_PCIe_Bridge_TXN_pin = fpga_0_PCIe_Bridge_TXN_pin, DIR = O + PORT fpga_0_PCIe_Bridge_TXP_pin = fpga_0_PCIe_Bridge_TXP_pin, DIR = O + PORT fpga_0_Ethernet_MAC_PHY_tx_clk_pin = fpga_0_Ethernet_MAC_PHY_tx_clk_pin, DIR = I + PORT fpga_0_Ethernet_MAC_PHY_rx_clk_pin = fpga_0_Ethernet_MAC_PHY_rx_clk_pin, DIR = I + PORT fpga_0_Ethernet_MAC_PHY_crs_pin = fpga_0_Ethernet_MAC_PHY_crs_pin, DIR = I + PORT fpga_0_Ethernet_MAC_PHY_dv_pin = fpga_0_Ethernet_MAC_PHY_dv_pin, DIR = I + PORT fpga_0_Ethernet_MAC_PHY_rx_data_pin = fpga_0_Ethernet_MAC_PHY_rx_data_pin, DIR = I, VEC = [3:0] + PORT fpga_0_Ethernet_MAC_PHY_col_pin = fpga_0_Ethernet_MAC_PHY_col_pin, DIR = I + PORT fpga_0_Ethernet_MAC_PHY_rx_er_pin = fpga_0_Ethernet_MAC_PHY_rx_er_pin, DIR = I + PORT fpga_0_Ethernet_MAC_PHY_rst_n_pin = fpga_0_Ethernet_MAC_PHY_rst_n_pin, DIR = O + PORT fpga_0_Ethernet_MAC_PHY_tx_en_pin = fpga_0_Ethernet_MAC_PHY_tx_en_pin, DIR = O + PORT fpga_0_Ethernet_MAC_PHY_tx_data_pin = fpga_0_Ethernet_MAC_PHY_tx_data_pin, DIR = O, VEC = [3:0] + PORT fpga_0_Ethernet_MAC_MDINT_pin = fpga_0_Ethernet_MAC_MDINT_pin, DIR = I, SIGIS = INTERRUPT, SENSITIVITY = LEVEL_LOW, INTERRUPT_PRIORITY = MEDIUM + PORT fpga_0_DDR2_SDRAM_DDR2_DQ_pin = fpga_0_DDR2_SDRAM_DDR2_DQ_pin, DIR = IO, VEC = [63:0] + PORT fpga_0_DDR2_SDRAM_DDR2_DQS_pin = fpga_0_DDR2_SDRAM_DDR2_DQS_pin, DIR = IO, VEC = [7:0] + PORT fpga_0_DDR2_SDRAM_DDR2_DQS_N_pin = fpga_0_DDR2_SDRAM_DDR2_DQS_N_pin, DIR = IO, VEC = [7:0] + PORT fpga_0_DDR2_SDRAM_DDR2_A_pin = fpga_0_DDR2_SDRAM_DDR2_A_pin, DIR = O, VEC = [12:0] + PORT fpga_0_DDR2_SDRAM_DDR2_BA_pin = fpga_0_DDR2_SDRAM_DDR2_BA_pin, DIR = O, VEC = [1:0] + PORT fpga_0_DDR2_SDRAM_DDR2_RAS_N_pin = fpga_0_DDR2_SDRAM_DDR2_RAS_N_pin, DIR = O + PORT fpga_0_DDR2_SDRAM_DDR2_CAS_N_pin = fpga_0_DDR2_SDRAM_DDR2_CAS_N_pin, DIR = O + PORT fpga_0_DDR2_SDRAM_DDR2_WE_N_pin = fpga_0_DDR2_SDRAM_DDR2_WE_N_pin, DIR = O + PORT fpga_0_DDR2_SDRAM_DDR2_CS_N_pin = fpga_0_DDR2_SDRAM_DDR2_CS_N_pin, DIR = O + PORT fpga_0_DDR2_SDRAM_DDR2_ODT_pin = fpga_0_DDR2_SDRAM_DDR2_ODT_pin, DIR = O, VEC = [1:0] + PORT fpga_0_DDR2_SDRAM_DDR2_CKE_pin = fpga_0_DDR2_SDRAM_DDR2_CKE_pin, DIR = O + PORT fpga_0_DDR2_SDRAM_DDR2_DM_pin = fpga_0_DDR2_SDRAM_DDR2_DM_pin, DIR = O, VEC = [7:0] + PORT fpga_0_DDR2_SDRAM_DDR2_CK_pin = fpga_0_DDR2_SDRAM_DDR2_CK_pin, DIR = O, VEC = [1:0] + PORT fpga_0_DDR2_SDRAM_DDR2_CK_N_pin = fpga_0_DDR2_SDRAM_DDR2_CK_N_pin, DIR = O, VEC = [1:0] + PORT fpga_0_SysACE_CompactFlash_SysACE_MPA_pin = fpga_0_SysACE_CompactFlash_SysACE_MPA_pin, DIR = O, VEC = [6:0] + PORT fpga_0_SysACE_CompactFlash_SysACE_CLK_pin = fpga_0_SysACE_CompactFlash_SysACE_CLK_pin, DIR = I + PORT fpga_0_SysACE_CompactFlash_SysACE_MPIRQ_pin = fpga_0_SysACE_CompactFlash_SysACE_MPIRQ_pin, DIR = I + PORT fpga_0_SysACE_CompactFlash_SysACE_CEN_pin = fpga_0_SysACE_CompactFlash_SysACE_CEN_pin, DIR = O + PORT fpga_0_SysACE_CompactFlash_SysACE_OEN_pin = fpga_0_SysACE_CompactFlash_SysACE_OEN_pin, DIR = O + PORT fpga_0_SysACE_CompactFlash_SysACE_WEN_pin = fpga_0_SysACE_CompactFlash_SysACE_WEN_pin, DIR = O + PORT fpga_0_SysACE_CompactFlash_SysACE_MPD_pin = fpga_0_SysACE_CompactFlash_SysACE_MPD_pin, DIR = IO, VEC = [15:0] + PORT fpga_0_clk_1_sys_clk_pin = dcm_clk_s, DIR = I, SIGIS = CLK, CLK_FREQ = 100000000 + PORT fpga_0_rst_1_sys_rst_pin = sys_rst_s, DIR = I, SIGIS = RST, RST_POLARITY = 0 + PORT fpga_0_PCIe_Diff_Clk_IBUF_DS_P_pin = PCIe_Diff_Clk, DIR = I, DIFFERENTIAL_POLARITY = P, SIGIS = CLK + PORT fpga_0_PCIe_Diff_Clk_IBUF_DS_N_pin = PCIe_Diff_Clk, DIR = I, DIFFERENTIAL_POLARITY = N, SIGIS = CLK + + +BEGIN ppc440_virtex5 + PARAMETER INSTANCE = ppc440_0 + PARAMETER C_IDCR_BASEADDR = 0b0000000000 + PARAMETER C_IDCR_HIGHADDR = 0b0011111111 + PARAMETER C_PPC440MC_ROW_CONFLICT_MASK = 0x003FFE00 + PARAMETER C_PPC440MC_BANK_CONFLICT_MASK = 0x00C00000 + PARAMETER C_PPC440MC_CONTROL = 0xF810008F + PARAMETER C_SPLB0_USE_MPLB_ADDR = 1 + PARAMETER C_SPLB0_NUM_MPLB_ADDR_RNG = 1 + PARAMETER C_SPLB1_NUM_MPLB_ADDR_RNG = 0 + PARAMETER HW_VER = 1.01.a + PARAMETER C_SPLB0_RNG0_MPLB_BASEADDR = 0x80000000 + PARAMETER C_SPLB0_RNG0_MPLB_HIGHADDR = 0xffffffff + PARAMETER C_SPLB0_RNG_MC_BASEADDR = 0x00000000 + PARAMETER C_SPLB0_RNG_MC_HIGHADDR = 0x0fffffff + BUS_INTERFACE MPLB = plb_v46_0 + BUS_INTERFACE SPLB0 = ppc440_0_SPLB0 + BUS_INTERFACE PPC440MC = ppc440_0_PPC440MC + BUS_INTERFACE JTAGPPC = ppc440_0_jtagppc_bus + BUS_INTERFACE RESETPPC = ppc_reset_bus + PORT CPMC440CLK = clk_125_0000MHzPLL0 + PORT CPMINTERCONNECTCLK = clk_125_0000MHzPLL0 + PORT CPMINTERCONNECTCLKNTO1 = net_vcc + PORT EICC440EXTIRQ = ppc440_0_EICC440EXTIRQ + PORT CPMMCCLK = clk_125_0000MHzPLL0_ADJUST + PORT CPMPPCMPLBCLK = clk_125_0000MHzPLL0_ADJUST + PORT CPMPPCS0PLBCLK = clk_125_0000MHzPLL0_ADJUST +END + +BEGIN plb_v46 + PARAMETER INSTANCE = plb_v46_0 + PARAMETER C_DCR_INTFCE = 0 + PARAMETER C_FAMILY = virtex5 + PARAMETER HW_VER = 1.04.a + PORT PLB_Clk = clk_125_0000MHzPLL0_ADJUST + PORT SYS_Rst = sys_bus_reset +END + +BEGIN xps_bram_if_cntlr + PARAMETER INSTANCE = xps_bram_if_cntlr_1 + PARAMETER C_SPLB_NATIVE_DWIDTH = 64 + PARAMETER C_SPLB_SUPPORT_BURSTS = 1 + PARAMETER C_SPLB_P2P = 0 + PARAMETER C_FAMILY = virtex5 + PARAMETER HW_VER = 1.00.b + PARAMETER C_BASEADDR = 0xffffe000 + PARAMETER C_HIGHADDR = 0xffffffff + BUS_INTERFACE SPLB = plb_v46_0 + BUS_INTERFACE PORTA = xps_bram_if_cntlr_1_port +END + +BEGIN bram_block + PARAMETER INSTANCE = xps_bram_if_cntlr_1_bram + PARAMETER C_FAMILY = virtex5 + PARAMETER HW_VER = 1.00.a + BUS_INTERFACE PORTA = xps_bram_if_cntlr_1_port +END + +BEGIN xps_uartlite + PARAMETER INSTANCE = RS232_Uart_1 + PARAMETER C_FAMILY = virtex5 + PARAMETER C_BAUDRATE = 9600 + PARAMETER C_DATA_BITS = 8 + PARAMETER C_USE_PARITY = 0 + PARAMETER C_ODD_PARITY = 0 + PARAMETER HW_VER = 1.01.a + PARAMETER C_BASEADDR = 0x84000000 + PARAMETER C_HIGHADDR = 0x8400ffff + BUS_INTERFACE SPLB = plb_v46_0 + PORT RX = fpga_0_RS232_Uart_1_RX_pin + PORT TX = fpga_0_RS232_Uart_1_TX_pin + PORT Interrupt = RS232_Uart_1_Interrupt +END + +BEGIN xps_gpio + PARAMETER INSTANCE = LEDs_8Bit + PARAMETER C_FAMILY = virtex5 + PARAMETER C_ALL_INPUTS = 0 + PARAMETER C_GPIO_WIDTH = 8 + PARAMETER C_INTERRUPT_PRESENT = 0 + PARAMETER C_IS_DUAL = 0 + PARAMETER HW_VER = 2.00.a + PARAMETER C_BASEADDR = 0x81440000 + PARAMETER C_HIGHADDR = 0x8144ffff + BUS_INTERFACE SPLB = plb_v46_0 + PORT GPIO_IO = fpga_0_LEDs_8Bit_GPIO_IO_pin +END + +BEGIN xps_gpio + PARAMETER INSTANCE = LEDs_Positions + PARAMETER C_FAMILY = virtex5 + PARAMETER C_ALL_INPUTS = 0 + PARAMETER C_GPIO_WIDTH = 5 + PARAMETER C_INTERRUPT_PRESENT = 0 + PARAMETER C_IS_DUAL = 0 + PARAMETER HW_VER = 2.00.a + PARAMETER C_BASEADDR = 0x81420000 + PARAMETER C_HIGHADDR = 0x8142ffff + BUS_INTERFACE SPLB = plb_v46_0 + PORT GPIO_IO = fpga_0_LEDs_Positions_GPIO_IO_pin +END + +BEGIN xps_gpio + PARAMETER INSTANCE = Push_Buttons_5Bit + PARAMETER C_FAMILY = virtex5 + PARAMETER C_ALL_INPUTS = 1 + PARAMETER C_GPIO_WIDTH = 5 + PARAMETER C_INTERRUPT_PRESENT = 0 + PARAMETER C_IS_DUAL = 0 + PARAMETER HW_VER = 2.00.a + PARAMETER C_BASEADDR = 0x81400000 + PARAMETER C_HIGHADDR = 0x8140ffff + BUS_INTERFACE SPLB = plb_v46_0 + PORT GPIO_IO = fpga_0_Push_Buttons_5Bit_GPIO_IO_pin +END + +BEGIN xps_gpio + PARAMETER INSTANCE = DIP_Switches_8Bit + PARAMETER C_FAMILY = virtex5 + PARAMETER C_ALL_INPUTS = 1 + PARAMETER C_GPIO_WIDTH = 8 + PARAMETER C_INTERRUPT_PRESENT = 0 + PARAMETER C_IS_DUAL = 0 + PARAMETER HW_VER = 2.00.a + PARAMETER C_BASEADDR = 0x81460000 + PARAMETER C_HIGHADDR = 0x8146ffff + BUS_INTERFACE SPLB = plb_v46_0 + PORT GPIO_IO = fpga_0_DIP_Switches_8Bit_GPIO_IO_pin +END + +BEGIN xps_iic + PARAMETER INSTANCE = IIC_EEPROM + PARAMETER C_IIC_FREQ = 100000 + PARAMETER C_TEN_BIT_ADR = 0 + PARAMETER C_FAMILY = virtex5 + PARAMETER HW_VER = 2.01.a + PARAMETER C_BASEADDR = 0x81600000 + PARAMETER C_HIGHADDR = 0x8160ffff + BUS_INTERFACE SPLB = plb_v46_0 + PORT Sda = fpga_0_IIC_EEPROM_Sda_pin + PORT Scl = fpga_0_IIC_EEPROM_Scl_pin +END + +BEGIN xps_mch_emc + PARAMETER INSTANCE = SRAM + PARAMETER C_FAMILY = virtex5 + PARAMETER C_NUM_BANKS_MEM = 1 + PARAMETER C_NUM_CHANNELS = 0 + PARAMETER C_MEM0_WIDTH = 32 + PARAMETER C_MAX_MEM_WIDTH = 32 + PARAMETER C_INCLUDE_DATAWIDTH_MATCHING_0 = 0 + PARAMETER C_SYNCH_MEM_0 = 1 + PARAMETER C_TCEDV_PS_MEM_0 = 0 + PARAMETER C_TAVDV_PS_MEM_0 = 0 + PARAMETER C_THZCE_PS_MEM_0 = 0 + PARAMETER C_THZOE_PS_MEM_0 = 0 + PARAMETER C_TWC_PS_MEM_0 = 0 + PARAMETER C_TWP_PS_MEM_0 = 0 + PARAMETER C_TLZWE_PS_MEM_0 = 0 + PARAMETER HW_VER = 3.00.a + PARAMETER C_MEM0_BASEADDR = 0xf8000000 + PARAMETER C_MEM0_HIGHADDR = 0xf80fffff + BUS_INTERFACE SPLB = plb_v46_0 + PORT RdClk = clk_125_0000MHzPLL0_ADJUST + PORT Mem_A = 0b0000000 & fpga_0_SRAM_Mem_A_pin_vslice_7_30_concat & 0b0 + PORT Mem_CEN = fpga_0_SRAM_Mem_CEN_pin + PORT Mem_OEN = fpga_0_SRAM_Mem_OEN_pin + PORT Mem_WEN = fpga_0_SRAM_Mem_WEN_pin + PORT Mem_BEN = fpga_0_SRAM_Mem_BEN_pin + PORT Mem_ADV_LDN = fpga_0_SRAM_Mem_ADV_LDN_pin + PORT Mem_DQ = fpga_0_SRAM_Mem_DQ_pin +END + +BEGIN plbv46_pcie + PARAMETER INSTANCE = PCIe_Bridge + PARAMETER C_FAMILY = virtex5 + PARAMETER C_IPIFBAR_NUM = 2 + PARAMETER C_PCIBAR_NUM = 1 + PARAMETER C_DEVICE_ID = 0x0505 + PARAMETER C_VENDOR_ID = 0x10EE + PARAMETER C_CLASS_CODE = 0x058000 + PARAMETER C_REV_ID = 0x00 + PARAMETER C_SUBSYSTEM_ID = 0x0000 + PARAMETER C_SUBSYSTEM_VENDOR_ID = 0x0000 + PARAMETER C_COMP_TIMEOUT = 1 + PARAMETER C_IPIFBAR2PCIBAR_0 = 0x00000000 + PARAMETER C_IPIFBAR2PCIBAR_1 = 0x00000000 + PARAMETER C_PCIBAR2IPIFBAR_0 = 0xf8000000 + PARAMETER C_PCIBAR2IPIFBAR_1 = 0x00000000 + PARAMETER C_PCIBAR_LEN_0 = 20 + PARAMETER C_PCIBAR_LEN_1 = 28 + PARAMETER C_BOARD = ml507 + PARAMETER HW_VER = 3.00.b + PARAMETER C_BASEADDR = 0x85c00000 + PARAMETER C_HIGHADDR = 0x85c0ffff + PARAMETER C_IPIFBAR_0 = 0xc0000000 + PARAMETER C_IPIFBAR_HIGHADDR_0 = 0xdfffffff + PARAMETER C_IPIFBAR_1 = 0xe0000000 + PARAMETER C_IPIFBAR_HIGHADDR_1 = 0xefffffff + BUS_INTERFACE SPLB = plb_v46_0 + BUS_INTERFACE MPLB = ppc440_0_SPLB0 + PORT PERSTN = net_vcc + PORT REFCLK = PCIe_Diff_Clk + PORT RXN = fpga_0_PCIe_Bridge_RXN_pin + PORT RXP = fpga_0_PCIe_Bridge_RXP_pin + PORT TXN = fpga_0_PCIe_Bridge_TXN_pin + PORT TXP = fpga_0_PCIe_Bridge_TXP_pin + PORT MSI_request = net_gnd +END + +BEGIN plb_v46 + PARAMETER INSTANCE = ppc440_0_SPLB0 + PARAMETER C_FAMILY = virtex5 + PARAMETER HW_VER = 1.04.a + PORT PLB_Clk = clk_125_0000MHzPLL0_ADJUST + PORT SYS_Rst = sys_bus_reset +END + +BEGIN xps_ethernetlite + PARAMETER INSTANCE = Ethernet_MAC + PARAMETER C_FAMILY = virtex5 + PARAMETER HW_VER = 2.01.a + PARAMETER C_BASEADDR = 0x81000000 + PARAMETER C_HIGHADDR = 0x8100ffff + BUS_INTERFACE SPLB = plb_v46_0 + PORT PHY_tx_clk = fpga_0_Ethernet_MAC_PHY_tx_clk_pin + PORT PHY_rx_clk = fpga_0_Ethernet_MAC_PHY_rx_clk_pin + PORT PHY_crs = fpga_0_Ethernet_MAC_PHY_crs_pin + PORT PHY_dv = fpga_0_Ethernet_MAC_PHY_dv_pin + PORT PHY_rx_data = fpga_0_Ethernet_MAC_PHY_rx_data_pin + PORT PHY_col = fpga_0_Ethernet_MAC_PHY_col_pin + PORT PHY_rx_er = fpga_0_Ethernet_MAC_PHY_rx_er_pin + PORT PHY_rst_n = fpga_0_Ethernet_MAC_PHY_rst_n_pin + PORT PHY_tx_en = fpga_0_Ethernet_MAC_PHY_tx_en_pin + PORT PHY_tx_data = fpga_0_Ethernet_MAC_PHY_tx_data_pin +END + +BEGIN ppc440mc_ddr2 + PARAMETER INSTANCE = DDR2_SDRAM + PARAMETER C_DDR_BAWIDTH = 2 + PARAMETER C_NUM_CLK_PAIRS = 2 + PARAMETER C_DDR_DWIDTH = 64 + PARAMETER C_DDR_CAWIDTH = 10 + PARAMETER C_NUM_RANKS_MEM = 1 + PARAMETER C_CS_BITS = 0 + PARAMETER C_DDR_DM_WIDTH = 8 + PARAMETER C_DQ_BITS = 8 + PARAMETER C_DDR2_ODT_WIDTH = 2 + PARAMETER C_DDR2_ADDT_LAT = 0 + PARAMETER C_INCLUDE_ECC_SUPPORT = 0 + PARAMETER C_DDR2_ODT_SETTING = 1 + PARAMETER C_DQS_BITS = 3 + PARAMETER C_DDR_DQS_WIDTH = 8 + PARAMETER C_DDR_RAWIDTH = 13 + PARAMETER C_DDR_BURST_LENGTH = 4 + PARAMETER C_DDR_CAS_LAT = 4 + PARAMETER C_REG_DIMM = 0 + PARAMETER C_MIB_MC_CLOCK_RATIO = 1 + PARAMETER C_DDR_TREFI = 3900 + PARAMETER C_DDR_TRAS = 40000 + PARAMETER C_DDR_TRCD = 15000 + PARAMETER C_DDR_TRFC = 75000 + PARAMETER C_DDR_TRP = 15000 + PARAMETER C_DDR_TRTP = 7500 + PARAMETER C_DDR_TWR = 15000 + PARAMETER C_DDR_TWTR = 7500 + PARAMETER C_MC_MIBCLK_PERIOD_PS = 8000 + PARAMETER C_IDEL_HIGH_PERF = TRUE + PARAMETER C_NUM_IDELAYCTRL = 3 + PARAMETER C_IDELAYCTRL_LOC = IDELAYCTRL_X0Y6-IDELAYCTRL_X0Y2-IDELAYCTRL_X0Y1 + PARAMETER C_DQS_IO_COL = 0b000000000000000000 + PARAMETER C_DQ_IO_MS = 0b000000000111010100111101000011110001111000101110110000111100000110111100 + PARAMETER HW_VER = 2.00.b + PARAMETER C_MEM_BASEADDR = 0x00000000 + PARAMETER C_MEM_HIGHADDR = 0x0fffffff + BUS_INTERFACE PPC440MC = ppc440_0_PPC440MC + PORT mc_mibclk = clk_125_0000MHzPLL0_ADJUST + PORT mi_mcclk90 = clk_125_0000MHz90PLL0_ADJUST + PORT mi_mcreset = sys_bus_reset + PORT mi_mcclkdiv2 = clk_62_5000MHzPLL0_ADJUST + PORT mi_mcclk_200 = clk_200_0000MHz + PORT DDR2_DQ = fpga_0_DDR2_SDRAM_DDR2_DQ_pin + PORT DDR2_DQS = fpga_0_DDR2_SDRAM_DDR2_DQS_pin + PORT DDR2_DQS_N = fpga_0_DDR2_SDRAM_DDR2_DQS_N_pin + PORT DDR2_A = fpga_0_DDR2_SDRAM_DDR2_A_pin + PORT DDR2_BA = fpga_0_DDR2_SDRAM_DDR2_BA_pin + PORT DDR2_RAS_N = fpga_0_DDR2_SDRAM_DDR2_RAS_N_pin + PORT DDR2_CAS_N = fpga_0_DDR2_SDRAM_DDR2_CAS_N_pin + PORT DDR2_WE_N = fpga_0_DDR2_SDRAM_DDR2_WE_N_pin + PORT DDR2_CS_N = fpga_0_DDR2_SDRAM_DDR2_CS_N_pin + PORT DDR2_ODT = fpga_0_DDR2_SDRAM_DDR2_ODT_pin + PORT DDR2_CKE = fpga_0_DDR2_SDRAM_DDR2_CKE_pin + PORT DDR2_DM = fpga_0_DDR2_SDRAM_DDR2_DM_pin + PORT DDR2_CK = fpga_0_DDR2_SDRAM_DDR2_CK_pin + PORT DDR2_CK_N = fpga_0_DDR2_SDRAM_DDR2_CK_N_pin +END + +BEGIN xps_sysace + PARAMETER INSTANCE = SysACE_CompactFlash + PARAMETER C_MEM_WIDTH = 16 + PARAMETER C_FAMILY = virtex5 + PARAMETER HW_VER = 1.01.a + PARAMETER C_BASEADDR = 0x83600000 + PARAMETER C_HIGHADDR = 0x8360ffff + BUS_INTERFACE SPLB = plb_v46_0 + PORT SysACE_MPA = fpga_0_SysACE_CompactFlash_SysACE_MPA_pin + PORT SysACE_CLK = fpga_0_SysACE_CompactFlash_SysACE_CLK_pin + PORT SysACE_MPIRQ = fpga_0_SysACE_CompactFlash_SysACE_MPIRQ_pin + PORT SysACE_CEN = fpga_0_SysACE_CompactFlash_SysACE_CEN_pin + PORT SysACE_OEN = fpga_0_SysACE_CompactFlash_SysACE_OEN_pin + PORT SysACE_WEN = fpga_0_SysACE_CompactFlash_SysACE_WEN_pin + PORT SysACE_MPD = fpga_0_SysACE_CompactFlash_SysACE_MPD_pin +END + +BEGIN clock_generator + PARAMETER INSTANCE = clock_generator_0 + PARAMETER C_CLKIN_FREQ = 100000000 + PARAMETER C_CLKFBIN_FREQ = 125000000 + PARAMETER C_CLKOUT0_FREQ = 125000000 + PARAMETER C_CLKOUT0_PHASE = 90 + PARAMETER C_CLKOUT0_GROUP = PLL0_ADJUST + PARAMETER C_CLKOUT0_BUF = TRUE + PARAMETER C_CLKOUT1_FREQ = 125000000 + PARAMETER C_CLKOUT1_PHASE = 0 + PARAMETER C_CLKOUT1_GROUP = PLL0 + PARAMETER C_CLKOUT1_BUF = TRUE + PARAMETER C_CLKOUT2_FREQ = 125000000 + PARAMETER C_CLKOUT2_PHASE = 0 + PARAMETER C_CLKOUT2_GROUP = PLL0_ADJUST + PARAMETER C_CLKOUT2_BUF = TRUE + PARAMETER C_CLKOUT3_FREQ = 200000000 + PARAMETER C_CLKOUT3_PHASE = 0 + PARAMETER C_CLKOUT3_GROUP = NONE + PARAMETER C_CLKOUT3_BUF = TRUE + PARAMETER C_CLKOUT4_FREQ = 62500000 + PARAMETER C_CLKOUT4_PHASE = 0 + PARAMETER C_CLKOUT4_GROUP = PLL0_ADJUST + PARAMETER C_CLKOUT4_BUF = TRUE + PARAMETER C_CLKFBOUT_FREQ = 125000000 + PARAMETER C_CLKFBOUT_BUF = TRUE + PARAMETER HW_VER = 3.01.a + PORT CLKIN = dcm_clk_s + PORT CLKFBIN = SRAM_CLK_FB_s + PORT CLKOUT0 = clk_125_0000MHz90PLL0_ADJUST + PORT CLKOUT1 = clk_125_0000MHzPLL0 + PORT CLKOUT2 = clk_125_0000MHzPLL0_ADJUST + PORT CLKOUT3 = clk_200_0000MHz + PORT CLKOUT4 = clk_62_5000MHzPLL0_ADJUST + PORT CLKFBOUT = SRAM_CLK_OUT_s + PORT RST = net_gnd + PORT LOCKED = Dcm_all_locked +END + +BEGIN jtagppc_cntlr + PARAMETER INSTANCE = jtagppc_cntlr_inst + PARAMETER HW_VER = 2.01.c + BUS_INTERFACE JTAGPPC0 = ppc440_0_jtagppc_bus +END + +BEGIN proc_sys_reset + PARAMETER INSTANCE = proc_sys_reset_0 + PARAMETER C_EXT_RESET_HIGH = 0 + PARAMETER HW_VER = 2.00.a + BUS_INTERFACE RESETPPC0 = ppc_reset_bus + PORT Slowest_sync_clk = clk_125_0000MHzPLL0_ADJUST + PORT Ext_Reset_In = sys_rst_s + PORT Dcm_locked = Dcm_all_locked + PORT Bus_Struct_Reset = sys_bus_reset + PORT Peripheral_Reset = sys_periph_reset +END + +BEGIN xps_intc + PARAMETER INSTANCE = xps_intc_0 + PARAMETER HW_VER = 2.00.a + PARAMETER C_BASEADDR = 0x81800000 + PARAMETER C_HIGHADDR = 0x8180ffff + BUS_INTERFACE SPLB = plb_v46_0 + PORT Intr = fpga_0_Ethernet_MAC_MDINT_pin&RS232_Uart_1_Interrupt + PORT Irq = ppc440_0_EICC440EXTIRQ +END + diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/system.mss b/Demo/PPC440_Xilinx_Virtex5_GCC/system.mss new file mode 100644 index 000000000..36bd0f5fe --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/system.mss @@ -0,0 +1,125 @@ + + PARAMETER VERSION = 2.2.0 + + +BEGIN OS + PARAMETER OS_NAME = standalone + PARAMETER OS_VER = 2.00.a + PARAMETER PROC_INSTANCE = ppc440_0 + PARAMETER STDIN = RS232_Uart_1 + PARAMETER STDOUT = RS232_Uart_1 +END + + +BEGIN PROCESSOR + PARAMETER DRIVER_NAME = cpu_ppc440 + PARAMETER DRIVER_VER = 1.01.a + PARAMETER HW_INSTANCE = ppc440_0 + PARAMETER COMPILER = powerpc-eabi-gcc + PARAMETER ARCHIVER = powerpc-eabi-ar +END + + +BEGIN DRIVER + PARAMETER DRIVER_NAME = bram + PARAMETER DRIVER_VER = 1.00.a + PARAMETER HW_INSTANCE = xps_bram_if_cntlr_1 +END + +BEGIN DRIVER + PARAMETER DRIVER_NAME = generic + PARAMETER DRIVER_VER = 1.00.a + PARAMETER HW_INSTANCE = xps_bram_if_cntlr_1_bram +END + +BEGIN DRIVER + PARAMETER DRIVER_NAME = uartlite + PARAMETER DRIVER_VER = 1.14.a + PARAMETER HW_INSTANCE = RS232_Uart_1 +END + +BEGIN DRIVER + PARAMETER DRIVER_NAME = gpio + PARAMETER DRIVER_VER = 2.13.a + PARAMETER HW_INSTANCE = LEDs_8Bit +END + +BEGIN DRIVER + PARAMETER DRIVER_NAME = gpio + PARAMETER DRIVER_VER = 2.13.a + PARAMETER HW_INSTANCE = LEDs_Positions +END + +BEGIN DRIVER + PARAMETER DRIVER_NAME = gpio + PARAMETER DRIVER_VER = 2.13.a + PARAMETER HW_INSTANCE = Push_Buttons_5Bit +END + +BEGIN DRIVER + PARAMETER DRIVER_NAME = gpio + PARAMETER DRIVER_VER = 2.13.a + PARAMETER HW_INSTANCE = DIP_Switches_8Bit +END + +BEGIN DRIVER + PARAMETER DRIVER_NAME = iic + PARAMETER DRIVER_VER = 1.15.a + PARAMETER HW_INSTANCE = IIC_EEPROM +END + +BEGIN DRIVER + PARAMETER DRIVER_NAME = emc + PARAMETER DRIVER_VER = 2.00.a + PARAMETER HW_INSTANCE = SRAM +END + +BEGIN DRIVER + PARAMETER DRIVER_NAME = pcie + PARAMETER DRIVER_VER = 1.00.a + PARAMETER HW_INSTANCE = PCIe_Bridge +END + +BEGIN DRIVER + PARAMETER DRIVER_NAME = emaclite + PARAMETER DRIVER_VER = 1.14.a + PARAMETER HW_INSTANCE = Ethernet_MAC +END + +BEGIN DRIVER + PARAMETER DRIVER_NAME = memcon + PARAMETER DRIVER_VER = 1.00.a + PARAMETER HW_INSTANCE = DDR2_SDRAM +END + +BEGIN DRIVER + PARAMETER DRIVER_NAME = sysace + PARAMETER DRIVER_VER = 1.12.a + PARAMETER HW_INSTANCE = SysACE_CompactFlash +END + +BEGIN DRIVER + PARAMETER DRIVER_NAME = generic + PARAMETER DRIVER_VER = 1.00.a + PARAMETER HW_INSTANCE = clock_generator_0 +END + +BEGIN DRIVER + PARAMETER DRIVER_NAME = generic + PARAMETER DRIVER_VER = 1.00.a + PARAMETER HW_INSTANCE = jtagppc_cntlr_inst +END + +BEGIN DRIVER + PARAMETER DRIVER_NAME = generic + PARAMETER DRIVER_VER = 1.00.a + PARAMETER HW_INSTANCE = proc_sys_reset_0 +END + +BEGIN DRIVER + PARAMETER DRIVER_NAME = intc + PARAMETER DRIVER_VER = 1.11.a + PARAMETER HW_INSTANCE = xps_intc_0 +END + + diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/system.xmp b/Demo/PPC440_Xilinx_Virtex5_GCC/system.xmp new file mode 100644 index 000000000..d44417a73 --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/system.xmp @@ -0,0 +1,69 @@ +#Please do not modify this file by hand +XmpVersion: 11.2 +VerMgmt: 11.2 +IntStyle: default +MHS File: system.mhs +MSS File: system.mss +Architecture: virtex5 +Device: xc5vfx70t +Package: ff1136 +SpeedGrade: -1 +UserCmd1: +UserCmd1Type: 0 +UserCmd2: +UserCmd2Type: 0 +GenSimTB: 0 +SdkExportBmmBit: 1 +SdkExportDir: SDK/SDK_Export +InsertNoPads: 0 +WarnForEAArch: 1 +HdlLang: VHDL +SimModel: BEHAVIORAL +UcfFile: data/system.ucf +EnableParTimingError: 1 +ShowLicenseDialog: 1 +Processor: ppc440_0 +BootLoop: 1 +XmdStub: 0 +SwProj: RTOSDemo +Processor: ppc440_0 +Executable: RTOSDemo/executable.elf +Source: RTOSDemo/../../Common/Minimal/BlockQ.c +Source: RTOSDemo/../../Common/Minimal/blocktim.c +Source: RTOSDemo/../../Common/Minimal/comtest.c +Source: RTOSDemo/../../Common/Minimal/countsem.c +Source: RTOSDemo/../../Common/Minimal/death.c +Source: RTOSDemo/../../Common/Minimal/dynamic.c +Source: RTOSDemo/../../Common/Minimal/flash.c +Source: RTOSDemo/../../Common/Minimal/GenQTest.c +Source: RTOSDemo/../../Common/Minimal/integer.c +Source: RTOSDemo/../../Common/Minimal/QPeek.c +Source: RTOSDemo/../../Common/Minimal/recmutex.c +Source: RTOSDemo/../../Common/Minimal/semtest.c +Source: RTOSDemo/../../../Source/tasks.c +Source: RTOSDemo/../../../Source/list.c +Source: RTOSDemo/../../../Source/queue.c +Source: RTOSDemo/../../../Source/croutine.c +Source: RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S +Source: RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c +Source: RTOSDemo/../../../Source/portable/MemMang/heap_2.c +Source: RTOSDemo/flop/flop-reg-test.c +Source: RTOSDemo/flop/flop.c +Source: RTOSDemo/partest/partest.c +Source: RTOSDemo/serial/serial.c +Source: RTOSDemo/main.c +DefaultInit: EXECUTABLE +InitBram: 0 +Active: 1 +CompilerOptLevel: 0 +GlobPtrOpt: 0 +DebugSym: 1 +ProfileFlag: 0 +SearchIncl: ../../Source/include ../Common/include ./RTOSDemo ./RTOSDemo/flop +ProgStart: +StackSize: +HeapSize: +LinkerScript: RTOSDemo/RTOSDemo_linker_script.ld +ProgCCFlags: -D GCC_PPC440 -mregnames +CompileInXps: 1 +NonXpsApp: 0 diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/system_incl.make b/Demo/PPC440_Xilinx_Virtex5_GCC/system_incl.make new file mode 100644 index 000000000..87949c61c --- /dev/null +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/system_incl.make @@ -0,0 +1,151 @@ +################################################################# +# Makefile generated by Xilinx Platform Studio +# Project:C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.xmp +# +# WARNING : This file will be re-generated every time a command +# to run a make target is invoked. So, any changes made to this +# file manually, will be lost when make is invoked next. +################################################################# + +XILINX_EDK_DIR = /cygdrive/c/devtools/Xilinx/11.1/EDK +NON_CYG_XILINX_EDK_DIR = C:/devtools/Xilinx/11.1/EDK + +SYSTEM = system + +MHSFILE = system.mhs + +MSSFILE = system.mss + +FPGA_ARCH = virtex5 + +DEVICE = xc5vfx70tff1136-1 + +LANGUAGE = vhdl + +SEARCHPATHOPT = + +SUBMODULE_OPT = + +PLATGEN_OPTIONS = -p $(DEVICE) -lang $(LANGUAGE) $(SEARCHPATHOPT) $(SUBMODULE_OPT) -msg __xps/ise/xmsgprops.lst + +LIBGEN_OPTIONS = -mhs $(MHSFILE) -p $(DEVICE) $(SEARCHPATHOPT) -msg __xps/ise/xmsgprops.lst + +OBSERVE_PAR_OPTIONS = -error yes + +RTOSDEMO_OUTPUT_DIR = RTOSDemo +RTOSDEMO_OUTPUT = $(RTOSDEMO_OUTPUT_DIR)/executable.elf + +MICROBLAZE_BOOTLOOP = $(XILINX_EDK_DIR)/sw/lib/microblaze/mb_bootloop.elf +PPC405_BOOTLOOP = $(XILINX_EDK_DIR)/sw/lib/ppc405/ppc_bootloop.elf +PPC440_BOOTLOOP = $(XILINX_EDK_DIR)/sw/lib/ppc440/ppc440_bootloop.elf +BOOTLOOP_DIR = bootloops + +PPC440_0_BOOTLOOP = $(BOOTLOOP_DIR)/ppc440_0.elf + +BRAMINIT_ELF_FILES = $(PPC440_0_BOOTLOOP) +BRAMINIT_ELF_FILE_ARGS = -pe ppc440_0 $(PPC440_0_BOOTLOOP) + +ALL_USER_ELF_FILES = $(RTOSDEMO_OUTPUT) + +SIM_CMD = vsim + +BEHAVIORAL_SIM_SCRIPT = simulation/behavioral/$(SYSTEM)_setup.do + +STRUCTURAL_SIM_SCRIPT = simulation/structural/$(SYSTEM)_setup.do + +TIMING_SIM_SCRIPT = simulation/timing/$(SYSTEM)_setup.do + +DEFAULT_SIM_SCRIPT = $(BEHAVIORAL_SIM_SCRIPT) + +MIX_LANG_SIM_OPT = -mixed yes + +SIMGEN_OPTIONS = -p $(DEVICE) -lang $(LANGUAGE) $(SEARCHPATHOPT) $(BRAMINIT_ELF_FILE_ARGS) $(MIX_LANG_SIM_OPT) -msg __xps/ise/xmsgprops.lst -s mti -X C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/ + + +LIBRARIES = \ + ppc440_0/lib/libxil.a + +LIBSCLEAN_TARGETS = ppc440_0_libsclean + +PROGRAMCLEAN_TARGETS = RTOSDemo_programclean + +CORE_STATE_DEVELOPMENT_FILES = + +WRAPPER_NGC_FILES = implementation/ppc440_0_wrapper.ngc \ +implementation/plb_v46_0_wrapper.ngc \ +implementation/xps_bram_if_cntlr_1_wrapper.ngc \ +implementation/xps_bram_if_cntlr_1_bram_wrapper.ngc \ +implementation/rs232_uart_1_wrapper.ngc \ +implementation/leds_8bit_wrapper.ngc \ +implementation/leds_positions_wrapper.ngc \ +implementation/push_buttons_5bit_wrapper.ngc \ +implementation/dip_switches_8bit_wrapper.ngc \ +implementation/iic_eeprom_wrapper.ngc \ +implementation/sram_wrapper.ngc \ +implementation/pcie_bridge_wrapper.ngc \ +implementation/ppc440_0_splb0_wrapper.ngc \ +implementation/ethernet_mac_wrapper.ngc \ +implementation/ddr2_sdram_wrapper.ngc \ +implementation/sysace_compactflash_wrapper.ngc \ +implementation/clock_generator_0_wrapper.ngc \ +implementation/jtagppc_cntlr_inst_wrapper.ngc \ +implementation/proc_sys_reset_0_wrapper.ngc \ +implementation/xps_intc_0_wrapper.ngc + +POSTSYN_NETLIST = implementation/$(SYSTEM).ngc + +SYSTEM_BIT = implementation/$(SYSTEM).bit + +DOWNLOAD_BIT = implementation/download.bit + +SYSTEM_ACE = implementation/$(SYSTEM).ace + +UCF_FILE = data/system.ucf + +BMM_FILE = implementation/$(SYSTEM).bmm + +BITGEN_UT_FILE = etc/bitgen.ut + +XFLOW_OPT_FILE = etc/fast_runtime.opt +XFLOW_DEPENDENCY = __xps/xpsxflow.opt $(XFLOW_OPT_FILE) + +XPLORER_DEPENDENCY = __xps/xplorer.opt +XPLORER_OPTIONS = -p $(DEVICE) -uc $(SYSTEM).ucf -bm $(SYSTEM).bmm -max_runs 7 + +FPGA_IMP_DEPENDENCY = $(BMM_FILE) $(POSTSYN_NETLIST) $(UCF_FILE) $(XFLOW_DEPENDENCY) + +SDK_EXPORT_DIR = SDK/SDK_Export/hw +SYSTEM_HW_HANDOFF = $(SDK_EXPORT_DIR)/$(SYSTEM).xml +SYSTEM_HW_HANDOFF_BIT = $(SDK_EXPORT_DIR)/$(SYSTEM).bit +SYSTEM_HW_HANDOFF_BMM = $(SDK_EXPORT_DIR)/$(SYSTEM)_bd.bmm +SYSTEM_HW_HANDOFF_DEP = $(SYSTEM_HW_HANDOFF) $(SYSTEM_HW_HANDOFF_BIT) $(SYSTEM_HW_HANDOFF_BMM) + +################################################################# +# SOFTWARE APPLICATION RTOSDEMO +################################################################# + +RTOSDEMO_SOURCES = /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/main.c + +RTOSDEMO_HEADERS = + +RTOSDEMO_CC = powerpc-eabi-gcc +RTOSDEMO_CC_SIZE = powerpc-eabi-size +RTOSDEMO_CC_OPT = -O0 +RTOSDEMO_CFLAGS = -D GCC_PPC440 -mregnames +RTOSDEMO_CC_SEARCH = # -B +RTOSDEMO_LIBPATH = -L./ppc440_0/lib/ # -L +RTOSDEMO_INCLUDES = -I./ppc440_0/include/ -I../../Source/include -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop +RTOSDEMO_LFLAGS = # -l +RTOSDEMO_LINKER_SCRIPT = /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld +RTOSDEMO_LINKER_SCRIPT_FLAG = -Wl,-T -Wl,$(RTOSDEMO_LINKER_SCRIPT) +RTOSDEMO_CC_DEBUG_FLAG = -g +RTOSDEMO_CC_PROFILE_FLAG = # -pg +RTOSDEMO_CC_GLOBPTR_FLAG= # -msdata=eabi +RTOSDEMO_CC_INFERRED_FLAGS= -mcpu=440 +RTOSDEMO_CC_START_ADDR_FLAG= # # -Wl,-defsym -Wl,_START_ADDR= +RTOSDEMO_CC_STACK_SIZE_FLAG= # # -Wl,-defsym -Wl,_STACK_SIZE= +RTOSDEMO_CC_HEAP_SIZE_FLAG= # # -Wl,-defsym -Wl,_HEAP_SIZE= +RTOSDEMO_OTHER_CC_FLAGS= $(RTOSDEMO_CC_GLOBPTR_FLAG) \ + $(RTOSDEMO_CC_START_ADDR_FLAG) $(RTOSDEMO_CC_STACK_SIZE_FLAG) $(RTOSDEMO_CC_HEAP_SIZE_FLAG) \ + $(RTOSDEMO_CC_INFERRED_FLAGS) \ + $(RTOSDEMO_LINKER_SCRIPT_FLAG) $(RTOSDEMO_CC_DEBUG_FLAG) $(RTOSDEMO_CC_PROFILE_FLAG) -- 2.39.2