From b1255ce0aac28e79931eb95083f70dc6f6d21146 Mon Sep 17 00:00:00 2001 From: richardbarry Date: Mon, 30 May 2011 20:07:13 +0000 Subject: [PATCH] Create MicroBlaze hardware - work in progress. git-svn-id: https://svn.code.sf.net/p/freertos/code/trunk@1428 1d2547de-c912-0410-9cb9-b8ca96c0e9e2 --- Demo/MicroBlaze_Spartan-6_SP605/RTOSDemo.mhs | 2 +- .../__xps/gensav_cmd.xml | 2 +- .../__xps/ise/RTOSDemo.xreport | 4 +- .../__xps/system.xml | 87 ++- .../etc/RTOSDemo.gui | 7 +- .../implementation/RTOSDemo_summary.html | 500 +++++++++++++++++- 6 files changed, 538 insertions(+), 64 deletions(-) diff --git a/Demo/MicroBlaze_Spartan-6_SP605/RTOSDemo.mhs b/Demo/MicroBlaze_Spartan-6_SP605/RTOSDemo.mhs index c38ca16ff..6841d8aac 100644 --- a/Demo/MicroBlaze_Spartan-6_SP605/RTOSDemo.mhs +++ b/Demo/MicroBlaze_Spartan-6_SP605/RTOSDemo.mhs @@ -294,7 +294,7 @@ BEGIN axi_bram_ctrl PARAMETER C_INTERCONNECT_S_AXI_R_REGISTER = 1 PARAMETER C_INTERCONNECT_S_AXI_B_REGISTER = 1 PARAMETER C_S_AXI_BASEADDR = 0xC4000000 - PARAMETER C_S_AXI_HIGHADDR = 0xC407FFFF + PARAMETER C_S_AXI_HIGHADDR = 0xC400FFFF BUS_INTERFACE BRAM_PORTA = axi_bram_ctrl_0_bram_porta_2_axi_bram_ctrl_0_bram_block_porta BUS_INTERFACE BRAM_PORTB = axi_bram_ctrl_0_bram_portb_2_axi_bram_ctrl_0_bram_block_portb BUS_INTERFACE S_AXI = axi4_0 diff --git a/Demo/MicroBlaze_Spartan-6_SP605/__xps/gensav_cmd.xml b/Demo/MicroBlaze_Spartan-6_SP605/__xps/gensav_cmd.xml index a1d03d35a..42f1efa6c 100644 --- a/Demo/MicroBlaze_Spartan-6_SP605/__xps/gensav_cmd.xml +++ b/Demo/MicroBlaze_Spartan-6_SP605/__xps/gensav_cmd.xml @@ -1,2 +1,2 @@ - \ No newline at end of file + \ No newline at end of file diff --git a/Demo/MicroBlaze_Spartan-6_SP605/__xps/ise/RTOSDemo.xreport b/Demo/MicroBlaze_Spartan-6_SP605/__xps/ise/RTOSDemo.xreport index 56bfbec3e..89125823b 100644 --- a/Demo/MicroBlaze_Spartan-6_SP605/__xps/ise/RTOSDemo.xreport +++ b/Demo/MicroBlaze_Spartan-6_SP605/__xps/ise/RTOSDemo.xreport @@ -1,9 +1,9 @@
- 2011-05-30T16:47:01 + 2011-05-30T20:52:00 RTOSDemo - 2011-05-30T16:47:01 + 2011-05-30T20:52:00 C:/E/Dev/FreeRTOS/WorkingCopy/Demo/MicroBlaze_Spartan-6_SP605/__xps/ise/RTOSDemo.xreport filter.filter C:/E/Dev/FreeRTOS/WorkingCopy/Demo/MicroBlaze_Spartan-6_SP605/__xps/ise diff --git a/Demo/MicroBlaze_Spartan-6_SP605/__xps/system.xml b/Demo/MicroBlaze_Spartan-6_SP605/__xps/system.xml index 4fc882df4..8e6667d7f 100644 --- a/Demo/MicroBlaze_Spartan-6_SP605/__xps/system.xml +++ b/Demo/MicroBlaze_Spartan-6_SP605/__xps/system.xml @@ -1,5 +1,4 @@ - - + @@ -23,14 +22,14 @@ - - + + - + @@ -43,15 +42,15 @@ - + - + - - + + @@ -71,11 +70,11 @@ - - - - - + + + + + @@ -92,7 +91,7 @@ - + @@ -137,8 +136,8 @@ - - + + @@ -149,21 +148,21 @@ - - - + + + - - - - - + + + + + - - - - + + + + @@ -174,15 +173,15 @@ - - - + + + - - - - + + + + @@ -2527,7 +2526,7 @@ - + @@ -3345,9 +3344,9 @@ - - - + + + @@ -4345,8 +4344,8 @@ - - + + @@ -4534,7 +4533,7 @@ - + @@ -4554,7 +4553,7 @@ - + diff --git a/Demo/MicroBlaze_Spartan-6_SP605/etc/RTOSDemo.gui b/Demo/MicroBlaze_Spartan-6_SP605/etc/RTOSDemo.gui index 2b3010426..66d593ffc 100644 --- a/Demo/MicroBlaze_Spartan-6_SP605/etc/RTOSDemo.gui +++ b/Demo/MicroBlaze_Spartan-6_SP605/etc/RTOSDemo.gui @@ -9,7 +9,7 @@ - + @@ -194,9 +194,12 @@ - + + + + diff --git a/Demo/MicroBlaze_Spartan-6_SP605/implementation/RTOSDemo_summary.html b/Demo/MicroBlaze_Spartan-6_SP605/implementation/RTOSDemo_summary.html index 0ffeadcbb..60d29c321 100644 --- a/Demo/MicroBlaze_Spartan-6_SP605/implementation/RTOSDemo_summary.html +++ b/Demo/MicroBlaze_Spartan-6_SP605/implementation/RTOSDemo_summary.html @@ -2,23 +2,24 @@ - + - + - + - +
RTOSDemo Project Status
Project Status (05/30/2011 - 21:01:52)
Project File: RTOSDemo.xmp Implementation State:NewProgramming File Generated
Module Name: RTOSDemo
  • Errors:
  +No Errors
Product Version:EDK 13.1
  • Warnings:
 130 Warnings (0 new)
@@ -28,17 +29,487 @@ XPS Reports [-] Report NameGenerated ErrorsWarningsInfos -Platgen Log File     +Platgen Log FileMon 30. May 20:53:24 201108 Warnings (8 new)28 Infos (25 new) Libgen Log File     Simgen Log File     BitInit Log File     -System Log FileMon 30. May 16:47:01 2011    +System Log FileMon 30. May 21:01:50 2011    + + 
+ + + + + + + + + + + + + + + + + + + + + + + +
XPS Synthesis Summary (estimated values) [-]
ReportGeneratedFlip Flops UsedLUTs UsedBRAMS UsedErrors
RTOSDemoMon 30. May 20:53:55 201177017505480
clock_generator_0_wrapperMon 30. May 20:53:21 2011   0
microblaze_0_intc_wrapperMon 30. May 19:38:32 20117288 0
axi_bram_ctrl_0_bram_block_wrapperMon 30. May 19:38:24 2011  320
axi_bram_ctrl_0_wrapperMon 30. May 19:38:16 2011406619 0
axi_timer_0_wrapperMon 30. May 19:38:03 2011260272 0
ethernet_lite_wrapperMon 30. May 19:37:52 201146163920
ethernet_lite_wrapper_fifo_generator_v8_1_fifo_generator_v8_1_xst_1Mon 30. May 19:37:36 20117144 0
push_buttons_4bits_wrapperMon 30. May 19:35:44 20117285 0
leds_4bits_wrapperMon 30. May 19:35:34 20113341 0
rs232_uart_1_wrapperMon 30. May 19:35:24 201184102 0
debug_module_wrapperMon 30. May 19:35:15 2011131142 0
proc_sys_reset_0_wrapperMon 30. May 19:35:01 20116955 0
microblaze_0_bram_block_wrapperMon 30. May 19:34:55 2011  40
microblaze_0_d_bram_ctrl_wrapperMon 30. May 19:34:50 201126 0
microblaze_0_i_bram_ctrl_wrapperMon 30. May 19:34:45 201126 0
microblaze_0_dlmb_wrapperMon 30. May 19:34:39 201111 0
microblaze_0_ilmb_wrapperMon 30. May 19:34:35 201111 0
microblaze_0_wrapperMon 30. May 19:34:30 201119892776100
axi4lite_0_wrapperMon 30. May 19:33:39 201127201760 0
axi4_0_wrapperMon 30. May 19:33:14 20111256824 0

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Device Utilization Summary (actual values) [-]
Slice Logic UtilizationUsedAvailableUtilizationNote(s)
Number of Slice Registers5,49154,57610% 
    Number used as Flip Flops5,482   
    Number used as Latches0   
    Number used as Latch-thrus0   
    Number used as AND/OR logics9   
Number of Slice LUTs5,42027,28819% 
    Number used as logic4,95127,28818% 
        Number using O6 output only3,573   
        Number using O5 output only99   
        Number using O5 and O61,279   
        Number used as ROM0   
    Number used as Memory2426,4083% 
        Number used as Dual Port RAM96   
            Number using O6 output only4   
            Number using O5 output only1   
            Number using O5 and O691   
        Number used as Single Port RAM4   
            Number using O6 output only4   
            Number using O5 output only0   
            Number using O5 and O60   
        Number used as Shift Register142   
            Number using O6 output only48   
            Number using O5 output only1   
            Number using O5 and O693   
    Number used exclusively as route-thrus227   
        Number with same-slice register load217   
        Number with same-slice carry load10   
        Number with other load0   
Number of occupied Slices2,2806,82233% 
Number of LUT Flip Flop pairs used6,801   
    Number with an unused Flip Flop2,0686,80130% 
    Number with an unused LUT1,3816,80120% 
    Number of fully used LUT-FF pairs3,3526,80149% 
    Number of unique control sets364   
    Number of slice register sites lost
        to control set restrictions
1,39654,5762% 
Number of bonded IOBs3029610% 
    Number of LOCed IOBs3030100% 
    IOB Flip Flops18   
Number of RAMB16BWERs4811641% 
Number of RAMB8BWERs02320% 
Number of BUFIO2/BUFIO2_2CLKs1323% 
    Number used as BUFIO2s1   
    Number used as BUFIO2_2CLKs0   
Number of BUFIO2FB/BUFIO2FB_2CLKs0320% 
Number of BUFG/BUFGMUXs31618% 
    Number used as BUFGs3   
    Number used as BUFGMUX0   
Number of DCM/DCM_CLKGENs080% 
Number of ILOGIC2/ISERDES2s103762% 
    Number used as ILOGIC2s10   
    Number used as ISERDES2s0   
Number of IODELAY2/IODRP2/IODRP2_MCBs03760% 
Number of OLOGIC2/OSERDES2s73761% 
    Number used as OLOGIC2s7   
    Number used as OSERDES2s0   
Number of BSCANs1425% 
Number of BUFHs02560% 
Number of BUFPLLs080% 
Number of BUFPLL_MCBs040% 
Number of DSP48A1s85813% 
Number of GTPA1_DUALs020% 
Number of ICAPs010% 
Number of MCBs020% 
Number of PCIE_A1s010% 
Number of PCILOGICSEs020% 
Number of PLL_ADVs1425% 
Number of PMVs010% 
Number of STARTUPs010% 
Number of SUSPEND_SYNCs010% 
Average Fanout of Non-Clock Nets3.93   
- - + 
+ + + + + + + + + + + + + + + + + +
Performance Summary [-]
Final Timing Score:0 (Setup: 0, Hold: 0, Component Switching Limit: 0)Pinout Data:Pinout Report
Routing Results: +All Signals Completely RoutedClock Data:Clock Report
Timing Constraints: +All Constraints Met  
@@ -46,17 +517,18 @@ Detailed Reports [-] Report NameStatusGenerated ErrorsWarningsInfos -Translation Report      -Map Report      -Place and Route Report      -Post-PAR Static Timing Report      -Bitgen Report      +Translation ReportCurrentMon 30. May 20:54:28 2011051 Warnings (0 new)2 Infos (0 new) +Map ReportCurrentMon 30. May 20:59:03 2011026 Warnings (0 new)842 Infos (0 new) +Place and Route ReportCurrentMon 30. May 21:00:37 2011028 Warnings (0 new)3 Infos (0 new) +Post-PAR Static Timing ReportCurrentMon 30. May 21:01:05 2011003 Infos (0 new) +Bitgen ReportCurrentMon 30. May 21:01:52 2011025 Warnings (0 new)0  
+
Secondary Reports [-]
Report NameStatusGenerated
WebTalk Log FileCurrentMon 30. May 21:01:52 2011
-
Date Generated: 05/30/2011 - 16:47:01
+
Date Generated: 05/30/2011 - 21:01:52
\ No newline at end of file -- 2.39.5