From f253e15b52829e8299b7bc48d7591f790b6dae26 Mon Sep 17 00:00:00 2001 From: RichardBarry Date: Sun, 5 Jul 2009 08:41:27 +0000 Subject: [PATCH] Ready the PPC440 projects for release. git-svn-id: https://svn.code.sf.net/p/freertos/code/trunk@794 1d2547de-c912-0410-9cb9-b8ca96c0e9e2 --- .../RTOSDemo/FreeRTOSConfig.h | 6 +- .../RTOSDemo/main.c | 1 + .../__xps/ise/system.ise | Bin 10983 -> 170265 bytes .../__xps/ise/system_xdb/tmp/ise.lock | Bin 240 -> 240 bytes .../HierarchicalDesign/HDProject/regkeys | 2 +- .../__REGISTRY__/_ProjRepoInternal_/regkeys | 2 +- .../__xps/ise/system_xdb/tmp/ise/version | 2 +- .../__xps/system.filters | 6 +- .../__xps/system.gui | 37 +- .../system.log | 7415 +++++++++++++++++ .../system.make | 1 + .../system_incl.make | 4 +- .../RTOSDemo/FreeRTOSConfig.h | 6 +- .../__xps/ise/system.ise | Bin 10983 -> 170265 bytes .../__xps/ise/system_xdb/tmp/ise.lock | Bin 240 -> 240 bytes .../HierarchicalDesign/HDProject/regkeys | 2 +- .../__REGISTRY__/_ProjRepoInternal_/regkeys | 2 +- .../__xps/ise/system_xdb/tmp/ise/version | 2 +- .../__xps/system.gui | 2 +- .../system.log | 4355 ++++++++++ .../system.make | 1 + .../system_incl.make | 4 +- .../RTOSDemo/FreeRTOSConfig.h | 6 +- .../__xps/ise/_xmsgs/map.xmsgs | 140 +- .../__xps/ise/_xmsgs/ngdbuild.xmsgs | 708 +- .../__xps/ise/_xmsgs/par.xmsgs | 30 +- .../__xps/ise/_xmsgs/trce.xmsgs | 10 +- .../__xps/ise/_xmsgs/xst.xmsgs | 692 +- .../__xps/ise/system.ise | Bin 51515 -> 169389 bytes .../__xps/ise/system.ntrc_log | 4 + .../__xps/ise/system_xdb/cst.xbcd | Bin 899264 -> 896378 bytes .../__xps/ise/system_xdb/tmp/ise.lock | Bin 216 -> 216 bytes .../HierarchicalDesign/HDProject/regkeys | 2 +- .../__REGISTRY__/_ProjRepoInternal_/regkeys | 2 +- .../__xps/ise/system_xdb/tmp/ise/version | 2 +- .../__xps/system.gui | 2 +- .../__xps/system.xml | 4 +- Demo/PPC440_Xilinx_Virtex5_GCC/psf2Edward.log | 32 +- Demo/PPC440_Xilinx_Virtex5_GCC/system.log | 4336 ++++++++++ Demo/PPC440_Xilinx_Virtex5_GCC/system.make | 1 + .../system_incl.make | 4 +- 41 files changed, 16992 insertions(+), 833 deletions(-) diff --git a/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/FreeRTOSConfig.h b/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/FreeRTOSConfig.h index 7c18d73bc..06c75fffe 100644 --- a/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/FreeRTOSConfig.h +++ b/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/FreeRTOSConfig.h @@ -52,6 +52,8 @@ #ifndef FREERTOS_CONFIG_H #define FREERTOS_CONFIG_H +#include + /*----------------------------------------------------------- * Application specific definitions. * @@ -68,12 +70,12 @@ #define configUSE_IDLE_HOOK 0 #define configUSE_TICK_HOOK 0 #define configMINIMAL_STACK_SIZE ( ( unsigned portSHORT ) 250 ) -#define configCPU_CLOCK_HZ ( ( unsigned portLONG ) 200000000 ) /* Clock setup from start.asm in the demo application. */ +#define configCPU_CLOCK_HZ ( ( unsigned portLONG ) XPAR_CPU_PPC440_CORE_CLOCK_FREQ_HZ ) /* Clock setup from start.asm in the demo application. */ #define configTICK_RATE_HZ ( (portTickType) 1000 ) #define configMAX_PRIORITIES ( ( unsigned portBASE_TYPE ) 6 ) #define configTOTAL_HEAP_SIZE ( (size_t) (80 * 1024) ) #define configMAX_TASK_NAME_LEN ( 20 ) -#define configUSE_16_BIT_TICKS 1 +#define configUSE_16_BIT_TICKS 0 #define configIDLE_SHOULD_YIELD 1 #define configUSE_MUTEXES 1 #define configUSE_TRACE_FACILITY 0 diff --git a/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c b/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c index b53211980..8e87243d2 100644 --- a/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c +++ b/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c @@ -699,3 +699,4 @@ volatile signed portCHAR *pcTaskNameIn = pcTaskName; + diff --git a/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/__xps/ise/system.ise b/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/__xps/ise/system.ise index adb01a8192e7e79326ae45df8d60b796f313fe83..ba61ba886c24361b99393f390d6263f11a7540ff 100644 GIT binary patch literal 170265 zcmeIb511rLbsw0pfT6Vu-W3QItstj&V3%dPtFr!A?@Z&F?w;A+o#}3M_x#(LRgqPh z)l*D$RZ&$v-OYL>B&0>Ll*=Hg7UPMMjMr33}Mpk!ERgbnHXR9kSUc7km;=PC$FMhf9^gS<|vf@53haYDL zw>z0NZ`g_ATs^9*q+TaI(8oJ(0sfG{V_WOfY zw}W`crPr6%RyUS6SJ$uAE-$TbEU&KIH|5Ma_f4%YonPMAlzv`4`_u+Jy~IDAzi(=J zgZ)xlSYJA`xwKfjvb^~u5X02?`xnk^Y}Pi;T#h`VmgK8gGq!sg(R?%in2FFsSpr>D zKqq*CdMHnk>z{wg=YA^p63ar@U!?4~?e@%W1Ji%n&K0LX^mDt7xxQQT+}m@cLuPjR z?WxPQlg&?M;DLU(JIpluzTY2q2bmK{)8N5Ox$0zw{hiLO%%Z>D&8)306bjke;#%$8 z+NIjnR=d^Nt6gsOfxTkw{K7(}R@;L{wLtX-`-7psUEA~OnIW_mewtcZS)3xZPbD+v zICqLM)Ka9Qm_bp#r-s&F`}ZJQblpqk>vx9zmOpT^Q&V26KLs+Hx;Y&726GuEL8R`p zgYHhh;WxYeEq}J-4`neQnq)_+ehNOhY_>X+EzRV!n@)8uUz{rzW(!U=SIj?y+tqJ1 zZn}N1?)Llp=y~qrc)4Ps?D;c|s*{_^7M$!%wNbCm)GH0QT54qds-K&>cdAxnBe;ge zg??gVo&H37pxJ8sxDKANGGeSyoUN8BI3)1d?{NjmYRiXxm0fE zono_okXDffI{6ClF6shwKl|JV-}shkti%J+M-$BWL$S}Bn3p#wOX}=Qe0?f|168ok zx4<_+2)Jcvw5tVYE6bR)P{FH#HC5NEYU#foeAn==1GnhjYFeHUXS|Jm36=)+Hd zfkf8{Z9^y9Sh6Ngve8spCOay5*(hp^)MEyvpIjuiIYQ@e{^eIK(uGw%6&*&=vR?X9 zbo~$V0V)<~6aK&r{CNrdg1+Bl-9vAYJHdZmzA%?rg0G*@Z@4OJZTH&#HYln)gk=t0n)Ukr?OJ`O)gI1vwmeiH=1TbwJn%BwmZbltdiEYv zH;BLzr;We;+yC{C?}r=cnuANQx-p8t1fOBwY8%7;X1xuC(dU)c%dDK0wK9>4zA*it zpEw2g(N%^ksiInK0IuElYTY_=_O+o~Z~L_x$S<}Jfg<`Kql-RsObLR&@xNlrxf|B& z;SYf!=H(+A%NLU7$zh=c2mMxcb-1L7gPJy=p>xtnJgA%#F9AD&2mLBVvK$flq0%Oj zNNkCLvo_&HC6TXx>ZiZ-w?Pu2zLJ083I;L|Vy(e-dbV<+5c zhs~T|x4qHmw|c`t;_?Cog%uWzkkD8%X|l^ilc9wZEZS^x^;*mJKb*IIun08Jbv89E zSdFcA8h&lqXro$i2>fZ=$>X5xc5B<88SeLd2*kn^*zNV&u-d~uy4x7~!UsZe&%QX@YR-uF4L}xtvcFOM98m%XV`ZjvnZgueYU}wPgJGWc?ZU@agXAuGPbbl~>+-`I`pxQf)A%2>B%q5x#=gM;G*AjciQlL*S@r}eAONd_n|s?0D>ei8N)?dP5Zg)Ko@4mP{Vf5?fdpj z+wW`vyJh?2+#C$TTCeR6Atb;3DE;y_dwLhz(A^zOKWm>pZD)xrh&V70PhcL_NKv~r zuwDB~P{_XR_FHZT8a3$JI|Ibic59~5ZNr`yYV-3{azUqH*#Rri=0~qCUsztb`Zn|c zuztPOX?AUYuQeDB?BIcm*T^H!A~|(}J|cW^1w%sCs;vU5R1T_$L{CDrb zP{X`qGSi?wVI{pC=*8)!_4U>DIeWqF*q!ds_IwD~ZMQl;mM?5kg3dIDyGT#T2FNTP zRlq>sAMW%!WR!80gL{B(dd}|lKp(=&`%pEKqMC*7PTRvwh$ZI&BI;@%wr{$(1BS6^ zQE|}q1~dsY05^p24#R!e-wy{bH7ptig=A3614R_d0^zG|gK1eRO zPdcFQZ~1%B_oSZFkIp{!HqZe4IMzpdKt17;MO*}ppQ^LZG#bN!D^az7;ZFd20o4@(RN`7cA*tO z4%tNX6~@jk*oV*J-6S#$_4${*nSsR`YEc5~zD(AZWWw4<3b6~XbS`%4xqGhNs zGK^HGKs!;Ljh%iUdZN8=!@g|?HI?=c$bAjSKyVuI=ezwjgqTrtJN8qq=d+%p&tDgu z1RiFno4oGuLN}PEz@QJtW)sM~-gi4&AOc!Z*1irM+_#@Q z$}ojFNJj-dPUJ+q(ZKHbyR?l+kl0EO-CNL^4K&X}{shHA zKwwCCj1*S&X1}{_*Zshq^!q!#q3>ZKVZmQSRFJ^&vtTd0nQo^Y=s!Gwc&5@B%^8I) z#Pw}5eco-g+f;3`coY)5j9SB-nGK_p{!uDSQ^pA`PynK%oo;95J$@hL;Pydz10`xT zAIF4YjM*+2{z11b5Lf`EfgVK72M@g68le4i(D|;7%~rSBw0HY02#ml?WXNO!bz@<< zL(rP~&JYIMNnWw-8J?IsvrGEpdE0M;_HYLLVSBYhJuvhsnzkVG0`*HBkz6S%g1XLj zSbb2)w#i>iDbApojt{a&%?4NBNA_h0Y(+4*p#)+COvu39@;4z}#-6@%W_@LO<@{V= zVW@KMx&s@ViUF8b&)#i;qjia@(lJ2>E5w&J%1{Tx1S2?XJerII zc5Ddbfo0@=;Hg#z=7%lM1x>c=`|t=T0jZI>o`>z_U>1jFXe)C*GNG; zq$%ewEmJwz_3j=T481Ps2*k;#8qLiG6NR}sn3c}WZLTiO&9%F(cYddJp;d>SFEFZv zG?HoyZ+11&6gSKLO!!!A!Q{i;XSeX+Bd(G>O?ro;bNwowCeO_+w1#VQbI91itki|o z8k$8>?tq`=xw++wL9Z^hx0V;NO+pGHbU5YV`bn-1OcV?>X55%6ws(=Hoe*ZGLG2IQ z7u^o%8mML02EPePgiH;19c0eBwnxO`!Z)|w-C}dp)-aTc*ow{85PE!ixr1%i5cL># z8b}W>lhwkbE6Aw6jm{YYUU=1q6|J2UYJg`RwsXK8_@)6c=wVyof@kbw7Zvaaj=0gj z(sz4E=(Xhz46H`4$NV>_9v*srXJ;EU85#(WQ+<-TfWJXRShf&{f(YgfYAuxeK^B`o? zZ8SuKg%?|%fe7O)?HMp1U?z6K@=;+^t~lXPVNglO4O&_ZwTVo7oT=yW|H1)>%>h68 ziA3vJYyrMV8<~pekUrX*14;=2DjXp)jrtk~D-5E)X?P2x24V!_Qzu^SufVnrx-y1s zO%)B!k7@f>>TMh;?n%oz4j;w1O8L30Y@j?c$6Cnk>h zp|Aby-~0%uCA!{jsG4Y*IZ^4C2|YSmawtkfXZ?WjcgV1SE)9fg5x);Ud6BGy?P10<_d*;$}~|uk2%DlXm$CJvq2b~Yu-qq zWhZ&`a8;1bhBD~$VhH)g5b}#5WSEqqh1ZKA3UA&{|NF^5yAFxk=#ryj zk@6Wlj3zzq8)15q!@gc`y9UDrXB2I_EqY*7ZK&jJqeyErQIZ<7Sx7Wh60rn3IzJ*s zmC4}KtFIiGcCH$;XUjd(H?*1>;LJG>0aqOMwCse=|eF)o>SS0 zRshc@qAErAFO!jl%Hv8`NVIOMHlYN7=sklhfKquvJ5ZV}#LN)CeDYUb_&bmmfUYM- zRQF>xWt7D-!N>oi`bfv0(cXNy>F4s*reAiOS*+CkT&0<<1Nc&^)Cc|E zJm7TQD~}#CHuG+ynDr|8QnlbT%T>2fa`V|TKwRasuIIRi$tD1`MNbk>+F z)y#p&9{(pXPDHuVPtGJv43)4=lP1j@X)ygt#hprOe{}8hpZ*-k3|(2cv@={PwNw+V zd~q`TGr&=y8HPCBe9JF<^k1HX=nT3ZH*NkgbGXp(3HGpJe?S+oj}6}hb(Ar|(iqB^ z(rFE)io{5UQb#P6p;Qr44S6PD_#zofB{48a>aLPZk&cXsv?N2BL{KNORNSeg_Wqwb zf4|aDs<=BAhEire#!!CluUvSK(oo72jzutAX8U*=%GX8>B^+x4h(*nKl@KvWi3Ecv zZ3XhhOop|Dk`g7L8^+q&Rl}Ayb`roTU%P%LC~fmai<-1v-vEOdUm_PODg2xBzx%?c zKosbbz-IWSq%v5!G3~qs496I$-G6FdpM#kkx@>5wFni#eIpH%n(!gUmYm;zR3HqzX z8c8^-v`B(Ek~CQ;ry7y`w%5g|k|-#gZ`9UrBQ)Cn@Nx^C3!PaA00?F-cD)@q?|Vd> zU7Lr*Uv$6Y5Vp8@F@F2VYzE?+S#*c)OaP{TRHD3kh&&##IIkTBtLFgwq1)e|A(%o( zCDwNy0k20OUf_~_Z1^U425HdiW+8f`qjJFfIVru-2`LyGow$%EctH3>P`bWw;%mQ* zkowW3H!bE|L^}&r3mntPT9@GY%dk6t6@Iw}zdU1Ihi^BmXJL=LX1x=>yH?$5z<+-P?)p{}zHPy`n^p_H zJ!HMhx@EQD@B6K7{BOtV!hd_#yWy9#sz&&;4Kdk+m0|u`G1y?QgoiR_fd*tyAyV z+ip{|kJFDhv)M-g0iekV)Da_>yr!vA5s7Rol2w!eK7z3v=+)wCp z2T-Eiy5BxM9pLWUs{oOL?qLA{i|9L`D8T6`;Be@lo+d0#Bh-bhzPo*TT7+Dlwl{8e zch>-52N>;kkWW87-E;xeX4*#hkEf^4t(`wZ+Bc1{Y)(%v&1JUtX`0p`bEP#L`T$S@ zctn}L-}ce_onUU2LHS#`5FVO;PmkgyJtA*6$eVfq{0hl^_U3TAJxv9`SVHoFmJhp) znHId)$A*Tqfo(}ZLl@q5GmN>B(NnktFmtWVyeO#l`f07|3paYGSs13{k=Vp+F;divs-wc7IP+1lorvlo`85fWvLgy^V*2iG9i z3|@)_fCFO^3a~%B*Y6G4m;|N}BoE_?Xo_T%)WT3TI!+xx>xcbryS4$ae3K#p!jla= z0ag_r=E$s0+v!Ci=*7j=#Y-2KYELdNt)E$6c=B43dIX-U#@VGwkx;;yeF2c|+P1)- zN<saAy7082_Q0^bHJBtZEFg|XltR3@4ZUzM0}Rx&b_QPPv=4ZU zV;V91fI*YnR>KY$QxAn$Khx;7y=DsrkkI&rwF`>|Q;?;rn@j5}XD-ynI2S?F;0&|? z=GrK>i6$h>lAvY)lr_x9`-v)nALFMZLl+RK0qORbi)Pr`R*HsL+F+JT6epO;;?-6B zTI=fChP{Na>kx{aXI}}B0b$O;&3@VP3W0ZT`QY&d^}_SomH25b0qMH{Aldi;C-QwP)^|K>Du1*U~7;eRm|eQSl1&AaH!ZOaaVkC?fjh6r;TxY{Ji)nNeu%lV`1SyDxWy|XRA{{#T@NYCPBmk<;{ipmyn zoO1F3fwjKBvEKol*Z~SkaEp=jio1dFDPd(^qvI)1(>oO&n4M)q0U$)BfpDR_1*WhK z=8PW*MzTmfNG3oOWZ3bNAcO7zf=E3eCqNKni1UIV1N;DjNI&=|KoZoP@S>o`Bq9sh zm>7G!J><6pZ+8{mYA%Lzf{A2mPY0wUwvgJcLDU+?#6Ib>qMd^`%Ar@wf$1BODM}#1 z8J%n*?DmMIj^T|yEtp^&4-zDag0EPVBXf&`G-%qu>?5qF4H%4HbssWwcuglT2eTMJ zxkl5hby#lt28`n8ct!Q~UjKC}y5qVB_aDfJ7BTRyEQqK~3&mB8_4z#jMEn`w!qy=Q{l`LnHi^5I7#LbUj z&W{!aY~IhT;p1c>AX*~&D;x3j2R6#+51}&CUyw!yEup`N{Uhk=!8`x4h}6yikT(&C zfr`qagViw1&0z|NCZMCpOz2E1f>0=t!D<*Rz>lTYD-+q+k0RP{qYGFRU>6nw@}>>9 z8emI7bH_cN`8~}o+DGBuVB3?r^Z|=*;D*WDf1B_^9e7a%Wt?t`tq0qabV(qW3oBUd zp~(F}-t&XqM&(m*Pfh#D#S5@ix7};ON*!+sP_Cd<2*-#$rci+PJo|c47J4wa9Fo z?xwYxHT;4cWI2P$FlFG*F68Mz?+XJRH;9RZU&FIz!wZrcErjnEY4_Ut(!%n_^6JX* zXgF#{jbEfekS1tXZ1eev5Y=S;8fUECT1RUwV!!FU4K0!=Qwj?XmJ)I#Jo^HUNK?bc z|2n5Owc+SPTLn9uWeo2u3-2Dc>4Sly$(|t?h*HAF%K62!mzE*wPV8;)9u(#_7>{z2 z1eHbFB_NgCIL2rVO)j_lC~YBd$Y?Q18B%m41VWIzvz<8-MGx&byJgR4{3d-WH^;@^ zsY0-uMkMlccw3&+2UYY!Gu1hTb!I3 zVNiS^jPhmV+!Tpfv#|h)lzajQdTSe-lFEAQ@B>0NhNFa=sE&=p5YF~+5=vkMqd_am zn8F|~`nOvUjoVFLlZ4vbS+^7{t7XwBC&Md4-F;H9?^8Q6xy5%wkY5<^BpRwXIiW)cvj zT{ae78lrF@aj0wE!EgqWbntwH}?fF_sSDlN#le3j5JbNL_{Ki=s0Wu?Hd!CPjJdz}&IB1A%5X zdklNxvBzw9r6Nj%gANX!qK3h?AA3yQwU8ynN^rvw=m~eUG|so-jeTf!O4t+FA~&gb zVGnT>)mSHQBe?vx1z|@G!5|ancMz(5&5>qDZshFEg6XpJqY^ZL_Vgf=3 zcteF*P_)xQRYEaFgY6Jv*f=TST@v=QO49JITS(w<+w4JVY^57~*n(fTO9Bq!?U6W! z6ltfe8jDq|Z$X@e2>EQVQ$zVtNSQoELaCvcHv)%(BDCToOeJOGsF)cnt}o!X*Eeu# zJr*}sXCWRAi!ykVDrBkLlb=E22n#u=6*$jqYF$GZ6j62~7j|2FH-LYwU;FPP@r;7Ei5cQ^Cgu zvO%jXSP()sx}eOmkYeXJ0SA+;7(_-xdH{vWi2WeTh_&?3i;RfcAd%FXexsuk$vJd( z3%xtuUh#+UlIC#6t+Mq@oP3r71k%*To{l*F^wYQv43QD=J&Sqg0)Sv!NT!{_50KcX za3Y4f%(#0hze}Da?j!Uk(ce`)A$i>a94s8}_1dr~jb>zUzL$1~yk9c*PT}~k_3`q4K6=Hzl@$>83jH8Hd*>8rD#@KZ9_{Ht8HW1 z92++PLzg-L_$u2uEDM!mG!_StPBv~6IGO@YL4xTGxRA`v{Xwe%)BSMnK&J}E62aj@ zn1se2>7-+aH}`9Q~+G4wsx6O0Ei#B7FQ@(juob5bu&tH*9nu?EcUbg-#_WdgCB zm&gxCBY{aB3A0=MaQ001b#Ppl$w4&$Bu{HJ047!tUK>&?h%TIg)kWiGzYFIf2e5_I zcIm28y}r83q|s>Ks`a^G0Npy+LTjlc(h<(NCAi4pRevP1%N|N=Qydgst zoG{?&OamgMaNKBHo^3?srd*O6o0(&yOoO2-%A*fLLV}W(l?rDoX-uo$8tnD~0|jO- zbVf0G+7XPU*;YMtuFGeaH-ZpM+AWo^~;V_GF&sVpIGLJ9P*K5 z+yg|Lod!6?<2Njc;Ms?fFVJFHEh^{@$C*S@1pXw5_yQl2FIus7e<*T z>wfag9C3nhFd@+i!d|F4&WifxR3^XyJ4KGQ2LNaaw~%HH76Ls`f5ERngKf-X0{alA z1RI^d7ZA=;AJOOqbwm!az+^^qdWkV;bhh|l{E?%;B0c~)3><$liE#(hH2Jhw6usbJ z+yzxcgaB9c+>s9Kk;rd!&vCf3Qs%cLqP^WT$cmk!Ve1?x25XuJik~ixx50Pp=+wkC z8TRu=Jv1dP>vh>}aRYFyeVvf&c0@}ozTd}fbq{fICB7c(CqMQ(A9^2PoTEz*=#TdC z@SD;zGU9sxaf#oLpaS&Q;hq0|1H5yDdj5cbcm5#5JAae)X3Mrt0NVM(@ZOlWSZ{^z zk64e!pq-xpwDUY*gv)5>^VT~6>)ZmY^8!XYU$o`{>%5>rJKumOHsOg&1nc}7;GE9` z(s=0AR)8gRDgZ4+}e<}2chyD~52)4WoQNqPZg%IXsd1ov-$0=BZYn*4pt2`e~4YWQM zC|qP4<-2Hcyy4(i8i;a``>RM`gpE@JP9c;n;dv)8N{Yxw8cpOoE$D}6Rt(q;%wQbE zb^_Bu!8inLLC(gI-WFSl_1vf zny>4bgeJkBNCc)@XiXxDG;2(1oa_U115_$vN+_UEJ zh-{8P71$xhNaRyCbQ;FkSwdc$Wos9U&n&Q4OADXO_R@nDkf5>hmXxLmA-po*26_@M z7?Gy@L!y8w#_@>!3#iEQ6m2w2XLkvkeCJp}fU z>rsr#Y2meG zbo&9rRwXe`Nkq0}srSbR`1}Dvg@BPA&%cI2lc|0{JwWIevG}2Mge{n2a~PKK?5NVm zG;Ai!dj+p0vIr$3EJCJ&0%Z5l*bNQ~@xzP;-D7%Ni0Z zkeQ&&s*LFf)(i6Bju@a{n8XYr{1w-6DBu$fDz8t^gGW^(bfb;a?CZw>)oz-uE z3jMibPMWjmAolnd1INQ zlm5hYgHD!{0X<6;C>%ziQjP z2m(_;kBy;9eu@$|!`BRPW!047PL#MA(54!6R;VmWoCn;LtvwZE+L%afA7M~ROJWGfR!zeI^2BD}3k{NMn$TqB~KkB)WA5oKQ`>W77Yi))am zn+;M&2ZGC3m-^H(8>MLbj+HdC%3W$ryQDP*nl@b&eGek)wA>%S{ zpA?bPxOy2fM-5P$QmGg?Et|#Dh8-DhEt800bV?@}L!ekXGd9{nR!ou<>u=Hs-8iGL zt#nL=`*CK}s zAXG!JM1_dz0UhXdUw%ZqN-9Ur9OyTsB4bRR2SYz`t11=iVqZfzw4WADl1&{( zWj)S3m@!X`8)#?5D8MhWIRKie41w9OGUHSfGh)vWiZO*=#F^fneyuITF^Y`p&=Og{ zClCFIt|l;Lq^bsBhE#Op;V&6vkn%U`xRJyt&g;y?Xb1r~5xg1!^c|GbIBPV5U{OlG z!pleVlv4TnxIsQT%fx^JuMqQ%b_7y2fK)p&nT`*AjR`W& z8nT6z>1q=)yG$)2WbLJzR@$QW#%AoPu+hZR-Eg3reclA$G^e|_dl_}vM-$6}aC-qR zfSSrlBPxRf@e!U<7y0<`C#T-`-d9)_y56GY!)>=`b{nWYgQx9WacXe8Gq>BA>$^42 zy*+o^@1yrF&+PQuQ~o#Q{zjw7FEBv^Hy+li2L?W;>JLY@<<4fHh2-JYE}>IJjv`5_>rUDnrX9o40alf;(N& zDkKfjg+2Y6)!+a8%Pk9C2rVYgA!KHe?*Y8$e#;*?*{Lb7)t>@4G<9=0><#8J%t@i= zW`oyS0)X_EKMRv0*^?cu^BRe#Dfj@4OLZn&n#pH3o$6e^I9Dvp7MyCXn12R0w%=;p zbo*Z2?f3W5^W4Yra>YW~^Jf}WCpVKVIN6zMqh6hQ($|-m913Ypzhp*V8rRraPFy(-N*Z;n!R_ zmTiqsk(%J-Hz8H1SePq@P4KGuN+nlx^Nq4sgfG>iTgsP#{bs$?tXBNPY(l=0*oKH> zFls`j;(`2&`MU2ou3IiN-D=KpnvI;7ZMaU;JIp5J@=m#s)Dff+Q%2nT`J7)bm#QVl zEjv!N+-Q{R^;V;TRnP)smkr7R%K_HCwDSy<*vO za>aT%=arpey;3;TA>dSExmOPXWlQ2lLZJwF-W9(DL!jaoN`Fs{u6v+e^gSzHs7ezx)8yg054e)k};)jon?L20AKC_z?ZvUz?b_0hA;O4 z>x0%`wLWA$1PF5vsaa+v?*$w z&B4Zrka5(d2UY;`Tk{1OChkA4w(CJed?k6iHIvhL>iGM!A+HS#HHX(0gUdaak zkOe6DH+|Rh`w-eBz;ZxKI#?eMEf;LN@ljfa9mk?&(KDb*JUEi2fj0FcaC1v@neF`= zP<$60co<}^z@bPV5SaW{2f$p~zKa4LFm2s-;VmPXa}Y*Z-(1}gVkU}!iOAaxvIf@U z*(pN6l7Lt~CTw$Tb?gw7k0hi?`DlbfECgMISnM+@x-qG2+B`RUEK3e^$0E;B)?#m} zc#;ooQZn)#vP+&pI?3&qq|>_iWHJU77ujkK@`ZT7x;w0buwQ0~h^LSUACG235wZdx zRWltZ9T}CeaCmoEC@85xi?>HK1w+#D>Y)}!yjq0sfeLo^19e!4!Py(P1PD(ukY9RH z2zp@*qDR4>0z6R8E=?0~ig8OQ@TqaAfhrNvd>b}wa2f;?kBru*QX-}{abSUWRxZhC zgu^7r!4buk8V`_Ylnh9CXC;=1cz6JLn1BruG2kOL10H2^++q+9`J|IV{74DCa4=$m z(>=%J1xks2hMkrX8ZU3k#!f+EaSiXV2BZakRH+08pMmXhW>>?LC&yYekjZ3TY1e^zmQ)cziGrgvaqsMsg91oVgTp=IITzk0Mh~_y z#bB_J#==+So8BpVXpBt#ST!Xy|c5y4X>(%9j1pp{)}8I#%~Ex?N^6FD=8OQyHt=0^~( zn-p-)L+*SNq)KrX&YLD#bdbD##IT%#01Sf#__5S_Wg;7f;)%zH?7QVn8*W>IDV(|E z77+vP)cii!{!73BRc!w$fGH*m<&*BQ!SUtE#P*CZc&6jF_XjOK{3dD%oq55eBSM1R zfi}|-E^lncgL4YR;vdmcjX~xVNXFdP(p#gOf(+Y)Yi#r;P|~%ShvF2N;%{&iB6Dy+ zdl=!hulzaGU@|=3fv!Ug#@elu0bWXnW;iZWf~f@qk!8YAdMoD_&t6&vm?e@fvhELd z+QR|GsEU9T@m&`?hc#FNjEoyOkdKG)lq@Ix)mIjg~h9M^r3woeXi6}oHg4qji3`Yq!jnfd$Lg5lF>60@{5Ch6$yFNVF^^L?|ttgbvE9k`!(;2?)|I3pQHs@CtXh%jpurF;l~m zs#IzW_@lhcW9KplipPz$Lk!_i6`i4oJrHrQ8DY#gzN-;Vd3`zL+>@~?3*?(HPk=&x z3c%HL$`X?#9$5>@>Jw8YbSyD9nI_8aShAtIEsF_QW2$jm6=EL;L@Pf4BmtXkvy4^I zHQ2BUDU3Dm%+vM;zv)92_RzQU~~fYXH`VtSnt$S!U%#kWfX}3(N1oo37Q#1 zKMB??JuyUokTD@?zziZZt?eCnr#s%S?|Ocl*;lO0vQ3Pg<529OiI>rk_k!*Mn*kpG-Jz14Cql@=0)zlFKFubBPpwfW$@* z9ru?m4+(u1oK^LNm`AJUGC2YN;gojL91^WKj+Sp3XEN zLV7@K@zi3Q`01!QOu53xPNIRlnQ&%>d**U9XJ~xt;H-*x(qQ5(uMAlXX9_P7n?zBsxLZ3)L^VRoL*54lGBh z)2zWlpa<$N_!Vf7v2jdbAHpRkg!JX}O40N8O}9PpX?AStBO2tWbmZs{WC3YTFEIv< z&K4hxzoR~Ze=zRI`P`#Bf`2gfU?UbC^N(~c>}1BB!H*e$kh@Lyn8c)PqBW7gm{v2# z9S#}u345UC$)`e8-MXO-z#yaA-;jo#?XL;s)SY`#K@l zOfcN66*#fL-nZZuvYQmlpuJ{3d*B z!MAr=x8U0e5N{ih%RBJPJVq{`w-9!D0b`fX6ZG<3xVML&*vJ1;{PKA~FP{hea#Z%c z7{PoVFwDQ#`abyk1?&BQUp@~A<|nKlus$I6@IUzUM^C={8_!P@4Tvlo^k zfeFU!hvnkIyB$$T5=$+lobn(_}B5~i5NBl0hx9LrO* z(J+pKMhfAmk&uePyvLy)YpgyAn+M$!@%?m%C5bz;ZIY3Bi$@U-BB!xiPlD*oc1cEN zE>>_Pl$p#Njd_ZDI5g@>^Z~%1MrIGm&Ol>>IE)B(%zQrEZ{de$bay7u5mi9Lc>m*o zntC7(O?D+lnlhBpS1%$HeE zAJ~pFt%BRTy0KYXUAb`0#BqnvQoPJ*kR9)MCNWM)M7CI|_ZI%{Tm|}CVsqyTnP7Z0B9N=8_O%!7t+yB*KQk?o^kH#q#mcR3BB z$Mm+yuL*SSp@}t!67hC(S%+u7YEYpi@oVqftgA(@BBa<<)>8-6jq) z6VzDcd@Q{pz}CwV1MX_XfV*0wV_T_D*CMC)b-1b|e*R?3@4NlR&3&6<*oKjCs6+V_+*6~} zBBa}pT*xYXqd{!=%0HDzj?SZCNyC34zU=E+bEDYz3 zYb$FPmd{;_o1jOyLKzVR4L6xBgY*gNZI!$!V0MGKPJ}r+>7TZF%T6_!TQ}%rIT=v0 zl3s>NISx!&7Vt1w`Dlczg?1HGGMp+ZTnmI#qKL0dW-(vrNMyy*qrt{dB|k-po8e`K zII(KVa3@OK3^-E_A}drDCC&qA%G=UZcrv1_K$QLwB|b9DEG5YQH%dGu^ej(G{vIVh zA~3B?82u6@eu!|jGVy~0)^d%Aay~kCEk~4nm8c&Uo-M9HqHZ=wwV<|)b*WDsvr(#t zw`Jrd-uYn~@qrMz5#1lemM~y~fx9+x0oSHA_?i1dHbY@oW|9|mTs6I zjbUHZfU_x;Y798EOd^KSDV<;pfnVv&I40mH$DtIHB*prhG(tDd?rM}+)|)2V>$kvuaZLo5UL^Aph85o#(tME&}bzZh|rie;4u&xg7h3a z-vqkNiQfDf%=;2rK$GtLjMxJ9yW9d+hx%ao9ch8g@B!d8V>pi08-p;2O5!5FKu801 zN!f|<8;M4N#G4Nt50jsZk~&zvd#tQP{7YU<#qC{{!1(eb;!jdJa^^t4ogNuu@;q2v zRjF7P`x?Tb{qD}tm#4-+%|>exmiEXzIGZ|*%6go6Fk_w=H_*_CQGj1$bAX$mLOYI; zf2^tiW!>V{Ow5QqLukbqzEpYM`f!Bxug=OObvFc)YPh+@7Z477&Ew0ZpD75;DdFE#tQfDj zj{*^nEh!oL+d-Re2ErC246Py=3uz+o+Kxb~1`ugSCe!husWCyuSq`=mG+k|?L-oQ~ zttl-cWbLI|U)rMf%8^o-cdD??#8cdGaGQPZgKxFk`qKI3jm`CIwOU5~=mXJ5X9u@C zn&%&ieIDf9o2k14UsLi8%8~<3srdSa{@yUDzHf=GZ@Asl)MhKon6yyAE7XWe>sqO< zSJl$RH~y2a{&nCMUEdbVwcBpb>^5-CPuscT6!h!dZeyIX-|lAC))oqdY;AF^c5dxb?P{yt z>g?4nxB9?qv37o8AycdEL33N64g@B6&#PyU%}yVGy@&2E3op9MQ0x9@10G!idU z@Bzev>P)sYlh1BC)wz6eu2`5YIMrM+{|s(qzty@9(4MxsT)JiiNW0&ort| zZYEoBvNP32y*g8`G~8;bk@c&7ZtC8twSMhx3j-ZZ$d|ruffqB z@&^R05%3)OtGP3vPwn+FN(8xa6G9ceQdkCZ$H^Z5kbDv zJj_zJ_Yy|D=ggdkV4O{CQ@|D>z=I z;Z-ZmY_^`u7W`@jW(C!X-)L3}xw3zdNdlQKWYI+6>3d!_1^q66q&Y108l)NOk~R<% zOsMSGG_FT75;3I{yimq~0ZhWgc)mRiF`aKR=1OHsff4q38+=QWap1AE{w1G=jES_& z8)@KmQgNq}+OzW?JpVapCc3hw%}wQt^2PC3cX?pM82B%J_D^>%gKDOYsL3D<=_DA_YAU9T&1!KpvN{7h0;ejY@dsDGy8b@_ zhv-^P&uK`OI7=iJyi999}70I*w4!8fQc=R=#)Of1{jlx9xR5Si4z({ z5e(eXh#ZH?AWoS+^O|!%^a5CAbj_s~Mk*Iom>3t8VSSo%4OPrfikA(WpjlY$SO1rL zejj=kU8VHBd+a=LD3sA+uxL#}*F#4a_e`|}%a-b;X0uo=H1ckxP;qiir%-U5s$X*8 zOQ~@Xe#sKdDOROL*z(pJ-`EAML6?(Cb4crWhobW_^wg<61JQUJ;GCk-`dRe*OwZnH z6mK{8%GqJF={Wh)44iN33<2^Lk^v9^u02!Vw#gf`>f77)!dzwv_6Kff&cXh{`sV6J z=1RAJtJT?B==S#WhC2r$3I*9dn1#TsJ+srGwe2*T^eZ$b;vmQGGaoVNy~NeD6h0)g zNfx`;Oavc}G9Z;rnEcI{5ioJe(uy^n7eq=o$us0g<#c~+_v3$xq=GKVpT~0)l8R2| zmN%AamzUNzmRDD57tR1q)W(_1ON+HD%bQP5InJzurf13tm82Ys+O_L<$v&uwAi*XD z-%W*UFj-2$StwvpTC=bHy}#W7BZ#h9Get}_Dawy&MiK3!loO$XGoFc1lx@xA=d<7a z*}dO}UPG6(sFmno8#Lh)o+#6I%_OIpcXQ=@wpz}Yv(-kmQt+I*S8%;zv0kVba)&X= zf%sT7(9D}*Dc~EGHC40&nOi%_fH;oEktXs8r4wAK6qJcJlalBe7-}gfts|y$r^Z~V zjP$>F+wk|E0NJAJ9R@PSQVNNU;8L$5Jvg^~VQGrYIrm_is&%!AQx7V7eZ)cvfvALz z$e8q^x$q4fZYu7=4iH`LZ@%H;bA2${=#qlvs^+G1x?_q&$jqm8yAv{709_@6CMMc{ z?ss4NUB3f;hb}4FFN+|sS;x%vLQ>KMNBe2t7;W;FtJzY~uU5W^P#fRf9=nH^WDhYqpK42xe^~Cm8i2_Xt!YO6R^nDondchcn;+z5V&8CQUFP+ zb+XgRjA)m#lDXol5A2kwTQv}@fj>3lCls#eNblR9zTtrpMwc}GkXn|?gXSvZS^sfd zr*xh9@#GX|IF2SS;mARam4RhL?1tB`R-ZZJli+R`pPgsZ^nyKdkYNk`~95PH>Xa(nLkV#8*nI zRUI*%%QWUnWtKnnTQ7WL2F5wMq}8g5tB_dKf$~$Lh4rN~n~~@*e_s(GzTggqC@*{w z4lpdu-Pqo*fkaVm{@}(Hz>)UhrB!~bbEEIKeK=Kf1M&!NY`d*a?grglxq(h%+#n}0 z;*Vk6z#BU^V3~XaT=?qQr#3cM*IDR#%9+h0&%VC;)Y8Ic4YvbDv^SS8E^Ta{xwyv0 zSCFR&Z&E~uNT%4SA`$`zIw<13zO=Twu?!Vlqp@*vLv)ZZqS!}rkjkFW&LE@}u#Sw0 z-kPhM!F(bWccIFOQu~=No%)P&eXeS5s@cW3lG$YDQ%*7pV_KifjBDl^Z=L(hm;W`` z9&||)%os|?Vag%1eJp1lDCwY#4qFw9vk(T)my6F#)nU6kmo1eme$J`nO7(o+uh+BL ze8Y3=UeR-zWgH=6fn)&Wv+~sA54_LKQ!gbg&}DH`edE2&2;L|M#`{HYWLd8Tza*qq z2ViNM`IZ#KASDv!Skmr1U(Ccmy;;F2t``x|&84)ptNhR3{Z#3Gv=xgk$)WM=hXkT? zri6KNK*S*4Af0s;s@F~wq%jpOY9f5S{0t@piCly-6ej`y=->R{g=fHlpi2rv@l8qP z_;X|Op8>-uoqc{xd*DR6M5ki{@X!3MfA<(YPi5Vu3B$2 zJ-^y47hDJ@l%1wq$QC`XatMyRP?ACjytVvRg)*2$4}$J6u!_=IUnq$VPHViEbk>&^ zNpN3rrUzHW+)q-vQQQC#Dd-%SzJ8VQ{EZ*|-DlCd4qeh&U!mn8h4d~AwZfpcq-_k5 z0j(30qTEth6EBt^L<2XL%tt6l(U1M^F!u)K(O{u9=^WXZjs_Es(|WQo9Sx@PRlBmE zyZ*Aj@f$G3K-Y;F>lKdF$@gW5*JHUVjXN4#Xf`Uva<$}Vo3K~xHmaqPSE=SIC0Mi8 ztBvAeIJ2_k%y`2ooehp?Q}P_&&_GISF@U}RPz{YYlh$ISM1nJuX7hY86GJMk#i9gs zu1s6I%6#7YC%^Tf?}l-SE@>^s*B%mxIq|w}?`B>EHcGMY+7=o085ej%j%pFr3yYj%j&Eq^tIcSN_{49-=&xJx9xa@Q>Ha-LJl6^f;7u~BK{ooq2zELNIc6HacG>xYuW?BwK=TD%38)_0;V zO|YevmW%}KOXFmvB_l18;AN%NhEUGLEX#wg*Y*%BBU^Op{H(ryl|lZW|Ix-*l}kpU z1tEo~r%jj<5h|lB8AS%Pj!{~wNo7sCAVG)*t}&U9P>`Z;{Qj?f<3q|NqtKdk&T&jj zM#6Dg?>MFHaaWDtFD2vEIl!&5GA-W~*M#_jA>T zmoK;lFXt4S)pFx7PWcq%B_nS*r6nU(2ck`fW*}c5ot80}MvX(0xC;v{{Z1rZ)X8Yx{HqhsLQ>;z%z&*w-d4O!Lc z@`n?}Y2e~acnL)+I=P+S|D{*H^CgyrE@>4RX;V5kH>NyX#&cRnHzv2t_@$W@m~XL@ zp7`i56u$x;gf1yo5E3{J&W>?=*SNd9Un+Wyx|1tp3w0--ue$}e;yC4s=QbdVCwmwX zQh7PZn^S3LhY6YLRD#1}&l{Lc3A!EOBWt{!5DHz`E}@$kO;z>!{RBO8X{rPF;Y-~t6IBKlQOu)f+hmjNzK54C2$Z*PBe@E z{kOmIFDcxhG_466kXka=mHL&O+oeUm6Gq933pqhSMCqIvT6tQvccbIDpyruuS~dm!u@gAIH!Cz=M)-{ z8JTkn#b&Wk@`{aOq26@BKlz2~VK^swRmIyyX#vA4QG#nij~duNX;l@xDNW;=B&JA- z1m`5p%lTp^)>2wkMG5E}l(u%2rTn*F`04+kTvhS4hXhhOsep(R+T)rl6SmfuIyFadcEN1n}^}J8Gh4aNsjHI-NiW1PdByH^~6Y2kW={uEcD8BZPKuX6H5HaW`$s7pPYu%Hy zdWjY_5x!o222LuGi%^E5pZcC}{l2#=*HC;@(z&WJt)T*jQ+lg$t)Yl?)eh_PuYKX1 zat+1z&4l|b(kpk1+j6SSVk4V%eHYT(y+Ymds>Q66^W93lnJ-;3Sl$=+ge;H}Cr2 z0dstGNjdgngVH&*F}+fPvYghfjmrm9eN#KOSAXwM6#hB%4!Wf5P1@Wb{4(jTjWqVI zS(BBUMW^mJn$=>%aol>PRQ9sfte3CA;w0^!G6tO% z=iqo2w5zo^w-PCA(h+eEE{2S*GYmLUb;zsV^U#m#9302VadB{%<>TYv0tu@f+=Xxd z)YCc#$Mw+UJGh{4?v~YCKG$%3CzsE`TcEvcy_(H?xq{<5Zm!|_#d7^<92{>$;~boz zP{|GsKW<}tY?CJZS`r@9( z!NrqE?cf432Avk;-~<-ZI=ES!Ds zZ$o1ooTyNV4la1yz*b6W9zD0OS-eU95IvsY)@<;M!lH|nF)^f4nukzY=gidgs?6r! z`Sk~1p-l5&^@gQWx-g(%&?8csM_94eaY<Sbk`2kVjv_e!WU?iPmxK#9d{*@ySe7Mr(mXf~O7KPSq=C_sunl`{cev&20EA^bNs1)6 zBiT;z+ef);$HSFz77_nm}Yg>!u`3L8+`s z7bFPLz+ENt5eiatSuZSp+rzZlX;| zuFTj#N?D8joleKsEv%%hMN%TcnMrwXd@&P4D#gm81az)UTf549e)`^CS(&xS*B%l` z>Bs^i27XLhXA9M9-I$aw7%gfde7*b(oLC|kp$tVI_NssN%ip2QTI8FO&V`LBVhp#!U{zz87Z^CBhEIxoJMiT#wESCl|1=fxLR z+0E+3)BjHCyy6L@bY1}wgKmNUz*2&daM;{BqL)AgD$zU&`jZT)otQ zmuxmFkjYW09ty6!?7VnuDLF4ul@gp+@UVeZls2e_uHV^eb#ySh5^JnXf&-H_`Ne`J zR#ZyZkV)ulXaWZ+3;G}a(^vjXnXn;p5Rypg$S4_uPLupNEgRy&i^~_-QDh$}4^S>_ z(h*6LG+2!pa1u&WY|w*W+4@yw!iLzObWUwdDfg7+v~F!o3rNbYW>@U~w?9=@CTy_s z$04prnZ0WQi+&a0HwsSHZ~BmSU&z+|QpL>`AmzSPYQQS(F!smflzZNWN^@~4W2#UI z?u|ZfU@IlG!(qRnb8ZsaA$~r=vB_QuRe}qd*iu;>LUEl#)6}f8o&V*P|Id^X?J#U@ zSUjyG1Lh4nMxI5mM(fXv$(~0jXd-Z()C?R~0tcbwM5p!TcmLeJ674V)d^(3Url=fZ zH>I;07uq3~ta=6Zi@)k$QKB7&y)xnJFWet@%j&D@lnV~*eN~!(7Uec`^`>8`_!ZwP z7yWFmd?*e|Mmyx~q7t7zfA0 zF#)^B#W5ktsvXn+`|*2zRPC5puS~jQLj7^KIHpp`0{{*mPAcb`P8Q%en($JSs?&tT zjBKviC?CekNp?)UU6dUYr$kAP2_7}De^PGY?VCM#>9Y>aP|7W&MH1YTl$IuxGclMp z#I=NEd5MC~Uh3;tnad9>w*Hkew@|1*q>$285h@0~CFK^13~0Me%ITBJnsh;e5DnH- z$$W%@6qi&#`M+&Epv)~4T9eLsjVZT~aGcVAjVrg1%2(~e{@~mG@CjvZAuWz=#Dse> z+A(*FBWva=<|_LTO3W1@-|$fK3*|r}Zx^LNBCkXVi!b!3f&G&LiFBGgyWPBXes zU__b3Va}J7u$lG-I$t9N2GQdQe#VCSgzY9)#>5`VO`|oU(mMa5u2*IM9{h(t^e0Me zCdPGGI_g)FrT~MX!3;ol=d{846hGN<@a0TItr3`k+tJq_3Qg3-2fz0he@%(a#6+IX z-HZvF3Ajz^YQ}}lgygDrGoSuQ?}wGxOsq>LedPn4aksdal9%&}kU8Pj%U;FHIgN6@ z1j`>MTlM`)K7S|~6f!mwZww`DCQgG!HhQo8xd-3<1K=Cb^%vl}?e_<*ZfAXIZFOUL zb9Mb%?efz4#`5aQeN)b?bKlhZ()s0$P3h;=vrlcn(@Xr*`TM4pH`p(=h4rN~n@fwe qE6bZt0x?XDzklJ(#%68f%w_(O+R8b4>2uEad%lOm@9txNd0;%G z9%}9ANU}#=_HR*!K3cc*`R!ER<#Od!aj;p%^{`sh`;~2kovblSn5~dUeOS}(6gofY z%8sp9Mo*(EbO#;X>L5ull{MIe=@NxhY-k&n9?{>O^XR(y%QyGcUA(6F4BFJ-%$S}O=wlDx!J$5(L_gm^WI)W% z4obN)#J4eeL`afNoIT856pnEcW2eLt92Q}*CdZYASwrs#Cop$GR_?zj!lqSWPm+Cz zUy`xD8nxoh6+VEI*JQRjCYI(DA4jxTl7FPR5tQYl?pR&?JWgbJV%^pTzZKOy@}K|T I>&>h92P96mtpET3 diff --git a/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise.lock b/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise.lock index 44eded5047f3bf4b14d0715433fe8e89c9a185d4..8adf82efb9aae27c45f8568b74226ea7a017c36e 100644 GIT binary patch delta 10 Rcmeys_ - + @@ -74,8 +74,8 @@ - - + + diff --git a/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/__xps/system.gui b/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/__xps/system.gui index 8ea5ea00d..b275593be 100644 --- a/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/__xps/system.gui +++ b/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/__xps/system.gui @@ -3,15 +3,15 @@ - + - + - + @@ -32,7 +32,7 @@ - + @@ -45,9 +45,12 @@ - + + + + @@ -69,19 +72,23 @@ - - - - - - - - + + + + + + + + - - + + + + + + diff --git a/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/system.log b/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/system.log index 4671b83c6..10014fec9 100644 --- a/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/system.log +++ b/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/system.log @@ -87,6 +87,7421 @@ rm -f __xps/ise/_xmsgs/libgen.xmsgs rm -f RTOSDemo/executable.elf +Done! + +Writing filter settings.... + +Done writing filter settings to: + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\__xps\system.filters + +Done writing Tab View settings to: + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\__xps\system.gui + +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\_xps_tempmhsfilename.mhs line 239 - deprecated core for architecture 'virtex5fx'! + +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\_xps_tempmhsfilename.mhs line 284 - deprecated core for architecture 'virtex5fx'! + +Generating Block Diagram to Buffer + +Generated Block Diagram SVG + +At Local date and time: Tue Jun 30 22:00:27 2009 + make -f system.make bits started... + +**************************************************** +Creating system netlist for hardware specification.. +**************************************************** +platgen -p xc5vfx70tff1136-1 -lang vhdl -msg __xps/ise/xmsgprops.lst system.mhs + +Release 11.2 - platgen Xilinx EDK 11.2 Build EDK_LS3.47 + (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. + + +Command Line: platgen -p xc5vfx70tff1136-1 -lang vhdl -msg +__xps/ise/xmsgprops.lst system.mhs + +Parse +C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/system.mhs +... + +Read MPD definitions ... +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.m + hs line 253 - deprecated core for architecture 'virtex5fx'! +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.m + hs line 298 - deprecated core for architecture 'virtex5fx'! +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.m + hs line 253 - deprecated core for architecture 'virtex5fx'! +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.m + hs line 298 - deprecated core for architecture 'virtex5fx'! + +Overriding IP level properties ... + +Performing IP level DRCs on properties... + +Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC... +Address Map for Processor ppc440_0 + (0b0000000000-0b0011111111) ppc440_0 + (0000000000-0x0fffffff) DDR2_SDRAM ppc440_0_PPC440MC + (0x81000000-0x8100ffff) Ethernet_MAC plb_v46_0 + (0x81400000-0x8140ffff) Push_Buttons_5Bit plb_v46_0 + (0x81420000-0x8142ffff) LEDs_Positions plb_v46_0 + (0x81440000-0x8144ffff) LEDs_8Bit plb_v46_0 + (0x81460000-0x8146ffff) DIP_Switches_8Bit plb_v46_0 + (0x81600000-0x8160ffff) IIC_EEPROM plb_v46_0 + (0x81800000-0x8180ffff) xps_intc_0 plb_v46_0 + (0x83600000-0x8360ffff) SysACE_CompactFlash plb_v46_0 + (0x84000000-0x8400ffff) RS232_Uart_1 plb_v46_0 + (0x85c00000-0x85c0ffff) PCIe_Bridge plb_v46_0 + (0xc0000000-0xdfffffff) PCIe_Bridge plb_v46_0 + (0xe0000000-0xefffffff) PCIe_Bridge plb_v46_0 + (0xf8000000-0xf80fffff) SRAM plb_v46_0 + (0xffffe000-0xffffffff) xps_bram_if_cntlr_1 plb_v46_0 +INFO:EDK:1560 - IPNAME:ppc440_virtex5 INSTANCE:ppc440_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\ppc440_virtex5_v1_ + 01_a\data\ppc440_virtex5_v2_1_0.mpd line 175 - tool is overriding PARAMETER + C_SPLB0_P2P value to 0 + +Computing clock values... +INFO:EDK:1432 - Frequency for Top-Level Input Clock + 'fpga_0_PCIe_Diff_Clk_IBUF_DS_P_pin' is not specified. Clock DRCs will not be + performed for IPs connected to that clock port, unless they are connected + through the clock generator IP. + +INFO:EDK:1432 - Frequency for Top-Level Input Clock + 'fpga_0_PCIe_Diff_Clk_IBUF_DS_N_pin' is not specified. Clock DRCs will not be + performed for IPs connected to that clock port, unless they are connected + through the clock generator IP. + +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:plb_v46_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 70 - tool is overriding PARAMETER + C_PLBV46_NUM_MASTERS value to 1 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:plb_v46_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 71 - tool is overriding PARAMETER + C_PLBV46_NUM_SLAVES value to 12 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:plb_v46_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 72 - tool is overriding PARAMETER + C_PLBV46_MID_WIDTH value to 1 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:plb_v46_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 74 - tool is overriding PARAMETER C_PLBV46_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_bram_if_cntlr INSTANCE:xps_bram_if_cntlr_1 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_bram_if_cntlr_ + v1_00_b\data\xps_bram_if_cntlr_v2_1_0.mpd line 75 - tool is overriding + PARAMETER C_SPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:xps_bram_if_cntlr INSTANCE:xps_bram_if_cntlr_1 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_bram_if_cntlr_ + v1_00_b\data\xps_bram_if_cntlr_v2_1_0.mpd line 76 - tool is overriding + PARAMETER C_SPLB_NUM_MASTERS value to 1 +INFO:EDK:1560 - IPNAME:xps_bram_if_cntlr INSTANCE:xps_bram_if_cntlr_1 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_bram_if_cntlr_ + v1_00_b\data\xps_bram_if_cntlr_v2_1_0.mpd line 80 - tool is overriding + PARAMETER C_SPLB_SMALLEST_MASTER value to 128 +INFO:EDK:1560 - IPNAME:bram_block INSTANCE:xps_bram_if_cntlr_1_bram - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a + \data\bram_block_v2_1_0.mpd line 69 - tool is overriding PARAMETER C_MEMSIZE + value to 0x2000 +INFO:EDK:1560 - IPNAME:bram_block INSTANCE:xps_bram_if_cntlr_1_bram - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a + \data\bram_block_v2_1_0.mpd line 70 - tool is overriding PARAMETER + C_PORT_DWIDTH value to 64 +INFO:EDK:1560 - IPNAME:bram_block INSTANCE:xps_bram_if_cntlr_1_bram - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a + \data\bram_block_v2_1_0.mpd line 72 - tool is overriding PARAMETER C_NUM_WE + value to 8 +INFO:EDK:1560 - IPNAME:xps_uartlite INSTANCE:RS232_Uart_1 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_uartlite_v1_01 + _a\data\xps_uartlite_v2_1_0.mpd line 73 - tool is overriding PARAMETER + C_SPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:xps_gpio INSTANCE:LEDs_8Bit - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_gpio_v2_00_a\d + ata\xps_gpio_v2_1_0.mpd line 71 - tool is overriding PARAMETER C_SPLB_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_gpio INSTANCE:LEDs_Positions - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_gpio_v2_00_a\d + ata\xps_gpio_v2_1_0.mpd line 71 - tool is overriding PARAMETER C_SPLB_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_gpio INSTANCE:Push_Buttons_5Bit - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_gpio_v2_00_a\d + ata\xps_gpio_v2_1_0.mpd line 71 - tool is overriding PARAMETER C_SPLB_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_gpio INSTANCE:DIP_Switches_8Bit - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_gpio_v2_00_a\d + ata\xps_gpio_v2_1_0.mpd line 71 - tool is overriding PARAMETER C_SPLB_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_iic INSTANCE:IIC_EEPROM - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_iic_v2_01_a\da + ta\xps_iic_v2_1_0.mpd line 79 - tool is overriding PARAMETER C_SPLB_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_mch_emc INSTANCE:SRAM - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_mch_emc_v3_00_ + a\data\xps_mch_emc_v2_1_0.mpd line 82 - tool is overriding PARAMETER + C_SPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:xps_mch_emc INSTANCE:SRAM - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_mch_emc_v3_00_ + a\data\xps_mch_emc_v2_1_0.mpd line 84 - tool is overriding PARAMETER + C_SPLB_SMALLEST_MASTER value to 128 +INFO:EDK:1560 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plbv46_pcie_v3_00_ + b\data\plbv46_pcie_v2_1_0.mpd line 86 - tool is overriding PARAMETER + C_MPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plbv46_pcie_v3_00_ + b\data\plbv46_pcie_v2_1_0.mpd line 87 - tool is overriding PARAMETER + C_MPLB_SMALLEST_SLAVE value to 128 +INFO:EDK:1560 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plbv46_pcie_v3_00_ + b\data\plbv46_pcie_v2_1_0.mpd line 89 - tool is overriding PARAMETER + C_SPLB_MID_WIDTH value to 1 +INFO:EDK:1560 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plbv46_pcie_v3_00_ + b\data\plbv46_pcie_v2_1_0.mpd line 90 - tool is overriding PARAMETER + C_SPLB_NUM_MASTERS value to 1 +INFO:EDK:1560 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plbv46_pcie_v3_00_ + b\data\plbv46_pcie_v2_1_0.mpd line 91 - tool is overriding PARAMETER + C_SPLB_SMALLEST_MASTER value to 128 +INFO:EDK:1560 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plbv46_pcie_v3_00_ + b\data\plbv46_pcie_v2_1_0.mpd line 95 - tool is overriding PARAMETER + C_SPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:ppc440_0_SPLB0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 70 - tool is overriding PARAMETER + C_PLBV46_NUM_MASTERS value to 1 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:ppc440_0_SPLB0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 71 - tool is overriding PARAMETER + C_PLBV46_NUM_SLAVES value to 1 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:ppc440_0_SPLB0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 72 - tool is overriding PARAMETER + C_PLBV46_MID_WIDTH value to 1 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:ppc440_0_SPLB0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 74 - tool is overriding PARAMETER C_PLBV46_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_ethernetlite_v + 2_01_a\data\xps_ethernetlite_v2_1_0.mpd line 75 - tool is overriding + PARAMETER C_SPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:xps_sysace INSTANCE:SysACE_CompactFlash - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_sysace_v1_01_a + \data\xps_sysace_v2_1_0.mpd line 72 - tool is overriding PARAMETER + C_SPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:xps_sysace INSTANCE:SysACE_CompactFlash - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_sysace_v1_01_a + \data\xps_sysace_v2_1_0.mpd line 74 - tool is overriding PARAMETER + C_SPLB_MID_WIDTH value to 1 +INFO:EDK:1560 - IPNAME:xps_sysace INSTANCE:SysACE_CompactFlash - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_sysace_v1_01_a + \data\xps_sysace_v2_1_0.mpd line 75 - tool is overriding PARAMETER + C_SPLB_NUM_MASTERS value to 1 +INFO:EDK:1560 - IPNAME:xps_intc INSTANCE:xps_intc_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_intc_v2_00_a\d + ata\xps_intc_v2_1_0.mpd line 72 - tool is overriding PARAMETER C_SPLB_DWIDTH + value to 128 + +Checking platform address map ... + +Checking platform configuration ... +INFO:EDK:1563 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.m + hs line 298 - This design requires design constraints to guarantee + performance. + Please refer to the xps_ethernetlite_v2_00_a data sheet for details. + The PLB clock frequency must be greater than or equal to 50 MHz for 100 Mbs + Ethernet operation and greater than or equal to 5.0 MHz for 10 Mbs Ethernet + operation. +IPNAME:plb_v46 INSTANCE:plb_v46_0 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 109 - 1 master(s) : 12 slave(s) +IPNAME:plb_v46 INSTANCE:ppc440_0_SPLB0 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 290 - 1 master(s) : 1 slave(s) +IPNAME:fcb_v20 INSTANCE:ppc440_0_fcb_v20 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 394 - 1 master(s) : 1 slave(s) + +Checking port drivers... +WARNING:EDK:2099 - PORT:Peripheral_Reset CONNECTOR:sys_periph_reset - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.m + hs line 461 - floating connection! + +Performing Clock DRCs... + +Performing Reset DRCs... + +Overriding system level properties... +INFO:EDK:1560 - IPNAME:ppc440_virtex5 INSTANCE:ppc440_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\ppc440_virtex5_v1_ + 01_a\data\ppc440_virtex5_v2_1_0.mpd line 124 - tcl is overriding PARAMETER + C_PPC440MC_ADDR_BASE value to 0x00000000 +INFO:EDK:1560 - IPNAME:ppc440_virtex5 INSTANCE:ppc440_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\ppc440_virtex5_v1_ + 01_a\data\ppc440_virtex5_v2_1_0.mpd line 125 - tcl is overriding PARAMETER + C_PPC440MC_ADDR_HIGH value to 0x0fffffff +INFO:EDK:1560 - IPNAME:jtagppc_cntlr INSTANCE:jtagppc_cntlr_inst - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\jtagppc_cntlr_v2_0 + 1_c\data\jtagppc_cntlr_v2_1_0.mpd line 70 - tcl is overriding PARAMETER + C_NUM_PPC_USED value to 1 +INFO:EDK:1560 - IPNAME:xps_intc INSTANCE:xps_intc_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_intc_v2_00_a\d + ata\xps_intc_v2_1_0.mpd line 79 - tcl is overriding PARAMETER C_KIND_OF_INTR + value to 0b00000000000000000000000000000001 +INFO:EDK:1560 - IPNAME:xps_intc INSTANCE:xps_intc_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_intc_v2_00_a\d + ata\xps_intc_v2_1_0.mpd line 80 - tcl is overriding PARAMETER C_KIND_OF_EDGE + value to 0b00000000000000000000000000000001 +INFO:EDK:1560 - IPNAME:xps_intc INSTANCE:xps_intc_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_intc_v2_00_a\d + ata\xps_intc_v2_1_0.mpd line 81 - tcl is overriding PARAMETER C_KIND_OF_LVL + value to 0b00000000000000000000000000000000 + +Running system level update procedures... + +Running UPDATE Tcl procedures for OPTION SYSLEVEL_UPDATE_PROC... + +Running system level DRCs... + +Performing System level DRCs on properties... + +Running DRC Tcl procedures for OPTION SYSLEVEL_DRC_PROC... + +Running UPDATE Tcl procedures for OPTION PLATGEN_SYSLEVEL_UPDATE_PROC... +INFO: The PCIe_Bridge core has constraints automatically generated by XPS in +implementation/pcie_bridge_wrapper/pcie_bridge_wrapper.ucf. +It can be overridden by constraints placed in the system.ucf file. + + + +INFO: The Ethernet_MAC core has constraints automatically generated by XPS in +implementation/ethernet_mac_wrapper/ethernet_mac_wrapper.ucf. +It can be overridden by constraints placed in the system.ucf file. + + + +INFO: The DDR2_SDRAM core has constraints automatically generated by XPS in +implementation/ddr2_sdram_wrapper/ddr2_sdram_wrapper.ucf. +It can be overridden by constraints placed in the system.ucf file. + + + + +Modify defaults ... + +Creating stub ... + +Processing licensed instances ... +Completion time: 0.00 seconds + +Creating hardware output directories ... + +Managing hardware (BBD-specified) netlist files ... +IPNAME:plbv46_pcie INSTANCE:pcie_bridge - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 253 - Copying (BBD-specified) netlist files. +IPNAME:xps_ethernetlite INSTANCE:ethernet_mac - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 298 - Copying (BBD-specified) netlist files. +IPNAME:apu_fpu_virtex5 INSTANCE:ppc440_0_apu_fpu_virtex5 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 401 - Copying (BBD-specified) netlist files. + +Managing cache ... + +Elaborating instances ... +IPNAME:bram_block INSTANCE:xps_bram_if_cntlr_1_bram - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 131 - elaborating IP + +Writing HDL for elaborated instances ... + +Inserting wrapper level ... +Completion time: 0.00 seconds + +Constructing platform-level connectivity ... +Completion time: 1.00 seconds + +Writing (top-level) BMM ... + +Writing (top-level and wrappers) HDL ... + +Generating synthesis project file ... + +Running XST synthesis ... + +INFO:EDK:2502 - The following instances are synthesized with XST. The MPD option + IMP_NETLIST=TRUE indicates that a NGC file is to be produced using XST + synthesis. IMP_NETLIST=FALSE (default) instances are not synthesized. +INSTANCE:ppc440_0 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 78 - Running XST synthesis +INSTANCE:plb_v46_0 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 109 - Running XST synthesis +INSTANCE:xps_bram_if_cntlr_1 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 118 - Running XST synthesis +INSTANCE:xps_bram_if_cntlr_1_bram - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 131 - Running XST synthesis +INSTANCE:rs232_uart_1 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 138 - Running XST synthesis +INSTANCE:leds_8bit - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 154 - Running XST synthesis +INSTANCE:leds_positions - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 168 - Running XST synthesis +INSTANCE:push_buttons_5bit - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 182 - Running XST synthesis +INSTANCE:dip_switches_8bit - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 196 - Running XST synthesis +INSTANCE:iic_eeprom - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 210 - Running XST synthesis +INSTANCE:sram - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 223 - Running XST synthesis +INSTANCE:pcie_bridge - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 253 - Running XST synthesis +INSTANCE:ppc440_0_splb0 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 290 - Running XST synthesis +INSTANCE:ethernet_mac - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 298 - Running XST synthesis +INSTANCE:ddr2_sdram - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 317 - Running XST synthesis +INSTANCE:sysace_compactflash - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 377 - Running XST synthesis +INSTANCE:ppc440_0_fcb_v20 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 394 - Running XST synthesis +INSTANCE:ppc440_0_apu_fpu_virtex5 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 401 - Running XST synthesis +INSTANCE:clock_generator_0 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 407 - Running XST synthesis +INSTANCE:jtagppc_cntlr_inst - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 446 - Running XST synthesis +INSTANCE:proc_sys_reset_0 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 452 - Running XST synthesis +INSTANCE:xps_intc_0 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 464 - Running XST synthesis + +Running NGCBUILD ... +IPNAME:ppc440_0_wrapper INSTANCE:ppc440_0 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 78 - Running NGCBUILD +PMSPEC -- Overriding Xilinx file + with local file + + +Command Line: C:\devtools\Xilinx\11.1\ISE\bin\nt\unwrapped\ngcbuild.exe -p +xc5vfx70tff1136-1 -intstyle silent -uc ppc440_0_wrapper.ucf -sd .. +ppc440_0_wrapper.ngc ../ppc440_0_wrapper.ngc + +Reading NGO file +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/implementa +tion/ppc440_0_wrapper/ppc440_0_wrapper.ngc" ... + +Applying constraints in "ppc440_0_wrapper.ucf" to the design... + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +NGCBUILD Design Results Summary: + Number of errors: 0 + Number of warnings: 0 + +Writing NGC file "../ppc440_0_wrapper.ngc" ... +Total REAL time to NGCBUILD completion: 6 sec +Total CPU time to NGCBUILD completion: 6 sec + +Writing NGCBUILD log file "../ppc440_0_wrapper.blc"... + +NGCBUILD done. +IPNAME:rs232_uart_1_wrapper INSTANCE:rs232_uart_1 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 138 - Running NGCBUILD +PMSPEC -- Overriding Xilinx file + with local file + + +Command Line: C:\devtools\Xilinx\11.1\ISE\bin\nt\unwrapped\ngcbuild.exe -p +xc5vfx70tff1136-1 -intstyle silent -sd .. rs232_uart_1_wrapper.ngc +../rs232_uart_1_wrapper.ngc + +Reading NGO file +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/implementa +tion/rs232_uart_1_wrapper/rs232_uart_1_wrapper.ngc" ... + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +NGCBUILD Design Results Summary: + Number of errors: 0 + Number of warnings: 0 + +Writing NGC file "../rs232_uart_1_wrapper.ngc" ... +Total REAL time to NGCBUILD completion: 2 sec +Total CPU time to NGCBUILD completion: 2 sec + +Writing NGCBUILD log file "../rs232_uart_1_wrapper.blc"... + +NGCBUILD done. +IPNAME:pcie_bridge_wrapper INSTANCE:pcie_bridge - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 253 - Running NGCBUILD +PMSPEC -- Overriding Xilinx file + with local file + + +Command Line: C:\devtools\Xilinx\11.1\ISE\bin\nt\unwrapped\ngcbuild.exe -p +xc5vfx70tff1136-1 -intstyle silent -uc pcie_bridge_wrapper.ucf -sd .. +pcie_bridge_wrapper.ngc ../pcie_bridge_wrapper.ngc + +Reading NGO file +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/implementa +tion/pcie_bridge_wrapper/pcie_bridge_wrapper.ngc" ... +Executing edif2ngd -noa +"C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\implementa +tion\pcie_bridge_wrapper_fifo_generator_v4_3.edn" +"pcie_bridge_wrapper_fifo_generator_v4_3.ngo" +Release 11.2 - edif2ngd L.46 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +INFO:NgdBuild - Release 11.2 edif2ngd L.46 (nt) +INFO:NgdBuild - Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +PMSPEC -- Overriding Xilinx file +with local file +Writing module to "pcie_bridge_wrapper_fifo_generator_v4_3.ngo"... +Loading design module +"C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\implementa +tion\pcie_bridge_wrapper\pcie_bridge_wrapper_fifo_generator_v4_3.ngo"... +Loading design module +"../pcie_bridge_wrapper_fifo_generator_v4_3_fifo_generator_v4_3_xst_1.ngc"... +Loading design module +"C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\implementa +tion\pcie_bridge_wrapper/dpram_70_512.ngc"... +Loading design module +"C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\implementa +tion\pcie_bridge_wrapper/fifo_71x512.ngc"... + +Applying constraints in "pcie_bridge_wrapper.ucf" to the design... + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +NGCBUILD Design Results Summary: + Number of errors: 0 + Number of warnings: 0 + +Writing NGC file "../pcie_bridge_wrapper.ngc" ... +Total REAL time to NGCBUILD completion: 13 sec +Total CPU time to NGCBUILD completion: 9 sec + +Writing NGCBUILD log file "../pcie_bridge_wrapper.blc"... + +NGCBUILD done. +IPNAME:ethernet_mac_wrapper INSTANCE:ethernet_mac - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 298 - Running NGCBUILD +PMSPEC -- Overriding Xilinx file + with local file + + +Command Line: C:\devtools\Xilinx\11.1\ISE\bin\nt\unwrapped\ngcbuild.exe -p +xc5vfx70tff1136-1 -intstyle silent -uc ethernet_mac_wrapper.ucf -sd .. +ethernet_mac_wrapper.ngc ../ethernet_mac_wrapper.ngc + +Reading NGO file +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/implementa +tion/ethernet_mac_wrapper/ethernet_mac_wrapper.ngc" ... +Executing edif2ngd -noa "ethernetlite_v1_01_b_dmem_v2.edn" +"ethernetlite_v1_01_b_dmem_v2.ngo" +Release 11.2 - edif2ngd L.46 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +INFO:NgdBuild - Release 11.2 edif2ngd L.46 (nt) +INFO:NgdBuild - Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +PMSPEC -- Overriding Xilinx file +with local file +Writing module to "ethernetlite_v1_01_b_dmem_v2.ngo"... +Loading design module +"C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\implementa +tion\ethernet_mac_wrapper\ethernetlite_v1_01_b_dmem_v2.ngo"... + +Applying constraints in "ethernet_mac_wrapper.ucf" to the design... + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +NGCBUILD Design Results Summary: + Number of errors: 0 + Number of warnings: 0 + +Writing NGC file "../ethernet_mac_wrapper.ngc" ... +Total REAL time to NGCBUILD completion: 9 sec +Total CPU time to NGCBUILD completion: 6 sec + +Writing NGCBUILD log file "../ethernet_mac_wrapper.blc"... + +NGCBUILD done. +IPNAME:ddr2_sdram_wrapper INSTANCE:ddr2_sdram - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 317 - Running NGCBUILD +PMSPEC -- Overriding Xilinx file + with local file + + +Command Line: C:\devtools\Xilinx\11.1\ISE\bin\nt\unwrapped\ngcbuild.exe -p +xc5vfx70tff1136-1 -intstyle silent -uc ddr2_sdram_wrapper.ucf -sd .. +ddr2_sdram_wrapper.ngc ../ddr2_sdram_wrapper.ngc + +Reading NGO file +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/implementa +tion/ddr2_sdram_wrapper/ddr2_sdram_wrapper.ngc" ... + +Applying constraints in "ddr2_sdram_wrapper.ucf" to the design... + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +NGCBUILD Design Results Summary: + Number of errors: 0 + Number of warnings: 0 + +Writing NGC file "../ddr2_sdram_wrapper.ngc" ... +Total REAL time to NGCBUILD completion: 7 sec +Total CPU time to NGCBUILD completion: 7 sec + +Writing NGCBUILD log file "../ddr2_sdram_wrapper.blc"... + +NGCBUILD done. +IPNAME:ppc440_0_apu_fpu_virtex5_wrapper INSTANCE:ppc440_0_apu_fpu_virtex5 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 401 - Running NGCBUILD +PMSPEC -- Overriding Xilinx file + with local file + + +Command Line: C:\devtools\Xilinx\11.1\ISE\bin\nt\unwrapped\ngcbuild.exe -p +xc5vfx70tff1136-1 -intstyle silent -uc ppc440_0_apu_fpu_virtex5_wrapper.ucf -sd +.. ppc440_0_apu_fpu_virtex5_wrapper.ngc ../ppc440_0_apu_fpu_virtex5_wrapper.ngc + +Reading NGO file +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/implementa +tion/ppc440_0_apu_fpu_virtex5_wrapper/ppc440_0_apu_fpu_virtex5_wrapper.ngc" ... +Loading design module +"C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\implementa +tion\ppc440_0_apu_fpu_virtex5_wrapper/apu_fpu_dp_lo.ngc"... + +Applying constraints in "ppc440_0_apu_fpu_virtex5_wrapper.ucf" to the design... + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +NGCBUILD Design Results Summary: + Number of errors: 0 + Number of warnings: 0 + +Writing NGC file "../ppc440_0_apu_fpu_virtex5_wrapper.ngc" ... +Total REAL time to NGCBUILD completion: 7 sec +Total CPU time to NGCBUILD completion: 7 sec + +Writing NGCBUILD log file "../ppc440_0_apu_fpu_virtex5_wrapper.blc"... + +NGCBUILD done. +IPNAME:xps_intc_0_wrapper INSTANCE:xps_intc_0 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 464 - Running NGCBUILD +PMSPEC -- Overriding Xilinx file + with local file + + +Command Line: C:\devtools\Xilinx\11.1\ISE\bin\nt\unwrapped\ngcbuild.exe -p +xc5vfx70tff1136-1 -intstyle silent -sd .. xps_intc_0_wrapper.ngc +../xps_intc_0_wrapper.ngc + +Reading NGO file +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/implementa +tion/xps_intc_0_wrapper/xps_intc_0_wrapper.ngc" ... + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +NGCBUILD Design Results Summary: + Number of errors: 0 + Number of warnings: 0 + +Writing NGC file "../xps_intc_0_wrapper.ngc" ... +Total REAL time to NGCBUILD completion: 2 sec +Total CPU time to NGCBUILD completion: 2 sec + +Writing NGCBUILD log file "../xps_intc_0_wrapper.blc"... + +NGCBUILD done. + +Rebuilding cache ... + +Total run time: 1120.00 seconds +Running synthesis... +bash -c "cd synthesis; ./synthesis.sh" +xst -ifn system_xst.scr -intstyle silent +Running XST synthesis ... +XST completed +Release 11.2 - ngcbuild L.46 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +Overriding Xilinx file with local file + + +Command Line: c:\devtools\Xilinx\11.1\ISE\bin\nt\unwrapped\ngcbuild.exe +./system.ngc ../implementation/system.ngc -sd ../implementation -i -ise +../__xps/ise/system.ise + +Reading NGO file +"c:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/synthesis/ +system.ngc" ... +Loading design module "../implementation/ppc440_0_wrapper.ngc"... +Loading design module "../implementation/plb_v46_0_wrapper.ngc"... +Loading design module "../implementation/xps_bram_if_cntlr_1_wrapper.ngc"... +Loading design module +"../implementation/xps_bram_if_cntlr_1_bram_wrapper.ngc"... +Loading design module "../implementation/rs232_uart_1_wrapper.ngc"... +Loading design module "../implementation/leds_8bit_wrapper.ngc"... +Loading design module "../implementation/leds_positions_wrapper.ngc"... +Loading design module "../implementation/push_buttons_5bit_wrapper.ngc"... +Loading design module "../implementation/dip_switches_8bit_wrapper.ngc"... +Loading design module "../implementation/iic_eeprom_wrapper.ngc"... +Loading design module "../implementation/sram_wrapper.ngc"... +Loading design module "../implementation/pcie_bridge_wrapper.ngc"... +Loading design module "../implementation/ppc440_0_splb0_wrapper.ngc"... +Loading design module "../implementation/ethernet_mac_wrapper.ngc"... +Loading design module "../implementation/ddr2_sdram_wrapper.ngc"... +Loading design module "../implementation/sysace_compactflash_wrapper.ngc"... +Loading design module "../implementation/ppc440_0_fcb_v20_wrapper.ngc"... +Loading design module +"../implementation/ppc440_0_apu_fpu_virtex5_wrapper.ngc"... +Loading design module "../implementation/clock_generator_0_wrapper.ngc"... +Loading design module "../implementation/jtagppc_cntlr_inst_wrapper.ngc"... +Loading design module "../implementation/proc_sys_reset_0_wrapper.ngc"... +Loading design module "../implementation/xps_intc_0_wrapper.ngc"... + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +NGCBUILD Design Results Summary: + Number of errors: 0 + Number of warnings: 0 + +Writing NGC file "../implementation/system.ngc" ... +Total REAL time to NGCBUILD completion: 12 sec +Total CPU time to NGCBUILD completion: 11 sec + +Writing NGCBUILD log file "../implementation/system.blc"... + +NGCBUILD done. +********************************************* +Running Xilinx Implementation tools.. +********************************************* +xflow -wd implementation -p xc5vfx70tff1136-1 -implement xflow.opt -ise ../__xps/ise/system.ise system.ngc +Release 11.2 - Xflow L.46 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +xflow.exe -wd implementation -p xc5vfx70tff1136-1 -implement xflow.opt -ise +../__xps/ise/system.ise system.ngc +PMSPEC -- Overriding Xilinx file + with local file + +.... Copying flowfile c:/devtools/Xilinx/11.1/ISE/xilinx/data/fpga.flw into +working directory +C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/implementat +ion + +Using Flow File: +C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/implementat +ion/fpga.flw +Using Option File(s): + C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/implementa +tion/xflow.opt + +Creating Script File ... + +#----------------------------------------------# +# Starting program ngdbuild +# ngdbuild -ise ../__xps/ise/system.ise -p xc5vfx70tff1136-1 -nt timestamp -bm +system.bmm +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/implementa +tion/system.ngc" -uc system.ucf system.ngd +#----------------------------------------------# +Release 11.2 - ngdbuild L.46 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +PMSPEC -- Overriding Xilinx file + with local file + + +Command Line: ngdbuild -ise ../__xps/ise/system.ise -p xc5vfx70tff1136-1 -nt +timestamp -bm system.bmm +C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/implementat +ion/system.ngc -uc system.ucf system.ngd + +Reading NGO file +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/implementa +tion/system.ngc" ... +Gathering constraint information from source properties... +Done. + +Applying constraints in "system.ucf" to the design... +WARNING:NgdBuild:931 - The value of SIM_DEVICE on instance + 'clock_generator_0/clock_generator_0/Using_DCM0.DCM0_INST/DCM_INST/Using_DCM_ + ADV.DCM_ADV_INST' of type DCM_ADV has been changed from 'VIRTEX4' to + 'VIRTEX5' to correct post-ngdbuild and timing simulation for this primitive. + In order for functional simulation to be correct, the value of SIM_DEVICE + should be changed in this same manner in the source netlist or constraint + file. +Resolving constraint associations... +Checking Constraint Associations... +WARNING:ConstraintSystem:3 - Constraint [system.ucf(264)]: This constraint will be ignored because the relative + clock constraint named 'TS_clk_div_slow_0_clk_div_slow_0_DDR2_CLK_i' was not + found. + +INFO:ConstraintSystem:178 - TNM 'sys_clk_pin', used in period specification + 'TS_sys_clk_pin', was traced into PLL_ADV instance + clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst. + The following new TNM groups and period specifications were generated at the + PLL_ADV output(s): + CLKOUT0: + +INFO:ConstraintSystem:178 - TNM 'sys_clk_pin', used in period specification + 'TS_sys_clk_pin', was traced into PLL_ADV instance + clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst. + The following new TNM groups and period specifications were generated at the + PLL_ADV output(s): + CLKOUT1: + +INFO:ConstraintSystem:178 - TNM 'sys_clk_pin', used in period specification + 'TS_sys_clk_pin', was traced into PLL_ADV instance + clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst. + The following new TNM groups and period specifications were generated at the + PLL_ADV output(s): + CLKOUT2: + +INFO:ConstraintSystem:178 - TNM 'sys_clk_pin', used in period specification + 'TS_sys_clk_pin', was traced into PLL_ADV instance + clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst. + The following new TNM groups and period specifications were generated at the + PLL_ADV output(s): + CLKOUT3: + +INFO:ConstraintSystem:178 - TNM 'sys_clk_pin', used in period specification + 'TS_sys_clk_pin', was traced into PLL_ADV instance + clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst. + The following new TNM groups and period specifications were generated at the + PLL_ADV output(s): + CLKOUT4: + +Done... +Checking Partitions ... + +Processing BMM file ... + +WARNING:NgdBuild:1212 - User specified non-default attribute value + (8.0000000000000000) was detected for the CLKIN_PERIOD attribute on DCM + "clock_generator_0/Using_DCM0.DCM0_INST/DCM_INST/Using_DCM_ADV.DCM_ADV_INST". + This does not match the PERIOD constraint value (5 ns.). The uncertainty + calculation will use the non-default attribute value. This could result in + incorrect uncertainty calculated for DCM output clocks. +Checking expanded design ... +WARNING:NgdBuild:443 - SFF primitive + 'xps_bram_if_cntlr_1/xps_bram_if_cntlr_1/INCLUDE_BURST_SUPPORT.I_SLAVE_BURST_ + ATTACH/I_DBEAT_CONTROL/I_DBEAT_CNTR/STRUCTURAL_A_GEN.I_ADDSUB_GEN[4].FDRE_I' + has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'SRAM/SRAM/EMC_CTRL_I/MEM_STEER_I/SYNC_MEM_DQT.REG_DQT_GEN[2].DQT_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'SRAM/SRAM/EMC_CTRL_I/MEM_STEER_I/GSYNC_MEM_RDACK_GEN.ADDR_ALIGN_PIPE_GEN[3]. + ALIGN_PIPE' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHM + ENT/I_DECODER/GEN_CE_FOR_SHARED.GEN_BKEND_CE_REGISTERS[0].I_BKEND_WRCE_REG' + has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHM + ENT/I_DECODER/GEN_CE_FOR_SHARED.GEN_BKEND_CE_REGISTERS[0].I_BKEND_RDCE_REG' + has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHM + ENT/I_BURST_SUPPORT/RESPONSE_DBEAT_CNTR_I/STRUCTURAL_A_GEN.I_ADDSUB_GEN[7].FD + RE_I' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHM + ENT/I_BURST_SUPPORT/CONTROL_DBEAT_CNTR_I/STRUCTURAL_A_GEN.I_ADDSUB_GEN[7].FDR + E_I' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHM + ENT/I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[0].I_FDRSE_BE0to3 + ' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHM + ENT/I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[1].I_FDRSE_BE0to3 + ' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHM + ENT/I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[2].I_FDRSE_BE0to3 + ' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHM + ENT/I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[3].I_FDRSE_BE0to3 + ' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHM + ENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[0].I_SIZE_S_H_REG' + has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHM + ENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[0].I_SIZE_S_H_REG + ' has unconnected output pin +WARNING:NgdBuild:486 - Attribute "CLK_FEEDBACK" is not allowed on symbol + "PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/clocking_i/use_pll.pll_ad + v_i" of type "PLL_ADV". This attribute will be ignored. +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_B + URSTXFER.I_STEER_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[0].I_SIZE_S_H_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_B + URSTXFER.I_BUS_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[0].I_SIZE_S_H_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_B + URSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/GEN_DWIDTH_64_128.LDMUX_FDRSE + _4to7[7].I_FDRSE_BE4to7' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_B + URSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/GEN_DWIDTH_64_128.LDMUX_FDRSE + _4to7[6].I_FDRSE_BE4to7' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_B + URSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/GEN_DWIDTH_64_128.LDMUX_FDRSE + _4to7[5].I_FDRSE_BE4to7' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_B + URSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/GEN_DWIDTH_64_128.LDMUX_FDRSE + _4to7[4].I_FDRSE_BE4to7' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_B + URSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[3].I_FDRSE_B + E0to3' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_B + URSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[2].I_FDRSE_B + E0to3' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_B + URSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[1].I_FDRSE_B + E0to3' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_B + URSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[0].I_FDRSE_B + E0to3' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_S + _H_ADDR_REG[6].I_ADDR_S_H_REG' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_S + _H_ADDR_REG[7].I_ADDR_S_H_REG' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[0].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[0].I_BKend_RDCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[0].I_BKend_WRCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[1].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[1].I_BKend_RDCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[1].I_BKend_WRCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[2].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[2].I_BKend_RDCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[2].I_BKend_WRCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[3].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[3].I_BKend_RDCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[3].I_BKend_WRCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[4].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[4].I_BKend_RDCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[4].I_BKend_WRCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[5].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[5].I_BKend_RDCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[5].I_BKend_WRCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[6].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[6].I_BKend_RDCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[6].I_BKend_WRCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[7].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[7].I_BKend_RDCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[7].I_BKend_WRCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[8].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[8].I_BKend_RDCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[8].I_BKend_WRCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[9].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[9].I_BKend_RDCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[9].I_BKend_WRCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[10].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[10].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[10].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[11].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[11].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[11].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[12].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[12].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[12].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[13].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[13].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[13].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[14].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[14].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[14].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[15].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[15].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[15].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[16].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[16].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[16].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[17].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[17].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[17].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[18].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[18].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[18].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[19].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[19].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[19].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[20].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[20].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[20].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[21].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[21].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[21].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[22].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[22].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[22].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[23].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[23].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[23].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[24].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[24].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[24].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[25].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[25].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[25].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[26].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[26].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[26].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[27].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[27].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[27].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[28].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[28].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[28].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[29].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[29].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[29].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[30].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[30].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[30].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[31].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[31].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[31].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[32].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[33].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[34].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[35].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[36].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[37].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[38].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[39].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[40].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[41].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[42].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[43].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[44].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[44].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[44].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[45].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[45].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[45].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[46].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[46].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[46].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[47].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[47].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[47].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[48].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[48].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[48].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[49].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[49].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[49].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[50].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[50].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[50].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[51].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[51].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[51].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[52].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[52].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[52].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[53].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[53].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[53].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[54].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[54].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[54].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[55].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[55].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[55].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[56].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[57].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[58].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[59].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[60].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[61].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[61].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[61].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[62].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[62].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[62].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[63].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[63].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[63].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[1].GEN_USER_CE.GEN_ALL_CEs[64].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[1].GEN_USER_CE.GEN_ALL_CEs[64].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[1].GEN_USER_CE.GEN_ALL_CEs[64].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[65].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[66].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[66].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[67].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[68].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[68].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[68].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[69].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[69].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[69].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[70].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[70].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[70].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[71].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[71].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[72].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[73].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[74].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[74].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[74].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[75].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[76].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[76].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[76].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[77].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[77].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[77].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[78].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[78].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[78].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[79].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[79].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[79].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[80].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[80].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[80].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[3].GEN_RESET_CE.I_BKend_CE_REG' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[3].GEN_RESET_CE.I_BKend_RDCE_REG' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[4].GEN_USER_CE.GEN_ALL_CEs[82].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[4].GEN_USER_CE.GEN_ALL_CEs[82].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[4].GEN_USER_CE.GEN_ALL_CEs[82].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[5].GEN_USER_CE.GEN_ALL_CEs[83].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[5].GEN_USER_CE.GEN_ALL_CEs[83].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[5].GEN_USER_CE.GEN_ALL_CEs[83].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/I_CS_ + SIZE2_REG0' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/I_CS_ + SIZE2_REG1' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/I_CS_ + SIZE2_REG2' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_master/I_RD_CONTROL/I_RD_ABORT_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/COLLISION_SYNC' has unconnected + output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU10' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU15' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU20' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU25' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU30' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU35' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU130' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU237' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU10' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU15' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU20' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU25' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU30' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU35' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU130' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU237' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib + /gen_rden[1].u_calib_rden_r' has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib + /gen_rden[2].u_calib_rden_r' has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib + /gen_rden[3].u_calib_rden_r' has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib + /gen_rden[4].u_calib_rden_r' has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib + /gen_rden[5].u_calib_rden_r' has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib + /gen_rden[6].u_calib_rden_r' has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib + /gen_rden[7].u_calib_rden_r' has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'ppc440_0_apu_fpu_virtex5/ppc440_0_apu_fpu_virtex5/gen_apu_fpu_dp_lo.netlist/ + fpu_is_full.sqrt_sqrt_flt_pt_op_sqrt_op.spd.op_round_logic.rnd2_carrys_q_del. + no_rlocs.fast_del.carry_fd' has unconnected output pin +WARNING:NgdBuild:486 - Attribute "CLK_FEEDBACK" is not allowed on symbol + "clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst" + of type "PLL_ADV". This attribute will be ignored. +WARNING:NgdBuild:452 - logical net 'N194' has no driver +WARNING:NgdBuild:452 - logical net 'N195' has no driver +WARNING:NgdBuild:452 - logical net 'N196' has no driver +WARNING:NgdBuild:452 - logical net 'N197' has no driver +WARNING:NgdBuild:452 - logical net 'N198' has no driver +WARNING:NgdBuild:452 - logical net 'N199' has no driver +WARNING:NgdBuild:452 - logical net 'N200' has no driver +WARNING:NgdBuild:452 - logical net 'N201' has no driver +WARNING:NgdBuild:452 - logical net 'N202' has no driver +WARNING:NgdBuild:452 - logical net 'N203' has no driver +WARNING:NgdBuild:452 - logical net 'N204' has no driver +WARNING:NgdBuild:452 - logical net 'N205' has no driver +WARNING:NgdBuild:452 - logical net 'N206' has no driver +WARNING:NgdBuild:452 - logical net 'N207' has no driver +WARNING:NgdBuild:452 - logical net 'N208' has no driver +WARNING:NgdBuild:452 - logical net 'N209' has no driver +WARNING:NgdBuild:452 - logical net 'N210' has no driver +WARNING:NgdBuild:452 - logical net 'N211' has no driver +WARNING:NgdBuild:452 - logical net 'N212' has no driver +WARNING:NgdBuild:452 - logical net 'N213' has no driver +WARNING:NgdBuild:452 - logical net 'N214' has no driver +WARNING:NgdBuild:452 - logical net 'N215' has no driver +WARNING:NgdBuild:452 - logical net 'N216' has no driver +WARNING:NgdBuild:452 - logical net 'N217' has no driver +WARNING:NgdBuild:452 - logical net 'N218' has no driver +WARNING:NgdBuild:452 - logical net 'N219' has no driver +WARNING:NgdBuild:452 - logical net 'N220' has no driver +WARNING:NgdBuild:452 - logical net 'N221' has no driver +WARNING:NgdBuild:452 - logical net 'N222' has no driver +WARNING:NgdBuild:452 - logical net 'N223' has no driver +WARNING:NgdBuild:452 - logical net 'N224' has no driver +WARNING:NgdBuild:452 - logical net 'N225' has no driver +WARNING:NgdBuild:452 - logical net 'N226' has no driver +WARNING:NgdBuild:452 - logical net 'N227' has no driver +WARNING:NgdBuild:452 - logical net 'N228' has no driver +WARNING:NgdBuild:452 - logical net 'N229' has no driver +WARNING:NgdBuild:452 - logical net 'N230' has no driver +WARNING:NgdBuild:452 - logical net 'N231' has no driver +WARNING:NgdBuild:452 - logical net 'N232' has no driver +WARNING:NgdBuild:452 - logical net 'N233' has no driver +WARNING:NgdBuild:452 - logical net 'N234' has no driver +WARNING:NgdBuild:452 - logical net 'N235' has no driver +WARNING:NgdBuild:452 - logical net 'N236' has no driver +WARNING:NgdBuild:452 - logical net 'N237' has no driver +WARNING:NgdBuild:452 - logical net 'N238' has no driver +WARNING:NgdBuild:452 - logical net 'N239' has no driver +WARNING:NgdBuild:452 - logical net 'N240' has no driver +WARNING:NgdBuild:452 - logical net 'N241' has no driver +WARNING:NgdBuild:452 - logical net 'N242' has no driver +WARNING:NgdBuild:452 - logical net 'N243' has no driver +WARNING:NgdBuild:452 - logical net 'N244' has no driver +WARNING:NgdBuild:452 - logical net 'N245' has no driver +WARNING:NgdBuild:452 - logical net 'N246' has no driver +WARNING:NgdBuild:452 - logical net 'N247' has no driver +WARNING:NgdBuild:452 - logical net 'N248' has no driver +WARNING:NgdBuild:452 - logical net 'N249' has no driver +WARNING:NgdBuild:452 - logical net 'N250' has no driver +WARNING:NgdBuild:452 - logical net 'N251' has no driver +WARNING:NgdBuild:452 - logical net 'N252' has no driver +WARNING:NgdBuild:452 - logical net 'N253' has no driver +WARNING:NgdBuild:452 - logical net 'N254' has no driver +WARNING:NgdBuild:452 - logical net 'N255' has no driver +WARNING:NgdBuild:452 - logical net 'N256' has no driver +WARNING:NgdBuild:452 - logical net 'N257' has no driver +WARNING:NgdBuild:452 - logical net 'N266' has no driver +WARNING:NgdBuild:452 - logical net 'N267' has no driver +WARNING:NgdBuild:452 - logical net 'N268' has no driver +WARNING:NgdBuild:452 - logical net 'N269' has no driver +WARNING:NgdBuild:452 - logical net 'N270' has no driver +WARNING:NgdBuild:452 - logical net 'N271' has no driver +WARNING:NgdBuild:452 - logical net 'N272' has no driver +WARNING:NgdBuild:452 - logical net 'N273' has no driver +WARNING:NgdBuild:452 - logical net 'N306' has no driver +WARNING:NgdBuild:452 - logical net 'N307' has no driver +WARNING:NgdBuild:452 - logical net 'N308' has no driver +WARNING:NgdBuild:452 - logical net 'N309' has no driver +WARNING:NgdBuild:452 - logical net 'N310' has no driver +WARNING:NgdBuild:452 - logical net 'N311' has no driver +WARNING:NgdBuild:452 - logical net 'N312' has no driver +WARNING:NgdBuild:452 - logical net 'N313' has no driver +WARNING:NgdBuild:452 - logical net 'PCIe_Bridge/PCIe_Bridge/sig_trn_terrfwd_n' + has no driver +WARNING:NgdBuild:452 - logical net 'PCIe_Bridge/PCIe_Bridge/sig_trn_rerrfwd_n' + has no driver +WARNING:NgdBuild:452 - logical net 'PCIe_Bridge/PCIe_Bridge/sig_trn_tsrc_dsc_n' + has no driver +WARNING:NgdBuild:452 - logical net 'PCIe_Bridge/PCIe_Bridge/sig_trn_tbuf_av<3>' + has no driver +WARNING:NgdBuild:452 - logical net 'PCIe_Bridge/PCIe_Bridge/sig_trn_trem_n<4>' + has no driver + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +NGDBUILD Design Results Summary: + Number of errors: 0 + Number of warnings: 349 + +Writing NGD file "system.ngd" ... +Total REAL time to NGDBUILD completion: 2 min 20 sec +Total CPU time to NGDBUILD completion: 1 min 50 sec + +Writing NGDBUILD log file "system.bld"... + +NGDBUILD done. + + + +#----------------------------------------------# +# Starting program map +# map -ise ../__xps/ise/system.ise -o system_map.ncd -w -pr b -ol high -timing +system.ngd system.pcf +#----------------------------------------------# +Release 11.2 - Map L.46 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +PMSPEC -- Overriding Xilinx file + with local file + +Using target part "5vfx70tff1136-1". +WARNING:LIT:243 - Logical network N194 has no load. +WARNING:LIT:395 - The above warning message is repeated 1028 more times for the + following (max. 5 shown): + N195, + N196, + N197, + N198, + N199 + To see the details of these warning messages, please use the -detail switch. +Mapping design into LUTs... +WARNING:MapLib:701 - Signal fpga_0_SysACE_CompactFlash_SysACE_MPIRQ_pin + connected to top level port fpga_0_SysACE_CompactFlash_SysACE_MPIRQ_pin has + been removed. +WARNING:MapLib:701 - Signal fpga_0_Ethernet_MAC_PHY_col_pin connected to top + level port fpga_0_Ethernet_MAC_PHY_col_pin has been removed. +WARNING:MapLib:41 - All members of TNM group "ppc440_0_PPCS0PLBMBUSY" have been + optimized out of the design. +Writing file system_map.ngm... +WARNING:Pack:2874 - Trimming timing constraints from pin + xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_0 + of frag REGCLKAU connected to power/ground net + xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_0_REGCLKAU_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_0 + of frag REGCLKAL connected to power/ground net + xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_0_REGCLKAL_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_1 + of frag REGCLKAU connected to power/ground net + xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_1_REGCLKAU_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_1 + of frag REGCLKAL connected to power/ground net + xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_1_REGCLKAL_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapp + er_i/bram_tl_tx/generate_tdp2[0].ram_tdp2_inst + of frag REGCLKAU connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapp + er_i/bram_tl_tx/generate_tdp2[0].ram_tdp2_inst_REGCLKAU_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapp + er_i/bram_tl_tx/generate_tdp2[0].ram_tdp2_inst + of frag REGCLKAL connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapp + er_i/bram_tl_tx/generate_tdp2[0].ram_tdp2_inst_REGCLKAL_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapp + er_i/bram_tl_tx/generate_tdp2[1].ram_tdp2_inst + of frag REGCLKAU connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapp + er_i/bram_tl_tx/generate_tdp2[1].ram_tdp2_inst_REGCLKAU_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapp + er_i/bram_tl_tx/generate_tdp2[1].ram_tdp2_inst + of frag REGCLKAL connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapp + er_i/bram_tl_tx/generate_tdp2[1].ram_tdp2_inst_REGCLKAL_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk_if/ll_bridge/r + x_bridge/fifo_inst/oq_fifo/Mram_regBank + of frag RDRCLKU connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk_if/ll_bridge/r + x_bridge/fifo_inst/oq_fifo/Mram_regBank_RDRCLKU_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk_if/ll_bridge/r + x_bridge/fifo_inst/oq_fifo/Mram_regBank + of frag RDRCLKL connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk_if/ll_bridge/r + x_bridge/fifo_inst/oq_fifo/Mram_regBank_RDRCLKL_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_master_bridge/Comp_FIFO/CompFIFO_64.dpram/BU2/U0 + /blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36. + noeccerr.SDP + of frag RDRCLKU connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_master_bridge/Comp_FIFO/CompFIFO_64.dpram/BU2/U0 + /blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36. + noeccerr.SDP_RDRCLKU_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_master_bridge/Comp_FIFO/CompFIFO_64.dpram/BU2/U0 + /blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36. + noeccerr.SDP + of frag RDRCLKL connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_master_bridge/Comp_FIFO/CompFIFO_64.dpram/BU2/U0 + /blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36. + noeccerr.SDP_RDRCLKL_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_master_bridge/RxSFIFO_64.RxSFIFO/BU2/U0/grf.rf/m + em/gbm.gbmg.gbmga.ngecc.bmg/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5. + ram/SDP.WIDE_PRIM36.noeccerr.SDP + of frag RDRCLKU connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_master_bridge/RxSFIFO_64.RxSFIFO/BU2/U0/grf.rf/m + em/gbm.gbmg.gbmga.ngecc.bmg/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5. + ram/SDP.WIDE_PRIM36.noeccerr.SDP_RDRCLKU_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_master_bridge/RxSFIFO_64.RxSFIFO/BU2/U0/grf.rf/m + em/gbm.gbmg.gbmga.ngecc.bmg/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5. + ram/SDP.WIDE_PRIM36.noeccerr.SDP + of frag RDRCLKL connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_master_bridge/RxSFIFO_64.RxSFIFO/BU2/U0/grf.rf/m + em/gbm.gbmg.gbmga.ngecc.bmg/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5. + ram/SDP.WIDE_PRIM36.noeccerr.SDP_RDRCLKL_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/GEN_TX_64_FIFO.comp_tx_pkt_fifo/COM + P_TX_RAM_70.dpram/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noi + nit.ram/SDP.WIDE_PRIM36.noeccerr.SDP + of frag RDRCLKU connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/GEN_TX_64_FIFO.comp_tx_pkt_fifo/COM + P_TX_RAM_70.dpram/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noi + nit.ram/SDP.WIDE_PRIM36.noeccerr.SDP_RDRCLKU_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/GEN_TX_64_FIFO.comp_tx_pkt_fifo/COM + P_TX_RAM_70.dpram/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noi + nit.ram/SDP.WIDE_PRIM36.noeccerr.SDP + of frag RDRCLKL connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/GEN_TX_64_FIFO.comp_tx_pkt_fifo/COM + P_TX_RAM_70.dpram/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noi + nit.ram/SDP.WIDE_PRIM36.noeccerr.SDP_RDRCLKL_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/comp_rx_fifo/GEN_64.COMP_RX_RAM/BU2 + /U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM + 36.noeccerr.SDP + of frag RDRCLKU connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/comp_rx_fifo/GEN_64.COMP_RX_RAM/BU2 + /U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM + 36.noeccerr.SDP_RDRCLKU_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/comp_rx_fifo/GEN_64.COMP_RX_RAM/BU2 + /U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM + 36.noeccerr.SDP + of frag RDRCLKL connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/comp_rx_fifo/GEN_64.COMP_RX_RAM/BU2 + /U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM + 36.noeccerr.SDP_RDRCLKL_tiesig +Running directed packing... +Running delay-based LUT packing... +Updating timing models... +WARNING:Timing:3223 - Timing constraint TS_MC_RDEN_SEL_MUX = MAXDELAY FROM + TIMEGRP "TNM_RDEN_SEL_MUX" TO TIMEGRP "TNM_CLK0" TS_MC_CLK * 4 ignored during + timing analysis. +INFO:Map:215 - The Interim Design Summary has been generated in the MAP Report + (.mrp). +Running timing-driven placement... +Total REAL time at the beginning of Placer: 2 mins 24 secs +Total CPU time at the beginning of Placer: 2 mins 19 secs + +Phase 1.1 Initial Placement Analysis +Phase 1.1 Initial Placement Analysis (Checksum:3a0b7697) REAL time: 2 mins 44 secs + +Phase 2.7 Design Feasibility Check +WARNING:Place:838 - An IO Bus with more than one IO standard is found. + Components associated with this bus are as follows: + Comp: fpga_0_LEDs_8Bit_GPIO_IO_pin<7> IOSTANDARD = LVCMOS25 + Comp: fpga_0_LEDs_8Bit_GPIO_IO_pin<6> IOSTANDARD = LVCMOS25 + Comp: fpga_0_LEDs_8Bit_GPIO_IO_pin<5> IOSTANDARD = LVCMOS25 + Comp: fpga_0_LEDs_8Bit_GPIO_IO_pin<4> IOSTANDARD = LVCMOS18 + Comp: fpga_0_LEDs_8Bit_GPIO_IO_pin<3> IOSTANDARD = LVCMOS25 + Comp: fpga_0_LEDs_8Bit_GPIO_IO_pin<2> IOSTANDARD = LVCMOS18 + Comp: fpga_0_LEDs_8Bit_GPIO_IO_pin<1> IOSTANDARD = LVCMOS18 + Comp: fpga_0_LEDs_8Bit_GPIO_IO_pin<0> IOSTANDARD = LVCMOS18 + + +WARNING:Place:838 - An IO Bus with more than one IO standard is found. + Components associated with this bus are as follows: + Comp: fpga_0_SRAM_Mem_DQ_pin<31> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<30> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<29> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<28> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<27> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<26> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<25> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<24> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<23> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<22> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<21> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<20> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<19> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<18> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<17> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<16> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<15> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<14> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<13> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<12> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<11> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<10> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<9> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<8> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<7> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<6> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<5> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<4> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<3> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<2> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<1> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<0> IOSTANDARD = LVCMOS33 + + +Phase 2.7 Design Feasibility Check (Checksum:3a0b7697) REAL time: 2 mins 45 secs + +Phase 3.31 Local Placement Optimization +Phase 3.31 Local Placement Optimization (Checksum:c9fd22c3) REAL time: 2 mins 45 secs + +Phase 4.37 Local Placement Optimization +Phase 4.37 Local Placement Optimization (Checksum:c9fd22c3) REAL time: 2 mins 45 secs + +Phase 5.33 Local Placement Optimization +Phase 5.33 Local Placement Optimization (Checksum:c9fd22c3) REAL time: 10 mins 47 secs + +Phase 6.32 Local Placement Optimization +Phase 6.32 Local Placement Optimization (Checksum:c9fd22c3) REAL time: 10 mins 52 secs + +Phase 7.2 Initial Clock and IO Placement + + + +There are 16 clock regions on the target FPGA device: +|------------------------------------------|------------------------------------------| +| CLOCKREGION_X0Y7: | CLOCKREGION_X1Y7: | +| 2 BUFRs available, 0 in use | 2 BUFRs available, 0 in use | +| 4 Regional Clock Spines, 0 in use | 4 Regional Clock Spines, 0 in use | +| 4 edge BUFIOs available, 0 in use | 4 edge BUFIOs available, 0 in use | +| 4 center BUFIOs available, 0 in use | | +| | | +|------------------------------------------|------------------------------------------| +| CLOCKREGION_X0Y6: | CLOCKREGION_X1Y6: | +| 2 BUFRs available, 0 in use | 2 BUFRs available, 0 in use | +| 4 Regional Clock Spines, 0 in use | 4 Regional Clock Spines, 0 in use | +| 4 edge BUFIOs available, 3 in use | 4 edge BUFIOs available, 0 in use | +| 0 center BUFIOs available, 0 in use | | +| | | +|------------------------------------------|------------------------------------------| +| CLOCKREGION_X0Y5: | CLOCKREGION_X1Y5: | +| 2 BUFRs available, 0 in use | 2 BUFRs available, 0 in use | +| 4 Regional Clock Spines, 0 in use | 4 Regional Clock Spines, 0 in use | +| 4 edge BUFIOs available, 0 in use | 4 edge BUFIOs available, 0 in use | +| 2 center BUFIOs available, 0 in use | | +| | | +|------------------------------------------|------------------------------------------| +| CLOCKREGION_X0Y4: | CLOCKREGION_X1Y4: | +| 2 BUFRs available, 0 in use | 2 BUFRs available, 0 in use | +| 4 Regional Clock Spines, 0 in use | 4 Regional Clock Spines, 0 in use | +| 4 edge BUFIOs available, 0 in use | 4 edge BUFIOs available, 0 in use | +| 2 center BUFIOs available, 0 in use | | +| | | +|------------------------------------------|------------------------------------------| +| CLOCKREGION_X0Y3: | CLOCKREGION_X1Y3: | +| 2 BUFRs available, 0 in use | 2 BUFRs available, 0 in use | +| 4 Regional Clock Spines, 0 in use | 4 Regional Clock Spines, 0 in use | +| 4 edge BUFIOs available, 0 in use | 4 edge BUFIOs available, 0 in use | +| 2 center BUFIOs available, 0 in use | | +| | | +|------------------------------------------|------------------------------------------| +| CLOCKREGION_X0Y2: | CLOCKREGION_X1Y2: | +| 2 BUFRs available, 0 in use | 2 BUFRs available, 0 in use | +| 4 Regional Clock Spines, 0 in use | 4 Regional Clock Spines, 0 in use | +| 4 edge BUFIOs available, 3 in use | 4 edge BUFIOs available, 0 in use | +| 2 center BUFIOs available, 0 in use | | +| | | +|------------------------------------------|------------------------------------------| +| CLOCKREGION_X0Y1: | CLOCKREGION_X1Y1: | +| 2 BUFRs available, 0 in use | 2 BUFRs available, 0 in use | +| 4 Regional Clock Spines, 0 in use | 4 Regional Clock Spines, 0 in use + | +| 4 edge BUFIOs available, 2 in use | 4 edge BUFIOs available, 0 in use | +| 0 center BUFIOs available, 0 in use | | +| | | +|------------------------------------------|------------------------------------------| +| CLOCKREGION_X0Y0: | CLOCKREGION_X1Y0: | +| 2 BUFRs available, 0 in use | 2 BUFRs available, 0 in use | +| 4 Regional Clock Spines, 0 in use | 4 Regional Clock Spines, 0 in use | +| 4 edge BUFIOs available, 0 in use | 4 edge BUFIOs available, 0 in use | +| 4 center BUFIOs available, 0 in use | | +| | | +|------------------------------------------|------------------------------------------| + + +Clock-Region: + key resource utilizations (used/available): edge-bufios - 2/4; bufrs - 0/2; regional-clock-spines - 0/4 +|----------------------------------------------------------------------------------------------------------------------------------------------------------- +| | clock | BRAM | | | | | | | | | | | | +| | region | FIFO | DCM | GT | ILOGIC | OLOGIC | FF | LUTM | LUTL | MULT | EMAC | PPC | PCIe | <- (Types of Resources in Clock Region) +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| | Upper Region| 24 | 2 | 0 | 60 | 60 | 3200 | 1600 | 4800 | 0 | 0 | 0 | 0 | <- Available resources in the upper region +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| |CurrentRegion| 24 | 4 | 0 | 40 | 40 | 3200 | 1600 | 4800 | 0 | 0 | 0 | 0 | <- Available resources in the current region +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| | Lower Region| 24 | 0 | 0 | 80 | 80 | 3200 | 1600 | 4800 | 0 | 0 | 0 | 0 | <- Available resources in the lower region +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| clock | region | ----------------------------------------------- +| type | expansion | | +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| BUFIO | | 0 | 0 | 0 | 9 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<1>" +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| BUFIO | | 0 | 0 | 0 | 9 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<2>" +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): edge-bufios - 3/4; center-bufios - 0/2; bufrs - 0/2; regional-clock-spines - 0/4 +|----------------------------------------------------------------------------------------------------------------------------------------------------------- +| | clock | BRAM | | | | | | | | | | | | +| | region | FIFO | DCM | GT | ILOGIC | OLOGIC | FF | LUTM | LUTL | MULT | EMAC | PPC | PCIe | <- (Types of Resources in Clock Region) +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| | Upper Region| 8 | 0 | 0 | 60 | 60 | 1280 | 640 | 1920 | 0 | 0 | 1 | 0 | <- Available resources in the upper region +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| |CurrentRegion| 24 | 2 | 0 | 60 | 60 | 3200 | 1600 | 4800 | 0 | 0 | 0 | 0 | <- Available resources in the current region +|-------|-------------|------|-----|----|--------|------- +-|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| | Lower Region| 24 | 4 | 0 | 40 | 40 | 3200 | 1600 | 4800 | 0 | 0 | 0 | 0 | <- Available resources in the lower region +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| clock | region | ----------------------------------------------- +| type | expansion | | +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| BUFIO | | 0 | 0 | 0 | 9 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<0>" +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| BUFIO | | 0 | 0 | 0 | 9 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<4>" +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| BUFIO | | 0 | 0 | 0 | 9 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<3>" +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): edge-bufios - 3/4; bufrs - 0/2; regional-clock-spines - 0/4 +|----------------------------------------------------------------------------------------------------------------------------------------------------------- +| | clock | BRAM | | | | | | | | | | | | +| | region | FIFO | DCM | GT | ILOGIC | OLOGIC | FF | LUTM | LUTL | MULT | EMAC | PPC | PCIe | <- (Types of Resources in Clock Region) +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| | Upper Region| 24 | 0 | 0 | 80 | 80 | 3200 | 1600 | 4800 | 0 | 0 | 0 | 0 | <- Available resources in the upper region +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| |CurrentRegion| 24 | 4 | 0 | 40 | 40 | 3200 | 1600 | 4800 | 0 | 0 | 0 | 0 | <- Available resources in the current region +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| | Lower Region| 24 | 2 | 0 | 60 | 60 | 3200 | 1600 | 4800 | 0 | 0 | 0 | 0 | <- Available resources in the lower region +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| clock | region | ----------------------------------------------- +| type | expansion | | +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| BUFIO | | 0 | 0 | 0 | 9 | 0 | 0 | 0 | + 0 | 0 | 0 | 0 | 0 | "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<7>" +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| BUFIO | | 0 | 0 | 0 | 9 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<5>" +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| BUFIO | | 0 | 0 | 0 | 9 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<6>" +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- + + + + +###################################################################################### +# REGIONAL CLOCKING RESOURCE DISTRIBUTION UCF REPORT: +# +# Number of Regional Clocking Regions in the device: 16 (4 clock spines in each) +# Number of Regional Clock Networks used in this design: 8 (each network can be +# composed of up to 3 clock spines and cover up to 3 regional clock regions) +# +###################################################################################### + +# IO-Clock "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<7>" driven by "BUFIO_X0Y27" +INST "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[7].u_iob_dqs/u_bufio_dqs" LOC = +"BUFIO_X0Y27" ; +NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<7>" TNM_NET = +"TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<7>" ; +TIMEGRP "TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<7>" AREA_GROUP = +"CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<7>" ; +AREA_GROUP "CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<7>" RANGE = +CLOCKREGION_X0Y6; + + +# IO-Clock "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<0>" driven by "BUFIO_X0Y9" +INST "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[0].u_iob_dqs/u_bufio_dqs" LOC = +"BUFIO_X0Y9" ; +NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<0>" TNM_NET = +"TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<0>" ; +TIMEGRP "TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<0>" AREA_GROUP = +"CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<0>" ; +AREA_GROUP "CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<0>" RANGE = +CLOCKREGION_X0Y2; + + +# IO-Clock "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<4>" driven by "BUFIO_X0Y11" +INST "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[4].u_iob_dqs/u_bufio_dqs" LOC = +"BUFIO_X0Y11" ; +NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<4>" TNM_NET = +"TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<4>" ; +TIMEGRP "TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<4>" AREA_GROUP = +"CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<4>" ; +AREA_GROUP "CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<4>" RANGE = +CLOCKREGION_X0Y2; + + +# IO-Clock "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<1>" driven by "BUFIO_X0Y4" +INST "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[1].u_iob_dqs/u_bufio_dqs" LOC = +"BUFIO_X0Y4" ; +NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<1>" TNM_NET = +"TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<1>" ; +TIMEGRP "TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<1>" AREA_GROUP = +"CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<1>" ; +AREA_GROUP "CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<1>" RANGE = +CLOCKREGION_X0Y1; + + +# IO-Clock "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<5>" driven by "BUFIO_X0Y25" +INST "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[5].u_iob_dqs/u_bufio_dqs" LOC = +"BUFIO_X0Y25" ; +NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<5>" TNM_NET = +"TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<5>" ; +TIMEGRP "TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<5>" AREA_GROUP = +"CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<5>" ; +AREA_GROUP "CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<5>" RANGE = +CLOCKREGION_X0Y6; + + +# IO-Clock "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<2>" driven by "BUFIO_X0Y7" +INST "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[2].u_iob_dqs/u_bufio_dqs" LOC = +"BUFIO_X0Y7" ; +NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<2>" TNM_NET = +"TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<2>" ; +TIMEGRP "TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<2>" AREA_GROUP = +"CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<2>" ; +AREA_GROUP "CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<2>" RANGE = +CLOCKREGION_X0Y1; + + +# IO-Clock "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<6>" driven by "BUFIO_X0Y26" +INST "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[6].u_iob_dqs/u_bufio_dqs" LOC = +"BUFIO_X0Y26" ; +NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<6>" TNM_NET = +"TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<6>" ; +TIMEGRP "TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<6>" AREA_GROUP = +"CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<6>" ; +AREA_GROUP "CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<6>" RANGE = +CLOCKREGION_X0Y6; + + +# IO-Clock "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<3>" driven by "BUFIO_X0Y10" +INST "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[3].u_iob_dqs/u_bufio_dqs" LOC = +"BUFIO_X0Y10" ; +NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<3>" TNM_NET = +"TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<3>" ; +TIMEGRP "TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<3>" AREA_GROUP = +"CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<3>" ; +AREA_GROUP "CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<3>" RANGE = +CLOCKREGION_X0Y2; + + +Phase 7.2 Initial Clock and IO Placement (Checksum:b5943100) REAL time: 11 mins 10 secs + +Phase 8.36 Local Placement Optimization +Phase 8.36 Local Placement Optimization (Checksum:b5943100) REAL time: 11 mins 10 secs + +......... +.............. +....... +.... +...... +...... +...... +...... +...... +....... +....... +...... +........ +...... +........ +....... +........ +........ +...... +Phase 9.30 Global Clock Region Assignment + + +###################################################################################### +# GLOBAL CLOCK NET DISTRIBUTION UCF REPORT: +# +# Number of Global Clock Regions : 16 +# Number of Global Clock Networks: 15 +# +# Clock Region Assignment: SUCCESSFUL + +# Location of Clock Components +INST "clock_generator_0/clock_generator_0/Using_PLL0.PLL0_INST/Using_BUFG_for_CLKOUT1.CLKOUT1_BUFG_INST" LOC = "BUFGCTRL_X0Y1" ; +INST "fpga_0_Ethernet_MAC_PHY_rx_clk_pin_BUFGP/BUFG" LOC = "BUFGCTRL_X0Y30" ; +INST "PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/clocking_i/use_pll.gtxclk_pll_bufg" LOC = "BUFGCTRL_X0Y29" ; +INST "PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/clocking_i/use_pll.coreclk_pll_bufg" LOC = "BUFGCTRL_X0Y27" ; +INST "clock_generator_0/clock_generator_0/Using_PLL0.PLL0_INST/Using_BUFG_for_CLKOUT2.CLKOUT2_BUFG_INST" LOC = "BUFGCTRL_X0Y2" ; +INST "clock_generator_0/clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_BUFG_for_CLKFBOUT.CLKFB_BUFG_INST" LOC = "BUFGCTRL_X0Y3" ; +INST "PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/clocking_i/notsame.usrclk_pll_bufg" LOC = "BUFGCTRL_X0Y28" ; +INST "fpga_0_SysACE_CompactFlash_SysACE_CLK_pin_BUFGP/BUFG" LOC = "BUFGCTRL_X0Y8" ; +INST "PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/clocking_i/use_pll.clkfbin_pll_bufg" LOC = "BUFGCTRL_X0Y26" ; +INST "clock_generator_0/clock_generator_0/Using_PLL0.PLL0_INST/Using_BUFG_for_CLKOUT3.CLKOUT3_BUFG_INST" LOC = "BUFGCTRL_X0Y4" ; +INST "clock_generator_0/clock_generator_0/Using_DCM0.DCM0_INST/Using_BUFG_for_CLK0.CLK0_BUFG_INST" LOC = "BUFGCTRL_X0Y7" ; +INST "fpga_0_Ethernet_MAC_PHY_tx_clk_pin_BUFGP/BUFG" LOC = "BUFGCTRL_X0Y31" ; +INST "clock_generator_0/clock_generator_0/Using_PLL0.PLL0_INST/Using_BUFG_for_CLKOUT0.CLKOUT0_BUFG_INST" LOC = "BUFGCTRL_X0Y5" ; +INST "clock_generator_0/clock_generator_0/Using_PLL0.PLL0_INST/Using_BUFG_for_CLKOUT4.CLKOUT4_BUFG_INST" LOC = "BUFGCTRL_X0Y6" ; +INST "PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/SIO/.pcie_gt_wrapper_i/bufg2" LOC = "BUFGCTRL_X0Y0" ; +INST "clock_generator_0/clock_generator_0/Using_DCM0.DCM0_INST/DCM_INST/Using_DCM_ADV.DCM_ADV_INST" LOC = "DCM_ADV_X0Y0" ; +INST "fpga_0_SRAM_ZBT_CLK_FB_pin" LOC = "IOB_X1Y111" ; +INST "fpga_0_clk_1_sys_clk_pin" LOC = "IOB_X1Y109" ; +INST "fpga_0_Ethernet_MAC_PHY_rx_clk_pin" LOC = "IOB_X1Y219" ; +INST "fpga_0_Ethernet_MAC_PHY_tx_clk_pin" LOC = "IOB_X1Y217" ; +INST "fpga_0_SysACE_CompactFlash_SysACE_CLK_pin" LOC = "IOB_X1Y105" ; +INST "fpga_0_PCIe_Bridge_RXN_pin" LOC = "IPAD_X1Y12" ; +INST "fpga_0_PCIe_Bridge_RXP_pin" LOC = "IPAD_X1Y13" ; +INST "fpga_0_PCIe_Diff_Clk_IBUF_DS_N_pin" LOC = "IPAD_X1Y16" ; +INST "fpga_0_PCIe_Diff_Clk_IBUF_DS_P_pin" LOC = "IPAD_X1Y17" ; +INST "fpga_0_PCIe_Bridge_TXN_pin" LOC = "OPAD_X0Y8" ; +INST "fpga_0_PCIe_Bridge_TXP_pin" LOC = "OPAD_X0Y9" ; +INST "PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/clocking_i/use_pll.pll_adv_i" LOC = "PLL_ADV_X0Y5" ; +INST "clock_generator_0/clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst" LOC = "PLL_ADV_X0Y0" ; +INST "PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/SIO/.pcie_gt_wrapper_i/GTD[0].GT_i" LOC = "GTX_DUAL_X0Y2" ; +INST "ibufgds_76" LOC = "BUFDS_X0Y2" ; + +# clk_125_0000MHzPLL0 driven by BUFGCTRL_X0Y1 +NET "clk_125_0000MHzPLL0" TNM_NET = "TN_clk_125_0000MHzPLL0" ; +TIMEGRP "TN_clk_125_0000MHzPLL0" AREA_GROUP = "CLKAG_clk_125_0000MHzPLL0" ; +AREA_GROUP "CLKAG_clk_125_0000MHzPLL0" RANGE = CLOCKREGION_X0Y0, CLOCKREGION_X1Y0, CLOCKREGION_X0Y1, CLOCKREGION_X1Y1, CLOCKREGION_X0Y2, CLOCKREGION_X1Y2, CLOCKREGION_X0Y3, CLOCKREGION_X1Y3, CLOCKREGION_X0Y4, CLOCKREGION_X1Y4, CLOCKREGION_X0Y5, CLOCKREGION_X1Y5, CLOCKREGION_X0Y6, CLOCKREGION_X1Y6, CLOCKREGION_X0Y7, CLOCKREGION_X1Y7 ; + +# fpga_0_Ethernet_MAC_PHY_rx_clk_pin_BUFGP driven by BUFGCTRL_X0Y30 +NET "fpga_0_Ethernet_MAC_PHY_rx_clk_pin_BUFGP" TNM_NET = "TN_fpga_0_Ethernet_MAC_PHY_rx_clk_pin_BUFGP" ; +TIMEGRP "TN_fpga_0_Ethernet_MAC_PHY_rx_clk_pin_BUFGP" AREA_GROUP = "CLKAG_fpga_0_Ethernet_MAC_PHY_rx_clk_pin_BUFGP" ; +AREA_GROUP "CLKAG_fpga_0_Ethernet_MAC_PHY_rx_clk_pin_BUFGP" RANGE = CLOCKREGION_X0Y0, CLOCKREGION_X1Y0, CLOCKREGION_X0Y1, CLOCKREGION_X1Y1, CLOCKREGION_X0Y2, CLOCKREGION_X1Y2, CLOCKREGION_X0Y3, CLOCKREGION_X1Y3, CLOCKREGION_X0Y4, CLOCKREGION_X1Y4, CLOCKREGION_X0Y6, CLOCKREGION_X1Y6, CLOCKREGION_X0Y7, CLOCKREGION_X1Y7 ; + +# PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/gt_usrclk driven by BUFGCTRL_X0Y29 +NET "PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/gt_usrclk" TNM_NET = "TN_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/gt_usrclk" ; +TIMEGRP "TN_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/gt_usrclk" AREA_GROUP = "CLKAG_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/gt_usrclk" ; +AREA_GROUP "CLKAG_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/gt_usrclk" RANGE = CLOCKREGION_X0Y0, CLOCKREGION_X1Y0, CLOCKREGION_X0Y1, CLOCKREGION_X1Y1, CLOCKREGION_X0Y2, CLOCKREGION_X1Y2, CLOCKREGION_X0Y3, CLOCKREGION_X1Y3, CLOCKREGION_X0Y4, CLOCKREGION_X1Y4, CLOCKREGION_X0Y5, CLOCKREGION_X1Y5 ; + +# PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/core_clk driven by BUFGCTRL_X0Y27 +NET "PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/core_clk" TNM_NET = "TN_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/core_clk" ; +TIMEGRP "TN_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/core_clk" AREA_GROUP = "CLKAG_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/core_clk" ; +AREA_GROUP "CLKAG_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/core_clk" RANGE = CLOCKREGION_X0Y0, CLOCKREGION_X1Y0, CLOCKREGION_X0Y1, CLOCKREGION_X1Y1, CLOCKREGION_X0Y2, CLOCKREGION_X1Y2, CLOCKREGION_X0Y3, CLOCKREGION_X1Y3, CLOCKREGION_X0Y4, CLOCKREGION_X1Y4, CLOCKREGION_X0Y5, CLOCKREGION_X1Y5, CLOCKREGION_X0Y6, CLOCKREGION_X1Y6, CLOCKREGION_X0Y7, CLOCKREGION_X1Y7 ; + +# clk_125_0000MHzPLL0_ADJUST driven by BUFGCTRL_X0Y2 +NET "clk_125_0000MHzPLL0_ADJUST" TNM_NET = "TN_clk_125_0000MHzPLL0_ADJUST" ; +TIMEGRP "TN_clk_125_0000MHzPLL0_ADJUST" AREA_GROUP = "CLKAG_clk_125_0000MHzPLL0_ADJUST" ; +AREA_GROUP "CLKAG_clk_125_0000MHzPLL0_ADJUST" RANGE = CLOCKREGION_X0Y0, CLOCKREGION_X1Y0, CLOCKREGION_X0Y1, CLOCKREGION_X1Y1, CLOCKREGION_X0Y2, CLOCKREGION_X1Y2, CLOCKREGION_X0Y3, CLOCKREGION_X1Y3, CLOCKREGION_X0Y4, CLOCKREGION_X1Y4, CLOCKREGION_X0Y5, CLOCKREGION_X1Y5, CLOCKREGION_X0Y6, CLOCKREGION_X1Y6, CLOCKREGION_X0Y7, CLOCKREGION_X1Y7 ; + +# clock_generator_0/clock_generator_0/PLL0_CLK_OUT<6> driven by BUFGCTRL_X0Y3 +NET "clock_generator_0/clock_generator_0/PLL0_CLK_OUT<6>" TNM_NET = "TN_clock_generator_0/clock_generator_0/PLL0_CLK_OUT<6>" ; +TIMEGRP "TN_clock_generator_0/clock_generator_0/PLL0_CLK_OUT<6>" AREA_GROUP = "CLKAG_clock_generator_0/clock_generator_0/PLL0_CLK_OUT<6>" ; +AREA_GROUP "CLKAG_clock_generator_0/clock_generator_0/PLL0_CLK_OUT<6>" RANGE = CLOCKREGION_X0Y0, CLOCKREGION_X0Y1, CLOCKREGION_X0Y2, CLOCKREGION_X0Y3 ; + +# PCIe_Bridge/Bridge_Clk driven by BUFGCTRL_X0Y28 +NET "PCIe_Bridge/Bridge_Clk" TNM_NET = "TN_PCIe_Bridge/Bridge_Clk" ; +TIMEGRP "TN_PCIe_Bridge/Bridge_Clk" AREA_GROUP = "CLKAG_PCIe_Bridge/Bridge_Clk" ; +AREA_GROUP "CLKAG_PCIe_Bridge/Bridge_Clk" RANGE = CLOCKREGION_X0Y0, CLOCKREGION_X1Y0, CLOCKREGION_X0Y1, CLOCKREGION_X1Y1, CLOCKREGION_X0Y2, CLOCKREGION_X1Y2, CLOCKREGION_X0Y3, CLOCKREGION_X1Y3, CLOCKREGION_X0Y4, CLOCKREGION_X1Y4, CLOCKREGION_X0Y5, CLOCKREGION_X1Y5, CLOCKREGION_X0Y6, CLOCKREGION_X1Y6, CLOCKREGION_X0Y7, CLOCKREGION_X1Y7 ; + +# fpga_0_SysACE_CompactFlash_SysACE_CLK_pin_BUFGP driven by BUFGCTRL_X0Y8 +NET "fpga_0_SysACE_CompactFlash_SysACE_CLK_pin_BUFGP" TNM_NET = "TN_fpga_0_SysACE_CompactFlash_SysACE_CLK_pin_BUFGP" ; +TIMEGRP "TN_fpga_0_SysACE_CompactFlash_SysACE_CLK_pin_BUFGP" AREA_GROUP = "CLKAG_fpga_0_SysACE_CompactFlash_SysACE_CLK_pin_BUFGP" ; +AREA_GROUP "CLKAG_fpga_0_SysACE_CompactFlash_SysACE_CLK_pin_BUFGP" RANGE = CLOCKREGION_X0Y4, CLOCKREGION_X1Y4, CLOCKREGION_X0Y5, CLOCKREGION_X1Y5 ; + +# PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/clocking_i/clkfbin driven by BUFGCTRL_X0Y26 +NET "PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/clocking_i/clkfbin" TNM_NET = "TN_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/clocking_i/clkfbin" ; +TIMEGRP "TN_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/clocking_i/clkfbin" AREA_GROUP = "CLKAG_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/clocking_i/clkfbin" ; +AREA_GROUP "CLKAG_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/clocking_i/clkfbin" RANGE = CLOCKREGION_X0Y6, CLOCKREGION_X0Y7 ; + +# clk_200_0000MHz driven by BUFGCTRL_X0Y4 +NET "clk_200_0000MHz" TNM_NET = "TN_clk_200_0000MHz" ; +TIMEGRP "TN_clk_200_0000MHz" AREA_GROUP = "CLKAG_clk_200_0000MHz" ; +AREA_GROUP "CLKAG_clk_200_0000MHz" RANGE = CLOCKREGION_X0Y0, CLOCKREGION_X1Y0, CLOCKREGION_X0Y1, CLOCKREGION_X1Y1, CLOCKREGION_X0Y2, CLOCKREGION_X1Y2, CLOCKREGION_X0Y3, CLOCKREGION_X1Y3, CLOCKREGION_X0Y4, CLOCKREGION_X1Y4, CLOCKREGION_X0Y5, CLOCKREGION_X1Y5, CLOCKREGION_X0Y6, CLOCKREGION_X1Y6, CLOCKREGION_X0Y7, CLOCKREGION_X1Y7 ; + +# fpga_0_SRAM_ZBT_CLK_OUT_pin_OBUF driven by BUFGCTRL_X0Y7 +NET "fpga_0_SRAM_ZBT_CLK_OUT_pin_OBUF" TNM_NET = "TN_fpga_0_SRAM_ZBT_CLK_OUT_pin_OBUF" ; +TIMEGRP "TN_fpga_0_SRAM_ZBT_CLK_OUT_pin_OBUF" AREA_GROUP = "CLKAG_fpga_0_SRAM_ZBT_CLK_OUT_pin_OBUF" ; +AREA_GROUP "CLKAG_fpga_0_SRAM_ZBT_CLK_OUT_pin_OBUF" RANGE = CLOCKREGION_X1Y6, CLOCKREGION_X1Y7 ; + +# fpga_0_Ethernet_MAC_PHY_tx_clk_pin_BUFGP driven by BUFGCTRL_X0Y31 +NET "fpga_0_Ethernet_MAC_PHY_tx_clk_pin_BUFGP" TNM_NET = "TN_fpga_0_Ethernet_MAC_PHY_tx_clk_pin_BUFGP" ; +TIMEGRP "TN_fpga_0_Ethernet_MAC_PHY_tx_clk_pin_BUFGP" AREA_GROUP = "CLKAG_fpga_0_Ethernet_MAC_PHY_tx_clk_pin_BUFGP" ; +AREA_GROUP "CLKAG_fpga_0_Ethernet_MAC_PHY_tx_clk_pin_BUFGP" RANGE = CLOCKREGION_X1Y0, CLOCKREGION_X1Y1, CLOCKREGION_X1Y2, CLOCKREGION_X1Y3, CLOCKREGION_X0Y5, CLOCKREGION_X1Y5 ; + +# clk_125_0000MHz90PLL0_ADJUST driven by BUFGCTRL_X0Y5 +NET "clk_125_0000MHz90PLL0_ADJUST" TNM_NET = "TN_clk_125_0000MHz90PLL0_ADJUST" ; +TIMEGRP "TN_clk_125_0000MHz90PLL0_ADJUST" AREA_GROUP = "CLKAG_clk_125_0000MHz90PLL0_ADJUST" ; +AREA_GROUP "CLKAG_clk_125_0000MHz90PLL0_ADJUST" RANGE = CLOCKREGION_X0Y0, CLOCKREGION_X1Y0, CLOCKREGION_X0Y1, CLOCKREGION_X1Y1, CLOCKREGION_X0Y2, CLOCKREGION_X1Y2, CLOCKREGION_X0Y3, CLOCKREGION_X1Y3, CLOCKREGION_X0Y4, CLOCKREGION_X1Y4, CLOCKREGION_X0Y5, CLOCKREGION_X1Y5, CLOCKREGION_X0Y6, CLOCKREGION_X1Y6, CLOCKREGION_X0Y7, CLOCKREGION_X1Y7 ; + +# clk_62_5000MHzPLL0_ADJUST driven by BUFGCTRL_X0Y6 +NET "clk_62_5000MHzPLL0_ADJUST" TNM_NET = "TN_clk_62_5000MHzPLL0_ADJUST" ; +TIMEGRP "TN_clk_62_5000MHzPLL0_ADJUST" AREA_GROUP = "CLKAG_clk_62_5000MHzPLL0_ADJUST" ; +AREA_GROUP "CLKAG_clk_62_5000MHzPLL0_ADJUST" RANGE = CLOCKREGION_X0Y0, CLOCKREGION_X1Y0, CLOCKREGION_X0Y1, CLOCKREGION_X1Y1, CLOCKREGION_X0Y2, CLOCKREGION_X1Y2, CLOCKREGION_X0Y3, CLOCKREGION_X1Y3, CLOCKREGION_X0Y4, CLOCKREGION_X1Y4, CLOCKREGION_X0Y5, CLOCKREGION_X1Y5, CLOCKREGION_X0Y6, CLOCKREGION_X1Y6, CLOCKREGION_X0Y7, CLOCKREGION_X1Y7 ; + +# PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/REFCLKOUT_bufg driven by BUFGCTRL_X0Y0 +NET "PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/REFCLKOUT_bufg" TNM_NET = "TN_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/REFCLKOUT_bufg" ; +TIMEGRP "TN_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/REFCLKOUT_bufg" AREA_GROUP = "CLKAG_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/REFCLKOUT_bufg" ; +AREA_GROUP "CLKAG_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/REFCLKOUT_bufg" RANGE = CLOCKREGION_X0Y6, CLOCKREGION_X0Y7 ; + +# NOTE: +# This report is provided to help reproduce successful clock-region +# assignments. The report provides range constraints for all global +# clock networks, in a format that is directly usable in ucf files. +# +#END of Global Clock Net Distribution UCF Constraints +###################################################################################### + + +###################################################################################### +GLOBAL CLOCK NET LOADS DISTRIBUTION REPORT: + +Number of Global Clock Regions : 16 +Number of Global Clock Networks: 15 + +Clock Region Assignment: SUCCESSFUL + +Clock-Region: + key resource utilizations (used/available): global-clocks - 2/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 12 | 0 | 0 | 0 | 80 | 80 | 0 | 0 | 0 | 0 | 2 | 0 | 1600 | 3200 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 38 | 676 |PCIe_Bridge/Bridge_Clk + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 2 | 329 |clk_125_0000MHzPLL0_ADJUST +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 40 | 1005 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 3/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 8 | 0 | 0 | 0 | 40 | 40 | 16 | 0 | 0 | 1 | 1 | 0 | 1920 | 2880 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 4 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 0 | 0 | 55 | 1130 |PCIe_Bridge/Bridge_Clk + 4 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 0 | 0 | 24 | 52 |PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/core_clk + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 29 |clk_125_0000MHzPLL0_ADJUST +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 8 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 2 | 0 | 0 | 79 | 1211 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 6/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 12 | 4 | 2 | 0 | 40 | 40 | 0 | 0 | 0 | 0 | 1 | 0 | 1600 | 3200 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 2 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 18 | 164 |PCIe_Bridge/Bridge_Clk + 0 | 0 | 0 | 0 | 0 | 18 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 |clk_125_0000MHz90PLL0_ADJUST + 2 | 1 | 0 | 0 | 0 | 17 | 0 | 0 | 0 | 0 | 0 | 0 | 33 | 942 |clk_125_0000MHzPLL0_ADJUST + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 0 | 0 | 0 |clk_200_0000MHz + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 3 |clk_62_5000MHzPLL0_ADJUST + 0 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 |clock_generator_0/clock_generator_0/PLL0_CLK_OUT<6> +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 4 | 1 | 1 | 0 | 0 | 35 | 0 | 0 | 0 | 0 | 1 | 0 | 51 | 1110 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 4/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 8 | 0 | 0 | 0 | 40 | 40 | 16 | 0 | 0 | 0 | 1 | 0 | 1920 | 2880 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 104 | 750 |PCIe_Bridge/Bridge_Clk + 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 15 |PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/core_clk + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 312 |clk_125_0000MHzPLL0_ADJUST + 0 | 0 | 0 | 0 | 0 | 5 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 |fpga_0_Ethernet_MAC_PHY_tx_clk_pin_BUFGP +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 1 | 0 | 0 | 0 | 0 | 5 | 0 | 0 | 0 | 0 | 0 | 0 | 104 | 1077 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 5/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 12 | 2 | 1 | 0 | 60 | 60 | 0 | 0 | 0 | 0 | 2 | 0 | 1600 | 3200 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 6 | 4 |PCIe_Bridge/Bridge_Clk + 0 | 0 | 0 | 0 | 0 | 27 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 20 |clk_125_0000MHz90PLL0_ADJUST + 3 | 0 | 0 | 0 | 9 | 15 | 0 | 0 | 0 | 0 | 0 | 0 | 41 | 1074 |clk_125_0000MHzPLL0_ADJUST + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 0 | 0 | 0 |clk_200_0000MHz + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 109 |clk_62_5000MHzPLL0_ADJUST +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 3 | 0 | 0 | 0 | 9 | 42 | 0 | 0 | 0 | 0 | 1 | 0 | 47 | 1207 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 4/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 8 | 0 | 0 | 0 | 40 | 40 | 16 | 0 | 0 | 1 | 1 | 0 | 1920 | 2880 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 150 | 685 |PCIe_Bridge/Bridge_Clk + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 59 |PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/core_clk + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 2 |PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/gt_usrclk + 0 | 0 | 0 | 0 | 0 | 5 | 0 | 0 | 0 | 0 | 0 | 0 | 28 | 407 |clk_125_0000MHzPLL0_ADJUST +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 1 | 0 | 0 | 0 | 0 | 5 | 0 | 0 | 0 | 0 | 0 | 0 | 178 | 1153 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 4/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 4 | 0 | 0 | 0 | 60 | 60 | 0 | 0 | 1 | 0 | 2 | 16 | 640 | 1280 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 79 |clk_125_0000MHz90PLL0_ADJUST + 0 | 0 | 0 | 0 | 8 | 17 | 0 | 0 | 1 | 0 | 0 | 0 | 20 | 286 |clk_125_0000MHzPLL0_ADJUST + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 3 |clk_200_0000MHz + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 79 |clk_62_5000MHzPLL0_ADJUST +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 8 | 17 | 0 | 0 | 1 | 0 | 0 | 0 | 20 | 447 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 3/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 8 | 0 | 0 | 0 | 40 | 40 | 16 | 0 | 0 | 0 | 1 | 0 | 1920 | 2880 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 2 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 126 |PCIe_Bridge/Bridge_Clk + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 27 |PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/core_clk + 6 | 0 | 0 | 0 | 0 | 0 | 13 | 0 | 0 | 0 | 0 | 0 | 116 | 933 |clk_125_0000MHzPLL0_ADJUST +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 8 | 0 | 0 | 0 | 0 | 0 | 13 | 0 | 0 | 0 | 0 | 0 | 116 | 1086 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 4/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 4 | 0 | 0 | 0 | 60 | 60 | 0 | 0 | 1 | 0 | 2 | 16 | 640 | 1280 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 2 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 33 |clk_125_0000MHz90PLL0_ADJUST + 4 | 0 | 0 | 0 | 1 | 20 | 0 | 0 | 0 | 0 | 0 | 0 | 51 | 262 |clk_125_0000MHzPLL0_ADJUST + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 34 |clk_62_5000MHzPLL0_ADJUST + 0 | 0 | 0 | 0 | 6 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 |fpga_0_Ethernet_MAC_PHY_rx_clk_pin_BUFGP +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 6 | 0 | 0 | 0 | 7 | 20 | 0 | 0 | 0 | 0 | 0 | 0 | 51 | 329 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 3/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 10 | 0 | 0 | 0 | 40 | 40 | 16 | 1 | 0 | 0 | 1 | 0 | 1920 | 2880 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 20 |PCIe_Bridge/Bridge_Clk + 3 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 76 | 1046 |clk_125_0000MHzPLL0_ADJUST + 0 | 0 | 0 | 0 | 16 | 26 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 23 |fpga_0_SysACE_CompactFlash_SysACE_CLK_pin_BUFGP +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 3 | 0 | 0 | 0 | 16 | 26 | 0 | 0 | 0 | 0 | 0 | 0 | 76 | 1089 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 3/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 12 | 2 | 1 | 0 | 60 | 60 | 0 | 0 | 0 | 0 | 2 | 0 | 1600 | 3200 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 49 |clk_125_0000MHz90PLL0_ADJUST + 0 | 0 | 0 | 0 | 0 | 27 | 0 | 0 | 0 | 0 | 0 | 0 | 72 | 601 |clk_125_0000MHzPLL0_ADJUST + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 99 |clk_62_5000MHzPLL0_ADJUST +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 27 | 0 | 0 | 0 | 0 | 0 | 0 | 72 | 749 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 4/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 10 | 0 | 0 | 0 | 40 | 40 | 16 | 1 | 0 | 0 | 1 | 0 | 1920 | 2880 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 28 |PCIe_Bridge/Bridge_Clk + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 126 | 746 |clk_125_0000MHzPLL0_ADJUST + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 |fpga_0_Ethernet_MAC_PHY_tx_clk_pin_BUFGP + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 14 |fpga_0_SysACE_CompactFlash_SysACE_CLK_pin_BUFGP +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 126 | 789 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 7/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 12 | 4 | 2 | 0 | 40 | 40 | 0 | 0 | 0 | 0 | 1 | 0 | 1600 | 3200 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 |PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/REFCLKOUT_bufg + 0 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 |PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/clocking_i/clkfbin + 0 | 0 | 0 | 0 | 0 | 27 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 2 |clk_125_0000MHz90PLL0_ADJUST + 0 | 0 | 0 | 0 | 0 | 8 | 0 | 0 | 0 | 0 | 0 | 0 | 91 | 751 |clk_125_0000MHzPLL0_ADJUST + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 0 | 0 | 0 |clk_200_0000MHz + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 249 |clk_62_5000MHzPLL0_ADJUST + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 15 | 8 |fpga_0_Ethernet_MAC_PHY_rx_clk_pin_BUFGP +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 2 | 0 | 0 | 35 | 0 | 0 | 0 | 0 | 1 | 0 | 106 | 1010 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 2/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 8 | 0 | 0 | 0 | 40 | 40 | 16 | 0 | 0 | 1 | 1 | 0 | 1920 | 2880 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 19 | 23 | 0 | 0 | 0 | 0 | 0 | 0 | 97 | 796 |clk_125_0000MHzPLL0_ADJUST + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 4 |fpga_0_Ethernet_MAC_PHY_rx_clk_pin_BUFGP +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 19 | 23 | 0 | 0 | 0 | 0 | 0 | 0 | 97 | 800 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 3/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 12 | 0 | 0 | 0 | 80 | 80 | 0 | 0 | 0 | 0 | 2 | 0 | 1600 | 3200 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 106 | 471 |clk_125_0000MHzPLL0_ADJUST + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 10 | 310 |clk_62_5000MHzPLL0_ADJUST + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 |fpga_0_Ethernet_MAC_PHY_rx_clk_pin_BUFGP +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 116 | 782 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 1/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 8 | 0 | 0 | 0 | 40 | 40 | 16 | 0 | 0 | 0 | 1 | 0 | 1920 | 2880 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 146 | 674 |clk_125_0000MHzPLL0_ADJUST +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 146 | 674 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + +NOTE: +The above detailed report is the initial placement of the logic after the clock region assignment. The final placement +may be significantly different because of the various optimization steps which will follow. Specifically, logic blocks +maybe moved to adjacent clock-regions as long as the "number of clocks per region" constraint is not violated. + + +# END of Global Clock Net Loads Distribution Report: +###################################################################################### + + +Phase 9.30 Global Clock Region Assignment (Checksum:b5943100) REAL time: 12 mins 45 secs + +Phase 10.3 Local Placement Optimization +Phase 10.3 Local Placement Optimization (Checksum:b5943100) REAL time: 12 mins 46 secs + +Phase 11.5 Local Placement Optimization +Phase 11.5 Local Placement Optimization (Checksum:b5943100) REAL time: 12 mins 47 secs + +Phase 12.8 Global Placement +.. +........... +........... +......... +...... +.... +..... +..... +..... +..... +..... +..... +.... +..... +..... +..... +.... +...... +..... +...... +....... +...... +....... +....... +....... +........ +... +. +..... +..... +..... +..... +...... +...... +...... +...... +...... +..... +..... +.... +..... +.... +.... +.... +.... +... +... +.... +... +... +.. +. +... +... +... +.. +... +. +. +... +.. +... +.. +... +... +... +.... +... +.... +... +. +... +... +... +.. +.... +.. +... +.. +... +.... +. +. +. +.. +... +. +.. +.. +... +... +... +. +... +... +.. +... +... +... +... +. +. +. +.. +... +.. +. +.... +.... +.... +. +.. +..... +. +... +.... +... +....... +..... +. +.... +.... +.... +..... +...... +..... +.... +.... +.... +.... +Phase 12.8 Global Placement (Checksum:64c223c7) REAL time: 20 mins 44 secs + +Phase 13.29 Local Placement Optimization +Phase 13.29 Local Placement Optimization (Checksum:64c223c7) REAL time: 20 mins 44 secs + +Phase 14.5 Local Placement Optimization +Phase 14.5 Local Placement Optimization (Checksum:64c223c7) REAL time: 20 mins 49 secs + +Phase 15.18 Placement Optimization +Phase 15.18 Placement Optimization (Checksum:d0a37aa3) REAL time: 23 mins 25 secs + +Phase 16.5 Local Placement Optimization +Phase 16.5 Local Placement Optimization (Checksum:d0a37aa3) REAL time: 23 mins 28 secs + +Phase 17.34 Placement Validation +Phase 17.34 Placement Validation (Checksum:d0a37aa3) REAL time: 23 mins 30 secs + +Total REAL time to Placer completion: 23 mins 34 secs +Total CPU time to Placer completion: 22 mins 30 secs +Running post-placement packing... +Writing output files... + +Design Summary: +Number of errors: 0 +Number of warnings: 52 +Slice Logic Utilization: + Number of Slice Registers: 14,755 out of 44,800 32% + Number used as Flip Flops: 14,754 + Number used as Latches: 1 + Number of Slice LUTs: 16,419 out of 44,800 36% + Number used as logic: 15,565 out of 44,800 34% + Number using O6 output only: 14,103 + Number using O5 output only: 371 + Number using O5 and O6: 1,091 + Number used as Memory: 724 out of 13,120 5% + Number used as Dual Port RAM: 228 + Number using O6 output only: 12 + Number using O5 output only: 32 + Number using O5 and O6: 184 + Number used as Single Port RAM: 4 + Number using O6 output only: 4 + Number used as Shift Register: 492 + Number using O6 output only: 492 + Number used as exclusive route-thru: 130 + Number of route-thrus: 581 + Number using O6 output only: 490 + Number using O5 output only: 81 + Number using O5 and O6: 10 + +Slice Logic Distribution: + Number of occupied Slices: 7,735 out of 11,200 69% + Number of LUT Flip Flop pairs used: 21,404 + Number with an unused Flip Flop: 6,649 out of 21,404 31% + Number with an unused LUT: 4,985 out of 21,404 23% + Number of fully used LUT-FF pairs: 9,770 out of 21,404 45% + Number of unique control sets: 1,397 + Number of slice register sites lost + to control set restrictions: 3,281 out of 44,800 7% + + A LUT Flip Flop pair for this architecture represents one LUT paired with + one Flip Flop within a slice. A control set is a unique combination of + clock, reset, set, and enable signals for a registered element. + The Slice Logic Distribution report is not meaningful if the design is + over-mapped for a non-slice resource or if Placement fails. + OVERMAPPING of BRAM resources should be ignored if the design is + over-mapped for a non-BRAM resource or if placement fails. + +IO Utilization: + Number of bonded IOBs: 255 out of 640 39% + Number of LOCed IOBs: 255 out of 255 100% + IOB Flip Flops: 494 + Number of bonded IPADs: 4 out of 50 8% + Number of bonded OPADs: 2 out of 32 6% + +Specific Feature Utilization: + Number of BlockRAM/FIFO: 22 out of 148 14% + Number using BlockRAM only: 20 + Number using FIFO only: 2 + Total primitives used: + Number of 36k BlockRAM used: 16 + Number of 18k BlockRAM used: 6 + Number of 36k FIFO used: 2 + Total Memory used (KB): 756 out of 5,328 14% + Number of BUFG/BUFGCTRLs: 15 out of 32 46% + Number used as BUFGs: 15 + Number of IDELAYCTRLs: 3 out of 22 13% + Number of BUFDSs: 1 out of 8 12% + Number of BUFIOs: 8 out of 80 10% + Number of DCM_ADVs: 1 out of 12 8% + Number of DSP48Es: 13 out of 128 10% + Number of GTX_DUALs: 1 out of 8 12% + Number of PCIEs: 1 out of 3 33% + Number of LOCed PCIEs: 1 out of 1 100% + Number of PLL_ADVs: 2 out of 6 33% + Number of PPC440s: 1 out of 1 100% + + Number of RPM macros: 64 +Average Fanout of Non-Clock Nets: 3.80 + +Peak Memory Usage: 888 MB +Total REAL time to MAP completion: 24 mins 23 secs +Total CPU time to MAP completion: 23 mins 18 secs + +Mapping completed. +See MAP report file "system_map.mrp" for details. + + + +#----------------------------------------------# +# Starting program par +# par -ise ../__xps/ise/system.ise -w -ol high system_map.ncd system.ncd +system.pcf +#----------------------------------------------# +Release 11.2 - par L.46 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +PMSPEC -- Overriding Xilinx file with local file + + + +Loading device for application Rf_Device from file '5vfx70t.nph' in environment +c:\devtools\Xilinx\11.1\ISE;C:\devtools\Xilinx\11.1\EDK. + "system" is an NCD, version 3.2, device xc5vfx70t, package ff1136, speed -1 + +Constraints file: system.pcf. + "system" is an NCD, version 3.2, device xc5vfx70t, package ff1136, speed -1 +WARNING:ConstraintSystem:65 - Constraint [system.pcf(90242)] + overrides constraint [system.pcf(90241)]. + + +Initializing temperature to 85.000 Celsius. (default - Range: 0.000 to 85.000 Celsius) +Initializing voltage to 0.950 Volts. (default - Range: 0.950 to 1.050 Volts) + +WARNING:Timing:3223 - Timing constraint TS_MC_RDEN_SEL_MUX = MAXDELAY FROM TIMEGRP "TNM_RDEN_SEL_MUX" TO TIMEGRP + "TNM_CLK0" TS_MC_CLK * 4; ignored during timing analysis. +INFO:Timing:3386 - Intersecting Constraints found and resolved. For more information, see the TSI report. Please + consult the Xilinx Command Line Tools User Guide for information on generating a TSI report. + +Device speed data version: "PRODUCTION 1.65 2009-06-01". + + + +Device Utilization Summary: + + Number of BUFDSs 1 out of 8 12% + Number of BUFGs 15 out of 32 46% + Number of BUFIOs 8 out of 80 10% + Number of DCM_ADVs 1 out of 12 8% + Number of DSP48Es 13 out of 128 10% + Number of FIFO36_72_EXPs 2 out of 148 1% + Number of LOCed FIFO36_72_EXPs 2 out of 2 100% + + Number of GTX_DUALs 1 out of 8 12% + Number of IDELAYCTRLs 3 out of 22 13% + Number of LOCed IDELAYCTRLs 3 out of 3 100% + + Number of ILOGICs 131 out of 800 16% + Number of LOCed ILOGICs 8 out of 131 6% + + Number of External IOBs 255 out of 640 39% + Number of LOCed IOBs 255 out of 255 100% + + Number of IODELAYs 80 out of 800 10% + Number of LOCed IODELAYs 8 out of 80 10% + + Number of External IPADs 4 out of 690 1% + Number of LOCed IPADs 4 out of 4 100% + + Number of JTAGPPCs 1 out of 1 100% + Number of OLOGICs 236 out of 800 29% + Number of External OPADs 2 out of 32 6% + Number of LOCed OPADs 2 out of 2 100% + + Number of PCIEs 1 out of 3 33% + Number of LOCed PCIEs 1 out of 1 100% + + Number of PLL_ADVs 2 out of 6 33% + Number of PPC440s 1 out of 1 100% + Number of RAMB18X2SDPs 4 out of 148 2% + Number of RAMB36SDP_EXPs 6 out of 148 4% + Number of LOCed RAMB36SDP_EXPs 1 out of 6 16% + + Number of RAMB36_EXPs 10 out of 148 6% + Number of LOCed RAMB36_EXPs 6 out of 10 60% + + Number of Slice Registers 14755 out of 44800 32% + Number used as Flip Flops 14754 + Number used as Latches 1 + Number used as LatchThrus 0 + + Number of Slice LUTS 16419 out of 44800 36% + Number of Slice LUT-Flip Flop pairs 21404 out of 44800 47% + + +Overall effort level (-ol): High +Router effort level (-rl): High + +Starting initial Timing Analysis. REAL time: 1 mins 25 secs +Finished initial Timing Analysis. REAL time: 1 mins 27 secs + +WARNING:Par:288 - The signal PCIe_Bridge/PCIe_Bridge/sig_sb_txrem_n<0> has no load. PAR will not attempt to route this + signal. +WARNING:Par:288 - The signal PCIe_Bridge/PCIe_Bridge/sig_MB_TxREMn<0> has no load. PAR will not attempt to route this + signal. +WARNING:Par:288 - The signal xps_bram_if_cntlr_1_port_BRAM_Addr<31> has no load. PAR will not attempt to route this + signal. +WARNING:Par:288 - The signal xps_bram_if_cntlr_1_port_BRAM_Addr<30> has no load. PAR will not attempt to route this + signal. +WARNING:Par:288 - The signal PCIe_Bridge/PCIe_Bridge/sig_MB_RxFull has no load. PAR will not attempt to route this + signal. +Starting Router + +INFO:Route:501 - One or more directed routing (DIRT) constraints generated for a specific device have been found. Note + that DIRT strings are guaranteed to work only on the same device they were created for. If the DIRT constraints fail, + verify that the same connectivity is available in the target device for this implementation. + +Phase 1 : 106522 unrouted; REAL time: 1 mins 45 secs + +Phase 2 : 93293 unrouted; REAL time: 2 mins + +Phase 3 : 39046 unrouted; REAL time: 4 mins 11 secs + +Phase 4 : 39025 unrouted; (Setup:0, Hold:89741, Component Switching Limit:0) REAL time: 4 mins 40 secs + +Updating file: system.ncd with current fully routed design. + +Phase 5 : 0 unrouted; (Setup:0, Hold:90756, Component Switching Limit:0) REAL time: 6 mins 33 secs + +Phase 6 : 0 unrouted; (Setup:0, Hold:90756, Component Switching Limit:0) REAL time: 6 mins 33 secs + +Phase 7 : 0 unrouted; (Setup:0, Hold:90756, Component Switching Limit:0) REAL time: 6 mins 33 secs + +Phase 8 : 0 unrouted; (Setup:0, Hold:90756, Component Switching Limit:0) REAL time: 6 mins 33 secs + +Phase 9 : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 8 mins 30 secs + +Phase 10 : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 9 mins 7 secs +Total REAL time to Router completion: 9 mins 7 secs +Total CPU time to Router completion: 8 mins 54 secs + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +Generating "PAR" statistics. + +************************** +Generating Clock Report +************************** + ++---------------------+--------------+------+------+------------+-------------+ +| Clock Net | Resource |Locked|Fanout|Net Skew(ns)|Max Delay(ns)| ++---------------------+--------------+------+------+------------+-------------+ +|clk_125_0000MHzPLL0_ | | | | | | +| ADJUST | BUFGCTRL_X0Y2| No | 4263 | 0.532 | 2.076 | ++---------------------+--------------+------+------+------------+-------------+ +|PCIe_Bridge/Bridge_C | | | | | | +| lk |BUFGCTRL_X0Y28| No | 1472 | 0.444 | 2.085 | ++---------------------+--------------+------+------+------------+-------------+ +|clk_62_5000MHzPLL0_A | | | | | | +| DJUST | BUFGCTRL_X0Y6| No | 490 | 0.318 | 2.057 | ++---------------------+--------------+------+------+------------+-------------+ +|clk_125_0000MHz90PLL | | | | | | +| 0_ADJUST | BUFGCTRL_X0Y5| No | 162 | 0.254 | 2.028 | ++---------------------+--------------+------+------+------------+-------------+ +|fpga_0_SysACE_Compac | | | | | | +|tFlash_SysACE_CLK_pi | | | | | | +| n_BUFGP | BUFGCTRL_X0Y8| No | 55 | 0.150 | 1.770 | ++---------------------+--------------+------+------+------------+-------------+ +|PCIe_Bridge/PCIe_Bri | | | | | | +|dge/comp_block_plus/ | | | | | | +|comp_endpoint/core_c | | | | | | +| lk |BUFGCTRL_X0Y27| No | 94 | 0.260 | 2.085 | ++---------------------+--------------+------+------+------------+-------------+ +|fpga_0_Ethernet_MAC_ | | | | | | +|PHY_rx_clk_pin_BUFGP | | | | | | +| |BUFGCTRL_X0Y30| No | 12 | 0.093 | 1.934 | ++---------------------+--------------+------+------+------------+-------------+ +|DDR2_SDRAM/DDR2_SDRA | | | | | | +|M/u_ddr2_top/u_mem_i | | | | | | +|f_top/u_phy_top/u_ph | | | | | | +| y_io/delayed_dqs<0> | IO Clk| No | 18 | 0.095 | 0.419 | ++---------------------+--------------+------+------+------------+-------------+ +|DDR2_SDRAM/DDR2_SDRA | | | | | | +|M/u_ddr2_top/u_mem_i | | | | | | +|f_top/u_phy_top/u_ph | | | | | | +| y_io/delayed_dqs<1> | IO Clk| No | 18 | 0.083 | 0.380 | ++---------------------+--------------+------+------+------------+-------------+ +|DDR2_SDRAM/DDR2_SDRA | | | | | | +|M/u_ddr2_top/u_mem_i | | | | | | +|f_top/u_phy_top/u_ph | | | | | | +| y_io/delayed_dqs<2> | IO Clk| No | 18 | 0.101 | 0.425 | ++---------------------+--------------+------+------+------------+-------------+ +|DDR2_SDRAM/DDR2_SDRA | | | | | | +|M/u_ddr2_top/u_mem_i | | | | | | +|f_top/u_phy_top/u_ph | | | | | | +| y_io/delayed_dqs<3> | IO Clk| No | 18 | 0.107 | 0.404 | ++---------------------+--------------+------+------+------------+-------------+ +|DDR2_SDRAM/DDR2_SDRA | | | | | | +|M/u_ddr2_top/u_mem_i | | | + | | | +|f_top/u_phy_top/u_ph | | | | | | +| y_io/delayed_dqs<5> | IO Clk| No | 18 | 0.101 | 0.425 | ++---------------------+--------------+------+------+------------+-------------+ +|DDR2_SDRAM/DDR2_SDRA | | | | | | +|M/u_ddr2_top/u_mem_i | | | | | | +|f_top/u_phy_top/u_ph | | | | | | +| y_io/delayed_dqs<4> | IO Clk| No | 18 | 0.101 | 0.425 | ++---------------------+--------------+------+------+------------+-------------+ +|DDR2_SDRAM/DDR2_SDRA | | | | | | +|M/u_ddr2_top/u_mem_i | | | | | | +|f_top/u_phy_top/u_ph | | | | | | +| y_io/delayed_dqs<6> | IO Clk| No | 18 | 0.096 | 0.393 | ++---------------------+--------------+------+------+------------+-------------+ +|DDR2_SDRAM/DDR2_SDRA | | | | | | +|M/u_ddr2_top/u_mem_i | | | | | | +|f_top/u_phy_top/u_ph | | | | | | +| y_io/delayed_dqs<7> | IO Clk| No | 18 | 0.101 | 0.425 | ++---------------------+--------------+------+------+------------+-------------+ +|fpga_0_Ethernet_MAC_ | | | | | | +|PHY_tx_clk_pin_BUFGP | | | | | | +| |BUFGCTRL_X0Y31| No | 6 | 0.004 | 1.941 | ++---------------------+--------------+------+------+------------+-------------+ +| clk_125_0000MHzPLL0 | BUFGCTRL_X0Y1| No | 2 | 0.000 | 1.739 | ++---------------------+--------------+------+------+------------+-------------+ +|PCIe_Bridge/PCIe_Bri | | | | | | +|dge/comp_block_plus/ | | | | | | +|comp_endpoint/pcie_b | | | | | | +| lk/gt_usrclk |BUFGCTRL_X0Y29| No | 6 | 0.096 | 1.916 | ++---------------------+--------------+------+------+------------+-------------+ +| clk_200_0000MHz | BUFGCTRL_X0Y4| No | 4 | 0.128 | 1.879 | ++---------------------+--------------+------+------+------------+-------------+ +|RS232_Uart_1_Interru | | | | | | +| pt | Local| | 1 | 0.000 | 1.071 | ++---------------------+--------------+------+------+------------+-------------+ +|Ethernet_MAC/Etherne | | | | | | +| t_MAC/phy_tx_clk_i | Local| | 9 | 2.410 | 3.454 | ++---------------------+--------------+------+------+------------+-------------+ +|ppc440_0_jtagppc_bus | | | | | | +| _JTGC405TCK | Local| | 1 | 0.000 | 1.678 | ++---------------------+--------------+------+------+------------+-------------+ +|PCIe_Bridge/PCIe_Bri | | | | | | +|dge/comp_block_plus/ | | | | | | +|comp_endpoint/pcie_b | | | | | | +|lk/SIO/.pcie_gt_wrap | | | | | | +| per_i/icdrreset<0> | Local| | 1 | 0.000 | 0.590 | ++---------------------+--------------+------+------+------------+-------------+ + +* Net Skew is the difference between the minimum and maximum routing +only delays for the net. Note this is different from Clock Skew which +is reported in TRCE timing report. Clock Skew is the difference between +the minimum and maximum path delays which includes logic delays. + +Timing Score: 0 (Setup: 0, Hold: 0, Component Switching Limit: 0) + +Number of Timing Constraints that were not applied: 5 + +Asterisk (*) preceding a constraint indicates it was not met. + This may be due to a setup or hold violation. + +---------------------------------------------------------------------------------------------------------- + Constraint | Check | Worst Case | Best Case | Timing | Timing + | | Slack | Achievable | Errors | Score +---------------------------------------------------------------------------------------------------------- + NET "PCIe_Bridge/Bridge_Clk" PERIOD = 8 n | SETUP | 0.030ns| 7.970ns| 0| 0 + s HIGH 50% | HOLD | 0.030ns| | 0| 0 + | MINPERIOD | 0.000ns| 8.000ns| 0| 0 +------------------------------------------------------------------------------------------------------ + NET "PCIe_Bridge/PCIe_Bridge/comp_block_p | SETUP | 0.075ns| 3.925ns| 0| 0 + lus/comp_endpoint/core_clk" PERIOD = | HOLD | 0.366ns| | 0| 0 + 4 ns HIGH 50% | MINPERIOD | 0.000ns| 4.000ns| 0| 0 +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.012ns| 0.838ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/gen_ | | | | | + dqs[2].u_iob_dqs/en_dqs_sync" MAX | | | | | + DELAY = 0.85 ns | | | | | +------------------------------------------------------------------------------------------------------ + TS_DQ_CE = MAXDELAY FROM TIMEGRP "TNM_DQ_ | SETUP | 0.021ns| 1.879ns| 0| 0 + CE_IDDR" TO TIMEGRP "TNM_DQS_FLOPS" | HOLD | 1.026ns| | 0| 0 + 1.9 ns | | | | | +------------------------------------------------------------------------------------------------------ + TS_clock_generator_0_clock_generator_0_PL | SETUP | 0.026ns| 7.974ns| 0| 0 + L0_CLK_OUT_2_ = PERIOD TIMEGRP "c | HOLD | 0.079ns| | 0| 0 + lock_generator_0_clock_generator_0_PLL0_C | | | | | + LK_OUT_2_" TS_sys_clk_pin * 1.25 | | | | | + HIGH 50% | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.045ns| 0.805ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/gen_ | | | | | + dqs[0].u_iob_dqs/en_dqs_sync" MAX | | | | | + DELAY = 0.85 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.045ns| 0.805ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/gen_ | | | | | + dqs[1].u_iob_dqs/en_dqs_sync" MAX | | | | | + DELAY = 0.85 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.045ns| 0.805ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/gen_ | | | | | + dqs[5].u_iob_dqs/en_dqs_sync" MAX | | | | | + DELAY = 0.85 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.047ns| 0.803ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/gen_ | | | | | + dqs[3].u_iob_dqs/en_dqs_sync" MAX | | | | | + DELAY = 0.85 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.047ns| 0.803ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/gen_ | | | | | + dqs[4].u_iob_dqs/en_dqs_sync" MAX | | | | | + DELAY = 0.85 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.047ns| 0.803ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/gen_ | | | | | + dqs[6].u_iob_dqs/en_dqs_sync" MAX | | | | | + DELAY = 0.85 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.047ns| 0.803ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/gen_ | | | | | + dqs[7].u_iob_dqs/en_dqs_sync" MAX | | | | | + DELAY = 0.85 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.068ns| 0.532ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/en_d | | | | | + qs<0>" MAXDELAY = 0.6 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.071ns| 0.529ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/en_d | | | | | + qs<1>" MAXDELAY = 0.6 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.071ns| 0.529ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/en_d | | | | | + qs<2>" MAXDELAY = 0.6 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.071ns| 0.529ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/en_d | | | | | + qs<3>" MAXDELAY = 0.6 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.071ns| 0.529ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/en_d | | | | | + qs<4>" MAXDELAY = 0.6 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.071ns| 0.529ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/en_d | | | | | + qs<5>" MAXDELAY = 0.6 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.071ns| 0.529ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/en_d | | | | | + qs<6>" MAXDELAY = 0.6 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.071ns| 0.529ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/en_d | | | | | + qs<7>" MAXDELAY = 0.6 ns | | | | | +------------------------------------------------------------------------------------------------------ + TS_PLB_PCIe = MAXDELAY FROM TIMEGRP "SPLB | SETUP | 0.449ns| 7.551ns| 0| 0 + _Clk" TO TIMEGRP "Bridge_Clk" 8 ns | HOLD | 0.456ns| | 0| 0 + DATAPATHONLY | | | | | +------------------------------------------------------------------------------------------------------ + TS_PCIe_PLB = MAXDELAY FROM TIMEGRP "Brid | SETUP | 0.639ns| 7.361ns| 0| 0 + ge_Clk" TO TIMEGRP "SPLB_Clk" 8 ns | HOLD | 0.465ns| | 0| 0 + DATAPATHONLY | | | | | +------------------------------------------------------------------------------------------------------ + TS_MC_CLK = PERIOD TIMEGRP "mc_clk" 5 ns | MINPERIOD | 1.010ns| 3.990ns| 0| 0 + HIGH 50% | | | | | +------------------------------------------------------------------------------------------------------ + TSRXIN_Ethernet_MAC = MAXDELAY FROM TIMEG | MAXDELAY | 1.640ns| 4.360ns| 0| 0 + RP "PADS" TO TIMEGRP "RXCLK_GRP_E | HOLD | 1.060ns| | 0| 0 + thernet_MAC" 6 ns | | | | | +------------------------------------------------------------------------------------------------------ + TS_clock_generator_0_clock_generator_0_PL | SETUP | 2.000ns| 4.973ns| 0| 0 + L0_CLK_OUT_0_ = PERIOD TIMEGRP "c | HOLD | 0.476ns| | 0| 0 + lock_generator_0_clock_generator_0_PLL0_C | | | | | + LK_OUT_0_" TS_sys_clk_pin * 1.25 | | | | | + PHASE 2 ns HIGH 50% | | | | | +------------------------------------------------------------------------------------------------------ + TS_sys_clk_pin = PERIOD TIMEGRP "sys_clk_ | MINLOWPULSE | 6.000ns| 4.000ns| 0| 0 + pin" 100 MHz HIGH 50% | | | | | +------------------------------------------------------------------------------------------------------ + TS_clock_generator_0_clock_generator_0_PL | SETUP | 3.644ns| 1.356ns| 0| 0 + L0_CLK_OUT_3_ = PERIOD TIMEGRP "c | HOLD | 0.476ns| | 0| 0 + lock_generator_0_clock_generator_0_PLL0_C | | | | | + LK_OUT_3_" TS_sys_clk_pin * 2 HIG | | | | | + H 50% | | | | | +------------------------------------------------------------------------------------------------------ + TS_clock_generator_0_clock_generator_0_PL | SETUP | 4.149ns| 8.008ns| 0| 0 + L0_CLK_OUT_4_ = PERIOD TIMEGRP "c | HOLD | 0.172ns| | 0| 0 + lock_generator_0_clock_generator_0_PLL0_C | | | | | + LK_OUT_4_" TS_sys_clk_pin * 0.625 | | | | | + HIGH 50% | | | | | +------------------------------------------------------------------------------------------------------ + NET "fpga_0_Ethernet_MAC_PHY_tx_clk_pin_B | NETSKEW | 4.422ns| 0.578ns| 0| 0 + UFGP" MAXSKEW = 5 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "fpga_0_Ethernet_MAC_PHY_rx_clk_pin_B | NETSKEW | 4.778ns| 0.222ns| 0| 0 + UFGP" MAXSKEW = 5 ns | | | | | +------------------------------------------------------------------------------------------------------ + TS_clock_generator_0_clock_generator_0_PL | MINPERIOD | 4.900ns| 3.100ns| 0| 0 + L0_CLK_OUT_1_ = PERIOD TIMEGRP "c | | | | | + lock_generator_0_clock_generator_0_PLL0_C | | | | | + LK_OUT_1_" TS_sys_clk_pin * 1.25 | | | | | + HIGH 50% | | | | | +------------------------------------------------------------------------------------------------------ + TSTXOUT_Ethernet_MAC = MAXDELAY FROM TIME | MAXDELAY | 7.423ns| 2.577ns| 0| 0 + GRP "TXCLK_GRP_Ethernet_MAC" TO T | | | | | + IMEGRP "PADS" 10 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "fpga_0_Ethernet_MAC_PHY_rx_clk_pin_B | SETUP | 9.210ns| 13.685ns| 0| 0 + UFGP" PERIOD = 40 ns HIGH 14 ns | HOLD | 0.479ns| | 0| 0 +------------------------------------------------------------------------------------------------------ + TS_MC_PHY_INIT_DATA_SEL_90 = MAXDELAY FRO | SETUP | 13.663ns| 6.337ns| 0| 0 + M TIMEGRP "TNM_PHY_INIT_DATA_SEL" TO | HOLD | 0.290ns| | 0| 0 + TIMEGRP "TNM_CLK90" TS_MC_CLK * 4 | | | | | +------------------------------------------------------------------------------------------------------ + TS_MC_PHY_INIT_DATA_SEL_0 = MAXDELAY FROM | SETUP | 15.735ns| 4.265ns| 0| 0 + TIMEGRP "TNM_PHY_INIT_DATA_SEL" TO | HOLD | 0.915ns| | 0| 0 + TIMEGRP "TNM_CLK0" TS_MC_CLK * 4 | | | | | +------------------------------------------------------------------------------------------------------ + TS_MC_GATE_DLY = MAXDELAY FROM TIMEGRP "T | SETUP | 17.698ns| 2.302ns| 0| 0 + NM_GATE_DLY" TO TIMEGRP "TNM_CLK0" | HOLD | 0.003ns| | 0| 0 + TS_MC_CLK * 4 | | | | | +------------------------------------------------------------------------------------------------------ + TS_MC_CAL_RDEN_DLY = MAXDELAY FROM TIMEGR | SETUP | 18.121ns| 1.879ns| 0| 0 + P "TNM_CAL_RDEN_DLY" TO TIMEGRP " | HOLD | 0.001ns| | 0| 0 + TNM_CLK0" TS_MC_CLK * 4 | | | | | +------------------------------------------------------------------------------------------------------ + TS_MC_RDEN_DLY = MAXDELAY FROM TIMEGRP "T | SETUP | 18.132ns| 1.868ns| 0| 0 + NM_RDEN_DLY" TO TIMEGRP "TNM_CLK0" | HOLD | 0.023ns| | 0| 0 + TS_MC_CLK * 4 | | | | | +------------------------------------------------------------------------------------------------------ + NET "fpga_0_SysACE_CompactFlash_SysACE_CL | SETUP | 26.579ns| 3.421ns| 0| 0 + K_pin_BUFGP/IBUFG" PERIOD = 30 ns | HOLD | 0.465ns| | 0| 0 + HIGH 50% | | | | | +------------------------------------------------------------------------------------------------------ + NET "fpga_0_Ethernet_MAC_PHY_tx_clk_pin_B | SETUP | 32.855ns| 7.145ns| 0| 0 + UFGP" PERIOD = 40 ns HIGH 14 ns | HOLD | 0.357ns| | 0| 0 +------------------------------------------------------------------------------------------------------ + Pin to Pin Skew Constraint | MAXDELAY | 2106523.523ns| 2106523.837ns| 0| 0 +------------------------------------------------------------------------------------------------------ + TS_MC_RDEN_SEL_MUX = MAXDELAY FROM TIMEGR | N/A | N/A| N/A| N/A| N/A + P "TNM_RDEN_SEL_MUX" TO TIMEGRP " | | | | | + TNM_CLK0" TS_MC_CLK * 4 | | | | | +------------------------------------------------------------------------------------------------------ + NET "PCIe_Bridge/Bridge_Clk" PERIOD = 8 n | N/A | N/A| N/A| N/A| N/A + s HIGH 50% | | | | | +------------------------------------------------------------------------------------------------------ + + +Derived Constraint Report +Derived Constraints for TS_MC_CLK ++-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ +| | Period | Actual Period | Timing Errors | Paths Analyzed | +| Constraint | Requirement |-------------+-------------|-------------+-------------|-------------+-------------| +| | | Direct | Derivative | Direct | Derivative | Direct | Derivative | ++-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ +|TS_MC_CLK | 5.000ns| 3.990ns| 1.584ns| 0| 0| 0| 345| +| TS_MC_PHY_INIT_DATA_SEL_0 | 20.000ns| 4.265ns| N/A| 0| 0| 21| 0| +| TS_MC_PHY_INIT_DATA_SEL_90 | 20.000ns| 6.337ns| N/A| 0| 0| 274| 0| +| TS_MC_GATE_DLY | 20.000ns| 2.302ns| N/A| 0| 0| 40| 0| +| TS_MC_RDEN_DLY | 20.000ns| 1.868ns| N/A| 0| 0| 5| 0| +| TS_MC_CAL_RDEN_DLY | 20.000ns| 1.879ns| N/A| 0| 0| 5| 0| +| TS_MC_RDEN_SEL_MUX | 20.000ns| N/A| N/A| 0| 0| 0| 0| ++-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ + +Derived Constraints for TS_sys_clk_pin ++-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ +| | Period | Actual Period | Timing Errors | Paths Analyzed | +| Constraint | Requirement |-------------+-------------|-------------+-------------|-------------+-------------| +| | | Direct | Derivative | Direct | Derivative | Direct | Derivative | ++-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ +|TS_sys_clk_pin | 10.000ns| 4.000ns| 9.967ns| 0| 0| 0| 4043451| +| TS_clock_generator_0_clock_gen| 8.000ns| 4.973ns| N/A| 0| 0| 626| 0| +| erator_0_PLL0_CLK_OUT_0_ | | | | | | | | +| TS_clock_generator_0_clock_gen| 8.000ns| 3.100ns| N/A| 0| 0| 0| 0| +| erator_0_PLL0_CLK_OUT_1_ | | | | | | | | +| TS_clock_generator_0_clock_gen| 8.000ns| 7.974ns| N/A| 0| 0| 4031781| 0| +| erator_0_PLL0_CLK_OUT_2_ | | | | | | | | +| TS_clock_generator_0_clock_gen| 5.000ns| 1.356ns| N/A| 0| 0| 2| 0| +| erator_0_PLL0_CLK_OUT_3_ | | | | | | | | +| TS_clock_generator_0_clock_gen| 16.000ns| 8.008ns| N/A| 0| 0| 11042| 0| +| erator_0_PLL0_CLK_OUT_4_ | | | | | | | | ++-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ + +All constraints were met. +INFO:Timing:2761 - N/A entries in the Constraints list may indicate that the + constraint does not cover any paths or that it has no requested value. + + +Generating Pad Report. + +All signals are completely routed. + +WARNING:Par:283 - There are 5 loadless signals in this design. This design will cause Bitgen to issue DRC warnings. + +Loading device for application Rf_Device from file '5vlx50t.nph' in environment +c:\devtools\Xilinx\11.1\ISE;C:\devtools\Xilinx\11.1\EDK. +INFO:ParHelpers:197 - Number of "Exact" mode Directed Routing Constraints: 128 +INFO:ParHelpers:199 - All "EXACT" mode Directed Routing constrained nets successfully routed. The number of constraints + found: 128, number successful: 128 +Total REAL time to PAR completion: 10 mins 4 secs +Total CPU time to PAR completion: 9 mins 36 secs + +Peak Memory Usage: 754 MB + +Placer: Placement generated during map. +Routing: Completed - No errors found. +Timing: Completed - No errors found. + +Number of error messages: 0 +Number of warning messages: 9 +Number of info messages: 4 + +Writing design to file system.ncd + + + +PAR done! + + + +#----------------------------------------------# +# Starting program post_par_trce +# trce -ise ../__xps/ise/system.ise -e 3 -xml system.twx system.ncd system.pcf +#----------------------------------------------# +Release 11.2 - Trace (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. + + +PMSPEC -- Overriding Xilinx file + with local file + +Loading device for application Rf_Device from file '5vfx70t.nph' in environment +c:\devtools\Xilinx\11.1\ISE;C:\devtools\Xilinx\11.1\EDK. + "system" is an NCD, version 3.2, device xc5vfx70t, package ff1136, speed -1 +WARNING:ConstraintSystem:65 - Constraint [system.pcf(90242)] overrides constraint [system.pcf(90241)]. + +WARNING:Timing:3223 - Timing constraint TS_MC_RDEN_SEL_MUX = MAXDELAY FROM + TIMEGRP "TNM_RDEN_SEL_MUX" TO TIMEGRP "TNM_CLK0" TS_MC_CLK * 4; + ignored during timing analysis. +INFO:Timing:3386 - Intersecting Constraints found and resolved. For more + information, see the TSI report. Please consult the Xilinx Command Line + Tools User Guide for information on generating a TSI report. +-------------------------------------------------------------------------------- +Release 11.2 Trace (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. + +trce -ise ../__xps/ise/system.ise -e 3 -xml system.twx system.ncd system.pcf + + +Design file: system.ncd +Physical constraint file: system.pcf +Device,speed: xc5vfx70t,-1 (PRODUCTION 1.65 2009-06-01, STEPPING +level 0) +Report level: error report +-------------------------------------------------------------------------------- + +INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths + option. All paths that are not constrained will be reported in the + unconstrained paths section(s) of the report. +INFO:Timing:3339 - The clock-to-out numbers in this timing report are based on a + 50 Ohm transmission line loading model. For the details of this model, and + for more information on accounting for different loading conditions, please + see the device datasheet. + + +Timing summary: +--------------- + +Timing errors: 0 Score: 0 (Setup/Max: 0, Hold: 0) + +Constraints cover 4233435 paths, 18 nets, and 96471 connections + +Design statistics: + Minimum period: 13.685ns (Maximum frequency: 73.073MHz) + Maximum path delay from/to any node: 7.551ns + Maximum net delay: 0.838ns + Maximum net skew: 0.578ns + + +Analysis completed Tue Jun 30 23:01:07 2009 +-------------------------------------------------------------------------------- + +Generating Report ... + +Number of warnings: 2 +Number of info messages: 3 +Total time: 1 mins 41 secs + + +xflow done! +touch __xps/system_routed +xilperl C:/devtools/Xilinx/11.1/EDK/data/fpga_impl/observe_par.pl -error yes implementation/system.par +Analyzing implementation/system.par +********************************************* +Running Bitgen.. +********************************************* +cd implementation; bitgen -w -f bitgen.ut system; cd .. +Release 11.2 - Bitgen L.46 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +PMSPEC -- Overriding Xilinx file + with local file + +Loading device for application Rf_Device from file '5vfx70t.nph' in environment +c:\devtools\Xilinx\11.1\ISE;C:\devtools\Xilinx\11.1\EDK. + "system" is an NCD, version 3.2, device xc5vfx70t, package ff1136, speed -1 +Opened constraints file system.pcf. + +Tue Jun 30 23:01:40 2009 + +Running DRC. +WARNING:PhysDesignRules:1842 - One or more GTXs are being used in this design. + Evaluate the SelectIO-To-GTX Crosstalk section of the Virtex-5 RocketIO GTX + Transceiver User Guide to ensure that the design SelectIO usage meets the + guidelines to minimize the impact on GTX performance. +WARNING:PhysDesignRules:372 - Gated clock. Clock net + Ethernet_MAC/Ethernet_MAC/phy_tx_clk_i is sourced by a combinatorial pin. + This is not good design practice. Use the CE pin to control the loading of + data into the flip-flop. +WARNING:PhysDesignRules:372 - Gated clock. Clock net + PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/SIO/.pcie_gt_w + rapper_i/icdrreset<0> is sourced by a combinatorial pin. This is not good + design practice. Use the CE pin to control the loading of data into the + flip-flop. +WARNING:PhysDesignRules:367 - The signal + > is incomplete. The signal does + not drive any load pins in the design. +WARNING:PhysDesignRules:367 - The signal + > is incomplete. The signal does not + drive any load pins in the design. +WARNING:PhysDesignRules:367 - The signal + > is incomplete. The signal does not + drive any load pins in the design. +WARNING:PhysDesignRules:367 - The signal + > is incomplete. The signal does not + drive any load pins in the design. +WARNING:PhysDesignRules:367 - The signal + is incomplete. The signal does not drive any load pins in the design. +WARNING:PhysDesignRules:1269 - Dangling pins on + block::. The Q1 output pin of IFF is not + used. +WARNING:PhysDesignRules:1273 - Dangling pins on + block::. The SR pin is used for the IFF + Flip-flop but the SRVAL_Q1 set/reset value is not configured. +WARNING:PhysDesignRules:1269 - Dangling pins on + block::. The Q1 output pin of IFF is not + used. +WARNING:PhysDesignRules:1273 - Dangling pins on + block::. The SR pin is used for the IFF + Flip-flop but the SRVAL_Q1 set/reset value is not configured. +WARNING:PhysDesignRules:1269 - Dangling pins on + block::. The Q1 output pin of IFF is not + used. +WARNING:PhysDesignRules:1273 - Dangling pins on + block::. The SR pin is used for the IFF + Flip-flop but the SRVAL_Q1 set/reset value is not configured. +WARNING:PhysDesignRules:1269 - Dangling pins on + block::. The Q1 output pin of IFF is not + used. +WARNING:PhysDesignRules:1273 - Dangling pins on + block::. The SR pin is used for the IFF + Flip-flop but the SRVAL_Q1 set/reset value is not configured. +WARNING:PhysDesignRules:1269 - Dangling pins on + block::. The Q1 output pin of IFF is not + used. +WARNING:PhysDesignRules:1273 - Dangling pins on + block::. The SR pin is used for the IFF + Flip-flop but the SRVAL_Q1 set/reset value is not configured. +WARNING:PhysDesignRules:1269 - Dangling pins on + block::. The Q1 output pin of IFF is not + used. +WARNING:PhysDesignRules:1273 - Dangling pins on + block::. The SR pin is used for the IFF + Flip-flop but the SRVAL_Q1 set/reset value is not configured. +WARNING:PhysDesignRules:1269 - Dangling pins on + block::. The Q1 output pin of IFF is not + used. +WARNING:PhysDesignRules:1273 - Dangling pins on + block::. The SR pin is used for the IFF + Flip-flop but the SRVAL_Q1 set/reset value is not configured. +WARNING:PhysDesignRules:1269 - Dangling pins on + block::. The Q1 output pin of IFF is not + used. +WARNING:PhysDesignRules:1273 - Dangling pins on + block::. The SR pin is used for the IFF + Flip-flop but the SRVAL_Q1 set/reset value is not configured. +WARNING:PhysDesignRules:367 - The signal + is incomplete. The signal does not drive any load pins + in the design. +WARNING:PhysDesignRules:367 - The signal + is incomplete. The signal does not drive any load pins + in the design. +DRC detected 0 errors and 26 warnings. Please see the previously displayed +individual error or warning messages for more details. +Creating bit map... +Saving bit stream in "system.bit". +Bitstream generation is complete. + + +Done! + +Writing filter settings.... + +Done writing filter settings to: + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\__xps\system.filters + +Done writing Tab View settings to: + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\__xps\system.gui + +Xilinx Platform Studio (XPS) +Xilinx EDK 11.2 Build EDK_LS3.47 + +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. + +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\_xps_tempmhsfilename.mhs line 239 - deprecated core for architecture 'virtex5fx'! + +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\_xps_tempmhsfilename.mhs line 284 - deprecated core for architecture 'virtex5fx'! + +Generating Block Diagram to Buffer + +Generated Block Diagram SVG + +At Local date and time: Wed Jul 01 10:06:56 2009 + make -f system.make download started... + +cp -f /cygdrive/c/devtools/Xilinx/11.1/EDK/sw/lib/ppc440/ppc440_bootloop.elf bootloops/ppc440_0.elf +********************************************* +Initializing BRAM contents of the bitstream +********************************************* +bitinit -p xc5vfx70tff1136-1 system.mhs -pe ppc440_0 bootloops/ppc440_0.elf \ +-bt implementation/system.bit -o implementation/download.bit + +bitinit version Xilinx EDK 11.2 Build EDK_LS3.47 +Copyright (c) Xilinx Inc. 2002. + +Parsing MHS File system.mhs... +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.m + hs line 253 - deprecated core for architecture 'virtex5fx'! +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.m + hs line 298 - deprecated core for architecture 'virtex5fx'! + +Overriding IP level properties ... + +Performing IP level DRCs on properties... + +Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC... +Address Map for Processor ppc440_0 + (0b0000000000-0b0011111111) ppc440_0 + (0000000000-0x0fffffff) DDR2_SDRAM ppc440_0_PPC440MC + (0x81000000-0x8100ffff) Ethernet_MAC plb_v46_0 + (0x81400000-0x8140ffff) Push_Buttons_5Bit plb_v46_0 + (0x81420000-0x8142ffff) LEDs_Positions plb_v46_0 + (0x81440000-0x8144ffff) LEDs_8Bit plb_v46_0 + (0x81460000-0x8146ffff) DIP_Switches_8Bit plb_v46_0 + (0x81600000-0x8160ffff) IIC_EEPROM plb_v46_0 + (0x81800000-0x8180ffff) xps_intc_0 plb_v46_0 + (0x83600000-0x8360ffff) SysACE_CompactFlash plb_v46_0 + (0x84000000-0x8400ffff) RS232_Uart_1 plb_v46_0 + (0x85c00000-0x85c0ffff) PCIe_Bridge plb_v46_0 + (0xc0000000-0xdfffffff) PCIe_Bridge plb_v46_0 + (0xe0000000-0xefffffff) PCIe_Bridge plb_v46_0 + (0xf8000000-0xf80fffff) SRAM plb_v46_0 + (0xffffe000-0xffffffff) xps_bram_if_cntlr_1 plb_v46_0 +INFO:EDK:1560 - IPNAME:ppc440_virtex5 INSTANCE:ppc440_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\ppc440_virtex5_v1_ + 01_a\data\ppc440_virtex5_v2_1_0.mpd line 175 - tool is overriding PARAMETER + C_SPLB0_P2P value to 0 + +Computing clock values... +INFO:EDK:1432 - Frequency for Top-Level Input Clock + 'fpga_0_PCIe_Diff_Clk_IBUF_DS_P_pin' is not specified. Clock DRCs will not be + performed for IPs connected to that clock port, unless they are connected + through the clock generator IP. + +INFO:EDK:1432 - Frequency for Top-Level Input Clock + 'fpga_0_PCIe_Diff_Clk_IBUF_DS_N_pin' is not specified. Clock DRCs will not be + performed for IPs connected to that clock port, unless they are connected + through the clock generator IP. + +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:plb_v46_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 70 - tool is overriding PARAMETER + C_PLBV46_NUM_MASTERS value to 1 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:plb_v46_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 71 - tool is overriding PARAMETER + C_PLBV46_NUM_SLAVES value to 12 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:plb_v46_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 72 - tool is overriding PARAMETER + C_PLBV46_MID_WIDTH value to 1 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:plb_v46_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 74 - tool is overriding PARAMETER C_PLBV46_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_bram_if_cntlr INSTANCE:xps_bram_if_cntlr_1 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_bram_if_cntlr_ + v1_00_b\data\xps_bram_if_cntlr_v2_1_0.mpd line 75 - tool is overriding + PARAMETER C_SPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:xps_bram_if_cntlr INSTANCE:xps_bram_if_cntlr_1 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_bram_if_cntlr_ + v1_00_b\data\xps_bram_if_cntlr_v2_1_0.mpd line 76 - tool is overriding + PARAMETER C_SPLB_NUM_MASTERS value to 1 +INFO:EDK:1560 - IPNAME:xps_bram_if_cntlr INSTANCE:xps_bram_if_cntlr_1 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_bram_if_cntlr_ + v1_00_b\data\xps_bram_if_cntlr_v2_1_0.mpd line 80 - tool is overriding + PARAMETER C_SPLB_SMALLEST_MASTER value to 128 +INFO:EDK:1560 - IPNAME:bram_block INSTANCE:xps_bram_if_cntlr_1_bram - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a + \data\bram_block_v2_1_0.mpd line 69 - tool is overriding PARAMETER C_MEMSIZE + value to 0x2000 +INFO:EDK:1560 - IPNAME:bram_block INSTANCE:xps_bram_if_cntlr_1_bram - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a + \data\bram_block_v2_1_0.mpd line 70 - tool is overriding PARAMETER + C_PORT_DWIDTH value to 64 +INFO:EDK:1560 - IPNAME:bram_block INSTANCE:xps_bram_if_cntlr_1_bram - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a + \data\bram_block_v2_1_0.mpd line 72 - tool is overriding PARAMETER C_NUM_WE + value to 8 +INFO:EDK:1560 - IPNAME:xps_uartlite INSTANCE:RS232_Uart_1 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_uartlite_v1_01 + _a\data\xps_uartlite_v2_1_0.mpd line 73 - tool is overriding PARAMETER + C_SPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:xps_gpio INSTANCE:LEDs_8Bit - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_gpio_v2_00_a\d + ata\xps_gpio_v2_1_0.mpd line 71 - tool is overriding PARAMETER C_SPLB_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_gpio INSTANCE:LEDs_Positions - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_gpio_v2_00_a\d + ata\xps_gpio_v2_1_0.mpd line 71 - tool is overriding PARAMETER C_SPLB_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_gpio INSTANCE:Push_Buttons_5Bit - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_gpio_v2_00_a\d + ata\xps_gpio_v2_1_0.mpd line 71 - tool is overriding PARAMETER C_SPLB_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_gpio INSTANCE:DIP_Switches_8Bit - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_gpio_v2_00_a\d + ata\xps_gpio_v2_1_0.mpd line 71 - tool is overriding PARAMETER C_SPLB_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_iic INSTANCE:IIC_EEPROM - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_iic_v2_01_a\da + ta\xps_iic_v2_1_0.mpd line 79 - tool is overriding PARAMETER C_SPLB_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_mch_emc INSTANCE:SRAM - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_mch_emc_v3_00_ + a\data\xps_mch_emc_v2_1_0.mpd line 82 - tool is overriding PARAMETER + C_SPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:xps_mch_emc INSTANCE:SRAM - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_mch_emc_v3_00_ + a\data\xps_mch_emc_v2_1_0.mpd line 84 - tool is overriding PARAMETER + C_SPLB_SMALLEST_MASTER value to 128 +INFO:EDK:1560 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plbv46_pcie_v3_00_ + b\data\plbv46_pcie_v2_1_0.mpd line 86 - tool is overriding PARAMETER + C_MPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plbv46_pcie_v3_00_ + b\data\plbv46_pcie_v2_1_0.mpd line 87 - tool is overriding PARAMETER + C_MPLB_SMALLEST_SLAVE value to 128 +INFO:EDK:1560 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plbv46_pcie_v3_00_ + b\data\plbv46_pcie_v2_1_0.mpd line 89 - tool is overriding PARAMETER + C_SPLB_MID_WIDTH value to 1 +INFO:EDK:1560 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plbv46_pcie_v3_00_ + b\data\plbv46_pcie_v2_1_0.mpd line 90 - tool is overriding PARAMETER + C_SPLB_NUM_MASTERS value to 1 +INFO:EDK:1560 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plbv46_pcie_v3_00_ + b\data\plbv46_pcie_v2_1_0.mpd line 91 - tool is overriding PARAMETER + C_SPLB_SMALLEST_MASTER value to 128 +INFO:EDK:1560 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plbv46_pcie_v3_00_ + b\data\plbv46_pcie_v2_1_0.mpd line 95 - tool is overriding PARAMETER + C_SPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:ppc440_0_SPLB0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 70 - tool is overriding PARAMETER + C_PLBV46_NUM_MASTERS value to 1 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:ppc440_0_SPLB0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 71 - tool is overriding PARAMETER + C_PLBV46_NUM_SLAVES value to 1 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:ppc440_0_SPLB0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 72 - tool is overriding PARAMETER + C_PLBV46_MID_WIDTH value to 1 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:ppc440_0_SPLB0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 74 - tool is overriding PARAMETER C_PLBV46_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_ethernetlite_v + 2_01_a\data\xps_ethernetlite_v2_1_0.mpd line 75 - tool is overriding + PARAMETER C_SPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:xps_sysace INSTANCE:SysACE_CompactFlash - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_sysace_v1_01_a + \data\xps_sysace_v2_1_0.mpd line 72 - tool is overriding PARAMETER + C_SPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:xps_sysace INSTANCE:SysACE_CompactFlash - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_sysace_v1_01_a + \data\xps_sysace_v2_1_0.mpd line 74 - tool is overriding PARAMETER + C_SPLB_MID_WIDTH value to 1 +INFO:EDK:1560 - IPNAME:xps_sysace INSTANCE:SysACE_CompactFlash - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_sysace_v1_01_a + \data\xps_sysace_v2_1_0.mpd line 75 - tool is overriding PARAMETER + C_SPLB_NUM_MASTERS value to 1 +INFO:EDK:1560 - IPNAME:xps_intc INSTANCE:xps_intc_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_intc_v2_00_a\d + ata\xps_intc_v2_1_0.mpd line 72 - tool is overriding PARAMETER C_SPLB_DWIDTH + value to 128 + +Checking platform address map ... + +Initializing Memory... +Running Data2Mem with the following command: +data2mem -bm "implementation/system_bd" -bt "implementation/system.bit" -bd +"bootloops/ppc440_0.elf" tag ppc440_0 -o b implementation/download.bit +Memory Initialization completed successfully. + +********************************************* +Downloading Bitstream onto the target board +********************************************* +impact -batch etc/download.cmd +Release 11.2 - iMPACT L.46 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +Preference Table +Name Setting +StartupClock Auto_Correction +AutoSignature False +KeepSVF False +ConcurrentMode False +UseHighz False +ConfigOnFailure Stop +UserLevel Novice +MessageLevel Detailed +svfUseTime false +SpiByteSwap Auto_Correction +AutoDetecting cable. Please wait. +Connecting to cable (Usb Port - USB21). +Checking cable driver. + Driver file xusb_xp2.sys found. + Driver version: src=2301, dest=2301. + Driver windrvr6.sys version = 9.0.0.0. WinDriver v9.00 Jungo (c) 1997 - 2007 Build Date: Mar 27 2007 X86 32bit SYS +13:58:07, version = 900. + Cable PID = 0008. + Max current requested during enumeration is 300 mA. +Type = 0x0005. +write (count, cmdBuffer, dataBuffer) failed C0000004. + Cable Type = 3, Revision = 0. + Setting cable speed to 6 MHz. +Cable connection established. +Firmware version = 2301. +File version of c:/devtools/Xilinx/11.1/ISE/data/xusb_xp2.hex = 2401. +Firmware hex file version = 2401. +Downloading c:/devtools/Xilinx/11.1/ISE/data/xusb_xp2.hex. +Downloaded firmware version = 2401. +PLD file version = 200Dh. + PLD version = 200Dh. +Identifying chain contents...'0': : Manufacturer's ID = Xilinx xc5vfx70t, Version : 6 +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/virtex5/data/xc5vfx70t.bsd... + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'1': : Manufacturer's ID = Xilinx xccace, Version : 0 +INFO:iMPACT:501 - '1': Added Device xc5vfx70t successfully. +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/acecf/data/xccace.bsd... + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'2': : Manufacturer's ID = Xilinx xc95144xl, Version : 5 +INFO:iMPACT:501 - '1': Added Device xccace successfully. + +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/xc9500xl/data/xc95144xl.bsd... + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'3': : Manufacturer's ID = Xilinx xcf32p, Version : 15 +INFO:iMPACT:501 - '1': Added Device xc95144xl successfully. + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'4': : Manufacturer's ID = Xilinx xcf32p, Version : 15 +---------------------------------------------------------------------- +---------------------------------------------------------------------- +done. +Elapsed time = 1 sec. +Elapsed time = 0 sec. +'5': Loading file 'implementation/download.bit' ... +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/xcfp/data/xcf32p.bsd... +INFO:iMPACT:501 - '1': Added Device xcf32p successfully. +INFO:iMPACT:501 - '1': Added Device xcf32p successfully. + +done. +UserID read from the bitstream file = 0xFFFFFFFF. +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +Maximum TCK operating frequency for this device chain: 10000000. +Validating chain... +Boundary-scan chain validated successfully. +INFO:iMPACT:501 - '5': Added Device xc5vfx70t successfully. + +5: Device Temperature: Current Reading: 41.02 C, Min. Reading: 27.73 C, Max. +Reading: 41.02 C +5: VCCINT Supply: Current Reading: 0.999 V, Min. Reading: 0.999 V, Max. +Reading: 1.002 V +5: VCCAUX Supply: Current Reading: 2.505 V, Min. Reading: 2.502 V, Max. +Reading: 2.508 V +'5': Programming device... + Match_cycle = 2. +done. +'5': Reading status register contents... +CRC error : 0 +Decryptor security set : 0 +DCM locked : 1 +DCI matched : 1 +End of startup signal from Startup block : 1 +status of GTS_CFG_B : 1 +status of GWE : 1 +status of GHIGH : 1 +value of MODE pin M0 : 1 +value of MODE pin M1 : 0 +Value of MODE pin M2 : 1 +Internal signal indicates when housecleaning is completed: 1 +Value driver in from INIT pad : 1 +Internal signal indicates that chip is configured : 1 +Value of DONE pin : 1 +Indicates when ID value written does not match chip ID: 0 +Decryptor error Signal : 0 +System Monitor Over-Temperature Alarm : 0 +startup_state[18] CFG startup state machine : 0 +startup_state[19] CFG startup state machine : 0 +startup_state[20] CFG startup state machine : 1 +E-fuse program voltage available : 0 +SPI Flash Type[22] Select : 1 +SPI Flash Type[23] Select : 1 +SPI Flash Type[24] Select : 1 +CFG bus width auto detection result : 0 +CFG bus width auto detection result : 0 +Reserved : 0 +BPI address wrap around error : 0 +IPROG pulsed : 0 +read back crc error : 0 +Indicates that efuse logic is busy : 0 + Match_cycle = 2. +'5': Programmed successfully. +Elapsed time = 11 sec. +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +INFO:iMPACT:2219 - Status register values: +INFO:iMPACT - 0011 1111 1011 1110 0000 1011 1000 0000 +INFO:iMPACT:579 - '5': Completed downloading bit file to device. +INFO:iMPACT - '5': Programing completed successfully. +INFO:iMPACT - '5': Checking done pin....done. + + + +Done! + +At Local date and time: Wed Jul 01 10:07:40 2009 + make -f system.make program started... + +********************************************* +Creating software libraries... +********************************************* +libgen -mhs system.mhs -p xc5vfx70tff1136-1 -msg __xps/ise/xmsgprops.lst system.mss +libgen +Xilinx EDK 11.2 Build EDK_LS3.47 +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. + +Command Line: libgen -mhs system.mhs -p xc5vfx70tff1136-1 -msg +__xps/ise/xmsgprops.lst system.mss + +Release 11.2 - psf2Edward EDK_LS3.47 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.m + hs line 253 - deprecated core for architecture 'virtex5fx'! +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.m + hs line 298 - deprecated core for architecture 'virtex5fx'! +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.m + hs line 253 - deprecated core for architecture 'virtex5fx'! +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.m + hs line 298 - deprecated core for architecture 'virtex5fx'! + +Checking platform configuration ... +IPNAME:plb_v46 INSTANCE:plb_v46_0 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 109 - 1 master(s) : 12 slave(s) +IPNAME:plb_v46 INSTANCE:ppc440_0_SPLB0 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 290 - 1 master(s) : 1 slave(s) +IPNAME:fcb_v20 INSTANCE:ppc440_0_fcb_v20 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 394 - 1 master(s) : 1 slave(s) + +Checking port drivers... +WARNING:EDK:2099 - PORT:Peripheral_Reset CONNECTOR:sys_periph_reset - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.m + hs line 461 - floating connection! + +Performing Clock DRCs... + +Performing Reset DRCs... + +Overriding system level properties... + +Running system level update procedures... + +Running UPDATE Tcl procedures for OPTION SYSLEVEL_UPDATE_PROC... + +Running system level DRCs... + +Performing System level DRCs on properties... + +Running DRC Tcl procedures for OPTION SYSLEVEL_DRC_PROC... +WARNING:EDK:411 - pcie - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.m + ss line 77 - deprecated driver! +WARNING:EDK:411 - emaclite - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.m + ss line 83 - deprecated driver! +INFO:EDK:1740 - List of peripherals connected to processor instance ppc440_0: + - DDR2_SDRAM + - DIP_Switches_8Bit + - Ethernet_MAC + - IIC_EEPROM + - LEDs_8Bit + - LEDs_Positions + - PCIe_Bridge + - Push_Buttons_5Bit + - RS232_Uart_1 + - SRAM + - SysACE_CompactFlash + - ppc440_0_apu_fpu_virtex5 + - xps_bram_if_cntlr_1 + - xps_intc_0 + +-- Generating libraries for processor: ppc440_0 -- + + +Staging source files. +Running DRCs. +Running generate. +Running post_generate. +Running include - 'make -s include "COMPILER=powerpc-eabi-gcc" +"ARCHIVER=powerpc-eabi-ar" "COMPILER_FLAGS=-mfpu=dp_full -mcpu=440 -O2 -c" +"EXTRA_COMPILER_FLAGS=-g"'. + +Running libs - 'make -s libs "COMPILER=powerpc-eabi-gcc" +"ARCHIVER=powerpc-eabi-ar" "COMPILER_FLAGS=-mfpu=dp_full -mcpu=440 -O2 -c" +"EXTRA_COMPILER_FLAGS=-g"'. +Compiling common +powerpc-eabi-ar: creating ../../../lib/libxil.a + +Compiling lldma +Compiling standalone +Compiling gpio +Compiling emaclite +Compiling iic +Compiling pci +Compiling uartlite +Compiling sysace +Compiling intc +Compiling cpu_ppc440 +Running execs_generate. +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +powerpc-eabi-size RTOSDemo/executable.elf + text data bss dec hex filename + 51202 372 87844 139418 2209a RTOSDemo/executable.elf + + +Done! + +At Local date and time: Wed Jul 01 11:26:01 2009 + make -f system.make download started... + +********************************************* +Downloading Bitstream onto the target board +********************************************* +impact -batch etc/download.cmd +Release 11.2 - iMPACT L.46 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +Preference Table +Name Setting +StartupClock Auto_Correction +AutoSignature False +KeepSVF False +ConcurrentMode False +UseHighz False +ConfigOnFailure Stop +UserLevel Novice +MessageLevel Detailed +svfUseTime false +SpiByteSwap Auto_Correction +AutoDetecting cable. Please wait. +Connecting to cable (Usb Port - USB21). +Checking cable driver. + Driver file xusb_xp2.sys found. + Driver version: src=2301, dest=2301. + Driver windrvr6.sys version = 9.0.0.0. WinDriver v9.00 Jungo (c) 1997 - 2007 Build Date: Mar 27 2007 X86 32bit SYS +13:58:07, version = 900. + Cable PID = 0008. + Max current requested during enumeration is 300 mA. +Type = 0x0005. + Cable Type = 3, Revision = 0. + Setting cable speed to 6 MHz. +Cable connection established. +Firmware version = 2401. +File version of c:/devtools/Xilinx/11.1/ISE/data/xusb_xp2.hex = 2401. +Firmware hex file version = 2401. +PLD file version = 200Dh. + PLD version = 200Dh. +Identifying chain contents...'0': : Manufacturer's ID = Xilinx xc5vfx70t, Version : 6 +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/virtex5/data/xc5vfx70t.bsd... + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'1': : Manufacturer's ID = Xilinx xccace, Version : 0 +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'2': : Manufacturer's ID = Xilinx xc95144xl, Version : 5 +INFO:iMPACT:501 - '1': Added Device xc5vfx70t successfully. +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/acecf/data/xccace.bsd... +INFO:iMPACT:501 - '1': Added Device xccace successfully. + +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/xc9500xl/data/xc95144xl.bsd... + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'3': : Manufacturer's ID = Xilinx xcf32p, Version : 15 +INFO:iMPACT:501 - '1': Added Device xc95144xl successfully. + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'4': : Manufacturer's ID = Xilinx xcf32p, Version : 15 +---------------------------------------------------------------------- +---------------------------------------------------------------------- +done. +Elapsed time = 1 sec. +Elapsed time = 0 sec. +'5': Loading file 'implementation/download.bit' ... +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/xcfp/data/xcf32p.bsd... +INFO:iMPACT:501 - '1': Added Device xcf32p successfully. +INFO:iMPACT:501 - '1': Added Device xcf32p successfully. + +done. +UserID read from the bitstream file = 0xFFFFFFFF. +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +Maximum TCK operating frequency for this device chain: 10000000. +Validating chain... +Boundary-scan chain validated successfully. +5: Device Temperature: Current Reading: 33.15 C, Min. Reading: 30.69 C, Max. +Reading: 33.64 C +5: VCCINT Supply: Current Reading: 0.999 V, Min. Reading: 0.999 V, Max. +Reading: 1.002 V +5: VCCAUX Supply: Current Reading: 2.505 V, Min. Reading: 2.502 V, Max. +Reading: 2.508 V +INFO:iMPACT:501 - '5': Added Device xc5vfx70t successfully. + +'5': Programming device... + Match_cycle = 2. +done. +'5': Reading status register contents... +CRC error : 0 +Decryptor security set : 0 +DCM locked : 1 +DCI matched : 1 +End of startup signal from Startup block : 1 +status of GTS_CFG_B : 1 +status of GWE : 1 +status of GHIGH : 1 +value of MODE pin M0 : 1 +value of MODE pin M1 : 0 +Value of MODE pin M2 : 1 +Internal signal indicates when housecleaning is completed: 1 +Value driver in from INIT pad : 1 +Internal signal indicates that chip is configured : 1 +Value of DONE pin : 1 +Indicates when ID value written does not match chip ID: 0 +Decryptor error Signal : 0 +System Monitor Over-Temperature Alarm : 0 +startup_state[18] CFG startup state machine : 0 +startup_state[19] CFG startup state machine : 0 +startup_state[20] CFG startup state machine : 1 +E-fuse program voltage available : 0 +SPI Flash Type[22] Select : 1 +SPI Flash Type[23] Select : 1 +SPI Flash Type[24] Select : 1 +CFG bus width auto detection result : 0 +CFG bus width auto detection result : 0 +Reserved : 0 +BPI address wrap around error : 0 +IPROG pulsed : 0 +read back crc error : 0 +Indicates that efuse logic is busy : 0 + Match_cycle = 2. +'5': Programmed successfully. +Elapsed time = 11 sec. +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +INFO:iMPACT:2219 - Status register values: +INFO:iMPACT - 0011 1111 1011 1110 0000 1011 1000 0000 +INFO:iMPACT:579 - '5': Completed downloading bit file to device. +INFO:iMPACT - '5': Programing completed successfully. +INFO:iMPACT - '5': Checking done pin....done. + + + +Done! + +start xbash -noblock -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; xmd -xmp system.xmp -opt etc/xmd_ppc440_0.opt -lp /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; exit;" + +Done. + +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs line 253 - deprecated core for architecture 'virtex5fx'! + +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs line 298 - deprecated core for architecture 'virtex5fx'! + +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs line 253 - deprecated core for architecture 'virtex5fx'! + +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs line 298 - deprecated core for architecture 'virtex5fx'! + +start xbash -noblock -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; xmd -xmp system.xmp -opt etc/xmd_ppc440_0.opt -lp /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; exit;" + +Done. + +Done. + +Done. + +Done. + +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs line 253 - deprecated core for architecture 'virtex5fx'! + +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs line 298 - deprecated core for architecture 'virtex5fx'! + +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs line 253 - deprecated core for architecture 'virtex5fx'! + +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs line 298 - deprecated core for architecture 'virtex5fx'! + +Done. + +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs line 253 - deprecated core for architecture 'virtex5fx'! + +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs line 298 - deprecated core for architecture 'virtex5fx'! + +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs line 253 - deprecated core for architecture 'virtex5fx'! + +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs line 298 - deprecated core for architecture 'virtex5fx'! + +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs line 253 - deprecated core for architecture 'virtex5fx'! + +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs line 298 - deprecated core for architecture 'virtex5fx'! + +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs line 253 - deprecated core for architecture 'virtex5fx'! + +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs line 298 - deprecated core for architecture 'virtex5fx'! + +Done. + +Done. + +Done. + +Writing filter settings.... + +Done writing filter settings to: + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\__xps\system.filters + +Done writing Tab View settings to: + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\__xps\system.gui + +Xilinx Platform Studio (XPS) +Xilinx EDK 11.2 Build EDK_LS3.47 + +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. + +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\_xps_tempmhsfilename.mhs line 239 - deprecated core for architecture 'virtex5fx'! + +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\_xps_tempmhsfilename.mhs line 284 - deprecated core for architecture 'virtex5fx'! + +Generating Block Diagram to Buffer + +Generated Block Diagram SVG + +start xbash -noblock -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; xmd -xmp system.xmp -opt etc/xmd_ppc440_0.opt -lp /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; exit;" + +start xbash -noblock -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; xmd -xmp system.xmp -opt etc/xmd_ppc440_0.opt -lp /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; exit;" + +Done. + +start xbash -noblock -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; xmd -xmp system.xmp -opt etc/xmd_ppc440_0.opt -lp /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; exit;" + +start xbash -noblock -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; xmd -xmp system.xmp -opt etc/xmd_ppc440_0.opt -lp /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; exit;" + +Writing filter settings.... + +Done writing filter settings to: + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\__xps\system.filters + +Done writing Tab View settings to: + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\__xps\system.gui + +Xilinx Platform Studio (XPS) +Xilinx EDK 11.2 Build EDK_LS3.47 + +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. + +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\_xps_tempmhsfilename.mhs line 239 - deprecated core for architecture 'virtex5fx'! + +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\_xps_tempmhsfilename.mhs line 284 - deprecated core for architecture 'virtex5fx'! + +Generating Block Diagram to Buffer + +Generated Block Diagram SVG + +start xbash -noblock -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; xmd -xmp system.xmp -opt etc/xmd_ppc440_0.opt -lp /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; exit;" + +start xbash -noblock -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; xmd -xmp system.xmp -opt etc/xmd_ppc440_0.opt -lp /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; exit;" + +Done. + +Writing filter settings.... + +Done writing filter settings to: + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\__xps\system.filters + +Done writing Tab View settings to: + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\__xps\system.gui + +Xilinx Platform Studio (XPS) +Xilinx EDK 11.2 Build EDK_LS3.47 + +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. + +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\_xps_tempmhsfilename.mhs line 239 - deprecated core for architecture 'virtex5fx'! + +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\_xps_tempmhsfilename.mhs line 284 - deprecated core for architecture 'virtex5fx'! + +Generating Block Diagram to Buffer + +Generated Block Diagram SVG + +At Local date and time: Wed Jul 01 17:11:24 2009 + make -f system.make download started... + +********************************************* +Downloading Bitstream onto the target board +********************************************* +impact -batch etc/download.cmd +Release 11.2 - iMPACT L.46 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +Preference Table +Name Setting +StartupClock Auto_Correction +AutoSignature False +KeepSVF False +ConcurrentMode False +UseHighz False +ConfigOnFailure Stop +UserLevel Novice +MessageLevel Detailed +svfUseTime false +SpiByteSwap Auto_Correction +AutoDetecting cable. Please wait. +Connecting to cable (Usb Port - USB21). +Checking cable driver. + Driver file xusb_xp2.sys found. + Driver version: src=2301, dest=2301. + Driver windrvr6.sys version = 9.0.0.0. WinDriver v9.00 Jungo (c) 1997 - 2007 Build Date: Mar 27 2007 X86 32bit SYS +13:58:07, version = 900. + Cable PID = 0008. + Max current requested during enumeration is 150 mA. +Type = 0x0005. + Cable Type = 3, Revision = 0. + Setting cable speed to 6 MHz. +Cable connection established. +Firmware version = 2401. +File version of c:/devtools/Xilinx/11.1/ISE/data/xusb_xp2.hex = 2401. +Firmware hex file version = 2401. +PLD file version = 200Dh. + PLD version = 200Dh. +Identifying chain contents...'0': : Manufacturer's ID = Xilinx xc5vfx70t, Version : 6 +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/virtex5/data/xc5vfx70t.bsd... + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'1': : Manufacturer's ID = Xilinx xccace, Version : 0 +INFO:iMPACT:501 - '1': Added Device xc5vfx70t successfully. +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/acecf/data/xccace.bsd... + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'2': : Manufacturer's ID = Xilinx xc95144xl, Version : 5 +INFO:iMPACT:501 - '1': Added Device xccace successfully. + +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/xc9500xl/data/xc95144xl.bsd... + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'3': : Manufacturer's ID = Xilinx xcf32p, Version : 15 +INFO:iMPACT:501 - '1': Added Device xc95144xl successfully. + +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/xcfp/data/xcf32p.bsd... + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'4': : Manufacturer's ID = Xilinx xcf32p, Version : 15 +---------------------------------------------------------------------- +---------------------------------------------------------------------- +done. +Elapsed time = 3 sec. +Elapsed time = 0 sec. +'5': Loading file 'implementation/download.bit' ... +INFO:iMPACT:501 - '1': Added Device xcf32p successfully. +INFO:iMPACT:501 - '1': Added Device xcf32p successfully. + +done. +UserID read from the bitstream file = 0xFFFFFFFF. +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +Maximum TCK operating frequency for this device chain: 10000000. +Validating chain... +Boundary-scan chain validated successfully. +5: Device Temperature: Current Reading: 42.99 C, Min. Reading: 34.13 C, Max. +Reading: 42.99 C +5: VCCINT Supply: Current Reading: 0.999 V, Min. Reading: 0.999 V, Max. +Reading: 1.002 V +5: VCCAUX Supply: Current Reading: 2.505 V, Min. Reading: 2.502 V, Max. +Reading: 2.505 V +INFO:iMPACT:501 - '5': Added Device xc5vfx70t successfully. + +'5': Programming device... + Match_cycle = 2. +done. +'5': Reading status register contents... +CRC error : 0 +Decryptor security set : 0 +DCM locked : 1 +DCI matched : 1 +End of startup signal from Startup block : 1 +status of GTS_CFG_B : 1 +status of GWE : 1 +status of GHIGH : 1 +value of MODE pin M0 : 1 +value of MODE pin M1 : 0 +Value of MODE pin M2 : 1 +Internal signal indicates when housecleaning is completed: 1 +Value driver in from INIT pad : 1 +Internal signal indicates that chip is configured : 1 +Value of DONE pin : 1 +Indicates when ID value written does not match chip ID: 0 +Decryptor error Signal : 0 +System Monitor Over-Temperature Alarm : 0 +startup_state[18] CFG startup state machine : 0 +startup_state[19] CFG startup state machine : 0 +startup_state[20] CFG startup state machine : 1 +E-fuse program voltage available : 0 +SPI Flash Type[22] Select : 1 +SPI Flash Type[23] Select : 1 +SPI Flash Type[24] Select : 1 +CFG bus width auto detection result : 0 +CFG bus width auto detection result : 0 +Reserved : 0 +BPI address wrap around error : 0 +IPROG pulsed : 0 +read back crc error : 0 +Indicates that efuse logic is busy : 0 + Match_cycle = 2. +'5': Programmed successfully. +Elapsed time = 12 sec. +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +INFO:iMPACT:2219 - Status register values: +INFO:iMPACT - 0011 1111 1011 1110 0000 1011 1000 0000 +INFO:iMPACT:579 - '5': Completed downloading bit file to device. +INFO:iMPACT - '5': Programing completed successfully. +INFO:iMPACT - '5': Checking done pin....done. + +---------------------------------------------------------------------- +---------------------------------------------------------------------- + + +Done! + +start xbash -noblock -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; xmd -xmp system.xmp -opt etc/xmd_ppc440_0.opt -lp /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; exit;" + +Done. + +start xbash -noblock -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; xmd -xmp system.xmp -opt etc/xmd_ppc440_0.opt -lp /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; exit;" + +Done. + +At Local date and time: Wed Jul 01 17:17:34 2009 + make -f system.make download started... + +********************************************* +Downloading Bitstream onto the target board +********************************************* +impact -batch etc/download.cmd +Release 11.2 - iMPACT L.46 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +Preference Table +Name Setting +StartupClock Auto_Correction +AutoSignature False +KeepSVF False +ConcurrentMode False +UseHighz False +ConfigOnFailure Stop +UserLevel Novice +MessageLevel Detailed +svfUseTime false +SpiByteSwap Auto_Correction +AutoDetecting cable. Please wait. +Connecting to cable (Usb Port - USB21). +Checking cable driver. + Driver file xusb_xp2.sys found. + Driver version: src=2301, dest=2301. + Driver windrvr6.sys version = 9.0.0.0. WinDriver v9.00 Jungo (c) 1997 - 2007 Build Date: Mar 27 2007 X86 32bit SYS +13:58:07, version = 900. + Cable PID = 0008. + Max current requested during enumeration is 150 mA. +Type = 0x0005. + Cable Type = 3, Revision = 0. + Setting cable speed to 6 MHz. +Cable connection established. +Firmware version = 2401. +File version of c:/devtools/Xilinx/11.1/ISE/data/xusb_xp2.hex = 2401. +Firmware hex file version = 2401. +PLD file version = 200Dh. + PLD version = 200Dh. +Identifying chain contents...'0': : Manufacturer's ID = Xilinx xc5vfx70t, Version : 6 +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/virtex5/data/xc5vfx70t.bsd... + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'1': : Manufacturer's ID = Xilinx xccace, Version : 0 +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'2': : Manufacturer's ID = Xilinx xc95144xl, Version : 5 +INFO:iMPACT:501 - '1': Added Device xc5vfx70t successfully. +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/acecf/data/xccace.bsd... +INFO:iMPACT:501 - '1': Added Device xccace successfully. + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'3': : Manufacturer's ID = Xilinx xcf32p, Version : 15 +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'4': : Manufacturer's ID = Xilinx xcf32p, Version : 15 +---------------------------------------------------------------------- +---------------------------------------------------------------------- +done. +Elapsed time = 0 sec. +Elapsed time = 0 sec. +'5': Loading file 'implementation/download.bit' ... +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/xc9500xl/data/xc95144xl.bsd... +INFO:iMPACT:501 - '1': Added Device xc95144xl successfully. +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/xcfp/data/xcf32p.bsd... +INFO:iMPACT:501 - '1': Added Device xcf32p successfully. +INFO:iMPACT:501 - '1': Added Device xcf32p successfully. + +done. +UserID read from the bitstream file = 0xFFFFFFFF. +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +Maximum TCK operating frequency for this device chain: 10000000. +Validating chain... +Boundary-scan chain validated successfully. +5: Device Temperature: Current Reading: 62.68 C, Min. Reading: 62.68 C, Max. +Reading: 66.13 C +5: VCCINT Supply: Current Reading: 0.999 V, Min. Reading: 0.996 V, Max. +Reading: 0.999 V +5: VCCAUX Supply: Current Reading: 2.502 V, Min. Reading: 2.502 V, Max. +Reading: 2.505 V +INFO:iMPACT:501 - '5': Added Device xc5vfx70t successfully. + +'5': Programming device... + Match_cycle = 2. +done. +'5': Reading status register contents... +CRC error : 0 +Decryptor security set : 0 +DCM locked : 1 +DCI matched : 1 +End of startup signal from Startup block : 1 +status of GTS_CFG_B : 1 +status of GWE : 1 +status of GHIGH : 1 +value of MODE pin M0 : 1 +value of MODE pin M1 : 0 +Value of MODE pin M2 : 1 +Internal signal indicates when housecleaning is completed: 1 +Value driver in from INIT pad : 1 +Internal signal indicates that chip is configured : 1 +Value of DONE pin : 1 +Indicates when ID value written does not match chip ID: 0 +Decryptor error Signal : 0 +System Monitor Over-Temperature Alarm : 0 +startup_state[18] CFG startup state machine : 0 +startup_state[19] CFG startup state machine : 0 +startup_state[20] CFG startup state machine : 1 +E-fuse program voltage available : 0 +SPI Flash Type[22] Select : 1 +SPI Flash Type[23] Select : 1 +SPI Flash Type[24] Select : 1 +CFG bus width auto detection result : 0 +CFG bus width auto detection result : 0 +Reserved : 0 +BPI address wrap around error : 0 +IPROG pulsed : 0 +read back crc error : 0 +Indicates that efuse logic is busy : 0 + Match_cycle = 2. +'5': Programmed successfully. +Elapsed time = 11 sec. +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +INFO:iMPACT:2219 - Status register values: +INFO:iMPACT - 0011 1111 1011 1110 0000 1011 1000 0000 +INFO:iMPACT:579 - '5': Completed downloading bit file to device. +INFO:iMPACT - '5': Programing completed successfully. +INFO:iMPACT - '5': Checking done pin....done. + + + +Done! + +start xbash -noblock -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; xmd -xmp system.xmp -opt etc/xmd_ppc440_0.opt -lp /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; exit;" + +Done. + +Done. + +Done. + +Done. + +start xbash -noblock -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; xmd -xmp system.xmp -opt etc/xmd_ppc440_0.opt -lp /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; exit;" + +Writing filter settings.... + +Done writing filter settings to: + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\__xps\system.filters + +Done writing Tab View settings to: + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\__xps\system.gui + +Xilinx Platform Studio (XPS) +Xilinx EDK 11.2 Build EDK_LS3.47 + +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. + +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\_xps_tempmhsfilename.mhs line 239 - deprecated core for architecture 'virtex5fx'! + +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\_xps_tempmhsfilename.mhs line 284 - deprecated core for architecture 'virtex5fx'! + +Generating Block Diagram to Buffer + +Generated Block Diagram SVG + +start xbash -noblock -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; xmd -xmp system.xmp -opt etc/xmd_ppc440_0.opt -lp /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; exit;" + +Writing filter settings.... + +Done writing filter settings to: + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\__xps\system.filters + +Done writing Tab View settings to: + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\__xps\system.gui + +Xilinx Platform Studio (XPS) +Xilinx EDK 11.2 Build EDK_LS3.47 + +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. + +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\_xps_tempmhsfilename.mhs line 239 - deprecated core for architecture 'virtex5fx'! + +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\_xps_tempmhsfilename.mhs line 284 - deprecated core for architecture 'virtex5fx'! + +Generating Block Diagram to Buffer + +Generated Block Diagram SVG + +start xbash -noblock -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; xmd -xmp system.xmp -opt etc/xmd_ppc440_0.opt -lp /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; exit;" + +At Local date and time: Wed Jul 01 18:45:58 2009 + make -f system.make download started... + +********************************************* +Downloading Bitstream onto the target board +********************************************* +impact -batch etc/download.cmd +Release 11.2 - iMPACT L.46 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +Preference Table +Name Setting +StartupClock Auto_Correction +AutoSignature False +KeepSVF False +ConcurrentMode False +UseHighz False +ConfigOnFailure Stop +UserLevel Novice +MessageLevel Detailed +svfUseTime false +SpiByteSwap Auto_Correction +AutoDetecting cable. Please wait. +Connecting to cable (Usb Port - USB21). +Checking cable driver. + Driver file xusb_xp2.sys found. + Driver version: src=2301, dest=2301. + Driver windrvr6.sys version = 9.0.0.0. WinDriver v9.00 Jungo (c) 1997 - 2007 Build Date: Mar 27 2007 X86 32bit SYS +13:58:07, version = 900. + Cable PID = 0008. + Max current requested during enumeration is 300 mA. +Type = 0x0005. + Cable Type = 3, Revision = 0. + Setting cable speed to 6 MHz. +Cable connection established. +Firmware version = 2401. +File version of c:/devtools/Xilinx/11.1/ISE/data/xusb_xp2.hex = 2401. +Firmware hex file version = 2401. +PLD file version = 200Dh. + PLD version = 200Dh. +Identifying chain contents...'0': : Manufacturer's ID = Xilinx xc5vfx70t, Version : 6 +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/virtex5/data/xc5vfx70t.bsd... + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'1': : Manufacturer's ID = Xilinx xccace, Version : 0 +INFO:iMPACT:501 - '1': Added Device xc5vfx70t successfully. + +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/acecf/data/xccace.bsd... + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'2': : Manufacturer's ID = Xilinx xc95144xl, Version : 5 +INFO:iMPACT:501 - '1': Added Device xccace successfully. + +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/xc9500xl/data/xc95144xl.bsd... + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'3': : Manufacturer's ID = Xilinx xcf32p, Version : 15 +INFO:iMPACT:501 - '1': Added Device xc95144xl successfully. + +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/xcfp/data/xcf32p.bsd... + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'4': : Manufacturer's ID = Xilinx xcf32p, Version : 15 +INFO:iMPACT:501 - '1': Added Device xcf32p successfully. + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +done. +Elapsed time = 3 sec. +Elapsed time = 0 sec. +'5': Loading file 'implementation/download.bit' ... +INFO:iMPACT:501 - '1': Added Device xcf32p successfully. + +done. +UserID read from the bitstream file = 0xFFFFFFFF. +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +INFO:iMPACT:501 - '5': Added Device xc5vfx70t successfully. + +Maximum TCK operating frequency for this device chain: 10000000. +Validating chain... +Boundary-scan chain validated successfully. +5: Device Temperature: Current Reading: 42.99 C, Min. Reading: 37.58 C, Max. +Reading: 42.99 C +5: VCCINT Supply: Current Reading: 0.999 V, Min. Reading: 0.999 V, Max. +Reading: 0.999 V +5: VCCAUX Supply: Current Reading: 2.505 V, Min. Reading: 2.502 V, Max. +Reading: 2.505 V +'5': Programming device... + Match_cycle = 2. +done. +'5': Reading status register contents... +CRC error : 0 +Decryptor security set : 0 +DCM locked : 1 +DCI matched : 1 +End of startup signal from Startup block : 1 +status of GTS_CFG_B : 1 +status of GWE : 1 +status of GHIGH : 1 +value of MODE pin M0 : 1 +value of MODE pin M1 : 0 +Value of MODE pin M2 : 1 +Internal signal indicates when housecleaning is completed: 1 +Value driver in from INIT pad : 1 +Internal signal indicates that chip is configured : 1 +Value of DONE pin : 1 +Indicates when ID value written does not match chip ID: 0 +Decryptor error Signal : 0 +System Monitor Over-Temperature Alarm : 0 +startup_state[18] CFG startup state machine : 0 +startup_state[19] CFG startup state machine : 0 +startup_state[20] CFG startup state machine : 1 +E-fuse program voltage available : 0 +SPI Flash Type[22] Select : 1 +SPI Flash Type[23] Select : 1 +SPI Flash Type[24] Select : 1 +CFG bus width auto detection result : 0 +CFG bus width auto detection result : 0 +Reserved : 0 +BPI address wrap around error : 0 +IPROG pulsed : 0 +read back crc error : 0 +Indicates that efuse logic is busy : 0 + Match_cycle = 2. +'5': Programmed successfully. +Elapsed time = 11 sec. +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +INFO:iMPACT:2219 - Status register values: +INFO:iMPACT - 0011 1111 1011 1110 0000 1011 1000 0000 +INFO:iMPACT:579 - '5': Completed downloading bit file to device. +INFO:iMPACT - '5': Programing completed successfully. +INFO:iMPACT - '5': Checking done pin....done. + + + +Done! + +start xbash -noblock -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; xmd -xmp system.xmp -opt etc/xmd_ppc440_0.opt -lp /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; exit;" + +Done. + +Writing filter settings.... + +Done writing filter settings to: + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\__xps\system.filters + +Done writing Tab View settings to: + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\__xps\system.gui + +Xilinx Platform Studio (XPS) +Xilinx EDK 11.2 Build EDK_LS3.47 + +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. + +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\_xps_tempmhsfilename.mhs line 239 - deprecated core for architecture 'virtex5fx'! + +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\_xps_tempmhsfilename.mhs line 284 - deprecated core for architecture 'virtex5fx'! + +Generating Block Diagram to Buffer + +Generated Block Diagram SVG + +At Local date and time: Thu Jul 02 09:58:07 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +powerpc-eabi-size RTOSDemo/executable.elf + text data bss dec hex filename + 51202 372 87844 139418 2209a RTOSDemo/executable.elf + + +Done! + +At Local date and time: Thu Jul 02 09:58:40 2009 + make -f system.make download started... + +********************************************* +Downloading Bitstream onto the target board +********************************************* +impact -batch etc/download.cmd +Release 11.2 - iMPACT L.46 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +Preference Table +Name Setting +StartupClock Auto_Correction +AutoSignature False +KeepSVF False +ConcurrentMode False +UseHighz False +ConfigOnFailure Stop +UserLevel Novice +MessageLevel Detailed +svfUseTime false +SpiByteSwap Auto_Correction +AutoDetecting cable. Please wait. +Connecting to cable (Usb Port - USB21). +Checking cable driver. + Driver file xusb_xp2.sys found. + Driver version: src=2301, dest=2301. + Driver windrvr6.sys version = 9.0.0.0. WinDriver v9.00 Jungo (c) 1997 - 2007 Build Date: Mar 27 2007 X86 32bit SYS +13:58:07, version = 900. + Cable PID = 0008. + Max current requested during enumeration is 300 mA. +Type = 0x0005. +write (count, cmdBuffer, dataBuffer) failed C0000004. + Cable Type = 3, Revision = 0. + Setting cable speed to 6 MHz. +Cable connection established. +Firmware version = 2301. +File version of c:/devtools/Xilinx/11.1/ISE/data/xusb_xp2.hex = 2401. +Firmware hex file version = 2401. +Downloading c:/devtools/Xilinx/11.1/ISE/data/xusb_xp2.hex. +Downloaded firmware version = 2401. +PLD file version = 200Dh. + PLD version = 200Dh. +Identifying chain contents...'0': : Manufacturer's ID = Xilinx xc5vfx70t, Version : 6 +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/virtex5/data/xc5vfx70t.bsd... + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'1': : Manufacturer's ID = Xilinx xccace, Version : 0 +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'2': : Manufacturer's ID = Xilinx xc95144xl, Version : 5 +INFO:iMPACT:501 - '1': Added Device xc5vfx70t successfully. +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/acecf/data/xccace.bsd... +INFO:iMPACT:501 - '1': Added Device xccace successfully. + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'3': : Manufacturer's ID = Xilinx xcf32p, Version : 15 +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/xc9500xl/data/xc95144xl.bsd... +INFO:iMPACT:501 - '1': Added Device xc95144xl successfully. + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'4': : Manufacturer's ID = Xilinx xcf32p, Version : 15 +---------------------------------------------------------------------- +---------------------------------------------------------------------- +done. +Elapsed time = 2 sec. +Elapsed time = 0 sec. +'5': Loading file 'implementation/download.bit' ... +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/xcfp/data/xcf32p.bsd... +INFO:iMPACT:501 - '1': Added Device xcf32p successfully. +INFO:iMPACT:501 - '1': Added Device xcf32p successfully. + +done. +UserID read from the bitstream file = 0xFFFFFFFF. +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +Maximum TCK operating frequency for this device chain: 10000000. +Validating chain... +Boundary-scan chain validated successfully. +5: Device Temperature: Current Reading: 32.16 C, Min. Reading: 30.20 C, Max. +Reading: 32.66 C +5: VCCINT Supply: Current Reading: 0.999 V, Min. Reading: 0.999 V, Max. +Reading: 1.002 V +5: VCCAUX Supply: Current Reading: 2.505 V, Min. Reading: 2.505 V, Max. +Reading: 2.508 V +INFO:iMPACT:501 - '5': Added Device xc5vfx70t successfully. + +'5': Programming device... + Match_cycle = 2. +done. +'5': Reading status register contents... +CRC error : 0 +Decryptor security set : 0 +DCM locked : 1 +DCI matched : 1 +End of startup signal from Startup block : 1 +status of GTS_CFG_B : 1 +status of GWE : 1 +status of GHIGH : 1 +value of MODE pin M0 : 1 +value of MODE pin M1 : 0 +Value of MODE pin M2 : 1 +Internal signal indicates when housecleaning is completed: 1 +Value driver in from INIT pad : 1 +Internal signal indicates that chip is configured : 1 +Value of DONE pin : 1 +Indicates when ID value written does not match chip ID: 0 +Decryptor error Signal : 0 +System Monitor Over-Temperature Alarm : 0 +startup_state[18] CFG startup state machine : 0 +startup_state[19] CFG startup state machine : 0 +startup_state[20] CFG startup state machine : 1 +E-fuse program voltage available : 0 +SPI Flash Type[22] Select : 1 +SPI Flash Type[23] Select : 1 +SPI Flash Type[24] Select : 1 +CFG bus width auto detection result : 0 +CFG bus width auto detection result : 0 +Reserved : 0 +BPI address wrap around error : 0 +IPROG pulsed : 0 +read back crc error : 0 +Indicates that efuse logic is busy : 0 + Match_cycle = 2. +'5': Programmed successfully. +Elapsed time = 11 sec. +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +INFO:iMPACT:2219 - Status register values: +INFO:iMPACT - 0011 1111 1011 1110 0000 1011 1000 0000 +INFO:iMPACT:579 - '5': Completed downloading bit file to device. +INFO:iMPACT - '5': Programing completed successfully. +INFO:iMPACT - '5': Checking done pin....done. + + + +Done! + +start xbash -noblock -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; xmd -xmp system.xmp -opt etc/xmd_ppc440_0.opt -lp /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; exit;" + +Done. + +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs line 253 - deprecated core for architecture 'virtex5fx'! + +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs line 298 - deprecated core for architecture 'virtex5fx'! + +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs line 253 - deprecated core for architecture 'virtex5fx'! + +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs line 298 - deprecated core for architecture 'virtex5fx'! + +start xbash -noblock -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; xmd -xmp system.xmp -opt etc/xmd_ppc440_0.opt -lp /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; exit;" + +At Local date and time: Thu Jul 02 10:23:31 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +powerpc-eabi-size RTOSDemo/executable.elf + text data bss dec hex filename + 50962 372 87844 139178 21faa RTOSDemo/executable.elf + + +Done! + +Done. + +start xbash -noblock -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; xmd -xmp system.xmp -opt etc/xmd_ppc440_0.opt -lp /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; exit;" + +At Local date and time: Thu Jul 02 10:27:44 2009 + make -f system.make download started... + +********************************************* +Downloading Bitstream onto the target board +********************************************* +impact -batch etc/download.cmd +Release 11.2 - iMPACT L.46 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +Preference Table +Name Setting +StartupClock Auto_Correction +AutoSignature False +KeepSVF False +ConcurrentMode False +UseHighz False +ConfigOnFailure Stop +UserLevel Novice +MessageLevel Detailed +svfUseTime false +SpiByteSwap Auto_Correction +AutoDetecting cable. Please wait. +Connecting to cable (Usb Port - USB21). +Checking cable driver. + Driver file xusb_xp2.sys found. + Driver version: src=2301, dest=2301. + Driver windrvr6.sys version = 9.0.0.0. WinDriver v9.00 Jungo (c) 1997 - 2007 Build Date: Mar 27 2007 X86 32bit SYS +13:58:07, version = 900. + Cable PID = 0008. + Max current requested during enumeration is 300 mA. +Type = 0x0005. + Cable Type = 3, Revision = 0. + Setting cable speed to 6 MHz. +Cable connection established. +Firmware version = 2401. +File version of c:/devtools/Xilinx/11.1/ISE/data/xusb_xp2.hex = 2401. +Firmware hex file version = 2401. +PLD file version = 200Dh. + PLD version = 200Dh. +Identifying chain contents...'0': : Manufacturer's ID = Xilinx xc5vfx70t, Version : 6 +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/virtex5/data/xc5vfx70t.bsd... + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'1': : Manufacturer's ID = Xilinx xccace, Version : 0 +INFO:iMPACT:501 - '1': Added Device xc5vfx70t successfully. + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'2': : Manufacturer's ID = Xilinx xc95144xl, Version : 5 +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/acecf/data/xccace.bsd... +INFO:iMPACT:501 - '1': Added Device xccace successfully. + +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/xc9500xl/data/xc95144xl.bsd... + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'3': : Manufacturer's ID = Xilinx xcf32p, Version : 15 +INFO:iMPACT:501 - '1': Added Device xc95144xl successfully. +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/xcfp/data/xcf32p.bsd... + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'4': : Manufacturer's ID = Xilinx xcf32p, Version : 15 +---------------------------------------------------------------------- +---------------------------------------------------------------------- +done. +Elapsed time = 1 sec. +Elapsed time = 0 sec. +'5': Loading file 'implementation/download.bit' ... +INFO:iMPACT:501 - '1': Added Device xcf32p successfully. +INFO:iMPACT:501 - '1': Added Device xcf32p successfully. + +done. +UserID read from the bitstream file = 0xFFFFFFFF. +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +Maximum TCK operating frequency for this device chain: 10000000. +Validating chain... +Boundary-scan chain validated successfully. +5: Device Temperature: Current Reading: 41.02 C, Min. Reading: 36.10 C, Max. +Reading: 41.02 C +5: VCCINT Supply: Current Reading: 0.999 V, Min. Reading: 0.999 V, Max. +Reading: 1.002 V +5: VCCAUX Supply: Current Reading: 2.502 V, Min. Reading: 2.502 V, Max. +Reading: 2.505 V +INFO:iMPACT:501 - '5': Added Device xc5vfx70t successfully. + +'5': Programming device... + Match_cycle = 2. +done. +'5': Reading status register contents... +CRC error : 0 +Decryptor security set : 0 +DCM locked : 1 +DCI matched : 1 +End of startup signal from Startup block : 1 +status of GTS_CFG_B : 1 +status of GWE : 1 +status of GHIGH : 1 +value of MODE pin M0 : 1 +value of MODE pin M1 : 0 +Value of MODE pin M2 : 1 +Internal signal indicates when housecleaning is completed: 1 +Value driver in from INIT pad : 1 +Internal signal indicates that chip is configured : 1 +Value of DONE pin : 1 +Indicates when ID value written does not match chip ID: 0 +Decryptor error Signal : 0 +System Monitor Over-Temperature Alarm : 0 +startup_state[18] CFG startup state machine : 0 +startup_state[19] CFG startup state machine : 0 +startup_state[20] CFG startup state machine : 1 +E-fuse program voltage available : 0 +SPI Flash Type[22] Select : 1 +SPI Flash Type[23] Select : 1 +SPI Flash Type[24] Select : 1 +CFG bus width auto detection result : 0 +CFG bus width auto detection result : 0 +Reserved : 0 +BPI address wrap around error : 0 +IPROG pulsed : 0 +read back crc error : 0 +Indicates that efuse logic is busy : 0 + Match_cycle = 2. +'5': Programmed successfully. +Elapsed time = 11 sec. +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +INFO:iMPACT:2219 - Status register values: +INFO:iMPACT - 0011 1111 1011 1110 0000 1011 1000 0000 +INFO:iMPACT:579 - '5': Completed downloading bit file to device. +INFO:iMPACT - '5': Programing completed successfully. +INFO:iMPACT - '5': Checking done pin....done. + + + +Done! + +start xbash -noblock -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; xmd -xmp system.xmp -opt etc/xmd_ppc440_0.opt -lp /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; exit;" + +Done. + +At Local date and time: Thu Jul 02 11:09:53 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +powerpc-eabi-size RTOSDemo/executable.elf + text data bss dec hex filename + 51014 372 87852 139238 21fe6 RTOSDemo/executable.elf + + +Done! + +Done. + +At Local date and time: Thu Jul 02 11:19:46 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +powerpc-eabi-size RTOSDemo/executable.elf + text data bss dec hex filename + 50970 372 87852 139194 21fba RTOSDemo/executable.elf + + +Done! + +Done. + +At Local date and time: Thu Jul 02 11:36:56 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +powerpc-eabi-size RTOSDemo/executable.elf + text data bss dec hex filename + 50962 372 87844 139178 21faa RTOSDemo/executable.elf + + +Done! + +Done. + +At Local date and time: Thu Jul 02 11:45:58 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +powerpc-eabi-size RTOSDemo/executable.elf + text data bss dec hex filename + 51002 372 87852 139226 21fda RTOSDemo/executable.elf + + +Done! + +Done. + +At Local date and time: Thu Jul 02 11:50:02 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +powerpc-eabi-size RTOSDemo/executable.elf + text data bss dec hex filename + 51010 372 87860 139242 21fea RTOSDemo/executable.elf + + +Done! + +Done. + +At Local date and time: Thu Jul 02 11:55:33 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +powerpc-eabi-size RTOSDemo/executable.elf + text data bss dec hex filename + 51006 372 87860 139238 21fe6 RTOSDemo/executable.elf + + +Done! + +Done. + +At Local date and time: Thu Jul 02 13:28:01 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +powerpc-eabi-size RTOSDemo/executable.elf + text data bss dec hex filename + 51250 372 87860 139482 220da RTOSDemo/executable.elf + + +Done! + +Done. + +At Local date and time: Thu Jul 02 13:29:26 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +powerpc-eabi-size RTOSDemo/executable.elf + text data bss dec hex filename + 51242 372 87852 139466 220ca RTOSDemo/executable.elf + + +Done! + +Done. + +At Local date and time: Thu Jul 02 13:31:57 2009 + make -f system.make download started... + +********************************************* +Downloading Bitstream onto the target board +********************************************* +impact -batch etc/download.cmd +Release 11.2 - iMPACT L.46 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +Preference Table +Name Setting +StartupClock Auto_Correction +AutoSignature False +KeepSVF False +ConcurrentMode False +UseHighz False +ConfigOnFailure Stop +UserLevel Novice +MessageLevel Detailed +svfUseTime false +SpiByteSwap Auto_Correction +AutoDetecting cable. Please wait. +Connecting to cable (Usb Port - USB21). +Checking cable driver. + Driver file xusb_xp2.sys found. + Driver version: src=2301, dest=2301. + Driver windrvr6.sys version = 9.0.0.0. WinDriver v9.00 Jungo (c) 1997 - 2007 Build Date: Mar 27 2007 X86 32bit SYS +13:58:07, version = 900. + Cable PID = 0008. + Max current requested during enumeration is 300 mA. +Type = 0x0005. + Cable Type = 3, Revision = 0. + Setting cable speed to 6 MHz. +Cable connection established. +Firmware version = 2401. +File version of c:/devtools/Xilinx/11.1/ISE/data/xusb_xp2.hex = 2401. +Firmware hex file version = 2401. +PLD file version = 200Dh. + PLD version = 200Dh. +Identifying chain contents...'0': : Manufacturer's ID = Xilinx xc5vfx70t, Version : 6 +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/virtex5/data/xc5vfx70t.bsd... + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'1': : Manufacturer's ID = Xilinx xccace, Version : 0 +INFO:iMPACT:501 - '1': Added Device xc5vfx70t successfully. + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'2': : Manufacturer's ID = Xilinx xc95144xl, Version : 5 +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/acecf/data/xccace.bsd... +INFO:iMPACT:501 - '1': Added Device xccace successfully. + +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/xc9500xl/data/xc95144xl.bsd... + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'3': : Manufacturer's ID = Xilinx xcf32p, Version : 15 +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'4': : Manufacturer's ID = Xilinx xcf32p, Version : 15 +INFO:iMPACT:501 - '1': Added Device xc95144xl successfully. +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/xcfp/data/xcf32p.bsd... +INFO:iMPACT:501 - '1': Added Device xcf32p successfully. + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +done. +Elapsed time = 1 sec. +Elapsed time = 0 sec. +'5': Loading file 'implementation/download.bit' ... +INFO:iMPACT:501 - '1': Added Device xcf32p successfully. + +done. +UserID read from the bitstream file = 0xFFFFFFFF. +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +Maximum TCK operating frequency for this device chain: 10000000. +Validating chain... +Boundary-scan chain validated successfully. +5: Device Temperature: Current Reading: 74.00 C, Min. Reading: 41.02 C, Max. +Reading: 74.49 C +5: VCCINT Supply: Current Reading: 0.993 V, Min. Reading: 0.993 V, Max. +Reading: 1.002 V +5: VCCAUX Supply: Current Reading: 2.496 V, Min. Reading: 2.493 V, Max. +Reading: 2.505 V +INFO:iMPACT:501 - '5': Added Device xc5vfx70t successfully. + +'5': Programming device... + Match_cycle = 2. +done. +'5': Reading status register contents... +CRC error : 0 +Decryptor security set : 0 +DCM locked : 1 +DCI matched : 1 +End of startup signal from Startup block : 1 +status of GTS_CFG_B : 1 +status of GWE : 1 +status of GHIGH : 1 +value of MODE pin M0 : 1 +value of MODE pin M1 : 0 +Value of MODE pin M2 : 1 +Internal signal indicates when housecleaning is completed: 1 +Value driver in from INIT pad : 1 +Internal signal indicates that chip is configured : 1 +Value of DONE pin : 1 +Indicates when ID value written does not match chip ID: 0 +Decryptor error Signal : 0 +System Monitor Over-Temperature Alarm : 0 +startup_state[18] CFG startup state machine : 0 +startup_state[19] CFG startup state machine : 0 +startup_state[20] CFG startup state machine : 1 +E-fuse program voltage available : 0 +SPI Flash Type[22] Select : 1 +SPI Flash Type[23] Select : 1 +SPI Flash Type[24] Select : 1 +CFG bus width auto detection result : 0 +CFG bus width auto detection result : 0 +Reserved : 0 +BPI address wrap around error : 0 +IPROG pulsed : 0 +read back crc error : 0 +Indicates that efuse logic is busy : 0 + Match_cycle = 2. +'5': Programmed successfully. +Elapsed time = 11 sec. +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +INFO:iMPACT:2219 - Status register values: +INFO:iMPACT - 0011 1111 1011 1110 0000 1011 1000 0000 +INFO:iMPACT:579 - '5': Completed downloading bit file to device. +INFO:iMPACT - '5': Programing completed successfully. +INFO:iMPACT - '5': Checking done pin....done. + + + +Done! + +start xbash -noblock -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; xmd -xmp system.xmp -opt etc/xmd_ppc440_0.opt -lp /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; exit;" + +Done. + +start xbash -noblock -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; xmd -xmp system.xmp -opt etc/xmd_ppc440_0.opt -lp /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; exit;" + +At Local date and time: Thu Jul 02 13:35:43 2009 + make -f system.make download started... + +********************************************* +Downloading Bitstream onto the target board +********************************************* +impact -batch etc/download.cmd +Release 11.2 - iMPACT L.46 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +Preference Table +Name Setting +StartupClock Auto_Correction +AutoSignature False +KeepSVF False +ConcurrentMode False +UseHighz False +ConfigOnFailure Stop +UserLevel Novice +MessageLevel Detailed +svfUseTime false +SpiByteSwap Auto_Correction +AutoDetecting cable. Please wait. +Connecting to cable (Usb Port - USB21). +Checking cable driver. + Driver file xusb_xp2.sys found. + Driver version: src=2301, dest=2301. + Driver windrvr6.sys version = 9.0.0.0. WinDriver v9.00 Jungo (c) 1997 - 2007 Build Date: Mar 27 2007 X86 32bit SYS +13:58:07, version = 900. + Cable PID = 0008. + Max current requested during enumeration is 300 mA. +Type = 0x0005. + Cable Type = 3, Revision = 0. + Setting cable speed to 6 MHz. +Cable connection established. +Firmware version = 2401. +File version of c:/devtools/Xilinx/11.1/ISE/data/xusb_xp2.hex = 2401. +Firmware hex file version = 2401. +PLD file version = 200Dh. + PLD version = 200Dh. +Identifying chain contents...'0': : Manufacturer's ID = Xilinx xc5vfx70t, Version : 6 +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/virtex5/data/xc5vfx70t.bsd... + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'1': : Manufacturer's ID = Xilinx xccace, Version : 0 +INFO:iMPACT:501 - '1': Added Device xc5vfx70t successfully. + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'2': : Manufacturer's ID = Xilinx xc95144xl, Version : 5 +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/acecf/data/xccace.bsd... +INFO:iMPACT:501 - '1': Added Device xccace successfully. + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'3': : Manufacturer's ID = Xilinx xcf32p, Version : 15 +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/xc9500xl/data/xc95144xl.bsd... +INFO:iMPACT:501 - '1': Added Device xc95144xl successfully. + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'4': : Manufacturer's ID = Xilinx xcf32p, Version : 15 +---------------------------------------------------------------------- +---------------------------------------------------------------------- +done. +Elapsed time = 1 sec. +Elapsed time = 0 sec. +'5': Loading file 'implementation/download.bit' ... +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/xcfp/data/xcf32p.bsd... +INFO:iMPACT:501 - '1': Added Device xcf32p successfully. +INFO:iMPACT:501 - '1': Added Device xcf32p successfully. + +done. +UserID read from the bitstream file = 0xFFFFFFFF. +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +Maximum TCK operating frequency for this device chain: 10000000. +Validating chain... +Boundary-scan chain validated successfully. +5: Device Temperature: Current Reading: 73.02 C, Min. Reading: 70.06 C, Max. +Reading: 74.00 C +5: VCCINT Supply: Current Reading: 0.993 V, Min. Reading: 0.993 V, Max. +Reading: 0.999 V +5: VCCAUX Supply: Current Reading: 2.496 V, Min. Reading: 2.493 V, Max. +Reading: 2.502 V +INFO:iMPACT:501 - '5': Added Device xc5vfx70t successfully. + +'5': Programming device... + Match_cycle = 2. +done. +'5': Reading status register contents... +CRC error : 0 +Decryptor security set : 0 +DCM locked : 1 +DCI matched : 1 +End of startup signal from Startup block : 1 +status of GTS_CFG_B : 1 +status of GWE : 1 +status of GHIGH : 1 +value of MODE pin M0 : 1 +value of MODE pin M1 : 0 +Value of MODE pin M2 : 1 +Internal signal indicates when housecleaning is completed: 1 +Value driver in from INIT pad : 1 +Internal signal indicates that chip is configured : 1 +Value of DONE pin : 1 +Indicates when ID value written does not match chip ID: 0 +Decryptor error Signal : 0 +System Monitor Over-Temperature Alarm : 0 +startup_state[18] CFG startup state machine : 0 +startup_state[19] CFG startup state machine : 0 +startup_state[20] CFG startup state machine : 1 +E-fuse program voltage available : 0 +SPI Flash Type[22] Select : 1 +SPI Flash Type[23] Select : 1 +SPI Flash Type[24] Select : 1 +CFG bus width auto detection result : 0 +CFG bus width auto detection result : 0 +Reserved : 0 +BPI address wrap around error : 0 +IPROG pulsed : 0 +read back crc error : 0 +Indicates that efuse logic is busy : 0 + Match_cycle = 2. +'5': Programmed successfully. +Elapsed time = 12 sec. +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +INFO:iMPACT:2219 - Status register values: +INFO:iMPACT - 0011 1111 1011 1110 0000 1011 1000 0000 +INFO:iMPACT:579 - '5': Completed downloading bit file to device. +INFO:iMPACT - '5': Programing completed successfully. +INFO:iMPACT - '5': Checking done pin....done. + + + +Done! + +start xbash -noblock -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; xmd -xmp system.xmp -opt etc/xmd_ppc440_0.opt -lp /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; exit;" + +At Local date and time: Thu Jul 02 13:38:54 2009 + make -f system.make program started... + +make: Nothing to be done for `program'. + + +Done! + +At Local date and time: Thu Jul 02 13:39:15 2009 + make -f system.make programclean started... + +rm -f RTOSDemo/executable.elf + + +Done! + +At Local date and time: Thu Jul 02 13:39:21 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +powerpc-eabi-size RTOSDemo/executable.elf + text data bss dec hex filename + 50774 372 87852 138998 21ef6 RTOSDemo/executable.elf + + +Done! + +Done. + +At Local date and time: Thu Jul 02 13:52:39 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +powerpc-eabi-size RTOSDemo/executable.elf + text data bss dec hex filename + 50566 372 87852 138790 21e26 RTOSDemo/executable.elf + + +Done! + +At Local date and time: Thu Jul 02 13:53:08 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +powerpc-eabi-size RTOSDemo/executable.elf + text data bss dec hex filename + 50542 372 87860 138774 21e16 RTOSDemo/executable.elf + + +Done! + +Done. + +At Local date and time: Thu Jul 02 14:02:13 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +powerpc-eabi-size RTOSDemo/executable.elf + text data bss dec hex filename + 50222 372 87860 138454 21cd6 RTOSDemo/executable.elf + + +Done! + +At Local date and time: Thu Jul 02 14:20:50 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +powerpc-eabi-size RTOSDemo/executable.elf + text data bss dec hex filename + 50298 372 87852 138522 21d1a RTOSDemo/executable.elf + + +Done! + +At Local date and time: Thu Jul 02 15:31:05 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c: In function 'vSecondaryBlockTimeTestTask': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c:426: error: 'tskTCB' has no member named 'xEventTaskList' + +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c: In function 'vTaskSwitchContext': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c:1542: warning: passing argument 1 of 'vApplicationStackOverflowHook' from incompatible pointer type +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c:1543: warning: passing argument 1 of 'vApplicationStackOverflowHook' from incompatible pointer type + +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c: In function 'xQueueGiveMutexRecursive': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c:348: warning: comparison of distinct pointer types lacks a cast +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c: In function 'xQueueTakeMutexRecursive': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c:394: warning: comparison of distinct pointer types lacks a cast +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c: In function 'xQueueGenericReceive': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c:839: warning: assignment from incompatible pointer type + +make: *** [RTOSDemo/executable.elf] Error 1 + + + +Done! + +At Local date and time: Thu Jul 02 15:31:42 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c: In function 'vSecondaryBlockTimeTestTask': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c:426: error: 'tskTCB' has no member named 'xEventList' + +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c: In function 'vTaskSwitchContext': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c:1542: warning: passing argument 1 of 'vApplicationStackOverflowHook' from incompatible pointer type +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c:1543: warning: passing argument 1 of 'vApplicationStackOverflowHook' from incompatible pointer type + +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c: In function 'xQueueGiveMutexRecursive': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c:348: warning: comparison of distinct pointer types lacks a cast +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c: In function 'xQueueTakeMutexRecursive': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c:394: warning: comparison of distinct pointer types lacks a cast + +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c: In function 'xQueueGenericReceive': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c:839: warning: assignment from incompatible pointer type + +make: *** [RTOSDemo/executable.elf] Error 1 + + + +Done! + +At Local date and time: Thu Jul 02 15:32:24 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c: In function 'vTaskSwitchContext': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c:1542: warning: passing argument 1 of 'vApplicationStackOverflowHook' from incompatible pointer type +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c:1543: warning: passing argument 1 of 'vApplicationStackOverflowHook' from incompatible pointer type + +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c: In function 'xQueueGiveMutexRecursive': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c:348: warning: comparison of distinct pointer types lacks a cast +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c: In function 'xQueueTakeMutexRecursive': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c:394: warning: comparison of distinct pointer types lacks a cast +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c: In function 'xQueueGenericReceive': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c:839: warning: assignment from incompatible pointer type + +powerpc-eabi-size RTOSDemo/executable.elf + text data bss dec hex filename + 51246 372 87844 139462 220c6 RTOSDemo/executable.elf + + +Done! + +At Local date and time: Thu Jul 02 15:38:48 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c: In function 'vTaskSwitchContext': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c:1548: warning: passing argument 1 of 'vApplicationStackOverflowHook' from incompatible pointer type +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c:1549: warning: passing argument 1 of 'vApplicationStackOverflowHook' from incompatible pointer type +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c: In function 'vTaskPlaceOnEventList': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c:1591: error: 'xSecondary' undeclared (first use in this function) +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c:1591: error: (Each undeclared identifier is reported only once +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c:1591: error: for each function it appears in.) + +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c: In function 'xQueueGiveMutexRecursive': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c:348: warning: comparison of distinct pointer types lacks a cast +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c: In function 'xQueueTakeMutexRecursive': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c:394: warning: comparison of distinct pointer types lacks a cast +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c: In function 'xQueueGenericReceive': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c:839: warning: assignment from incompatible pointer type + +make: *** [RTOSDemo/executable.elf] Error 1 + + + +Done! + +At Local date and time: Thu Jul 02 15:46:20 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c: In function 'vTaskSwitchContext': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c:1548: warning: passing argument 1 of 'vApplicationStackOverflowHook' from incompatible pointer type +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c:1549: warning: passing argument 1 of 'vApplicationStackOverflowHook' from incompatible pointer type + +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c: In function 'vTaskPlaceOnEventList': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c:1591: error: 'xSecondary' undeclared (first use in this function) +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c:1591: error: (Each undeclared identifier is reported only once +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c:1591: error: for each function it appears in.) + +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c: In function 'xQueueGiveMutexRecursive': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c:348: warning: comparison of distinct pointer types lacks a cast +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c: In function 'xQueueTakeMutexRecursive': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c:394: warning: comparison of distinct pointer types lacks a cast +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c: In function 'xQueueGenericReceive': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c:839: warning: assignment from incompatible pointer type + +make: *** [RTOSDemo/executable.elf] Error 1 + + + +Done! + +At Local date and time: Thu Jul 02 15:47:05 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c: In function 'vTaskSwitchContext': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c:1550: warning: passing argument 1 of 'vApplicationStackOverflowHook' from incompatible pointer type +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c:1551: warning: passing argument 1 of 'vApplicationStackOverflowHook' from incompatible pointer type + +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c: In function 'xQueueGiveMutexRecursive': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c:348: warning: comparison of distinct pointer types lacks a cast +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c: In function 'xQueueTakeMutexRecursive': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c:394: warning: comparison of distinct pointer types lacks a cast +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c: In function 'xQueueGenericReceive': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c:839: warning: assignment from incompatible pointer type + +powerpc-eabi-size RTOSDemo/executable.elf + text data bss dec hex filename + 51334 372 87852 139558 22126 RTOSDemo/executable.elf + + +Done! + +At Local date and time: Thu Jul 02 15:48:10 2009 + make -f system.make download started... + +********************************************* +Downloading Bitstream onto the target board +********************************************* +impact -batch etc/download.cmd +Release 11.2 - iMPACT L.46 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +Preference Table +Name Setting +StartupClock Auto_Correction +AutoSignature False +KeepSVF False +ConcurrentMode False +UseHighz False +ConfigOnFailure Stop +UserLevel Novice +MessageLevel Detailed +svfUseTime false +SpiByteSwap Auto_Correction +AutoDetecting cable. Please wait. +Connecting to cable (Usb Port - USB21). +Checking cable driver. + Driver file xusb_xp2.sys found. + Driver version: src=2301, dest=2301. + Driver windrvr6.sys version = 9.0.0.0. WinDriver v9.00 Jungo (c) 1997 - 2007 Build Date: Mar 27 2007 X86 32bit SYS +13:58:07, version = 900. + Cable PID = 0008. + Max current requested during enumeration is 300 mA. +Type = 0x0005. + Cable Type = 3, Revision = 0. + Setting cable speed to 6 MHz. +Cable connection established. +Firmware version = 2401. +File version of c:/devtools/Xilinx/11.1/ISE/data/xusb_xp2.hex = 2401. +Firmware hex file version = 2401. +PLD file version = 200Dh. + PLD version = 200Dh. +Identifying chain contents...'0': : Manufacturer's ID = Xilinx xc5vfx70t, Version : 6 +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/virtex5/data/xc5vfx70t.bsd... + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'1': : Manufacturer's ID = Xilinx xccace, Version : 0 +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'2': : Manufacturer's ID = Xilinx xc95144xl, Version : 5 +INFO:iMPACT:501 - '1': Added Device xc5vfx70t successfully. +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/acecf/data/xccace.bsd... +INFO:iMPACT:501 - '1': Added Device xccace successfully. + +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/xc9500xl/data/xc95144xl.bsd... + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'3': : Manufacturer's ID = Xilinx xcf32p, Version : 15 +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'4': : Manufacturer's ID = Xilinx xcf32p, Version : 15 +---------------------------------------------------------------------- +---------------------------------------------------------------------- +done. +Elapsed time = 0 sec. +Elapsed time = 0 sec. +'5': Loading file 'implementation/download.bit' ... +INFO:iMPACT:501 - '1': Added Device xc95144xl successfully. +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/xcfp/data/xcf32p.bsd... +INFO:iMPACT:501 - '1': Added Device xcf32p successfully. +INFO:iMPACT:501 - '1': Added Device xcf32p successfully. + +done. +UserID read from the bitstream file = 0xFFFFFFFF. +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +Maximum TCK operating frequency for this device chain: 10000000. +Validating chain... +Boundary-scan chain validated successfully. +5: Device Temperature: Current Reading: 69.08 C, Min. Reading: 66.62 C, Max. +Reading: 75.48 C +5: VCCINT Supply: Current Reading: 0.993 V, Min. Reading: 0.990 V, Max. +Reading: 0.999 V +5: VCCAUX Supply: Current Reading: 2.496 V, Min. Reading: 2.493 V, Max. +Reading: 2.505 V +INFO:iMPACT:501 - '5': Added Device xc5vfx70t successfully. + +'5': Programming device... + Match_cycle = 2. +done. +'5': Reading status register contents... +CRC error : 0 +Decryptor security set : 0 +DCM locked : 1 +DCI matched : 1 +End of startup signal from Startup block : 1 +status of GTS_CFG_B : 1 +status of GWE : 1 +status of GHIGH : 1 +value of MODE pin M0 : 1 +value of MODE pin M1 : 0 +Value of MODE pin M2 : 1 +Internal signal indicates when housecleaning is completed: 1 +Value driver in from INIT pad : 1 +Internal signal indicates that chip is configured : 1 +Value of DONE pin : 1 +Indicates when ID value written does not match chip ID: 0 +Decryptor error Signal : 0 +System Monitor Over-Temperature Alarm : 0 +startup_state[18] CFG startup state machine : 0 +startup_state[19] CFG startup state machine : 0 +startup_state[20] CFG startup state machine : 1 +E-fuse program voltage available : 0 +SPI Flash Type[22] Select : 1 +SPI Flash Type[23] Select : 1 +SPI Flash Type[24] Select : 1 +CFG bus width auto detection result : 0 +CFG bus width auto detection result : 0 +Reserved : 0 +BPI address wrap around error : 0 +IPROG pulsed : 0 +read back crc error : 0 +Indicates that efuse logic is busy : 0 + Match_cycle = 2. +'5': Programmed successfully. +Elapsed time = 11 sec. +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +INFO:iMPACT:2219 - Status register values: +INFO:iMPACT - 0011 1111 1011 1110 0000 1011 1000 0000 +INFO:iMPACT:579 - '5': Completed downloading bit file to device. +INFO:iMPACT - '5': Programing completed successfully. +INFO:iMPACT - '5': Checking done pin....done. + + + +Done! + +start xbash -noblock -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; xmd -xmp system.xmp -opt etc/xmd_ppc440_0.opt -lp /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; exit;" + +At Local date and time: Thu Jul 02 15:52:34 2009 + make -f system.make program started... + +make: Nothing to be done for `program'. + + +Done! + +At Local date and time: Thu Jul 02 15:54:04 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c: In function 'vSecondaryBlockTimeTestTask': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c:426: warning: dereferencing 'void *' pointer +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c:426: error: request for member 'xEventListItem' in something not a structure or union + +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c: In function 'vTaskSuspend': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c:844: warning: dereferencing 'void *' pointer +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c:844: error: request for member 'xEventListItem' in something not a structure or union + +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c: In function 'vTaskPlaceOnEventList': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c:1593: error: invalid operands to binary == + +make: *** [RTOSDemo/executable.elf] Error 1 + + + +Done! + +At Local date and time: Thu Jul 02 15:55:43 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c: In function 'vTaskSuspend': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c:844: warning: dereferencing 'void *' pointer +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c:844: error: request for member 'xEventListItem' in something not a structure or union + +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c: In function 'vTaskPlaceOnEventList': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c:1593: error: invalid operands to binary == + +make: *** [RTOSDemo/executable.elf] Error 1 + + + +Done! + +At Local date and time: Thu Jul 02 15:57:13 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c: In function 'vTaskPlaceOnEventList': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c:1593: error: cannot convert to a pointer type + +make: *** [RTOSDemo/executable.elf] Error 1 + + + +Done! + +At Local date and time: Thu Jul 02 15:58:01 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +powerpc-eabi-size RTOSDemo/executable.elf + text data bss dec hex filename + 51338 372 87852 139562 2212a RTOSDemo/executable.elf + + +Done! + +Done. + +At Local date and time: Thu Jul 02 16:00:52 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +powerpc-eabi-size RTOSDemo/executable.elf + text data bss dec hex filename + 51338 372 87852 139562 2212a RTOSDemo/executable.elf + + +Done! + +At Local date and time: Thu Jul 02 16:32:08 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +powerpc-eabi-size RTOSDemo/executable.elf + text data bss dec hex filename + 51878 372 87852 140102 22346 RTOSDemo/executable.elf + + +Done! + +Done. + +Writing filter settings.... + +Done writing filter settings to: + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\__xps\system.filters + +Done writing Tab View settings to: + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\__xps\system.gui + +Xilinx Platform Studio (XPS) +Xilinx EDK 11.2 Build EDK_LS3.47 + +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. + +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\_xps_tempmhsfilename.mhs line 239 - deprecated core for architecture 'virtex5fx'! + +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\_xps_tempmhsfilename.mhs line 284 - deprecated core for architecture 'virtex5fx'! + +Generating Block Diagram to Buffer + +Generated Block Diagram SVG + +At Local date and time: Thu Jul 02 17:37:11 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +powerpc-eabi-size RTOSDemo/executable.elf + text data bss dec hex filename + 51910 372 87852 140134 22366 RTOSDemo/executable.elf + + +Done! + +At Local date and time: Thu Jul 02 17:37:43 2009 + make -f system.make download started... + +********************************************* +Downloading Bitstream onto the target board +********************************************* +impact -batch etc/download.cmd +Release 11.2 - iMPACT L.46 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +Preference Table +Name Setting +StartupClock Auto_Correction +AutoSignature False +KeepSVF False +ConcurrentMode False +UseHighz False +ConfigOnFailure Stop +UserLevel Novice +MessageLevel Detailed +svfUseTime false +SpiByteSwap Auto_Correction +AutoDetecting cable. Please wait. +Connecting to cable (Usb Port - USB21). +Checking cable driver. + Driver file xusb_xp2.sys found. + Driver version: src=2301, dest=2301. + Driver windrvr6.sys version = 9.0.0.0. WinDriver v9.00 Jungo (c) 1997 - 2007 Build Date: Mar 27 2007 X86 32bit SYS +13:58:07, version = 900. + Cable PID = 0008. + Max current requested during enumeration is 300 mA. +Type = 0x0005. +write (count, cmdBuffer, dataBuffer) failed C0000004. + Cable Type = 3, Revision = 0. + Setting cable speed to 6 MHz. +Cable connection established. +Firmware version = 2301. +File version of c:/devtools/Xilinx/11.1/ISE/data/xusb_xp2.hex = 2401. +Firmware hex file version = 2401. +Downloading c:/devtools/Xilinx/11.1/ISE/data/xusb_xp2.hex. +Downloaded firmware version = 2401. +PLD file version = 200Dh. + PLD version = 200Dh. +Identifying chain contents...'0': : Manufacturer's ID = Xilinx xc5vfx70t, Version : 6 +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/virtex5/data/xc5vfx70t.bsd... + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'1': : Manufacturer's ID = Xilinx xccace, Version : 0 +INFO:iMPACT:501 - '1': Added Device xc5vfx70t successfully. +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/acecf/data/xccace.bsd... + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'2': : Manufacturer's ID = Xilinx xc95144xl, Version : 5 +INFO:iMPACT:501 - '1': Added Device xccace successfully. + +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/xc9500xl/data/xc95144xl.bsd... + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'3': : Manufacturer's ID = Xilinx xcf32p, Version : 15 +INFO:iMPACT:501 - '1': Added Device xc95144xl successfully. + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'4': : Manufacturer's ID = Xilinx xcf32p, Version : 15 +---------------------------------------------------------------------- +---------------------------------------------------------------------- +done. +Elapsed time = 2 sec. +Elapsed time = 0 sec. +'5': Loading file 'implementation/download.bit' ... +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/xcfp/data/xcf32p.bsd... +INFO:iMPACT:501 - '1': Added Device xcf32p successfully. +INFO:iMPACT:501 - '1': Added Device xcf32p successfully. + +done. +UserID read from the bitstream file = 0xFFFFFFFF. +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +Maximum TCK operating frequency for this device chain: 10000000. +Validating chain... +Boundary-scan chain validated successfully. +5: Device Temperature: Current Reading: 42.99 C, Min. Reading: 37.58 C, Max. +Reading: 44.47 C +5: VCCINT Supply: Current Reading: 0.999 V, Min. Reading: 0.999 V, Max. +Reading: 1.002 V +5: VCCAUX Supply: Current Reading: 2.505 V, Min. Reading: 2.502 V, Max. +Reading: 2.508 V +INFO:iMPACT:501 - '5': Added Device xc5vfx70t successfully. + +'5': Programming device... + Match_cycle = 2. +done. +'5': Reading status register contents... +CRC error : 0 +Decryptor security set : 0 +DCM locked : 1 +DCI matched : 1 +End of startup signal from Startup block : 1 +status of GTS_CFG_B : 1 +status of GWE : 1 +status of GHIGH : 1 +value of MODE pin M0 : 1 +value of MODE pin M1 : 0 +Value of MODE pin M2 : 1 +Internal signal indicates when housecleaning is completed: 1 +Value driver in from INIT pad : 1 +Internal signal indicates that chip is configured : 1 +Value of DONE pin : 1 +Indicates when ID value written does not match chip ID: 0 +Decryptor error Signal : 0 +System Monitor Over-Temperature Alarm : 0 +startup_state[18] CFG startup state machine : 0 +startup_state[19] CFG startup state machine : 0 +startup_state[20] CFG startup state machine : 1 +E-fuse program voltage available : 0 +SPI Flash Type[22] Select : 1 +SPI Flash Type[23] Select : 1 +SPI Flash Type[24] Select : 1 +CFG bus width auto detection result : 0 +CFG bus width auto detection result : 0 +Reserved : 0 +BPI address wrap around error : 0 +IPROG pulsed : 0 +read back crc error : 0 +Indicates that efuse logic is busy : 0 + Match_cycle = 2. +'5': Programmed successfully. +Elapsed time = 11 sec. +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +INFO:iMPACT:2219 - Status register values: +INFO:iMPACT - 0011 1111 1011 1110 0000 1011 1000 0000 +INFO:iMPACT:579 - '5': Completed downloading bit file to device. +INFO:iMPACT - '5': Programing completed successfully. +INFO:iMPACT - '5': Checking done pin....done. + + + +Done! + +start xbash -noblock -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; xmd -xmp system.xmp -opt etc/xmd_ppc440_0.opt -lp /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; exit;" + +Done. + +At Local date and time: Thu Jul 02 18:25:53 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +powerpc-eabi-size RTOSDemo/executable.elf + text data bss dec hex filename + 51950 372 87844 140166 22386 RTOSDemo/executable.elf + + +Done! + +Done. + +At Local date and time: Thu Jul 02 20:25:21 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c: In function 'xTaskCheckForTimeOut': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:71: error: 'xNumOfOverflows' undeclared (first use in this function) +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:71: error: (Each undeclared identifier is reported only once +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:71: error: for each function it appears in.) +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:77: error: 'pdTRUE' undeclared (first use in this function) +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:84: error: 'pdFALSE' undeclared (first use in this function) +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c: At top level: +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:96: warning: conflicting types for 'vTaskSetTimeOutState' +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:83: warning: previous implicit declaration of 'vTaskSetTimeOutState' was here +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c: In function 'vTaskSetTimeOutState': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:97: error: 'xNumOfOverflows' undeclared (first use in this function) +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c: In function 'main': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:109: warning: comparison is always true due to limited range of data type +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:111: warning: comparison is always true due to limited range of data type +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:116: error: expected expression before 'xTimeOutType' +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:132: error: 'pdTRUE' undeclared (first use in this function) +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:134: warning: incompatible implicit declaration of built-in function 'printf' +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:142: warning: incompatible implicit declaration of built-in function 'printf' +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:150: warning: incompatible implicit declaration of built-in function 'printf' +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:103: warning: return type of 'main' is not 'int' +make: *** [RTOSDemo/executable.elf] Error 1 + + + +Done! + +At Local date and time: Thu Jul 02 20:27:35 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:53:19: error: conio.h: No such file or directory +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c: In function 'main': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:113: warning: comparison is always true due to limited range of data type +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:115: warning: comparison is always true due to limited range of data type +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:184: warning: incompatible implicit declaration of built-in function 'exit' +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:107: warning: return type of 'main' is not 'int' +make: *** [RTOSDemo/executable.elf] Error 1 + + + +Done! + +At Local date and time: Thu Jul 02 20:28:16 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c: In function 'main': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:111: warning: comparison is always true due to limited range of data type +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:113: warning: comparison is always true due to limited range of data type +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:136: warning: incompatible implicit declaration of built-in function 'printf' +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:144: warning: incompatible implicit declaration of built-in function 'printf' +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:152: warning: incompatible implicit declaration of built-in function 'printf' +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:157: warning: incompatible implicit declaration of built-in function 'printf' +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:176: warning: incompatible implicit declaration of built-in function 'printf' +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:181: warning: incompatible implicit declaration of built-in function 'printf' +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:182: warning: incompatible implicit declaration of built-in function 'exit' +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:105: warning: return type of 'main' is not 'int' + +/ +cygdrive/c/DOCUME~1/RICHAR~1.DOM/LOCALS~1/Temp/ccpw6KfT.o: In function `vTaskSetTimeOutState': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:71: multiple definition of `vTaskSetTimeOutState' +/cygdrive/c/DOCUME~1/RICHAR~1.DOM/LOCALS~1/Temp/ccwVIJA2.o:/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c:1697: first defined here +/cygdrive/c/devtools/Xilinx/11.1/EDK/gnu/powerpc-eabi/nt/bin/../lib/gcc/powerpc-eabi/4.1.1/../../../../powerpc-eabi/bin/ld: Warning: size of symbol `vTaskSetTimeOutState' changed from 68 in /cygdrive/c/DOCUME~1/RICHAR~1.DOM/LOCALS~1/Temp/ccwVIJA2.o to 72 in /cygdrive/c/DOCUME~1/RICHAR~1.DOM/LOCALS~1/Temp/ccpw6KfT.o +/cygdrive/c/DOCUME~1/RICHAR~1.DOM/LOCALS~1/Temp/ccpw6KfT.o: In function `xTaskCheckForTimeOut': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:77: multiple definition of `xTaskCheckForTimeOut' +/cygdrive/c/DOCUME~1/RICHAR~1.DOM/LOCALS~1/Temp/ccwVIJA2.o:/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c:1704: first defined here +/cygdrive/c/devtools/Xilinx/11.1/EDK/gnu/powerpc-eabi/nt/bin/../lib/gcc/powerpc-eabi/4.1.1/../../../../powerpc-eabi/bin/ld: Warning: size of symbol `xTaskCheckForTimeOut' changed from 388 in /cygdrive/c/DOCUME~1/RICHAR~1.DOM/LOCALS~1/Temp/ccwVIJA2.o to 276 in /cygdrive/c/DOCUME~1/RICHAR~1.DOM/LOCALS~1/Temp/ccpw6KfT.o +/cygdrive/c/DOCUME~1/RICHAR~1.DOM/LOCALS~1/Temp/ccwVIJA2.o: In function `vTaskSwitchContext': +tasks.c:(.text+0x1798): undefined reference to `vApplicationStackOverflowHook' +tasks.c:(.text+0x17e8): undefined reference to `vApplicationStackOverflowHook' +/cygdrive/c/DOCUME~1/RICHAR~1.DOM/LOCALS~1/Temp/ccpw6KfT.o: In function `main': +main.c:(.text+0x2f4): undefined reference to `kbhit' +main.c:(.text+0x304): undefined reference to `getch' +collect2: ld returned 1 exit status +make: *** [RTOSDemo/executable.elf] Error 1 + + + +Done! + +At Local date and time: Thu Jul 02 20:31:20 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c: In function 'main': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:117: warning: comparison is always true due to limited range of data type +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:120: warning: comparison is always true due to limited range of data type +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:143: warning: incompatible implicit declaration of built-in function 'printf' +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:105: warning: return type of 'main' is not 'int' + +/cygdrive/c/DOCUME~1/RICHAR~1.DOM/LOCALS~1/Temp/ccZOTZW1.o: In function `vTaskSwitchContext': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c:1556: undefined reference to `vApplicationStackOverflowHook' +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c:1557: undefined reference to `vApplicationStackOverflowHook' +collect2: ld returned 1 exit status +make: *** [RTOSDemo/executable.elf] Error 1 + + + +Done! + +At Local date and time: Thu Jul 02 20:31:50 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c: In function 'main': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:120: warning: comparison is always true due to limited range of data type +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:123: warning: comparison is always true due to limited range of data type +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:146: warning: incompatible implicit declaration of built-in function 'printf' +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:108: warning: return type of 'main' is not 'int' + +powerpc-eabi-size RTOSDemo/executable.elf + text data bss dec hex filename + 50578 368 87832 138778 21e1a RTOSDemo/executable.elf + + +Done! + +At Local date and time: Thu Jul 02 20:32:59 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c: In function 'main': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:120: warning: comparison is always true due to limited range of data type +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:123: warning: comparison is always true due to limited range of data type +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:148: warning: incompatible implicit declaration of built-in function 'printf' +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:108: warning: return type of 'main' is not 'int' + +powerpc-eabi-size RTOSDemo/executable.elf + text data bss dec hex filename + 50706 368 87832 138906 21e9a RTOSDemo/executable.elf + + +Done! + +Done. + +At Local date and time: Thu Jul 02 20:38:30 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c: In function 'main': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:120: warning: comparison is always true due to limited range of data type +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:123: warning: comparison is always true due to limited range of data type +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:148: warning: incompatible implicit declaration of built-in function 'printf' +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:108: warning: return type of 'main' is not 'int' + +powerpc-eabi-size RTOSDemo/executable.elf + text data bss dec hex filename + 50706 368 87832 138906 21e9a RTOSDemo/executable.elf + + +Done! + +At Local date and time: Thu Jul 02 20:40:24 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c: In function 'main': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:120: warning: comparison is always true due to limited range of data type +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:122: warning: comparison is always true due to limited range of data type +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:151: warning: incompatible implicit declaration of built-in function 'printf' +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:108: warning: return type of 'main' is not 'int' + +powerpc-eabi-size RTOSDemo/executable.elf + text data bss dec hex filename + 50730 368 87840 138938 21eba RTOSDemo/executable.elf + + +Done! + +Done. + +At Local date and time: Thu Jul 02 20:43:10 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c: In function 'main': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:120: warning: comparison is always true due to limited range of data type +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:122: warning: comparison is always true due to limited range of data type +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:151: warning: incompatible implicit declaration of built-in function 'printf' +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:108: warning: return type of 'main' is not 'int' + +powerpc-eabi-size RTOSDemo/executable.elf + text data bss dec hex filename + 50730 368 87840 138938 21eba RTOSDemo/executable.elf + + +Done! + +At Local date and time: Thu Jul 02 20:46:15 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c: In function 'main': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:120: warning: comparison is always true due to limited range of data type +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:122: warning: comparison is always true due to limited range of data type +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:108: warning: return type of 'main' is not 'int' + +powerpc-eabi-size RTOSDemo/executable.elf + text data bss dec hex filename + 50694 368 87840 138902 21e96 RTOSDemo/executable.elf + + +Done! + +Done. + +At Local date and time: Thu Jul 02 20:49:41 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c: In function 'main': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:120: warning: comparison is always true due to limited range of data type +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:122: warning: comparison is always true due to limited range of data type +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:108: warning: return type of 'main' is not 'int' + +powerpc-eabi-size RTOSDemo/executable.elf + text data bss dec hex filename + 50730 368 87840 138938 21eba RTOSDemo/executable.elf + + +Done! + +Done. + +At Local date and time: Thu Jul 02 20:54:28 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c: In function 'main': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:120: warning: comparison is always true due to limited range of data type +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:122: warning: comparison is always true due to limited range of data type +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:108: warning: return type of 'main' is not 'int' + +powerpc-eabi-size RTOSDemo/executable.elf + text data bss dec hex filename + 50802 368 87832 139002 21efa RTOSDemo/executable.elf + + +Done! + +Done. + +At Local date and time: Thu Jul 02 20:58:12 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c: In function 'main': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:120: warning: comparison is always true due to limited range of data type +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:122: warning: comparison is always true due to limited range of data type +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:108: warning: return type of 'main' is not 'int' + +powerpc-eabi-size RTOSDemo/executable.elf + text data bss dec hex filename + 50846 368 87832 139046 21f26 RTOSDemo/executable.elf + + +Done! + +Done. + +At Local date and time: Thu Jul 02 20:59:39 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c: In function 'main': +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:122: warning: comparison is always true due to limited range of data type +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:124: warning: comparison is always true due to limited range of data type +/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c:108: warning: return type of 'main' is not 'int' + +powerpc-eabi-size RTOSDemo/executable.elf + text data bss dec hex filename + 50866 368 87832 139066 21f3a RTOSDemo/executable.elf + + +Done! + +Done. + +At Local date and time: Thu Jul 02 21:29:34 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +powerpc-eabi-size RTOSDemo/executable.elf + text data bss dec hex filename + 50622 372 87856 138850 21e62 RTOSDemo/executable.elf + + +Done! + +Done. + +Done. + +At Local date and time: Fri Jul 03 02:08:31 2009 + make -f system.make download started... + +********************************************* +Downloading Bitstream onto the target board +********************************************* +impact -batch etc/download.cmd +Release 11.2 - iMPACT L.46 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +Preference Table +Name Setting +StartupClock Auto_Correction +AutoSignature False +KeepSVF False +ConcurrentMode False +UseHighz False +ConfigOnFailure Stop +UserLevel Novice +MessageLevel Detailed +svfUseTime false +SpiByteSwap Auto_Correction +AutoDetecting cable. Please wait. +Connecting to cable (Usb Port - USB21). +Checking cable driver. + Driver file xusb_xp2.sys found. + Driver version: src=2301, dest=2301. + Driver windrvr6.sys version = 9.0.0.0. WinDriver v9.00 Jungo (c) 1997 - 2007 Build Date: Mar 27 2007 X86 32bit SYS +13:58:07, version = 900. + Cable PID = 0008. + Max current requested during enumeration is 300 mA. +Type = 0x0005. + Cable Type = 3, Revision = 0. + Setting cable speed to 6 MHz. +Cable connection established. +Firmware version = 2401. +File version of c:/devtools/Xilinx/11.1/ISE/data/xusb_xp2.hex = 2401. +Firmware hex file version = 2401. +PLD file version = 200Dh. + PLD version = 200Dh. +Identifying chain contents...'0': : Manufacturer's ID = Xilinx xc5vfx70t, Version : 6 +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/virtex5/data/xc5vfx70t.bsd... + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'1': : Manufacturer's ID = Xilinx xccace, Version : 0 +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'2': : Manufacturer's ID = Xilinx xc95144xl, Version : 5 +INFO:iMPACT:501 - '1': Added Device xc5vfx70t successfully. +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/acecf/data/xccace.bsd... +INFO:iMPACT:501 - '1': Added Device xccace successfully. + +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/xc9500xl/data/xc95144xl.bsd... + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'3': : Manufacturer's ID = Xilinx xcf32p, Version : 15 +INFO:iMPACT:501 - '1': Added Device xc95144xl successfully. +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/xcfp/data/xcf32p.bsd... + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'4': : Manufacturer's ID = Xilinx xcf32p, Version : 15 +---------------------------------------------------------------------- +---------------------------------------------------------------------- +done. +Elapsed time = 1 sec. +Elapsed time = 0 sec. +'5': Loading file 'implementation/download.bit' ... +INFO:iMPACT:501 - '1': Added Device xcf32p successfully. +INFO:iMPACT:501 - '1': Added Device xcf32p successfully. + +done. +UserID read from the bitstream file = 0xFFFFFFFF. +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +Maximum TCK operating frequency for this device chain: 10000000. +Validating chain... +Boundary-scan chain validated successfully. +5: Device Temperature: Current Reading: 38.07 C, Min. Reading: 35.12 C, Max. +Reading: 38.56 C +5: VCCINT Supply: Current Reading: 0.999 V, Min. Reading: 0.999 V, Max. +Reading: 1.002 V +5: VCCAUX Supply: Current Reading: 2.505 V, Min. Reading: 2.502 V, Max. +Reading: 2.505 V +INFO:iMPACT:501 - '5': Added Device xc5vfx70t successfully. + +'5': Programming device... + Match_cycle = 2. +done. +'5': Reading status register contents... +CRC error : 0 +Decryptor security set : 0 +DCM locked : 1 +DCI matched : 1 +End of startup signal from Startup block : 1 +status of GTS_CFG_B : 1 +status of GWE : 1 +status of GHIGH : 1 +value of MODE pin M0 : 1 +value of MODE pin M1 : 0 +Value of MODE pin M2 : 1 +Internal signal indicates when housecleaning is completed: 1 +Value driver in from INIT pad : 1 +Internal signal indicates that chip is configured : 1 +Value of DONE pin : 1 +Indicates when ID value written does not match chip ID: 0 +Decryptor error Signal : 0 +System Monitor Over-Temperature Alarm : 0 +startup_state[18] CFG startup state machine : 0 +startup_state[19] CFG startup state machine : 0 +startup_state[20] CFG startup state machine : 1 +E-fuse program voltage available : 0 +SPI Flash Type[22] Select : 1 +SPI Flash Type[23] Select : 1 +SPI Flash Type[24] Select : 1 +CFG bus width auto detection result : 0 +CFG bus width auto detection result : 0 +Reserved : 0 +BPI address wrap around error : 0 +IPROG pulsed : 0 +read back crc error : 0 +Indicates that efuse logic is busy : 0 + Match_cycle = 2. +'5': Programmed successfully. +Elapsed time = 11 sec. +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +INFO:iMPACT:2219 - Status register values: +INFO:iMPACT - 0011 1111 1011 1110 0000 1011 1000 0000 +INFO:iMPACT:579 - '5': Completed downloading bit file to device. +INFO:iMPACT - '5': Programing completed successfully. +INFO:iMPACT - '5': Checking done pin....done. + + + +Done! + +start xbash -noblock -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; xmd -xmp system.xmp -opt etc/xmd_ppc440_0.opt -lp /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; exit;" + +Done. + +Writing filter settings.... + +Done writing filter settings to: + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\__xps\system.filters + +Done writing Tab View settings to: + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\__xps\system.gui + +Xilinx Platform Studio (XPS) +Xilinx EDK 11.2 Build EDK_LS3.47 + +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. + +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\_xps_tempmhsfilename.mhs line 239 - deprecated core for architecture 'virtex5fx'! + +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\_xps_tempmhsfilename.mhs line 284 - deprecated core for architecture 'virtex5fx'! + +Generating Block Diagram to Buffer + +Generated Block Diagram SVG + +At Local date and time: Fri Jul 03 18:19:28 2009 + make -f system.make download started... + +********************************************* +Downloading Bitstream onto the target board +********************************************* +impact -batch etc/download.cmd +Release 11.2 - iMPACT L.46 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +Preference Table +Name Setting +StartupClock Auto_Correction +AutoSignature False +KeepSVF False +ConcurrentMode False +UseHighz False +ConfigOnFailure Stop +UserLevel Novice +MessageLevel Detailed +svfUseTime false +SpiByteSwap Auto_Correction +AutoDetecting cable. Please wait. +Connecting to cable (Usb Port - USB21). +Checking cable driver. + Driver file xusb_xp2.sys found. + Driver version: src=2301, dest=2301. + Driver windrvr6.sys version = 9.0.0.0. WinDriver v9.00 Jungo (c) 1997 - 2007 Build Date: Mar 27 2007 X86 32bit SYS +13:58:07, version = 900. + Cable PID = 0008. + Max current requested during enumeration is 300 mA. +Type = 0x0005. + Cable Type = 3, Revision = 0. + Setting cable speed to 6 MHz. +Cable connection established. +Firmware version = 2401. +File version of c:/devtools/Xilinx/11.1/ISE/data/xusb_xp2.hex = 2401. +Firmware hex file version = 2401. +PLD file version = 200Dh. + PLD version = 200Dh. +Identifying chain contents...'0': : Manufacturer's ID = Xilinx xc5vfx70t, Version : 6 +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/virtex5/data/xc5vfx70t.bsd... + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'1': : Manufacturer's ID = Xilinx xccace, Version : 0 +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'2': : Manufacturer's ID = Xilinx xc95144xl, Version : 5 +INFO:iMPACT:501 - '1': Added Device xc5vfx70t successfully. +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/acecf/data/xccace.bsd... +INFO:iMPACT:501 - '1': Added Device xccace successfully. + +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/xc9500xl/data/xc95144xl.bsd... + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'3': : Manufacturer's ID = Xilinx xcf32p, Version : 15 +INFO:iMPACT:501 - '1': Added Device xc95144xl successfully. + +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/xcfp/data/xcf32p.bsd... + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'4': : Manufacturer's ID = Xilinx xcf32p, Version : 15 +---------------------------------------------------------------------- +---------------------------------------------------------------------- +done. +Elapsed time = 5 sec. +Elapsed time = 0 sec. +'5': Loading file 'implementation/download.bit' ... +INFO:iMPACT:501 - '1': Added Device xcf32p successfully. +INFO:iMPACT:501 - '1': Added Device xcf32p successfully. + +done. +UserID read from the bitstream file = 0xFFFFFFFF. +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +Maximum TCK operating frequency for this device chain: 10000000. +Validating chain... +Boundary-scan chain validated successfully. +5: Device Temperature: Current Reading: 59.23 C, Min. Reading: 38.07 C, Max. +Reading: 74.99 C +5: VCCINT Supply: Current Reading: 0.996 V, Min. Reading: 0.993 V, Max. +Reading: 1.002 V +5: VCCAUX Supply: Current Reading: 2.496 V, Min. Reading: 2.493 V, Max. +Reading: 2.505 V +INFO:iMPACT:501 - '5': Added Device xc5vfx70t successfully. + +'5': Programming device... + Match_cycle = 2. +done. +'5': Reading status register contents... +CRC error : 0 +Decryptor security set : 0 +DCM locked : 1 +DCI matched : 1 +End of startup signal from Startup block : 1 +status of GTS_CFG_B : 1 +status of GWE : 1 +status of GHIGH : 1 +value of MODE pin M0 : 1 +value of MODE pin M1 : 0 +Value of MODE pin M2 : 1 +Internal signal indicates when housecleaning is completed: 1 +Value driver in from INIT pad : 1 +Internal signal indicates that chip is configured : 1 +Value of DONE pin : 1 +Indicates when ID value written does not match chip ID: 0 +Decryptor error Signal : 0 +System Monitor Over-Temperature Alarm : 0 +startup_state[18] CFG startup state machine : 0 +startup_state[19] CFG startup state machine : 0 +startup_state[20] CFG startup state machine : 1 +E-fuse program voltage available : 0 +SPI Flash Type[22] Select : 1 +SPI Flash Type[23] Select : 1 +SPI Flash Type[24] Select : 1 +CFG bus width auto detection result : 0 +CFG bus width auto detection result : 0 +Reserved : 0 +BPI address wrap around error : 0 +IPROG pulsed : 0 +read back crc error : 0 +Indicates that efuse logic is busy : 0 + Match_cycle = 2. +'5': Programmed successfully. +Elapsed time = 11 sec. +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +INFO:iMPACT:2219 - Status register values: +INFO:iMPACT - 0011 1111 1011 1110 0000 1011 1000 0000 +INFO:iMPACT:579 - '5': Completed downloading bit file to device. +INFO:iMPACT - '5': Programing completed successfully. +INFO:iMPACT - '5': Checking done pin....done. + + + +Done! + +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs line 253 - deprecated core for architecture 'virtex5fx'! + +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs line 298 - deprecated core for architecture 'virtex5fx'! + +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\_xps_tempmhsfilename.mhs line 239 - deprecated core for architecture 'virtex5fx'! + +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\_xps_tempmhsfilename.mhs line 284 - deprecated core for architecture 'virtex5fx'! + +At Local date and time: Fri Jul 03 18:20:05 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O3 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=dp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D USE_DP_FPU -D GCC_PPC440 -mregnames +powerpc-eabi-size RTOSDemo/executable.elf + text data bss dec hex filename + 44758 372 87852 132982 20776 RTOSDemo/executable.elf + + +Done! + +start xbash -noblock -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; xmd -xmp system.xmp -opt etc/xmd_ppc440_0.opt -lp /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/; exit;" + +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs line 253 - deprecated core for architecture 'virtex5fx'! + +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\system.mhs line 298 - deprecated core for architecture 'virtex5fx'! + +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\_xps_tempmhsfilename.mhs line 239 - deprecated core for architecture 'virtex5fx'! + +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\_xps_tempmhsfilename.mhs line 284 - deprecated core for architecture 'virtex5fx'! + +Writing filter settings.... + +Done writing filter settings to: + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\__xps\system.filters + +Done writing Tab View settings to: + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\__xps\system.gui + +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\_xps_tempmhsfilename.mhs line 239 - deprecated core for architecture 'virtex5fx'! + +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_DP_FPU_Xilinx_Virtex5_GCC\_xps_tempmhsfilename.mhs line 284 - deprecated core for architecture 'virtex5fx'! + +Generating Block Diagram to Buffer + +Generated Block Diagram SVG + +At Local date and time: Sun Jul 05 09:36:55 2009 + make -f system.make hwclean started... + +rm -f implementation/system.ngc +rm -f platgen.log +rm -f __xps/ise/_xmsgs/platgen.xmsgs +rm -f implementation/system.bmm +rm -f implementation/system.bit +rm -f implementation/system.ncd +rm -f implementation/system_bd.bmm +rm -f implementation/system_map.ncd +rm -f __xps/system_routed +rm -rf implementation synthesis xst hdl +rm -rf xst.srp system.srp +rm -f __xps/ise/_xmsgs/bitinit.xmsgs + + +Done! + +At Local date and time: Sun Jul 05 09:37:10 2009 + make -f system.make swclean started... + +rm -rf ppc440_0/ +rm -f libgen.log +rm -f __xps/ise/_xmsgs/libgen.xmsgs +rm -f RTOSDemo/executable.elf + + Done! Writing filter settings.... diff --git a/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/system.make b/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/system.make index b6b149cf4..61c2dadac 100644 --- a/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/system.make +++ b/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/system.make @@ -245,6 +245,7 @@ $(SYSTEM_HW_HANDOFF_BMM): implementation/$(SYSTEM)_bd.bmm ################## BEHAVIORAL SIMULATION ################## $(BEHAVIORAL_SIM_SCRIPT): $(MHSFILE) __xps/simgen.opt \ + $(WRAPPER_NGC_FILES) \ $(BRAMINIT_ELF_FILES) @echo "*********************************************" @echo "Creating behavioral simulation models..." diff --git a/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/system_incl.make b/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/system_incl.make index 2a92ab544..8330c1299 100644 --- a/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/system_incl.make +++ b/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/system_incl.make @@ -57,9 +57,9 @@ TIMING_SIM_SCRIPT = simulation/timing/$(SYSTEM)_setup.do DEFAULT_SIM_SCRIPT = $(BEHAVIORAL_SIM_SCRIPT) -MIX_LANG_SIM_OPT = -mixed yes +MIX_LANG_SIM_OPT = -mixed no -SIMGEN_OPTIONS = -p $(DEVICE) -lang $(LANGUAGE) $(SEARCHPATHOPT) $(BRAMINIT_ELF_FILE_ARGS) $(MIX_LANG_SIM_OPT) -msg __xps/ise/xmsgprops.lst -s mti -X C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/ +SIMGEN_OPTIONS = -p $(DEVICE) -lang $(LANGUAGE) $(SEARCHPATHOPT) $(BRAMINIT_ELF_FILE_ARGS) $(MIX_LANG_SIM_OPT) -msg __xps/ise/xmsgprops.lst -sd implementation/ -s mti -X C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_DP_FPU_Xilinx_Virtex5_GCC/ LIBRARIES = \ diff --git a/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/FreeRTOSConfig.h b/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/FreeRTOSConfig.h index 7c18d73bc..06c75fffe 100644 --- a/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/FreeRTOSConfig.h +++ b/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/FreeRTOSConfig.h @@ -52,6 +52,8 @@ #ifndef FREERTOS_CONFIG_H #define FREERTOS_CONFIG_H +#include + /*----------------------------------------------------------- * Application specific definitions. * @@ -68,12 +70,12 @@ #define configUSE_IDLE_HOOK 0 #define configUSE_TICK_HOOK 0 #define configMINIMAL_STACK_SIZE ( ( unsigned portSHORT ) 250 ) -#define configCPU_CLOCK_HZ ( ( unsigned portLONG ) 200000000 ) /* Clock setup from start.asm in the demo application. */ +#define configCPU_CLOCK_HZ ( ( unsigned portLONG ) XPAR_CPU_PPC440_CORE_CLOCK_FREQ_HZ ) /* Clock setup from start.asm in the demo application. */ #define configTICK_RATE_HZ ( (portTickType) 1000 ) #define configMAX_PRIORITIES ( ( unsigned portBASE_TYPE ) 6 ) #define configTOTAL_HEAP_SIZE ( (size_t) (80 * 1024) ) #define configMAX_TASK_NAME_LEN ( 20 ) -#define configUSE_16_BIT_TICKS 1 +#define configUSE_16_BIT_TICKS 0 #define configIDLE_SHOULD_YIELD 1 #define configUSE_MUTEXES 1 #define configUSE_TRACE_FACILITY 0 diff --git a/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/__xps/ise/system.ise b/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/__xps/ise/system.ise index adb01a8192e7e79326ae45df8d60b796f313fe83..2d12c2ceed546a48c6817f98a069aa4b35147b04 100644 GIT binary patch literal 170265 zcmeIb511s$RUeqrYK7F^1y%xq#9GSf9clk~yQ{MPS8Jx1neLw1-ks@gx_f44c4jGM zRc7^+rv9m_db*p{3SpteCkX+PjI`KT*mpuFY|iGe;4EY0;}h8D&&RE8`43>9;dC}| zeAt{nf$t6>?nPuoWJE?rWMp;sRL!s@9mFp1$WbGgjQ^Uifi- zc&nS)P(Jca^pXCc*PpAqLtpdopW`1MY93@%NB;gOj_P;4zBc?2P(#<-DAn-cTmE3! z>U9zCxb)`A#`@Ok_WI`4+U1qat=09l`)8ba=l+?^mGi4x+tSbLXP?@Fr&su=^Y_oJ zZn0l#OPecawpW&G&#Z1g3B)ip{{DqCTidm*GnXTes3rM&){IVHBbqnzkC_NPlqJwb z1$2TJsE6_tx&F_)Ke3a0m1Uvpn<+bPyFItp!1SNCbHy1D{lZ>jVc^z0_tpaGkh$GK zd*-t3Wb-o_cwo@$jWW%F?+-@3VdezVGg(7G3vI`TE__pydyp?97bU8q9!~ z{IIt>X!yDzv|~^?whIA*a)s+ zaiO2sSf@YH9%#1OKCXjjth|sfEabBD#Vqz5VcJxx7r=~gRa}AMs%ZlW_Q%v z+@%kqzq$t<%7b#^_^nYTAO$tU_${g;3C8WDww#FxuoEfhjGexIl_`KK+2^hBjn~Eu z6_$bVdnB=}i3AebU|$(lXgm$;(DjW27E4DE;IzvCR=WU;Ms*agr{q@~P-Bik9{2 zSEK7s$p@%dpiTG#H}L0G@C*8Wk97~dMeYRuxp!$HvjS_cTbXmPHrw1@-^x7G8{BMl zcb0nngS_FYtkvna{SGLqJA!2nU7Ga={;gVlx78lacXvEgALdH=4?OT1+LomMrh4`s zR5ysg5~q#7_G|z34a5*+k9)YajVCJt)WfQHUVC-ISbgwb;M{~o`z;`<_ zy3RATdKjjNhdfH&fF1VH7%!pwkTKP{1k+Ab8Nx3tzWCR_1Gqw$X(xuX;yO`1yL*-B z%s>CTfAgn*_Zuw>U8Y?XTXnRnh>%|g)3&bL@rQl4;oJT#w{7p^z`XtZOyu|Feg}R8 zSvTzS{%CdRUk(D__VX{m@3w6Z{gK^-f7XDWJ&S^|@W=dUe>BVA-Ec=Y>{cJ&x4QUyurpx$-CM0euZw1$^N0X?dN3S4ZZ~>eQ0?8u2tUm|X7_ei8N)?dP5b$4Ko@4mP(!Eh4t#sA z?RR&8-LidhVF3nVt>1P>5R&gaLccs}&+b7RdV9m!=j_v`?JSW65eMesotTFWQq*n@ zZP$J#C}iJq2Q9Y?jT-js-67(s)0%7a+OX$^+WZ2QT+k_4cEAd>`H?HD7gpDxUJ?LE}J(9f>jaYv1ygFuM52+&`F z)rEa+2-XW22 z`(*e4ZV(hh-EUvRYDlCQKJ*+E!5WS$V0s>K!bp+&;h8$L?I!sX_aOc!sDZHKzh@VQ z8s;67nFaL;E9vh-FV3!PZmw@G*h_BL?)FBu=R?4*)9U(IzOY3JI@28OAw4A41U1^=t* zSSr+}d3Y8L>EUn}%qTR;9f30Ov|#i>AEAEgfDvlJYMOQ!ZZvELK8_{Pc3}wipcOz4 z*+lde#?BtthtL=_+C4B6xP_<=($gnd8(s)3^b#5>=X!%xu%PzyV6^dC6IpbkWvDPR zj8vyUJ5imD-N68QqJ3b)zHJvZmG%$FeFMlqa2oOFyMs1_m{D`P_EWCsv!0{RUlW`J z9%iVUyx!+koYB zU`Tk36jt@-px3eMe&9|9gWdkf_pp$#;4dO7NZ|NcuovE3uiFmvA09wFQ|XN7jKUV; z`nH)q@3q=(sy10X3W;4ttzpj0hS5p?C>3TYw*)ACVVXrL^SOTSi9z@Lt58P=D(f&E;e9y*atJiGWdxI7PMqnl~Vlsicv9R0` zXia^01cU7)uh{k+Pt2X$BmMD$?YBXDI0OEWz22oB82S`VTabBy`lXIYt`rqPU1vM2 zJ}6|{2sDi?o1}!A-`>PzS>VBRFh4nv4W? zYy{+iW#oR~sa6-}hb_+qO}6U?@CYaYsgb#!hwRm07KdhOJ&a= znnh9WfS=Wch1H8euP(QDR+q6&LJA^uIOXBmNv;k|6bv+G+?Xo1caf%@5N4)9?GM=( z-7e@FsAbOvzX?i&ObvJ)WX^iFN5ta7H@Dr}VRO{hD3prWitW}2dVF@Zi*42j^%!;< zNDnWQ)xsld$f!O_=L`Wayz0Y>*3Jnvz%vioIp7X_(-0W+u&r>xGY+te3ity@+-N^D zaQjH;wbd>RtVX}j{5Pl`9(sOvw*#6C4TQ(3KFM6b;u?+4JkA=CxdF@8fsp};NucK* zIPfC;-9<)8c$RC|W-uqaMzx>zc&HmTy%mU&{e^4+`vXbGf&Z}|2&1#>PtU@H5B|?7 z*b9W27i_G~oBj|cAkWFJ0j4I%s(>r^2ZP?=abzUw5SKoHQQvUUx&-DSFo8kyAY{{R zG(>}i7h9fz2;(g6889DUCicMcQDIZAIN?xX@S~F(2>54O47G_&dz_gU@c+UAhs^;$ z`H4j9S!@BmNE?}o=#W0zn*&M-0V*6JGL8Bg2P+JsziD_2qXuFGqWIpQ{M;IB>!2%R z*w$3h;QWNPZ>8SGkuok?LqaA7*@ng2mIf*0vV;L`7S4=eA0JLfwrTCwG z#VJFo6vyg}5s!^*74cW8Hk^XvH>zI6ujaja3BKkEg?!31Q9X}2#Gz<)`H-_g7@TX~ zSfOPndGv5qkj{oO=<`Yl`IQjzD#D46p^Nukrezb?i zm|vVrKk%Zp61^avS38felWKDu&9XwmBZ>MED4np5NL=Pn5+?HtIiD$F|9N7%>8vqV zs+j|kJ^oK(oQQIzpPWgU7%E|#CQX_*(qQ_PiaV9mvOoWumwyIihOR7J+8HjDTB-?F zzBnEJ8Q`eU3`3l5zV+4j{LkkgI)kpqO`Cs*Ib3M?6nj{)KcEZP$A)i$I?9+}X$)md z>9mGYMPe*NsUw!kP^t*2hCCB6e31;Lk{B2ybyrEINJqv*T9TnmBB+yCD(+NLd-h5H zKPe5Rin}|)P|D257|IVh|F)wvlrn`oBA6|+ePSAGQ{ z^`lE~TFkkKb{47@KIpVnFrXtRo9DmwC!cx&xI>rTZs>T>*bTKbEbH%JB-(obBK2PD zwbnNPm#>E%=068-y|~}{M(dm4?II6=aL~VRw7$jqR_jfGO#1Ec(wDaYrrLu5kpE%p zBi0XEABFF;)(=@fZ2gG!G5G$l^>J88KL%$zAGhWp$0-AmGzWgqS)Z^90I633z}1RX z1yIy?0{rS}p#GPwAGN*{?wtXgwk7y`8NRPr=dAPAlK`Lgl=ZZA!MbRzS?ksY2x$|Z z*n%gvtxNFyW!Rm+0>4~^U!JwD!ME$ybFfEVv)%>YU8`<2;J=@QyS~+gZ#(eqhSh>^ z4_fcGZdz^l`vI$i|Ls~m_;25O5BxH)hStd1wQk`%d-&gd>%jUR>v`+F)(iOW_gUX- zy&s$r-#$GX;O^V&0Fi?3VF3V(=sTb&!09O9aO9t!B`nS&)P|Vs2#g6fObGTWi zD4lZ=PRx$Igh2~I+M^-#8fjH=B-*AmQ^IWqE{fX1l|(lso)akLJQO_2H6 zc6Ww>iq+QFE?j+lW%=pa`nhwn_KlY3`Q6jAfW^o(@yYFr7bc{F2!RR@kfErBY~T>V zDaYY90E-O)rP24ep$NEvpw9@gtT#A4d-2RhZFT)@ZTrmG3oEk-i84k)bX3BF8<1-T zFU11Dfe8r(*q`4Y^haz=0#gW*hjB$TMKVfiVW=7%rw*X?qd~7-+X7g=X^{Zo$p)SP zs|pWuWL9VG^r8^-;^O-9r3)*yCs$WC&ulI|c{NEr0?$?B?9#MIDB#S#0LXT2Ti{P6 zqKkk&EDReMjNGtUT3>^g^PO2;+cq0H&_w_Zh>a!kc9W6Ie1EjJ&&CbRC}cBE3E?qpkvYDh&8?7#2R!vS~ zHRyHG+5{Xi!+QvMEL~V%dfHHXU|8N9PLmjx5JzK5A>Q1EUO1Qm2I^Tm121$s06fMC zjTnBwpvi5kVF!$&$jX)NmCdy?7itrni=b(623i1f zZ4}!?6B1@gP%{9^8s_7JM3umg@zar!3y9Q!bbE)3X4L8^MMErYFv}&16U=1s>MDM% zb!B7AUP0J(2*u8`p9zowVa~zLe%bO0fp_ot;PD0Z!t>g-_-QQx>AL_R+4uo}sn_1^ zbkV#R-hcz}zR*V~C-C%aZND?z8D>Zo^Y|~;^#}tTDz*tZV2`(mjK6lPe$4CzHAJ`r zJS-k8Lf&xfU*#eGJ zPCg*8G4Qtzx_}cqL_rB|F_Ktjue4JOyfcyTSwW^K2*pgs3zSF7$T56t=;f z@dLp~7O4lx6o`ThJ6;lG&>cb$sR!f~2!af8UJzt}A3_l62mcgEf|?Uv6x5hRWFZ?9 zV~@9w{FdPDuE1N(#c)nAkxcFBfONzbQrk6*TEm#wCw*45bMQtv^lCXUePc332}C%f zlTC!(9+Cr76T~P zXqvSN%T3>aQT!b5$VTvL^N2zoUW|xnw8Mi{dvae(9Pan`U==0EQXBY8KW?Cc2)7$O z^typDm<1yu&&dui(12`&Nia_8St9SbV~5Y7R(7dnOlpg?fULcexCxlJ`4PLy3MDdF4TA;vvDA8HA{+Y=MEg;?fJFgzVId%I+Hk7@ zwiGmX+>@E#)7+v16z&bSJ*i6{vgihGn7sYB4KLJz7gbQk>899busuna1ai5sg7rR% z+z;hFKiF+lJ_YyGw4YqQ09$pPehXIWcvFCK1*IbQv~L(>foJSQFVnP{0)vmu;K87L=4r|)wPWatLLspX5(}> zt<|jI7vvzz8BB&L1Gje}PY3!y80fe`OeFjoo;4d@kkn`)e7{J$H#S$6R<~By*Y1pl zqh{3jMH&QYf_B9=pPvX(P1dh*CfcoawALc_o6g(NB8f7ku;5@RAxFZqFW`tYHEjH^ z3u;pvjy|+iu*+G-@Xo66?s1zw7$}qW zf{k+!+yNc3N{UX_j3j7wWMe7XY{xO91S-DN>o!|EyYTW@G-$Shw-^Q!`j~EUa%O}< z@qsYPmyvT*BxcRV0whxM2^{FHZEQ*^>#@TR2-z5p6Kx?t^4Nj7V{aD%&207< z_QYe4+3-q5ln4hM96Uu0gKaRwVz1jGBO{F_k4I51fr`)e0r1OxRN~H<>2N{Ug~>-Im1^gbwhA z3bUYSr-Q15VvGjcA;hq8Qo_3=>}Qpv;a#_oz~8pngVfkcH~6pxziyWV9K_oraSSQa zPFpn*t61NGI13T-*g#pVGw`wd<+TVUl(mieAtC( zGrVvcmaEiB$gNgHk^EriZ+aWu#T;fJ`iT>ohVTuJA$4l(hxu;1$#fP^t!Puh#|E-N zt1MU$LN>af%(9ST=Qsfe)2tXoMk9Ivh02KiAj^og^v{Znh}s~L)S7;ys}spNbao59 zJKkRNNAQy7aK^2&^-P?6mI4IQ)W)8UIR5n0qzw#_5%4{adFKLvU|UG0ox%^0*r;$K zhPuqSdn&(6o+a)h^e55ZRXrhj-60$-9PRhpuqcgYWN^Nhc89!QGVxB~_^%D{E4aZ3 zazD zZUBZZbpY^HwsTk(D#vIn4j`Ru+@^3e1)72c(;spnnHvYgRs*K{;oN~v6^tcrP#4`7Jd48`Oblqcq-UYb@<+@4|$nBVPUQvu5aVmU97 zAC5-?(>fC7cLw3?neOZ0xGs}}Y5+){)@T4stsuNMq*f4JI0LJT#*IM_&O;7i3#skW zRi%1;b(cw_(Y{$5aKQk&b+CihQc0#!lEw7p6WxhAcQ? zz|)xqL`dPdQAeI_MCGPjk{g?uJ4Ts?BUhBi0EC1DB`qrz&Q{WxR=qXc8vq6h%v|V< zV*0cr7)$f5dgxqN&#rC-A(*sVDq+c?4PXn@0L#Hcly=$c0)k|?W@JCH%o91}BgwcA zh&HNMD`$6bPaoTPmQX!^aICK}+6%>B~r#iG- zjnOcE>w1YHHK?96HVbz_zx5le-!8z3f7l2_8+f6NXiQs{xMDwY)a%c;5!FD7a=A5s zuMNq?0L0^o_0Z4_>~_GgYqxuQC_ey(1O?WxVF$*yySTiI%F{~ZAr>1PqgGrPWtyz} z=`(Z03BtjIL?;M)q3Sp*>YG!U00-<8Io2Kkpefu!nl)Gm^g#UuzXA=mG4BxAhcG4B z=={BaaF+UrMn9+{a)<>cGn&&&j6tKb#fRgM90eBf0mxzC_>)PDJD8@)r@f-+1&8A< zs3IafnE9zselhM~lhoh{{^8gobwv1sZOXVa_%SCtl{<(;Ya(+8t!yV94w>)?d!Zwz zKo(?N@w=>KRE0Yrsws(5Ez*y;DUbvi!@MNO2tI@)#u(jGAPQuqHwbv=Z)SMsZ?)cL+13d_JAVk?8}oMS9q|2O z>ya3=^Amt}o(GI@8SQ-0dIGS{Exe&PWCOYzPZt@pv5@5R5pA0wVGS|7lO=Zk=O{sVw_z6gltCjcG(!(z|> z-Oqjev<*m`A0WA*hKY;5{|u@L@^eFoJJ30ZzfTcku4}W z`3_HugDVf(ADRE9&?6rDQ&b?>@-jpT7bg`$n3Lt5vFIG9U=glyo(-?^d^9!C`dFZF zk!_UkqQ&usgI{SN%0ce0CxH<*P7OGPP_~5Uoxmt5A|Giqk?*XaAEH??U^g&>aS+=H zOa}$y5U>R~8$*6;nt_upc>TCYGIRpn0P)d?J&$NC@SluS&A674xKTnaLcLdlSjTI= zu4fXO1bZS8m};Rli73*nF{yE~577-!sfa0^z%$La2=?j(mQA3n$LCbBB_Oa1`IRBE zIRaH+hZrM~Pub9E7-MG%d2N=hT`WGcz+Npad@|ch4^}{e#>!h#nkIzs%6uE>Nw{D{ zn(_~c0;U+pWAZPcBFj^>@i38tMhfApU?P?h*%-`w97?jr>XR^g&^-|^8iaLWs)OHm zqvno;e-pM<#^z0csb!9nhKG}wBN17VITDi%LwsX17b`dxuuWo)#z;l(Xq5B_*h8{2 z(AXeuLwCv}YQXkq_~981+XpRG1wf4VOAe{2hvLv=7h_ERsInf4KcdNeJe}xq7)~FP zKc=vUVvuSx&mq<2^emz^qXgim2H%Zcz2G2y(jYY31W;HA!R<--z8bGbF)C+;*OJlg z2Mk-4#5g4p*^;H+A0OcJhX@q{Ms_^^8U{_K`T_L-p1~nU5S^6mRt59U;}B~Q`5%i<9v+mW zhDSkm72<@SxtE&>LyO`7Ycv7O4^GiAWLlVD1!W`Z0Jclay-=_%Fv@1{y2YB|tX-qL zghGU4JZa|9j1?Sf1f6Q?9*qtmnT`W9%d7>3m}O&={tzlZ{Uua0`it0!yc#fM+{8g< zf-8pf#|7Hu=|#xV+13!Ap_#byMnycL z?ku9q(TjgdOE?Bs7gH4p$2_H_xr-(Wfs;RLM)S6%ojSmSurCB zOaVPMhAR0fO56-zGsKluQ-(WH;$}dbYS3AsvM6yLa8tJSRCqE1tw5Ci5hXr0EG;F- z|2IlJB~&d>O8y=tJ|>W@Oc?zVC4PkPwleX<1Ke_rh;lwY)-6YreU+#m75*)*L85Lp zNF5yrE@NHlQ^#zS>fvx1d5L#^m_{8m!kFb3HV|9F01F20+5nz5ospK2X~X0Y`5w(o z(Ez&PfY4!4YeQE`@!P|36W&YdX2t#0pzCPAoj)ufE+R*Xk+@b$P+ZIqC6R=T%e;M3 zL{8)CWyl;gKy6B;V&JrF7Ec>?Y`C>dB8Jf^onQ=sV(HA-XbV{}Nm8u8Nh5UQjKa3k zF&U2Ay8u;|^`^=8x~?l>OP$4=QN&1ubhjzyFI$17=u3I_rRdCS1e}bs0=x@b63!MNo*rBC3ak6pd*E9s`jfNYB0VO`zMH=n#D{?@MR_O}g_lVhh;sBJK#nn`d>X52D|e z7RU@M0Cz%$)lfSz9LMU7K^R0OagkrNyMu|5-9VVFBiLPnhe6qi@f(Rofn;?bIesQT z7u8{CG9$@DOX^IeN??5XG4U#?9658K-;j!oF?k*g{lu-RRIH1A4dKv1S~N*Ebr_fR zIP+k}JTY#doe`q|zsTkQXr?j*X2Z&iQ&G%_JwqtQ6nYV7di(mdwhYH8GO9yMWc{8z z^dq{Oz?6}y8h{y6(M^WGWROA1-?-yO5~Db;GZUjB1mINgY6Q@CSWe@t(HMe7DftR7 zAI(!rgo0}8xG3@9uc4~cD;q92a7O`-)e2c(N(IF2zMkB*avd{mIdMV16%+# zm6JwPhKJ%KJf$x3vGl@!eb4(}Ygy=eyOs~P-JaWPp!N)(wsXaq;jQk%USnb4);#yt z!YzM*-n%@vJ7~{bww+vICIb%)dc9GmIq?0#s5i`h9Mrw+6s-v37oGDO0QM_lKF*(5KjW`(8aWf}TM?#r56H z%G&ab48%avA?Jb55h% zX^1ZD%lFQ#{?fgcg)W2^6Xy^z^T_uA-t(a451s7HjMo~>fE${*F&g!U3mN95&~x*_ zYb^mlddHuKNs;Wyj@NmO#nTLY0LG;{mo3fZv)fK}Azxj{R_BY=e8H(aiyJ#=HEy^A zukH>82k3e3<9NAZq3ro{jjEHI%NCsMT(wcJ&ebaow_0js{i>gvxi8tLqLUDqEYC}M zAzxU?W#@}|Cs)iqJJZNk-9|oFsWzN~<2R~a#jobQdI`Sf3Wa<*7y{u2~K_+QiY0zg<@$wi$BlKc-4HRk}JCTM%gREmuk^1t@uaT zgnT8j4H3s+)PzdK1Nj&8b>DGZw_Iqt)tuur8#yoAaGj=ilugLxopK?mBS<4=jJWsn zIlo>mRZEUrcARRt(J0sJ#YWz(c;zBoN6CG@;>7YUPR6L+mDoqaF**dQB|lp%maBzo zwpeL;#j*!Oqh8K=Wv5uL6pnNVIF(rL)k8qplDLsjC<2~$#V^4SsJMkvq3XHWMzdV5 zcz&T$&L3$U;hp;{OBsG96-`)K#jomDzUaNo%qVHya8%K*Qs%9 zBNB$<=<_=3)mAW1wZyvSzxF4edI7NK&{c&8V_lovGOlOIm{PaSvi^<%zT6uCU+w_` zU+zN;U+%-!N30*TK59J(2y;JV{jl{T*2m!c!`8=R5au2Pgt<=u8k>wT_ki`6tse!9 zxdLF!owb&rwq^LfqCuE@z}kW*wgF-8EWwz206_W*fHQXuN?*60BN%fJSO{gV0l$3` z?)rc-_W+>G6#!?h1>YXTICE|I`vE|iL;rR$%3R-i4}2e3LxM1e?(E_F`__R)@#WC3 z?*n|f_rrg`&-#miE%yLMmwOTZ`zfIPmjGQZ(x?7E{^Z7s&sr9`zVk4B8r7kfMC7>B zB5vGiQ`9({gN+j*_E+S+m9EhCw85JuVDUf&X8CW?TG z$lG@zC5F{y0YJU4nQOAd3# zBF|CQVsEN=k`HZCGV&d=OP)bG$?cb<)4KR%G6oeF*=i2*g?PZa+pL1HUuKAir;rFA zk7h#=vH~DgGaV=$8I`ecc(++7D5*hU`3U>Abby$kQ*_*Tk z2v0JQUwTmpdSMKrN5P*0JW$Rq%@S~mNlPg3sd1=*DiP6q8#Zik8Uz!MjMk@8BBnNR zV1c(+F3D(wqa?_|F~yY{50Ge-3`lr;C6W;w->k88V@58AKVG;V^l#RxpuF?di;*K2@D59GYY7hJZX{ zGDQhQtTYX3h%lZi-ssclG#q0M$Abj(8=k153ws{I(`2%MgEXDt4tNCaI9I%@<85R7 zs{4qUgOz+liqTa0WJYNkHj`p-FzSdo4e<<_9D`*M2j;2jYl*|)gtEXPJy9IH>PSCs z;2d*QuswK}@i@$a5y3E>0=tLT%YbZzNia?#f~QKPvBT$3E4$P(CbdOcfEQIJa%K>h zOmD@_k04$*E#RC--1#O*mEtU%H%+qWAbI7zPXQW2yDZL^ce?6ORwscgveL z+_nT$ICIA>A_m;9`F*hcmw*AP*#1)hQ%o1iC*5O%=M;#=Kcb}?gUl(AjJdC+w?Q`r8MX=6*yv55q-!w`#VIhw z-{2@j=HP(#Fve?N`E#hjWO%XzU56OT&jgqSLtvf+`BN~^3V=>Fxtg+uqh{3jMH&QY zf_5>@;+xO=LOPx>FbIoy*3K`Vy|fB2 zOC()n(;x1(M?;EH6#*&YyDoMPYp?{IWM^u@QWdTk0wGaUR4P1nD?RdQl!O^{Vu)`V zEpG;nsD?0&(&OO}Wd}zaE~A!4>5L6f8YPqxj5Jz&Ot{e~nIi-ijgme*tZ0Zy%sW5qG>i3^gy8!QGP%KvlreNjuUR0q#>M#&=rdWMT1t9F@-^tQAWcV zpL|d9B(gQZ0j9$ukcxQ(wEGSX6G{n@Xjw{#P+B?(9h6rkDcoif5TsoeY_#6y74B${ z(HcvKExpC#Fp3SYmE6O_bfSWJ7gZ7E`dsRO7ZP#6Ag#R(=3T z0yf)bnW&;`uwfNaCi^+J6$CaegNyCOkDneBK*Ywu=mhG|s))d`-ma;H5d>|@C=vmp zo!&+hG&6{P60BQ#Vu=1AV?xq^8ANDWon3gRJKnGFd48MOSFFskO^lu6Q0$?pm(hsi zlQS$D_Jb@V*3v&KG9qe&M52K33q_&^?^BH~R@OMzgK86>OgL!+Lu7>VNpO&o%O(kP zi4=Z-#72)C_m?gY34IovRrQ4Ab$J}$SrMj-OL3sxA#WQ@yi+=`2esdC_W;IsOdMjB ztfYvHei=Q*GCF{xsU0}3njY!cST=Wz8-Srp!N|}^?zWq3?XrO)576ssqi-w@Abm%U zra)7WU<|>UjU|G^g~A?XjD6wFy$sZRyJnKa(Cd((6*DAI9t=Rv_90WZdgAsJYk&dN z^pQ$n3jInThh#`=)c}w@t&u8Btso3Rv;T}p!w8n!SQgXoJDEj1JivEqsU-P~=^HX+ zQ4Ry1&NLuGdPr>X)MA_Z>8LqOxx&>*7M8~;pZ4Y}fzTBTNX3@QaiE@SqqGbO{mvVf zff1WGgJ4hB4O4-uez*6V0mys0UYi_pJKt5Y!7(}|5Js6M>wapTARJ6cbb_!Ks$X)e zu;C#cSdLPsS%ZZ@57b}qE6^Zg;|_s+2$!4?(wEOGMbF#27R>TYNbFj{5}u;kYB`bC2%`{^8hzjaYQdKhn9dlNomgKV|?z?l#?H5|grt z)n?1he;0$EJ%i2bxlVuEMvr%e>pUhwBPsI>?;#`py+a|I>`@Zvmk zYKN8n9Q~nroM@)X@E}a6q{es{x4+Mt2`L0)9H8Q-OOtKzZ96(OHBCOmp(!bJqRVcJ z8-Nq->x5i4c}Z|2ODw+MC+&(!gz+dAS0Zlt-}uCDeDni=S&ptVV_{rJ-u|J(FaKEs z{PJ%C{PH&l_~mbA_~mc4-e%d>2|zD@$T|rx!FmULf7p5?2EF_QpqJ+X16)QgU$maU zVBTloEyDrFB0r>gj~J|*ySGJmM;Qw zc>$2iZ@{+}e0#Tb6TY1Q@wNfEybHf9V&w8g3t^WRFn0MOK`-Bfd;9o_1N<+=FJA=o z@!~FZL55nIsT0a2z<%@t|e!}{Y^-UUVDOBD^Ye52u4FJ#xgimTrBnc^OWiaQ%t;8)= zP~t#(=~QHga7RxV>@o zh=&yy6$rMl3{k(uNrDizWO*Je+NS0j=gsgc&%5qG>tlhxMYd7?iWZlfVJBZavrz+r zwe2%!FRVlY6O8X!ko)VSqsmJG35#krvogeM(hu(7p3G)qd!bxQ? zn4!}!#?A)v+ALeUSiEI{y;@p0WCj8ftY`#{mA9BQO$gzV`8Lp#ZNZ2%LFT^-vs|>`IKuA63>v@n^DEFeZOYVGqS1)nmXgjIaoq2MynLyPl0B+sDCf zaQKJsavDUB>1~l;6X@I{6KfF3AB#^^G%DB#Xs&`y_}TNjr)OhkloZ!jqX}SsaKefq z)50tvC>!DWY!pRT!M0!ngyp_!8ErUg*C;Qc5aAe4nt9Y*1;-jer<%G)ql`$V(*m{2 zs{up0O&nw=*i%}1@d&w?Fh?)`DJ|g`oLtH- zM>yswE!7PgY-f2IOadI$=u`L^brMi^a<*1mAolnc7wT2ggH9tpS5|*PBocZ zH|S(J9Z<58UWQ6J2~1fQ@Gw~UXoRbUb`?}IoGL0@3xrdmh_6g$F<!NyP} zKSha~;bn$6v1-b2CraE5I8zNGD^wOG&I4%5+tO5cGNPQMVJ~qrOCCL9bN<1a> zEKf@Q9wj~|Fs)1&{Sqa9gmASo@xuewa*c>`K0bCWN0fb)s2>%cEv`YLZZ=4@ptg*4 zsZSlVQL2ZxW#lE^`C%IIfe^V7-5)_ zbXXMH(3L{s(P$UXgQp%jxO#rm5xLO0ItYLr;k zncJ*IF8jDgD{9n z;v&C5NCS0A*@^KRiAI6Mn~xk1lb?%{I#|AYtgJ-*OI}UI?Om0?`0``oPf|H@=0Lxl z9vNfuJXl;+saO~L8p5H2-tNekr^Z0dMr#q4_Q*Uqn>vikdYpMMW1bi{(9nocfL~;D zfSaH~JC2cmtf~NI-Qv|u%!oZhXvG-5RC*C-dK1>#GQ46$A6##Kv_E1qNO)fE_vE1; z(bWW|jAUp4PDn*J8UB(%11W#wjvGmgX2weB;dw(yzp3EW2ypMPoW@zBF$9ZJ@)ce_ znx~|O(vE3M+#nyHWnw^q*N6dyW#b{S>SB0LW4Oj|lc3)#o?WI~@+M@Q#ky4mv{1n- z)QC#!TB)vA)zY8;*Vq2vzXsf*>(9q>?Y7%n1O2+N*H{?1HP5}ZKn~W= z?GD;Amu<%>&1B$#L9aK;GzY#v81;sk6G#={!A!ZD%fQ6Bdo#1_cY2wPjio{%Tie>G zo!hunyV7d6y8E@utpV^_tesz4%G7H6(A*ZN1Az(N_v#rWdGr&nm@_MD%QK>KF8}Po zeXqU8ve5PB*oOJt(V*oIo$Snv*BZ82k3e3<9NAZ zq3ro{jjEHI%NCsMT(wcJ&ebaow_0js{i>gvxo>7;(0e!Jp{%*LT08EjH^B0fe|8*l zgKWHzziz={kOt%!l0UmUjrwk@?U6sPY+cv}`VBZoKz<>IjmTeg?}Ge!W_Q%<9(3qU z=qU0vIJ!grfPgguo+E!XcZc+;{Q*XaAUAG6sG?sA%Rufp`C|kpZOB(xXOnMm{Db}& z_y_Vkv?%9$ttR<1IHW`VfLSs5=IwU+kh#_Bu~Hr{2ar&wN2{+PiKCeMYIo!hx^BBh z3Zab%@|EUcwi<3b2vCt5FyOjAed^YYJ|}xP=RzJ52M>%F_W4%$#*eiO5xK_vy+u_d z!6}^7mO~~e$ynQo6m;fOU%$$PLY3@OfN!zF!YvOe4E&v&{=pFaikqYs|6BDGwQlH< z#IhzbO^}L#TT135l#D2ezj@~8e(5?O2BGWi+Sa6UQ@O%K+!P%;*j*77m`Qf3`P@Xg zh11hmS!0~+zkB(S|NDP~u0hucZTC#Kvt=DK#ZH&@0yVrf2$ zKhMs11;?v2ylSPH&DL|-f?ut`te{%)8_h}~SN0DxNg(ruESd;Bea~xVpx@<>G>4^L zgET{3(gtFJ36&k2#`Q=>BBpeL7s?ngfJvAb&%cm{n9esDbEPt+zzF+13g41s9C$3P zf61pIV8EnKe@aSthN#->W>-p52L0rp(cYcq?2GwtEreWHmk+)$m$I27@Vq% z#&2Kwmz$pk4$-xmp3{&lah6Cdc$ol4^Ez-A{X(njqt^+}%nWDvpVoHB5;F4p1}r)w zKV5;9AN&M4NVi(O-QnEY`Q^yp7lpq!+{R7cn_F8F9}70I*w4!8fQc=R=#)Of1{jlx z9xR5Si4z(}5e(eXm>h@7AWoT{c=@0G!xzCSqiZ3(FjBdw!qm8^4C~XBYp7y=TD)x7 z1kJ)~_S!o>{U4!c(N#*{yLX%i4uvva3>K|P=z8e*;-0CNVA)c=)NB^3g+|`36e>=x z=@bf%Q}s&@d?_^!!!KEaImN292eWC|igDxkP=8)F$4n^l<=&4hC4x;fkz&S;u z_4DZWxxT&MDBf!Bm$Rd0({b{pIXK_c9RcJkBm*D-TzjtGvB?{>>Ya|gw2)bW{efGV zbFhD~xxK!Xd8RkG+3M~r_4)^S!<_>Wg@SA!%tK(-p4)BE+IAaF`V|@zagdYunGYNE zUgBz63Llc$B#Yf^CV~$|8IZ~*O#bG~2$(o!X~i1P3nHbP^t!}N!I{iN zD9W~G^7Daz^$qX+HRv^TNsC&E4z@v4KH-Tneb-EKnt3-@&S$ITd^uZfR4WC~se1+2 zD;Dd8dLefdlN^YTMFY*e8I}URQCU+(JCwP#lMIOCXdG!Gk5D?nl}bUGXfr8^zJZ~Z zg3>x-I(KT!mC8u}i$_Pl^-hp2x}GqQF_uzDYz&ur1?j=L)e9>#WX`z{(^RdiO`LjA z(d#1?QV2vPbVSCa7tMul;BZrM7j}T?ay$L6{L=v#ZFEV&a#eHFIo%0GB4p;%y4@+6 zEr70)K@$`0|0mhaANvjHJ9J6Wepv*8&AP)}FC-;RakQWIjnO7=xtc8%{c5#bZ zsjP|ZmU3!hspwp9G9M}{{bOJM@_UfEM^`24b0t1PDp6;<)NaApCt#85yQBW@=p4#T zAaK7Nr2vvt>ttt>8PP6fC3D49AJ{2Vw`w3*1Al79Pbgf`k>2>G5B?($j4-;S>4(&^ zR30=}naui+<2t45%ugn#IKy$Q@tL2_|MU-j8M+Bw(mbChaVM-(IezaNFIg|;3w|M6 zFBPgeFYCHl0F(v?SuB=vr7A2^kHSkj(h`+7vbN5)5vzKn##E|M&L7rzM@frgN+&o; zX=$P&Vd5*L)vAt|&Se^Nr83K(`8O|qZ4Smcx}?>rimQ-V)PeF-qNUB1Gux5qFMnSV zAim%ZM<_3R84fV4EL`s#)Ig#rH-C8j8NiYD;iXl6t9yOmw|zKOa~<*su6Nv4H+P+G zu3SeaF|L!781ct2uH%iJ>#$6|4laEC>{DCY>zgceJ>$&hk!Rmre`;lEyN26=BHG)l z7gx5n&s^MK<15Hhgf}UoLnJfoR1pb*1059c-dx#O-&%zVuF}{zxgk187*XsaIY?zs zXlD@83Rp+RL~qU2&0s!}in~zdM5%qt-~QNx%JsRbxv6FslS*cjnNK;%C`@R5E;Fu~ zYg~Nkci-}F!Ss!Dt38~cqSejD2wyKhg9$+*7oiNrNx;W{^LMk) zf&)R96o%rPlFIStCgeW@hEqEG{Dk(viFAoh#{}U2F!QI+)ALleZg@_4(4F+k-4bZ8 zIOSa3&*!T3M$_}F&2qtoa6;K>x`k}f^D0N+$O|PYguq+N?@%a%S@a<24g;$wo%My1 z=-{-*dr4<~X^{l?6=!;IRm}Y)r5nW!5Rrn;f$8g48PAvh%5Of0)^+HT&iV>14=JQ~ zVW<@by(Mj9hzw|*m=xuf%9?nw1R)x@v1C3%L5hCtJAbe9Mdi_8p*86o*@TV;6OPk* zvI!jxrt($0ve$S2^9wJ-6a!r+VyssiDJJk1H zTW|Z`48q5Rhyd9gUUK`R-GLu}6E=+j)C6xGL)rs;oe%yK77w0~sf!K)8p1x(@=j=x z)-y^At7uV^{?vDgfnQAIBJ37X3J?Ft?=35rcYIUQdBq7W?*fL?I>iYs?}&8OKJhcn zpLmw~L>qKfh!gRBGvzLk^vYecyvun`DOV_#vc*QFk$1AiT(MYbdQCXFRjwaN60?(& zPipZNSX$qSx-`X>R$4L=urH01m6nXONP?G@RvSV&6SFK2x_;Y3u#9Zcsq?e?`c($` z@BiG^zf>+6g%*SqqMkNkMntHLvt$$*&^ktGsV0>*>4F3y8o0(}K0-l?zVVUw{NX}#lwmW))sYWMh~fABl^Quin=8EJ7mQm5TN23F;+Su)leS*Ka? zn$2w0%lUq;+VJuPx8UWRVzXLq9K|W0g1ltp4X3nZr0PJl>BtP^w?(IA45m@z&?N4{ zLJ2PI9qQ_sOG=7^N~yDv+L2Y(xwRx7RMzzGt^N8gI;V*)38NswLr5c~Yh!c_oSU5> zO#S&B>7*g6I$i#7qBsp)oCzKbQ5yR zj9;2rf%z6Y>81B}{^XxS2cb)f6@&!t1ZT&%y=&ZE-Y*rsM%~F3vW2>n&)408TXCFn z#d8~w#gjdX2&udr`2c#eyaR*GbL5 zfhBMdN=`J3pI-Xv4GK3XO>4qNq;p#niUuNfQ+g~263OWD$B&+$!ID+4%l^;TUis+% z3XTe0l4S~eWy%+2xIgZekY%Y+FJ&Ps#m`j=B|zn`)GKw!EA=Zmw^7MejwB#Ob$ zC{ro<+Cu^xmp;Z-PH>Hc3)T#^S}M9a#a=f%9Oh&+#h$1 zbINCPPN4yrkvX?eY!(Y8uh=LS>P-jylV7MFg>#ZuRlHr47BIXLCAcQ^sDb^HR#m~9 z(lo9~Vv3YVa8A;^oG)f#Eu~delz`4bX=_(m%K!aKpZy)>s*0~YB#_cc1w;(GN%GA? z^;$M&LN;lHfL3y8Gh4aNsjHI-NiW1PdByH^~6Y2kW>3zyI6kmHtAf;mph!}K} zWDbPtweCq;y+n(e2wyKh11FWpMJPkjPkr_sUwcNmhT@x&&Q(ol4HYn)(pybx4Mn7@ zc37QH|KztR*HC=lOu5e@y>hp>EvMQnHnLgQcOkvqE7U!&TFg2*->uY}`EvP4QYz#% z6mKo1HI%4I37#u>*uW}Eiz0X}R?hcYO^y4KS)*kV9GJ9a!74LeQc3e{nS{=XC2*j! zpzD`@`LCcw6}qIijfxzEB+@!EB1D5ull{2R0s7Q-2_ZAWKk-CK2Mv-WY2eTdI0>aG zy0lB*`&#R}VUCY3DaT%HP&%hJp;t;!meabmN%>%^Z)(T(wP$aA;GaS7pi9c$q|FV& zFVpVYNMrAsHCee?bn1SiSuHjk$E{aNWiMOJdie@0&T@Xaudq+d3XYUX@8I}?CU!N(!9_{vY-)^I=8LQB z=?9+r<$aBVizkuV!3AUtIxWV*2`r>_aM8jh9g!r-@m6qAPE;Mz_{DQ?(l|Jt%pKz3 z0+#O>2S+5Vc5wHe|K@d#gX4Q>>Kz>Eo4duq6}>{SQOK3D@Ghe&yiLG!vW*7(Q>^5x zKHydzkAvfFXpDms6)Mre1&L`w4rE7m$LDa}GCXCi8izzp1#zWz{X;>79e zFS#!((>z!U(mAOKrFj6iDgD!=(maq{)voF7ANlsrDAPPxmrS`=LY;B9I3xf{EN06- zymz+P%w-GJs#nUD;q|j_Ay>?LzH<}~Nlx?NjiHq0!D&!}FM=lxjGlyT*l)X|9bX3^ zEZa#^B*7iYc8V`Io}G+R(77dj{VF>-dgFiidrE9WDlFXckV0Db6tu#iwzJB<9 zw;onv8`9!sWyRTnX zW-aoyhXhhOvVe$zACuPELiJiVCglr8i<$^uFFyk(mdHgYL(zvl{(pUOR++WPHzl15 zn^49?z;Id*HlcIXM7nAR_Q}^g{r$?UMZRyQ+<%c?xm#9Yg`%4)y46Y^;(*0$rQt!g zVyTE;d0z61u6rczOU_#4t);ZFB&t$^_X-|1u!@rN8n!z6rI_TrNQt!0i!WwkKPBfC zC6LN_@r6}(vwHFLzgIf1cmgS%S3ty|nIj<-IRVRG) zrJw#KrSsy6+!4+zVE7JkUPQWT=XL5Qy1%S+UVPt7x$`2ua<@1yuU_%XO$UIW8o7Ka zoAYw@QUhMH*{DD!N2Pisxbm{|;;p6RyhK$>a9+W~23Aqppc=V;cc<0W!R$(`u`&q` zOxolZ3z}F_DPcnHDOcg4@z0t=FY^8*DI2tr`&P_r)#Lp) zgBc~-Vc6QRcv?pW%o}u!Jd0qB)}I-ZJ&#b(MBqB9891&44noO^PU~;|-WUIo674V) zd^(3Up{N{UH>I8`_!ZwP7yWFmd?XG^Mmyx~q7+AyK)7KDN(0+$_=L_#O@1P)Y!-}@g6 z-=%g;jDtJFF#)@Gieo~ORXe7a|JCwm)sBhv%CtKs)E{??V=9$A0O0W9q;jt5WC4z& z2`@FNI!#E-$mW`j@=>guWXHtYMcFZNN|fZ7;86qnC*>C2y3vQ1KI_m7rQAYVB*8sN zX=y?^6N70(TuVrnmni7$rM`ZZx%}{Q>t8E#3x)ba3MpL`p<>WmQf{HhfVSJDoIa_n zNf#st(O^B5%tt6laY^;+?fX8j%qCKJx1SsLU;- z#j%Z;axX?Z=5BFh&0M8iDL8ev;vaLz5=pVnGvgDlGwI5;~Wbz=6t;{_%4U{cDNk=3}(!jA9a1u&W^lY1NFFvi@;Sw8^&b3Ww zhl{eD*0)V)hl{eSS)YC5=YQb~${j9N{*Lf&WMJMk>omyTfHTPOPrc+fijBHo_q}SV zRPYNPkx0O;LalgN(OP!YjiTL>h$0m8Du#kx@mBb+y z*Euvz%_`gZBtZX11BcUBDkux4ovbqVnGvu>!fDjxDq%BB_}$q zkACD6Kc);MhK)$)uqL#_h1gB$tR}U?g(XXL7_%()$_L*6(R-AE#IRSUd@s}hGgPf>~t ziWIdTNQ%fwWldz7AQb~Yl*~sc8Br2v|LBk2ri=^^)4X?iu0rg@ov?PI9doyMCa>Ula9FDfZYf)b!^S?u2D8;_1t5AW1#nSE5E;x$ zaJ;#%gxuOv+Yh9iR+fb7m`gY_wXvg6>(nTT2=Fax)vuRj!;sEdE_@82*| zVly$3r*k(G!e#<)Q@WZ-VKX7Qs@=@Rm;OOhiOs~iWZG9g&>44&dntK2uLzkFZoTYP zyqwc0=S#5sak5q4ujKPbl0hM3Gx5ey!e-($Xl$eRx}SgZdp-od0bSn!*DZfAZ1uXE zD;w)utJ~|FS8JD7Hn&#S*Y2Nj=AHXzHdoHCZf#3Hub+Kt3!Yx#pU&Suv%1B8sV!}; voY`Jku06B5{Ui{>)cE@s&TMVhw$5DUAIaT6v+?x3uLZu?=bo2d4d4HN$Q=}h delta 512 zcmX|;Ur1AN6vuZCyW5;|_gR8b4>2uEad%lOm@9txNd0;%G z9%}9ANU}#=_HR*!K3cc*`R!ER<#Od!aj;p%^{`sh`;~2kovblSn5~dUeOS}(6gofY z%8sp9Mo*(EbO#;X>L5ull{MIe=@NxhY-k&n9?{>O^XR(y%QyGcUA(6F4BFJ-%$S}O=wlDx!J$5(L_gm^WI)W% z4obN)#J4eeL`afNoIT856pnEcW2eLt92Q}*CdZYASwrs#Cop$GR_?zj!lqSWPm+Cz zUy`xD8nxoh6+VEI*JQRjCYI(DA4jxTl7FPR5tQYl?pR&?JWgbJV%^pTzZKOy@}K|T I>&>h92P96mtpET3 diff --git a/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise.lock b/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise.lock index 94c543b362c918e82e65d6f575e30a21ae78cc72..87a4f5bfa3fdae0745e0f467407b4aafdf2a90ac 100644 GIT binary patch delta 10 Rcmeys_ - + diff --git a/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/system.log b/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/system.log index 6c00f76e6..9f6181529 100644 --- a/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/system.log +++ b/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/system.log @@ -87,6 +87,4361 @@ rm -f __xps/ise/_xmsgs/libgen.xmsgs rm -f RTOSDemo/executable.elf +Done! + +Writing filter settings.... + +Done writing filter settings to: + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\__xps\system.filters + +Done writing Tab View settings to: + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\__xps\system.gui + +Xilinx Platform Studio (XPS) +Xilinx EDK 11.2 Build EDK_LS3.47 + +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. + +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\_xps_tempmhsfilename.mhs line 239 - deprecated core for architecture 'virtex5fx'! + +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\_xps_tempmhsfilename.mhs line 284 - deprecated core for architecture 'virtex5fx'! + +Generating Block Diagram to Buffer + +Generated Block Diagram SVG + +At Local date and time: Fri Jul 03 21:23:32 2009 + make -f system.make bits started... + +**************************************************** +Creating system netlist for hardware specification.. +**************************************************** +platgen -p xc5vfx70tff1136-1 -lang vhdl -msg __xps/ise/xmsgprops.lst system.mhs + +Release 11.2 - platgen Xilinx EDK 11.2 Build EDK_LS3.47 + (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. + + +Command Line: platgen -p xc5vfx70tff1136-1 -lang vhdl -msg +__xps/ise/xmsgprops.lst system.mhs + +Parse +C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/system.mhs +... + +Read MPD definitions ... +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.m + hs line 253 - deprecated core for architecture 'virtex5fx'! +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.m + hs line 298 - deprecated core for architecture 'virtex5fx'! +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.m + hs line 253 - deprecated core for architecture 'virtex5fx'! +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.m + hs line 298 - deprecated core for architecture 'virtex5fx'! + +Overriding IP level properties ... + +Performing IP level DRCs on properties... + +Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC... +Address Map for Processor ppc440_0 + (0b0000000000-0b0011111111) ppc440_0 + (0000000000-0x0fffffff) DDR2_SDRAM ppc440_0_PPC440MC + (0x81000000-0x8100ffff) Ethernet_MAC plb_v46_0 + (0x81400000-0x8140ffff) Push_Buttons_5Bit plb_v46_0 + (0x81420000-0x8142ffff) LEDs_Positions plb_v46_0 + (0x81440000-0x8144ffff) LEDs_8Bit plb_v46_0 + (0x81460000-0x8146ffff) DIP_Switches_8Bit plb_v46_0 + (0x81600000-0x8160ffff) IIC_EEPROM plb_v46_0 + (0x81800000-0x8180ffff) xps_intc_0 plb_v46_0 + (0x83600000-0x8360ffff) SysACE_CompactFlash plb_v46_0 + (0x84000000-0x8400ffff) RS232_Uart_1 plb_v46_0 + (0x85c00000-0x85c0ffff) PCIe_Bridge plb_v46_0 + (0xc0000000-0xdfffffff) PCIe_Bridge plb_v46_0 + (0xe0000000-0xefffffff) PCIe_Bridge plb_v46_0 + (0xf8000000-0xf80fffff) SRAM plb_v46_0 + (0xffffe000-0xffffffff) xps_bram_if_cntlr_1 plb_v46_0 +INFO:EDK:1560 - IPNAME:ppc440_virtex5 INSTANCE:ppc440_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\ppc440_virtex5_v1_ + 01_a\data\ppc440_virtex5_v2_1_0.mpd line 175 - tool is overriding PARAMETER + C_SPLB0_P2P value to 0 + +Computing clock values... +INFO:EDK:1432 - Frequency for Top-Level Input Clock + 'fpga_0_PCIe_Diff_Clk_IBUF_DS_P_pin' is not specified. Clock DRCs will not be + performed for IPs connected to that clock port, unless they are connected + through the clock generator IP. + +INFO:EDK:1432 - Frequency for Top-Level Input Clock + 'fpga_0_PCIe_Diff_Clk_IBUF_DS_N_pin' is not specified. Clock DRCs will not be + performed for IPs connected to that clock port, unless they are connected + through the clock generator IP. + +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:plb_v46_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 70 - tool is overriding PARAMETER + C_PLBV46_NUM_MASTERS value to 1 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:plb_v46_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 71 - tool is overriding PARAMETER + C_PLBV46_NUM_SLAVES value to 12 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:plb_v46_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 72 - tool is overriding PARAMETER + C_PLBV46_MID_WIDTH value to 1 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:plb_v46_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 74 - tool is overriding PARAMETER C_PLBV46_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_bram_if_cntlr INSTANCE:xps_bram_if_cntlr_1 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_bram_if_cntlr_ + v1_00_b\data\xps_bram_if_cntlr_v2_1_0.mpd line 75 - tool is overriding + PARAMETER C_SPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:xps_bram_if_cntlr INSTANCE:xps_bram_if_cntlr_1 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_bram_if_cntlr_ + v1_00_b\data\xps_bram_if_cntlr_v2_1_0.mpd line 76 - tool is overriding + PARAMETER C_SPLB_NUM_MASTERS value to 1 +INFO:EDK:1560 - IPNAME:xps_bram_if_cntlr INSTANCE:xps_bram_if_cntlr_1 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_bram_if_cntlr_ + v1_00_b\data\xps_bram_if_cntlr_v2_1_0.mpd line 80 - tool is overriding + PARAMETER C_SPLB_SMALLEST_MASTER value to 128 +INFO:EDK:1560 - IPNAME:bram_block INSTANCE:xps_bram_if_cntlr_1_bram - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a + \data\bram_block_v2_1_0.mpd line 69 - tool is overriding PARAMETER C_MEMSIZE + value to 0x2000 +INFO:EDK:1560 - IPNAME:bram_block INSTANCE:xps_bram_if_cntlr_1_bram - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a + \data\bram_block_v2_1_0.mpd line 70 - tool is overriding PARAMETER + C_PORT_DWIDTH value to 64 +INFO:EDK:1560 - IPNAME:bram_block INSTANCE:xps_bram_if_cntlr_1_bram - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a + \data\bram_block_v2_1_0.mpd line 72 - tool is overriding PARAMETER C_NUM_WE + value to 8 +INFO:EDK:1560 - IPNAME:xps_uartlite INSTANCE:RS232_Uart_1 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_uartlite_v1_01 + _a\data\xps_uartlite_v2_1_0.mpd line 73 - tool is overriding PARAMETER + C_SPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:xps_gpio INSTANCE:LEDs_8Bit - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_gpio_v2_00_a\d + ata\xps_gpio_v2_1_0.mpd line 71 - tool is overriding PARAMETER C_SPLB_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_gpio INSTANCE:LEDs_Positions - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_gpio_v2_00_a\d + ata\xps_gpio_v2_1_0.mpd line 71 - tool is overriding PARAMETER C_SPLB_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_gpio INSTANCE:Push_Buttons_5Bit - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_gpio_v2_00_a\d + ata\xps_gpio_v2_1_0.mpd line 71 - tool is overriding PARAMETER C_SPLB_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_gpio INSTANCE:DIP_Switches_8Bit - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_gpio_v2_00_a\d + ata\xps_gpio_v2_1_0.mpd line 71 - tool is overriding PARAMETER C_SPLB_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_iic INSTANCE:IIC_EEPROM - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_iic_v2_01_a\da + ta\xps_iic_v2_1_0.mpd line 79 - tool is overriding PARAMETER C_SPLB_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_mch_emc INSTANCE:SRAM - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_mch_emc_v3_00_ + a\data\xps_mch_emc_v2_1_0.mpd line 82 - tool is overriding PARAMETER + C_SPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:xps_mch_emc INSTANCE:SRAM - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_mch_emc_v3_00_ + a\data\xps_mch_emc_v2_1_0.mpd line 84 - tool is overriding PARAMETER + C_SPLB_SMALLEST_MASTER value to 128 +INFO:EDK:1560 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plbv46_pcie_v3_00_ + b\data\plbv46_pcie_v2_1_0.mpd line 86 - tool is overriding PARAMETER + C_MPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plbv46_pcie_v3_00_ + b\data\plbv46_pcie_v2_1_0.mpd line 87 - tool is overriding PARAMETER + C_MPLB_SMALLEST_SLAVE value to 128 +INFO:EDK:1560 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plbv46_pcie_v3_00_ + b\data\plbv46_pcie_v2_1_0.mpd line 89 - tool is overriding PARAMETER + C_SPLB_MID_WIDTH value to 1 +INFO:EDK:1560 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plbv46_pcie_v3_00_ + b\data\plbv46_pcie_v2_1_0.mpd line 90 - tool is overriding PARAMETER + C_SPLB_NUM_MASTERS value to 1 +INFO:EDK:1560 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plbv46_pcie_v3_00_ + b\data\plbv46_pcie_v2_1_0.mpd line 91 - tool is overriding PARAMETER + C_SPLB_SMALLEST_MASTER value to 128 +INFO:EDK:1560 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plbv46_pcie_v3_00_ + b\data\plbv46_pcie_v2_1_0.mpd line 95 - tool is overriding PARAMETER + C_SPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:ppc440_0_SPLB0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 70 - tool is overriding PARAMETER + C_PLBV46_NUM_MASTERS value to 1 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:ppc440_0_SPLB0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 71 - tool is overriding PARAMETER + C_PLBV46_NUM_SLAVES value to 1 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:ppc440_0_SPLB0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 72 - tool is overriding PARAMETER + C_PLBV46_MID_WIDTH value to 1 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:ppc440_0_SPLB0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 74 - tool is overriding PARAMETER C_PLBV46_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_ethernetlite_v + 2_01_a\data\xps_ethernetlite_v2_1_0.mpd line 75 - tool is overriding + PARAMETER C_SPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:xps_sysace INSTANCE:SysACE_CompactFlash - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_sysace_v1_01_a + \data\xps_sysace_v2_1_0.mpd line 72 - tool is overriding PARAMETER + C_SPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:xps_sysace INSTANCE:SysACE_CompactFlash - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_sysace_v1_01_a + \data\xps_sysace_v2_1_0.mpd line 74 - tool is overriding PARAMETER + C_SPLB_MID_WIDTH value to 1 +INFO:EDK:1560 - IPNAME:xps_sysace INSTANCE:SysACE_CompactFlash - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_sysace_v1_01_a + \data\xps_sysace_v2_1_0.mpd line 75 - tool is overriding PARAMETER + C_SPLB_NUM_MASTERS value to 1 +INFO:EDK:1560 - IPNAME:xps_intc INSTANCE:xps_intc_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_intc_v2_00_a\d + ata\xps_intc_v2_1_0.mpd line 72 - tool is overriding PARAMETER C_SPLB_DWIDTH + value to 128 + +Checking platform address map ... + +Checking platform configuration ... +INFO:EDK:1563 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.m + hs line 298 - This design requires design constraints to guarantee + performance. + Please refer to the xps_ethernetlite_v2_00_a data sheet for details. + The PLB clock frequency must be greater than or equal to 50 MHz for 100 Mbs + Ethernet operation and greater than or equal to 5.0 MHz for 10 Mbs Ethernet + operation. +IPNAME:plb_v46 INSTANCE:plb_v46_0 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 109 - 1 master(s) : 12 slave(s) +IPNAME:plb_v46 INSTANCE:ppc440_0_SPLB0 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 290 - 1 master(s) : 1 slave(s) +IPNAME:fcb_v20 INSTANCE:ppc440_0_fcb_v20 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 394 - 1 master(s) : 1 slave(s) + +Checking port drivers... +WARNING:EDK:2099 - PORT:Peripheral_Reset CONNECTOR:sys_periph_reset - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.m + hs line 462 - floating connection! + +Performing Clock DRCs... + +Performing Reset DRCs... + +Overriding system level properties... +INFO:EDK:1560 - IPNAME:ppc440_virtex5 INSTANCE:ppc440_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\ppc440_virtex5_v1_ + 01_a\data\ppc440_virtex5_v2_1_0.mpd line 124 - tcl is overriding PARAMETER + C_PPC440MC_ADDR_BASE value to 0x00000000 +INFO:EDK:1560 - IPNAME:ppc440_virtex5 INSTANCE:ppc440_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\ppc440_virtex5_v1_ + 01_a\data\ppc440_virtex5_v2_1_0.mpd line 125 - tcl is overriding PARAMETER + C_PPC440MC_ADDR_HIGH value to 0x0fffffff +INFO:EDK:1560 - IPNAME:jtagppc_cntlr INSTANCE:jtagppc_cntlr_inst - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\jtagppc_cntlr_v2_0 + 1_c\data\jtagppc_cntlr_v2_1_0.mpd line 70 - tcl is overriding PARAMETER + C_NUM_PPC_USED value to 1 +INFO:EDK:1560 - IPNAME:xps_intc INSTANCE:xps_intc_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_intc_v2_00_a\d + ata\xps_intc_v2_1_0.mpd line 79 - tcl is overriding PARAMETER C_KIND_OF_INTR + value to 0b00000000000000000000000000000001 +INFO:EDK:1560 - IPNAME:xps_intc INSTANCE:xps_intc_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_intc_v2_00_a\d + ata\xps_intc_v2_1_0.mpd line 80 - tcl is overriding PARAMETER C_KIND_OF_EDGE + value to 0b00000000000000000000000000000001 +INFO:EDK:1560 - IPNAME:xps_intc INSTANCE:xps_intc_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_intc_v2_00_a\d + ata\xps_intc_v2_1_0.mpd line 81 - tcl is overriding PARAMETER C_KIND_OF_LVL + value to 0b00000000000000000000000000000000 + +Running system level update procedures... + +Running UPDATE Tcl procedures for OPTION SYSLEVEL_UPDATE_PROC... + +Running system level DRCs... + +Performing System level DRCs on properties... + +Running DRC Tcl procedures for OPTION SYSLEVEL_DRC_PROC... + +Running UPDATE Tcl procedures for OPTION PLATGEN_SYSLEVEL_UPDATE_PROC... +INFO: The PCIe_Bridge core has constraints automatically generated by XPS in +implementation/pcie_bridge_wrapper/pcie_bridge_wrapper.ucf. +It can be overridden by constraints placed in the system.ucf file. + + + +INFO: The Ethernet_MAC core has constraints automatically generated by XPS in +implementation/ethernet_mac_wrapper/ethernet_mac_wrapper.ucf. +It can be overridden by constraints placed in the system.ucf file. + + + +INFO: The DDR2_SDRAM core has constraints automatically generated by XPS in +implementation/ddr2_sdram_wrapper/ddr2_sdram_wrapper.ucf. +It can be overridden by constraints placed in the system.ucf file. + + + + +Modify defaults ... + +Creating stub ... + +Processing licensed instances ... +Completion time: 0.00 seconds + +Creating hardware output directories ... + +Managing hardware (BBD-specified) netlist files ... +IPNAME:plbv46_pcie INSTANCE:pcie_bridge - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 253 - Copying (BBD-specified) netlist files. +IPNAME:xps_ethernetlite INSTANCE:ethernet_mac - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 298 - Copying (BBD-specified) netlist files. +IPNAME:apu_fpu_virtex5 INSTANCE:ppc440_0_apu_fpu_virtex5 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 401 - Copying (BBD-specified) netlist files. + +Managing cache ... + +Elaborating instances ... +IPNAME:bram_block INSTANCE:xps_bram_if_cntlr_1_bram - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 131 - elaborating IP + +Writing HDL for elaborated instances ... + +Inserting wrapper level ... +Completion time: 2.00 seconds + +Constructing platform-level connectivity ... +Completion time: 1.00 seconds + +Writing (top-level) BMM ... + +Writing (top-level and wrappers) HDL ... + +Generating synthesis project file ... + +Running XST synthesis ... + +INFO:EDK:2502 - The following instances are synthesized with XST. The MPD option + IMP_NETLIST=TRUE indicates that a NGC file is to be produced using XST + synthesis. IMP_NETLIST=FALSE (default) instances are not synthesized. +INSTANCE:ppc440_0 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 78 - Running XST synthesis +INSTANCE:plb_v46_0 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 109 - Running XST synthesis +INSTANCE:xps_bram_if_cntlr_1 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 118 - Running XST synthesis +INSTANCE:xps_bram_if_cntlr_1_bram - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 131 - Running XST synthesis +INSTANCE:rs232_uart_1 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 138 - Running XST synthesis +INSTANCE:leds_8bit - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 154 - Running XST synthesis +INSTANCE:leds_positions - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 168 - Running XST synthesis +INSTANCE:push_buttons_5bit - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 182 - Running XST synthesis +INSTANCE:dip_switches_8bit - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 196 - Running XST synthesis +INSTANCE:iic_eeprom - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 210 - Running XST synthesis +INSTANCE:sram - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 223 - Running XST synthesis +INSTANCE:pcie_bridge - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 253 - Running XST synthesis +INSTANCE:ppc440_0_splb0 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 290 - Running XST synthesis +INSTANCE:ethernet_mac - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 298 - Running XST synthesis +INSTANCE:ddr2_sdram - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 317 - Running XST synthesis +INSTANCE:sysace_compactflash - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 377 - Running XST synthesis +INSTANCE:ppc440_0_fcb_v20 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 394 - Running XST synthesis +INSTANCE:ppc440_0_apu_fpu_virtex5 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 401 - Running XST synthesis +INSTANCE:clock_generator_0 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 408 - Running XST synthesis +INSTANCE:jtagppc_cntlr_inst - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 447 - Running XST synthesis +INSTANCE:proc_sys_reset_0 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 453 - Running XST synthesis +INSTANCE:xps_intc_0 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 465 - Running XST synthesis + +Running NGCBUILD ... +IPNAME:ppc440_0_wrapper INSTANCE:ppc440_0 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 78 - Running NGCBUILD +PMSPEC -- Overriding Xilinx file + with local file + + +Command Line: C:\devtools\Xilinx\11.1\ISE\bin\nt\unwrapped\ngcbuild.exe -p +xc5vfx70tff1136-1 -intstyle silent -uc ppc440_0_wrapper.ucf -sd .. +ppc440_0_wrapper.ngc ../ppc440_0_wrapper.ngc + +Reading NGO file +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/implementa +tion/ppc440_0_wrapper/ppc440_0_wrapper.ngc" ... + +Applying constraints in "ppc440_0_wrapper.ucf" to the design... + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +NGCBUILD Design Results Summary: + Number of errors: 0 + Number of warnings: 0 + +Writing NGC file "../ppc440_0_wrapper.ngc" ... +Total REAL time to NGCBUILD completion: 7 sec +Total CPU time to NGCBUILD completion: 5 sec + +Writing NGCBUILD log file "../ppc440_0_wrapper.blc"... + +NGCBUILD done. +IPNAME:rs232_uart_1_wrapper INSTANCE:rs232_uart_1 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 138 - Running NGCBUILD +PMSPEC -- Overriding Xilinx file + with local file + + +Command Line: C:\devtools\Xilinx\11.1\ISE\bin\nt\unwrapped\ngcbuild.exe -p +xc5vfx70tff1136-1 -intstyle silent -sd .. rs232_uart_1_wrapper.ngc +../rs232_uart_1_wrapper.ngc + +Reading NGO file +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/implementa +tion/rs232_uart_1_wrapper/rs232_uart_1_wrapper.ngc" ... + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +NGCBUILD Design Results Summary: + Number of errors: 0 + Number of warnings: 0 + +Writing NGC file "../rs232_uart_1_wrapper.ngc" ... +Total REAL time to NGCBUILD completion: 2 sec +Total CPU time to NGCBUILD completion: 1 sec + +Writing NGCBUILD log file "../rs232_uart_1_wrapper.blc"... + +NGCBUILD done. +IPNAME:pcie_bridge_wrapper INSTANCE:pcie_bridge - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 253 - Running NGCBUILD +PMSPEC -- Overriding Xilinx file + with local file + + +Command Line: C:\devtools\Xilinx\11.1\ISE\bin\nt\unwrapped\ngcbuild.exe -p +xc5vfx70tff1136-1 -intstyle silent -uc pcie_bridge_wrapper.ucf -sd .. +pcie_bridge_wrapper.ngc ../pcie_bridge_wrapper.ngc + +Reading NGO file +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/implementa +tion/pcie_bridge_wrapper/pcie_bridge_wrapper.ngc" ... +Executing edif2ngd -noa +"C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\implementa +tion\pcie_bridge_wrapper_fifo_generator_v4_3.edn" +"pcie_bridge_wrapper_fifo_generator_v4_3.ngo" +Release 11.2 - edif2ngd L.46 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +INFO:NgdBuild - Release 11.2 edif2ngd L.46 (nt) +INFO:NgdBuild - Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +PMSPEC -- Overriding Xilinx file +with local file +Writing module to "pcie_bridge_wrapper_fifo_generator_v4_3.ngo"... +Loading design module +"C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\implementa +tion\pcie_bridge_wrapper\pcie_bridge_wrapper_fifo_generator_v4_3.ngo"... +Loading design module +"../pcie_bridge_wrapper_fifo_generator_v4_3_fifo_generator_v4_3_xst_1.ngc"... +Loading design module +"C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\implementa +tion\pcie_bridge_wrapper/dpram_70_512.ngc"... +Loading design module +"C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\implementa +tion\pcie_bridge_wrapper/fifo_71x512.ngc"... + +Applying constraints in "pcie_bridge_wrapper.ucf" to the design... + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +NGCBUILD Design Results Summary: + Number of errors: 0 + Number of warnings: 0 + +Writing NGC file "../pcie_bridge_wrapper.ngc" ... +Total REAL time to NGCBUILD completion: 13 sec +Total CPU time to NGCBUILD completion: 7 sec + +Writing NGCBUILD log file "../pcie_bridge_wrapper.blc"... + +NGCBUILD done. +IPNAME:ethernet_mac_wrapper INSTANCE:ethernet_mac - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 298 - Running NGCBUILD +PMSPEC -- Overriding Xilinx file + with local file + + +Command Line: C:\devtools\Xilinx\11.1\ISE\bin\nt\unwrapped\ngcbuild.exe -p +xc5vfx70tff1136-1 -intstyle silent -uc ethernet_mac_wrapper.ucf -sd .. +ethernet_mac_wrapper.ngc ../ethernet_mac_wrapper.ngc + +Reading NGO file +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/implementa +tion/ethernet_mac_wrapper/ethernet_mac_wrapper.ngc" ... +Executing edif2ngd -noa "ethernetlite_v1_01_b_dmem_v2.edn" +"ethernetlite_v1_01_b_dmem_v2.ngo" +Release 11.2 - edif2ngd L.46 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +INFO:NgdBuild - Release 11.2 edif2ngd L.46 (nt) +INFO:NgdBuild - Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +PMSPEC -- Overriding Xilinx file +with local file +Writing module to "ethernetlite_v1_01_b_dmem_v2.ngo"... +Loading design module +"C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\implementa +tion\ethernet_mac_wrapper\ethernetlite_v1_01_b_dmem_v2.ngo"... + +Applying constraints in "ethernet_mac_wrapper.ucf" to the design... + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +NGCBUILD Design Results Summary: + Number of errors: 0 + Number of warnings: 0 + +Writing NGC file "../ethernet_mac_wrapper.ngc" ... +Total REAL time to NGCBUILD completion: 8 sec +Total CPU time to NGCBUILD completion: 5 sec + +Writing NGCBUILD log file "../ethernet_mac_wrapper.blc"... + +NGCBUILD done. +IPNAME:ddr2_sdram_wrapper INSTANCE:ddr2_sdram - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 317 - Running NGCBUILD +PMSPEC -- Overriding Xilinx file + with local file + + +Command Line: C:\devtools\Xilinx\11.1\ISE\bin\nt\unwrapped\ngcbuild.exe -p +xc5vfx70tff1136-1 -intstyle silent -uc ddr2_sdram_wrapper.ucf -sd .. +ddr2_sdram_wrapper.ngc ../ddr2_sdram_wrapper.ngc + +Reading NGO file +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/implementa +tion/ddr2_sdram_wrapper/ddr2_sdram_wrapper.ngc" ... + +Applying constraints in "ddr2_sdram_wrapper.ucf" to the design... + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +NGCBUILD Design Results Summary: + Number of errors: 0 + Number of warnings: 0 + +Writing NGC file "../ddr2_sdram_wrapper.ngc" ... +Total REAL time to NGCBUILD completion: 6 sec +Total CPU time to NGCBUILD completion: 5 sec + +Writing NGCBUILD log file "../ddr2_sdram_wrapper.blc"... + +NGCBUILD done. +IPNAME:ppc440_0_apu_fpu_virtex5_wrapper INSTANCE:ppc440_0_apu_fpu_virtex5 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 401 - Running NGCBUILD +PMSPEC -- Overriding Xilinx file + with local file + + +Command Line: C:\devtools\Xilinx\11.1\ISE\bin\nt\unwrapped\ngcbuild.exe -p +xc5vfx70tff1136-1 -intstyle silent -uc ppc440_0_apu_fpu_virtex5_wrapper.ucf -sd +.. ppc440_0_apu_fpu_virtex5_wrapper.ngc ../ppc440_0_apu_fpu_virtex5_wrapper.ngc + +Reading NGO file +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/implementa +tion/ppc440_0_apu_fpu_virtex5_wrapper/ppc440_0_apu_fpu_virtex5_wrapper.ngc" ... +Loading design module +"C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\implementa +tion\ppc440_0_apu_fpu_virtex5_wrapper/apu_fpu_sp_lo.ngc"... + +Applying constraints in "ppc440_0_apu_fpu_virtex5_wrapper.ucf" to the design... + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +NGCBUILD Design Results Summary: + Number of errors: 0 + Number of warnings: 0 + +Writing NGC file "../ppc440_0_apu_fpu_virtex5_wrapper.ngc" ... +Total REAL time to NGCBUILD completion: 6 sec +Total CPU time to NGCBUILD completion: 5 sec + +Writing NGCBUILD log file "../ppc440_0_apu_fpu_virtex5_wrapper.blc"... + +NGCBUILD done. +IPNAME:xps_intc_0_wrapper INSTANCE:xps_intc_0 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 465 - Running NGCBUILD +PMSPEC -- Overriding Xilinx file + with local file + + +Command Line: C:\devtools\Xilinx\11.1\ISE\bin\nt\unwrapped\ngcbuild.exe -p +xc5vfx70tff1136-1 -intstyle silent -sd .. xps_intc_0_wrapper.ngc +../xps_intc_0_wrapper.ngc + +Reading NGO file +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/implementa +tion/xps_intc_0_wrapper/xps_intc_0_wrapper.ngc" ... + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +NGCBUILD Design Results Summary: + Number of errors: 0 + Number of warnings: 0 + +Writing NGC file "../xps_intc_0_wrapper.ngc" ... +Total REAL time to NGCBUILD completion: 2 sec +Total CPU time to NGCBUILD completion: 1 sec + +Writing NGCBUILD log file "../xps_intc_0_wrapper.blc"... + +NGCBUILD done. + +Rebuilding cache ... + +Total run time: 1330.00 seconds +Running synthesis... +bash -c "cd synthesis; ./synthesis.sh" +xst -ifn system_xst.scr -intstyle silent +Running XST synthesis ... +XST completed +Release 11.2 - ngcbuild L.46 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +Overriding Xilinx file with local file + + +Command Line: c:\devtools\Xilinx\11.1\ISE\bin\nt\unwrapped\ngcbuild.exe +./system.ngc ../implementation/system.ngc -sd ../implementation -i -ise +../__xps/ise/system.ise + +Reading NGO file +"c:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/synthesis/ +system.ngc" ... +Loading design module "../implementation/ppc440_0_wrapper.ngc"... +Loading design module "../implementation/plb_v46_0_wrapper.ngc"... +Loading design module "../implementation/xps_bram_if_cntlr_1_wrapper.ngc"... +Loading design module +"../implementation/xps_bram_if_cntlr_1_bram_wrapper.ngc"... +Loading design module "../implementation/rs232_uart_1_wrapper.ngc"... +Loading design module "../implementation/leds_8bit_wrapper.ngc"... +Loading design module "../implementation/leds_positions_wrapper.ngc"... +Loading design module "../implementation/push_buttons_5bit_wrapper.ngc"... +Loading design module "../implementation/dip_switches_8bit_wrapper.ngc"... +Loading design module "../implementation/iic_eeprom_wrapper.ngc"... +Loading design module "../implementation/sram_wrapper.ngc"... +Loading design module "../implementation/pcie_bridge_wrapper.ngc"... +Loading design module "../implementation/ppc440_0_splb0_wrapper.ngc"... +Loading design module "../implementation/ethernet_mac_wrapper.ngc"... +Loading design module "../implementation/ddr2_sdram_wrapper.ngc"... +Loading design module "../implementation/sysace_compactflash_wrapper.ngc"... +Loading design module "../implementation/ppc440_0_fcb_v20_wrapper.ngc"... +Loading design module +"../implementation/ppc440_0_apu_fpu_virtex5_wrapper.ngc"... +Loading design module "../implementation/clock_generator_0_wrapper.ngc"... +Loading design module "../implementation/jtagppc_cntlr_inst_wrapper.ngc"... +Loading design module "../implementation/proc_sys_reset_0_wrapper.ngc"... +Loading design module "../implementation/xps_intc_0_wrapper.ngc"... + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +NGCBUILD Design Results Summary: + Number of errors: 0 + Number of warnings: 0 + +Writing NGC file "../implementation/system.ngc" ... +Total REAL time to NGCBUILD completion: 15 sec +Total CPU time to NGCBUILD completion: 11 sec + +Writing NGCBUILD log file "../implementation/system.blc"... + +NGCBUILD done. +********************************************* +Running Xilinx Implementation tools.. +********************************************* +xflow -wd implementation -p xc5vfx70tff1136-1 -implement xflow.opt -ise ../__xps/ise/system.ise system.ngc +Release 11.2 - Xflow L.46 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +xflow.exe -wd implementation -p xc5vfx70tff1136-1 -implement xflow.opt -ise +../__xps/ise/system.ise system.ngc +PMSPEC -- Overriding Xilinx file + with local file + +.... Copying flowfile c:/devtools/Xilinx/11.1/ISE/xilinx/data/fpga.flw into +working directory +C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/implementat +ion + +Using Flow File: +C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/implementat +ion/fpga.flw +Using Option File(s): + C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/implementa +tion/xflow.opt + +Creating Script File ... + +#----------------------------------------------# +# Starting program ngdbuild +# ngdbuild -ise ../__xps/ise/system.ise -p xc5vfx70tff1136-1 -nt timestamp -bm +system.bmm +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/implementa +tion/system.ngc" -uc system.ucf system.ngd +#----------------------------------------------# +Release 11.2 - ngdbuild L.46 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +PMSPEC -- Overriding Xilinx file + with local file + + +Command Line: ngdbuild -ise ../__xps/ise/system.ise -p xc5vfx70tff1136-1 -nt +timestamp -bm system.bmm +C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/implementat +ion/system.ngc -uc system.ucf system.ngd + +Reading NGO file +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/implementa +tion/system.ngc" ... +Gathering constraint information from source properties... +Done. + +Applying constraints in "system.ucf" to the design... +WARNING:NgdBuild:931 - The value of SIM_DEVICE on instance + 'clock_generator_0/clock_generator_0/Using_DCM0.DCM0_INST/DCM_INST/Using_DCM_ + ADV.DCM_ADV_INST' of type DCM_ADV has been changed from 'VIRTEX4' to + 'VIRTEX5' to correct post-ngdbuild and timing simulation for this primitive. + In order for functional simulation to be correct, the value of SIM_DEVICE + should be changed in this same manner in the source netlist or constraint + file. +Resolving constraint associations... +Checking Constraint Associations... +WARNING:ConstraintSystem:3 - Constraint [system.ucf(264)]: This constraint will be ignored because the relative + clock constraint named 'TS_clk_div_slow_0_clk_div_slow_0_DDR2_CLK_i' was not + found. + +INFO:ConstraintSystem:178 - TNM 'sys_clk_pin', used in period specification + 'TS_sys_clk_pin', was traced into PLL_ADV instance + clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst. + The following new TNM groups and period specifications were generated at the + PLL_ADV output(s): + CLKOUT0: + +INFO:ConstraintSystem:178 - TNM 'sys_clk_pin', used in period specification + 'TS_sys_clk_pin', was traced into PLL_ADV instance + clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst. + The following new TNM groups and period specifications were generated at the + PLL_ADV output(s): + CLKOUT1: + +INFO:ConstraintSystem:178 - TNM 'sys_clk_pin', used in period specification + 'TS_sys_clk_pin', was traced into PLL_ADV instance + clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst. + The following new TNM groups and period specifications were generated at the + PLL_ADV output(s): + CLKOUT2: + +INFO:ConstraintSystem:178 - TNM 'sys_clk_pin', used in period specification + 'TS_sys_clk_pin', was traced into PLL_ADV instance + clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst. + The following new TNM groups and period specifications were generated at the + PLL_ADV output(s): + CLKOUT3: + +INFO:ConstraintSystem:178 - TNM 'sys_clk_pin', used in period specification + 'TS_sys_clk_pin', was traced into PLL_ADV instance + clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst. + The following new TNM groups and period specifications were generated at the + PLL_ADV output(s): + CLKOUT4: + +Done... +Checking Partitions ... + +Processing BMM file ... + +WARNING:NgdBuild:1212 - User specified non-default attribute value + (8.0000000000000000) was detected for the CLKIN_PERIOD attribute on DCM + "clock_generator_0/Using_DCM0.DCM0_INST/DCM_INST/Using_DCM_ADV.DCM_ADV_INST". + This does not match the PERIOD constraint value (5 ns.). The uncertainty + calculation will use the non-default attribute value. This could result in + incorrect uncertainty calculated for DCM output clocks. +Checking expanded design ... +WARNING:NgdBuild:443 - SFF primitive + 'xps_bram_if_cntlr_1/xps_bram_if_cntlr_1/INCLUDE_BURST_SUPPORT.I_SLAVE_BURST_ + ATTACH/I_DBEAT_CONTROL/I_DBEAT_CNTR/STRUCTURAL_A_GEN.I_ADDSUB_GEN[4].FDRE_I' + has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'SRAM/SRAM/EMC_CTRL_I/MEM_STEER_I/SYNC_MEM_DQT.REG_DQT_GEN[2].DQT_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'SRAM/SRAM/EMC_CTRL_I/MEM_STEER_I/GSYNC_MEM_RDACK_GEN.ADDR_ALIGN_PIPE_GEN[3]. + ALIGN_PIPE' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHM + ENT/I_DECODER/GEN_CE_FOR_SHARED.GEN_BKEND_CE_REGISTERS[0].I_BKEND_WRCE_REG' + has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHM + ENT/I_DECODER/GEN_CE_FOR_SHARED.GEN_BKEND_CE_REGISTERS[0].I_BKEND_RDCE_REG' + has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHM + ENT/I_BURST_SUPPORT/RESPONSE_DBEAT_CNTR_I/STRUCTURAL_A_GEN.I_ADDSUB_GEN[7].FD + RE_I' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHM + ENT/I_BURST_SUPPORT/CONTROL_DBEAT_CNTR_I/STRUCTURAL_A_GEN.I_ADDSUB_GEN[7].FDR + E_I' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHM + ENT/I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[0].I_FDRSE_BE0to3 + ' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHM + ENT/I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[1].I_FDRSE_BE0to3 + ' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHM + ENT/I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[2].I_FDRSE_BE0to3 + ' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHM + ENT/I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[3].I_FDRSE_BE0to3 + ' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHM + ENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[0].I_SIZE_S_H_REG' + has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHM + ENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[0].I_SIZE_S_H_REG + ' has unconnected output pin +WARNING:NgdBuild:486 - Attribute "CLK_FEEDBACK" is not allowed on symbol + "PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/clocking_i/use_pll.pll_ad + v_i" of type "PLL_ADV". This attribute will be ignored. +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_B + URSTXFER.I_STEER_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[0].I_SIZE_S_H_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_B + URSTXFER.I_BUS_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[0].I_SIZE_S_H_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_B + URSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/GEN_DWIDTH_64_128.LDMUX_FDRSE + _4to7[7].I_FDRSE_BE4to7' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_B + URSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/GEN_DWIDTH_64_128.LDMUX_FDRSE + _4to7[6].I_FDRSE_BE4to7' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_B + URSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/GEN_DWIDTH_64_128.LDMUX_FDRSE + _4to7[5].I_FDRSE_BE4to7' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_B + URSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/GEN_DWIDTH_64_128.LDMUX_FDRSE + _4to7[4].I_FDRSE_BE4to7' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_B + URSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[3].I_FDRSE_B + E0to3' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_B + URSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[2].I_FDRSE_B + E0to3' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_B + URSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[1].I_FDRSE_B + E0to3' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_B + URSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[0].I_FDRSE_B + E0to3' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_S + _H_ADDR_REG[6].I_ADDR_S_H_REG' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_S + _H_ADDR_REG[7].I_ADDR_S_H_REG' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[0].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[0].I_BKend_RDCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[0].I_BKend_WRCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[1].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[1].I_BKend_RDCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[1].I_BKend_WRCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[2].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[2].I_BKend_RDCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[2].I_BKend_WRCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[3].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[3].I_BKend_RDCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[3].I_BKend_WRCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[4].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[4].I_BKend_RDCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[4].I_BKend_WRCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[5].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[5].I_BKend_RDCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[5].I_BKend_WRCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[6].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[6].I_BKend_RDCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[6].I_BKend_WRCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[7].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[7].I_BKend_RDCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[7].I_BKend_WRCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[8].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[8].I_BKend_RDCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[8].I_BKend_WRCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[9].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[9].I_BKend_RDCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[9].I_BKend_WRCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[10].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[10].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[10].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[11].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[11].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[11].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[12].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[12].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[12].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[13].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[13].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[13].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[14].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[14].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[14].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[15].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[15].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[15].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[16].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[16].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[16].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[17].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[17].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[17].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[18].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[18].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[18].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[19].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[19].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[19].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[20].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[20].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[20].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[21].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[21].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[21].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[22].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[22].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[22].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[23].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[23].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[23].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[24].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[24].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[24].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[25].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[25].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[25].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[26].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[26].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[26].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[27].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[27].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[27].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[28].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[28].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[28].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[29].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[29].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[29].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[30].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[30].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[30].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[31].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[31].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[31].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[32].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[33].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[34].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[35].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[36].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[37].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[38].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[39].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[40].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[41].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[42].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[43].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[44].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[44].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[44].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[45].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[45].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[45].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[46].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[46].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[46].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[47].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[47].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[47].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[48].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[48].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[48].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[49].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[49].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[49].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[50].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[50].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[50].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[51].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[51].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[51].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[52].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[52].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[52].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[53].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[53].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[53].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[54].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[54].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[54].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[55].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[55].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[55].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[56].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[57].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[58].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[59].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[60].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[61].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[61].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[61].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[62].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[62].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[62].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[63].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[63].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[63].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[1].GEN_USER_CE.GEN_ALL_CEs[64].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[1].GEN_USER_CE.GEN_ALL_CEs[64].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[1].GEN_USER_CE.GEN_ALL_CEs[64].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[65].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[66].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[66].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[67].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[68].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[68].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[68].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[69].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[69].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[69].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[70].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[70].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[70].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[71].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[71].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[72].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[73].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[74].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[74].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[74].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[75].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[76].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[76].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[76].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[77].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[77].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[77].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[78].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[78].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[78].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[79].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[79].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[79].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[80].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[80].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[80].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[3].GEN_RESET_CE.I_BKend_CE_REG' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[3].GEN_RESET_CE.I_BKend_RDCE_REG' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[4].GEN_USER_CE.GEN_ALL_CEs[82].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[4].GEN_USER_CE.GEN_ALL_CEs[82].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[4].GEN_USER_CE.GEN_ALL_CEs[82].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[5].GEN_USER_CE.GEN_ALL_CEs[83].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[5].GEN_USER_CE.GEN_ALL_CEs[83].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[5].GEN_USER_CE.GEN_ALL_CEs[83].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/I_CS_ + SIZE2_REG0' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/I_CS_ + SIZE2_REG1' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/I_CS_ + SIZE2_REG2' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_master/I_RD_CONTROL/I_RD_ABORT_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/COLLISION_SYNC' has unconnected + output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU10' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU15' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU20' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU25' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU30' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU35' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU130' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU237' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU10' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU15' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU20' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU25' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU30' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU35' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU130' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU237' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib + /gen_rden[1].u_calib_rden_r' has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib + /gen_rden[2].u_calib_rden_r' has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib + /gen_rden[3].u_calib_rden_r' has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib + /gen_rden[4].u_calib_rden_r' has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib + /gen_rden[5].u_calib_rden_r' has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib + /gen_rden[6].u_calib_rden_r' has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib + /gen_rden[7].u_calib_rden_r' has unconnected output pin +WARNING:NgdBuild:486 - Attribute "CLK_FEEDBACK" is not allowed on symbol + "clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst" + of type "PLL_ADV". This attribute will be ignored. +WARNING:NgdBuild:452 - logical net 'N194' has no driver +WARNING:NgdBuild:452 - logical net 'N195' has no driver +WARNING:NgdBuild:452 - logical net 'N196' has no driver +WARNING:NgdBuild:452 - logical net 'N197' has no driver +WARNING:NgdBuild:452 - logical net 'N198' has no driver +WARNING:NgdBuild:452 - logical net 'N199' has no driver +WARNING:NgdBuild:452 - logical net 'N200' has no driver +WARNING:NgdBuild:452 - logical net 'N201' has no driver +WARNING:NgdBuild:452 - logical net 'N202' has no driver +WARNING:NgdBuild:452 - logical net 'N203' has no driver +WARNING:NgdBuild:452 - logical net 'N204' has no driver +WARNING:NgdBuild:452 - logical net 'N205' has no driver +WARNING:NgdBuild:452 - logical net 'N206' has no driver +WARNING:NgdBuild:452 - logical net 'N207' has no driver +WARNING:NgdBuild:452 - logical net 'N208' has no driver +WARNING:NgdBuild:452 - logical net 'N209' has no driver +WARNING:NgdBuild:452 - logical net 'N210' has no driver +WARNING:NgdBuild:452 - logical net 'N211' has no driver +WARNING:NgdBuild:452 - logical net 'N212' has no driver +WARNING:NgdBuild:452 - logical net 'N213' has no driver +WARNING:NgdBuild:452 - logical net 'N214' has no driver +WARNING:NgdBuild:452 - logical net 'N215' has no driver +WARNING:NgdBuild:452 - logical net 'N216' has no driver +WARNING:NgdBuild:452 - logical net 'N217' has no driver +WARNING:NgdBuild:452 - logical net 'N218' has no driver +WARNING:NgdBuild:452 - logical net 'N219' has no driver +WARNING:NgdBuild:452 - logical net 'N220' has no driver +WARNING:NgdBuild:452 - logical net 'N221' has no driver +WARNING:NgdBuild:452 - logical net 'N222' has no driver +WARNING:NgdBuild:452 - logical net 'N223' has no driver +WARNING:NgdBuild:452 - logical net 'N224' has no driver +WARNING:NgdBuild:452 - logical net 'N225' has no driver +WARNING:NgdBuild:452 - logical net 'N226' has no driver +WARNING:NgdBuild:452 - logical net 'N227' has no driver +WARNING:NgdBuild:452 - logical net 'N228' has no driver +WARNING:NgdBuild:452 - logical net 'N229' has no driver +WARNING:NgdBuild:452 - logical net 'N230' has no driver +WARNING:NgdBuild:452 - logical net 'N231' has no driver +WARNING:NgdBuild:452 - logical net 'N232' has no driver +WARNING:NgdBuild:452 - logical net 'N233' has no driver +WARNING:NgdBuild:452 - logical net 'N234' has no driver +WARNING:NgdBuild:452 - logical net 'N235' has no driver +WARNING:NgdBuild:452 - logical net 'N236' has no driver +WARNING:NgdBuild:452 - logical net 'N237' has no driver +WARNING:NgdBuild:452 - logical net 'N238' has no driver +WARNING:NgdBuild:452 - logical net 'N239' has no driver +WARNING:NgdBuild:452 - logical net 'N240' has no driver +WARNING:NgdBuild:452 - logical net 'N241' has no driver +WARNING:NgdBuild:452 - logical net 'N242' has no driver +WARNING:NgdBuild:452 - logical net 'N243' has no driver +WARNING:NgdBuild:452 - logical net 'N244' has no driver +WARNING:NgdBuild:452 - logical net 'N245' has no driver +WARNING:NgdBuild:452 - logical net 'N246' has no driver +WARNING:NgdBuild:452 - logical net 'N247' has no driver +WARNING:NgdBuild:452 - logical net 'N248' has no driver +WARNING:NgdBuild:452 - logical net 'N249' has no driver +WARNING:NgdBuild:452 - logical net 'N250' has no driver +WARNING:NgdBuild:452 - logical net 'N251' has no driver +WARNING:NgdBuild:452 - logical net 'N252' has no driver +WARNING:NgdBuild:452 - logical net 'N253' has no driver +WARNING:NgdBuild:452 - logical net 'N254' has no driver +WARNING:NgdBuild:452 - logical net 'N255' has no driver +WARNING:NgdBuild:452 - logical net 'N256' has no driver +WARNING:NgdBuild:452 - logical net 'N257' has no driver +WARNING:NgdBuild:452 - logical net 'N266' has no driver +WARNING:NgdBuild:452 - logical net 'N267' has no driver +WARNING:NgdBuild:452 - logical net 'N268' has no driver +WARNING:NgdBuild:452 - logical net 'N269' has no driver +WARNING:NgdBuild:452 - logical net 'N270' has no driver +WARNING:NgdBuild:452 - logical net 'N271' has no driver +WARNING:NgdBuild:452 - logical net 'N272' has no driver +WARNING:NgdBuild:452 - logical net 'N273' has no driver +WARNING:NgdBuild:452 - logical net 'N306' has no driver +WARNING:NgdBuild:452 - logical net 'N307' has no driver +WARNING:NgdBuild:452 - logical net 'N308' has no driver +WARNING:NgdBuild:452 - logical net 'N309' has no driver +WARNING:NgdBuild:452 - logical net 'N310' has no driver +WARNING:NgdBuild:452 - logical net 'N311' has no driver +WARNING:NgdBuild:452 - logical net 'N312' has no driver +WARNING:NgdBuild:452 - logical net 'N313' has no driver +WARNING:NgdBuild:452 - logical net 'PCIe_Bridge/PCIe_Bridge/sig_trn_terrfwd_n' + has no driver +WARNING:NgdBuild:452 - logical net 'PCIe_Bridge/PCIe_Bridge/sig_trn_rerrfwd_n' + has no driver +WARNING:NgdBuild:452 - logical net 'PCIe_Bridge/PCIe_Bridge/sig_trn_tsrc_dsc_n' + has no driver +WARNING:NgdBuild:452 - logical net 'PCIe_Bridge/PCIe_Bridge/sig_trn_tbuf_av<3>' + has no driver +WARNING:NgdBuild:452 - logical net 'PCIe_Bridge/PCIe_Bridge/sig_trn_trem_n<4>' + has no driver + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +NGDBUILD Design Results Summary: + Number of errors: 0 + Number of warnings: 348 + +Writing NGD file "system.ngd" ... +Total REAL time to NGDBUILD completion: 2 min 3 sec +Total CPU time to NGDBUILD completion: 1 min 21 sec + +Writing NGDBUILD log file "system.bld"... + +NGDBUILD done. + + + +#----------------------------------------------# +# Starting program map +# map -ise ../__xps/ise/system.ise -o system_map.ncd -w -pr b -ol high -timing +system.ngd system.pcf +#----------------------------------------------# +Release 11.2 - Map L.46 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +PMSPEC -- Overriding Xilinx file + with local file + +Using target part "5vfx70tff1136-1". +WARNING:LIT:243 - Logical network N194 has no load. +WARNING:LIT:395 - The above warning message is repeated 1028 more times for the + following (max. 5 shown): + N195, + N196, + N197, + N198, + N199 + To see the details of these warning messages, please use the -detail switch. +Mapping design into LUTs... +WARNING:MapLib:701 - Signal fpga_0_SysACE_CompactFlash_SysACE_MPIRQ_pin + connected to top level port fpga_0_SysACE_CompactFlash_SysACE_MPIRQ_pin has + been removed. +WARNING:MapLib:701 - Signal fpga_0_Ethernet_MAC_PHY_col_pin connected to top + level port fpga_0_Ethernet_MAC_PHY_col_pin has been removed. +WARNING:MapLib:41 - All members of TNM group "ppc440_0_PPCS0PLBMBUSY" have been + optimized out of the design. +Writing file system_map.ngm... +WARNING:Pack:2874 - Trimming timing constraints from pin + xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_0 + of frag REGCLKAU connected to power/ground net + xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_0_REGCLKAU_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_0 + of frag REGCLKAL connected to power/ground net + xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_0_REGCLKAL_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_1 + of frag REGCLKAU connected to power/ground net + xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_1_REGCLKAU_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_1 + of frag REGCLKAL connected to power/ground net + xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_1_REGCLKAL_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapp + er_i/bram_tl_tx/generate_tdp2[0].ram_tdp2_inst + of frag REGCLKAU connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapp + er_i/bram_tl_tx/generate_tdp2[0].ram_tdp2_inst_REGCLKAU_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapp + er_i/bram_tl_tx/generate_tdp2[0].ram_tdp2_inst + of frag REGCLKAL connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapp + er_i/bram_tl_tx/generate_tdp2[0].ram_tdp2_inst_REGCLKAL_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapp + er_i/bram_tl_tx/generate_tdp2[1].ram_tdp2_inst + of frag REGCLKAU connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapp + er_i/bram_tl_tx/generate_tdp2[1].ram_tdp2_inst_REGCLKAU_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapp + er_i/bram_tl_tx/generate_tdp2[1].ram_tdp2_inst + of frag REGCLKAL connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapp + er_i/bram_tl_tx/generate_tdp2[1].ram_tdp2_inst_REGCLKAL_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk_if/ll_bridge/r + x_bridge/fifo_inst/oq_fifo/Mram_regBank + of frag RDRCLKU connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk_if/ll_bridge/r + x_bridge/fifo_inst/oq_fifo/Mram_regBank_RDRCLKU_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk_if/ll_bridge/r + x_bridge/fifo_inst/oq_fifo/Mram_regBank + of frag RDRCLKL connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk_if/ll_bridge/r + x_bridge/fifo_inst/oq_fifo/Mram_regBank_RDRCLKL_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_master_bridge/Comp_FIFO/CompFIFO_64.dpram/BU2/U0 + /blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36. + noeccerr.SDP + of frag RDRCLKU connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_master_bridge/Comp_FIFO/CompFIFO_64.dpram/BU2/U0 + /blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36. + noeccerr.SDP_RDRCLKU_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_master_bridge/Comp_FIFO/CompFIFO_64.dpram/BU2/U0 + /blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36. + noeccerr.SDP + of frag RDRCLKL connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_master_bridge/Comp_FIFO/CompFIFO_64.dpram/BU2/U0 + /blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36. + noeccerr.SDP_RDRCLKL_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_master_bridge/RxSFIFO_64.RxSFIFO/BU2/U0/grf.rf/m + em/gbm.gbmg.gbmga.ngecc.bmg/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5. + ram/SDP.WIDE_PRIM36.noeccerr.SDP + of frag RDRCLKU connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_master_bridge/RxSFIFO_64.RxSFIFO/BU2/U0/grf.rf/m + em/gbm.gbmg.gbmga.ngecc.bmg/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5. + ram/SDP.WIDE_PRIM36.noeccerr.SDP_RDRCLKU_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_master_bridge/RxSFIFO_64.RxSFIFO/BU2/U0/grf.rf/m + em/gbm.gbmg.gbmga.ngecc.bmg/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5. + ram/SDP.WIDE_PRIM36.noeccerr.SDP + of frag RDRCLKL connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_master_bridge/RxSFIFO_64.RxSFIFO/BU2/U0/grf.rf/m + em/gbm.gbmg.gbmga.ngecc.bmg/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5. + ram/SDP.WIDE_PRIM36.noeccerr.SDP_RDRCLKL_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/GEN_TX_64_FIFO.comp_tx_pkt_fifo/COM + P_TX_RAM_70.dpram/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noi + nit.ram/SDP.WIDE_PRIM36.noeccerr.SDP + of frag RDRCLKU connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/GEN_TX_64_FIFO.comp_tx_pkt_fifo/COM + P_TX_RAM_70.dpram/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noi + nit.ram/SDP.WIDE_PRIM36.noeccerr.SDP_RDRCLKU_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/GEN_TX_64_FIFO.comp_tx_pkt_fifo/COM + P_TX_RAM_70.dpram/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noi + nit.ram/SDP.WIDE_PRIM36.noeccerr.SDP + of frag RDRCLKL connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/GEN_TX_64_FIFO.comp_tx_pkt_fifo/COM + P_TX_RAM_70.dpram/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noi + nit.ram/SDP.WIDE_PRIM36.noeccerr.SDP_RDRCLKL_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/comp_rx_fifo/GEN_64.COMP_RX_RAM/BU2 + /U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM + 36.noeccerr.SDP + of frag RDRCLKU connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/comp_rx_fifo/GEN_64.COMP_RX_RAM/BU2 + /U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM + 36.noeccerr.SDP_RDRCLKU_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/comp_rx_fifo/GEN_64.COMP_RX_RAM/BU2 + /U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM + 36.noeccerr.SDP + of frag RDRCLKL connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/comp_rx_fifo/GEN_64.COMP_RX_RAM/BU2 + /U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM + 36.noeccerr.SDP_RDRCLKL_tiesig +Running directed packing... +Running delay-based LUT packing... +Updating timing models... +WARNING:Timing:3223 - Timing constraint TS_MC_RDEN_SEL_MUX = MAXDELAY FROM + TIMEGRP "TNM_RDEN_SEL_MUX" TO TIMEGRP "TNM_CLK0" TS_MC_CLK * 4 ignored during + timing analysis. +INFO:Map:215 - The Interim Design Summary has been generated in the MAP Report + (.mrp). +Running timing-driven placement... +Total REAL time at the beginning of Placer: 2 mins 41 secs +Total CPU time at the beginning of Placer: 2 mins 8 secs + +Phase 1.1 Initial Placement Analysis +Phase 1.1 Initial Placement Analysis (Checksum:9d0c7baf) REAL time: 3 mins 15 secs + +Phase 2.7 Design Feasibility Check +WARNING:Place:838 - An IO Bus with more than one IO standard is found. + Components associated with this bus are as follows: + Comp: fpga_0_LEDs_8Bit_GPIO_IO_pin<7> IOSTANDARD = LVCMOS25 + Comp: fpga_0_LEDs_8Bit_GPIO_IO_pin<6> IOSTANDARD = LVCMOS25 + Comp: fpga_0_LEDs_8Bit_GPIO_IO_pin<5> IOSTANDARD = LVCMOS25 + Comp: fpga_0_LEDs_8Bit_GPIO_IO_pin<4> IOSTANDARD = LVCMOS18 + Comp: fpga_0_LEDs_8Bit_GPIO_IO_pin<3> IOSTANDARD = LVCMOS25 + Comp: fpga_0_LEDs_8Bit_GPIO_IO_pin<2> IOSTANDARD = LVCMOS18 + Comp: fpga_0_LEDs_8Bit_GPIO_IO_pin<1> IOSTANDARD = LVCMOS18 + Comp: fpga_0_LEDs_8Bit_GPIO_IO_pin<0> IOSTANDARD = LVCMOS18 + + +WARNING:Place:838 - An IO Bus with more than one IO standard is found. + Components associated with this bus are as follows: + Comp: fpga_0_SRAM_Mem_DQ_pin<31> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<30> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<29> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<28> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<27> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<26> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<25> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<24> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<23> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<22> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<21> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<20> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<19> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<18> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<17> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<16> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<15> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<14> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<13> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<12> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<11> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<10> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<9> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<8> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<7> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<6> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<5> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<4> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<3> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<2> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<1> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<0> IOSTANDARD = LVCMOS33 + + +Phase 2.7 Design Feasibility Check (Checksum:9d0c7baf) REAL time: 3 mins 16 secs + +Phase 3.31 Local Placement Optimization +Phase 3.31 Local Placement Optimization (Checksum:dec56134) REAL time: 3 mins 16 secs + +Phase 4.37 Local Placement Optimization +Phase 4.37 Local Placement Optimization (Checksum:dec56134) REAL time: 3 mins 16 secs + +Phase 5.33 Local Placement Optimization +Phase 5.33 Local Placement Optimization (Checksum:dec56134) REAL time: 13 mins + +Phase 6.32 Local Placement Optimization +Phase 6.32 Local Placement Optimization (Checksum:dec56134) REAL time: 13 mins 5 secs + +Phase 7.2 Initial Clock and IO Placement + + + +There are 16 clock regions on the target FPGA device: +|------------------------------------------|------------------------------------------| +| CLOCKREGION_X0Y7: | CLOCKREGION_X1Y7: | +| 2 BUFRs available, 0 in use | 2 BUFRs available, 0 in use | +| 4 Regional Clock Spines, 0 in use | 4 Regional Clock Spines, 0 in use | +| 4 edge BUFIOs available, 0 in use | 4 edge BUFIOs available, 0 in use | +| 4 center BUFIOs available, 0 in use | | +| | | +|------------------------------------------|------------------------------------------| +| CLOCKREGION_X0Y6: | CLOCKREGION_X1Y6: | +| 2 BUFRs available, 0 in use | 2 BUFRs available, 0 in use | +| 4 Regional Clock Spines, 0 in use | 4 Regional Clock Spines, 0 in use | +| 4 edge BUFIOs available, 3 in use | 4 edge BUFIOs available, 0 in use | +| 0 center BUFIOs available, 0 in use | | +| | | +|------------------------------------------|------------------------------------------| +| CLOCKREGION_X0Y5: | CLOCKREGION_X1Y5: | +| 2 BUFRs available, 0 in use | 2 BUFRs available, 0 in use | +| 4 Regional Clock Spines, 0 in use | 4 Regional Clock Spines, 0 in use | +| 4 edge BUFIOs available, 0 in use | 4 edge BUFIOs available, 0 in use | +| 2 center BUFIOs available, 0 in use | | +| | | +|------------------------------------------|------------------------------------------| +| CLOCKREGION_X0Y4: | CLOCKREGION_X1Y4: | +| 2 BUFRs available, 0 in use | 2 BUFRs available, 0 in use | +| 4 Regional Clock Spines, 0 in use | 4 Regional Clock Spines, 0 in use | +| 4 edge BUFIOs available, 0 in use | 4 edge BUFIOs available, 0 in use | +| 2 center BUFIOs available, 0 in use | | +| | | +|------------------------------------------|------------------------------------------| +| CLOCKREGION_X0Y3: | CLOCKREGION_X1Y3: | +| 2 BUFRs available, 0 in use | 2 BUFRs available, 0 in use | +| 4 Regional Clock Spines, 0 in use | 4 Regional Clock Spines, 0 in use | +| 4 edge BUFIOs available, 0 in use | 4 edge BUFIOs available, 0 in use | +| 2 center BUFIOs available, 0 in use | | +| | | +|------------------------------------------|------------------------------------------| +| CLOCKREGION_X0Y2: | CLOCKREGION_X1Y2: | +| 2 BUFRs available, 0 in use | 2 BUFRs available, 0 in use | +| 4 Regional Clock Spines, 0 in use | 4 Regional Clock Spines, 0 in use | +| 4 edge BUFIOs available, 3 in use | 4 edge BUFIOs available, 0 in use | +| 2 center BUFIOs available, 0 in use | | +| | | +|------------------------------------------|------------------------------------------| +| CLOCKREGION_X0Y1: | CLOCKREGION_X1Y1: | +| 2 BUFRs available, 0 in use | 2 BUFRs available, 0 in use | +| 4 Regional Clock Spines, 0 in use | 4 Regional Clock Spines, 0 in use + | +| 4 edge BUFIOs available, 2 in use | 4 edge BUFIOs available, 0 in use | +| 0 center BUFIOs available, 0 in use | | +| | | +|------------------------------------------|------------------------------------------| +| CLOCKREGION_X0Y0: | CLOCKREGION_X1Y0: | +| 2 BUFRs available, 0 in use | 2 BUFRs available, 0 in use | +| 4 Regional Clock Spines, 0 in use | 4 Regional Clock Spines, 0 in use | +| 4 edge BUFIOs available, 0 in use | 4 edge BUFIOs available, 0 in use | +| 4 center BUFIOs available, 0 in use | | +| | | +|------------------------------------------|------------------------------------------| + + +Clock-Region: + key resource utilizations (used/available): edge-bufios - 2/4; bufrs - 0/2; regional-clock-spines - 0/4 +|----------------------------------------------------------------------------------------------------------------------------------------------------------- +| | clock | BRAM | | | | | | | | | | | | +| | region | FIFO | DCM | GT | ILOGIC | OLOGIC | FF | LUTM | LUTL | MULT | EMAC | PPC | PCIe | <- (Types of Resources in Clock Region) +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| | Upper Region| 24 | 2 | 0 | 60 | 60 | 3200 | 1600 | 4800 | 0 | 0 | 0 | 0 | <- Available resources in the upper region +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| |CurrentRegion| 24 | 4 | 0 | 40 | 40 | 3200 | 1600 | 4800 | 0 | 0 | 0 | 0 | <- Available resources in the current region +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| | Lower Region| 24 | 0 | 0 | 80 | 80 | 3200 | 1600 | 4800 | 0 | 0 | 0 | 0 | <- Available resources in the lower region +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| clock | region | ----------------------------------------------- +| type | expansion | | +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| BUFIO | | 0 | 0 | 0 | 9 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<1>" +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| BUFIO | | 0 | 0 | 0 | 9 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<2>" +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): edge-bufios - 3/4; center-bufios - 0/2; bufrs - 0/2; regional-clock-spines - 0/4 +|------------------------------------------------------------------------------------------------------------------------------------------------------- +---- +| | clock | BRAM | | | | | | | | | | | | +| | region | FIFO | DCM | GT | ILOGIC | OLOGIC | FF | LUTM | LUTL | MULT | EMAC | PPC | PCIe | <- (Types of Resources in Clock Region) +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| | Upper Region| 8 | 0 | 0 | 60 | 60 | 1280 | 640 | 1920 | 0 | 0 | 1 | 0 | <- Available resources in the upper region +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| |CurrentRegion| 24 | 2 | 0 | 60 | 60 | 3200 | 1600 | 4800 | 0 | 0 | 0 | 0 | <- Available resources in the current region +|-------|-------------|------|-----|----|--------|------- +-|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| | Lower Region| 24 | 4 | 0 | 40 | 40 | 3200 | 1600 | 4800 | 0 | 0 | 0 | 0 | <- Available resources in the lower region +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| clock | region | ----------------------------------------------- +| type | expansion | | +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| BUFIO | | 0 | 0 | 0 | 9 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<0>" +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| BUFIO | | 0 | 0 | 0 | 9 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<4>" +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| BUFIO | | 0 | 0 | 0 | 9 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<3>" +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): edge-bufios - 3/4; bufrs - 0/2; regional-clock-spines - 0/4 +|----------------------------------------------------------------------------------------------------------------------------------------------------------- +| | clock | BRAM | | | | | | | | | | | | +| | region | FIFO | DCM | GT | ILOGIC | OLOGIC | FF | LUTM | LUTL | MULT | EMAC | PPC | PCIe | <- (Types of Resources in Clock Region) +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| | Upper Region| 24 | 0 | 0 | 80 | 80 | 3200 | 1600 | 4800 | 0 | 0 | 0 | 0 | <- Available resources in the upper region +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| |CurrentRegion| 24 | 4 | 0 | 40 | 40 | 3200 | 1600 | 4800 | 0 | 0 | 0 | 0 | <- Available resources in the current region +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| | Lower Region| 24 | 2 | 0 | 60 | 60 | 3200 | 1600 | 4800 | 0 | 0 | 0 | 0 | <- Available resources in the lower region +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| clock | region | ----------------------------------------------- +| type | expansion | | +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| BUFIO | | 0 | 0 | 0 | 9 | 0 | 0 | 0 | + 0 | 0 | 0 | 0 | 0 | "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<7>" +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| BUFIO | | 0 | 0 | 0 | 9 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<5>" +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| BUFIO | | 0 | 0 | 0 | 9 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<6>" +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- + + + + +###################################################################################### +# REGIONAL CLOCKING RESOURCE DISTRIBUTION UCF REPORT: +# +# Number of Regional Clocking Regions in the device: 16 (4 clock spines in each) +# Number of Regional Clock Networks used in this design: 8 (each network can be +# composed of up to 3 clock spines and cover up to 3 regional clock regions) +# +###################################################################################### + +# IO-Clock "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<7>" driven by "BUFIO_X0Y27" +INST "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[7].u_iob_dqs/u_bufio_dqs" LOC = +"BUFIO_X0Y27" ; +NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<7>" TNM_NET = +"TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<7>" ; +TIMEGRP "TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<7>" AREA_GROUP = +"CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<7>" ; +AREA_GROUP "CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<7>" RANGE = +CLOCKREGION_X0Y6; + + +# IO-Clock "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<0>" driven by "BUFIO_X0Y9" +INST "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[0].u_iob_dqs/u_bufio_dqs" LOC = +"BUFIO_X0Y9" ; +NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<0>" TNM_NET = +"TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<0>" ; +TIMEGRP "TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<0>" AREA_GROUP = +"CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<0>" ; +AREA_GROUP "CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<0>" RANGE = +CLOCKREGION_X0Y2; + + +# IO-Clock "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<4>" driven by "BUFIO_X0Y11" +INST "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[4].u_iob_dqs/u_bufio_dqs" LOC = +"BUFIO_X0Y11" ; +NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<4>" TNM_NET = +"TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<4>" ; +TIMEGRP "TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<4>" AREA_GROUP = +"CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<4>" ; +AREA_GROUP "CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<4>" RANGE = +CLOCKREGION_X0Y2; + + +# IO-Clock "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<1>" driven by "BUFIO_X0Y4" +INST "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[1].u_iob_dqs/u_bufio_dqs" LOC = +"BUFIO_X0Y4" ; +NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<1>" TNM_NET = +"TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<1>" ; +TIMEGRP "TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<1>" AREA_GROUP = +"CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<1>" ; +AREA_GROUP "CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<1>" RANGE = +CLOCKREGION_X0Y1; + + +# IO-Clock "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<5>" driven by "BUFIO_X0Y25" +INST "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[5].u_iob_dqs/u_bufio_dqs" LOC = +"BUFIO_X0Y25" ; +NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<5>" TNM_NET = +"TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<5>" ; +TIMEGRP "TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<5>" AREA_GROUP = +"CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<5>" ; +AREA_GROUP "CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<5>" RANGE = +CLOCKREGION_X0Y6; + + +# IO-Clock "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<2>" driven by "BUFIO_X0Y7" +INST "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[2].u_iob_dqs/u_bufio_dqs" LOC = +"BUFIO_X0Y7" ; +NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<2>" TNM_NET = +"TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<2>" ; +TIMEGRP "TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<2>" AREA_GROUP = +"CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<2>" ; +AREA_GROUP "CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<2>" RANGE = +CLOCKREGION_X0Y1; + + +# IO-Clock "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<6>" driven by "BUFIO_X0Y26" +INST "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[6].u_iob_dqs/u_bufio_dqs" LOC = +"BUFIO_X0Y26" ; +NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<6>" TNM_NET = +"TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<6>" ; +TIMEGRP "TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<6>" AREA_GROUP = +"CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<6>" ; +AREA_GROUP "CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<6>" RANGE = +CLOCKREGION_X0Y6; + + +# IO-Clock "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<3>" driven by "BUFIO_X0Y10" +INST "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[3].u_iob_dqs/u_bufio_dqs" LOC = +"BUFIO_X0Y10" ; +NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<3>" TNM_NET = +"TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<3>" ; +TIMEGRP "TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<3>" AREA_GROUP = +"CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<3>" ; +AREA_GROUP "CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<3>" RANGE = +CLOCKREGION_X0Y2; + + +Phase 7.2 Initial Clock and IO Placement (Checksum:e5ad4bb9) REAL time: 13 mins 24 secs + +Phase 8.36 Local Placement Optimization +Phase 8.36 Local Placement Optimization (Checksum:e5ad4bb9) REAL time: 13 mins 24 secs + +......................... +. +. +...... +..... +..... +..... +..... +...... +...... +....... +...... +....... +....... +........ +......... +........ +.. +Phase 9.30 Global Clock Region Assignment + + +###################################################################################### +# GLOBAL CLOCK NET DISTRIBUTION UCF REPORT: +# +# Number of Global Clock Regions : 16 +# Number of Global Clock Networks: 15 +# +# Clock Region Assignment: SUCCESSFUL + +# Location of Clock Components +INST "clock_generator_0/clock_generator_0/Using_PLL0.PLL0_INST/Using_BUFG_for_CLKOUT1.CLKOUT1_BUFG_INST" LOC = "BUFGCTRL_X0Y1" ; +INST "fpga_0_Ethernet_MAC_PHY_rx_clk_pin_BUFGP/BUFG" LOC = "BUFGCTRL_X0Y30" ; +INST "PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/clocking_i/use_pll.gtxclk_pll_bufg" LOC = "BUFGCTRL_X0Y29" ; +INST "PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/clocking_i/use_pll.coreclk_pll_bufg" LOC = "BUFGCTRL_X0Y27" ; +INST "clock_generator_0/clock_generator_0/Using_PLL0.PLL0_INST/Using_BUFG_for_CLKOUT2.CLKOUT2_BUFG_INST" LOC = "BUFGCTRL_X0Y2" ; +INST "clock_generator_0/clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_BUFG_for_CLKFBOUT.CLKFB_BUFG_INST" LOC = "BUFGCTRL_X0Y3" ; +INST "PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/clocking_i/notsame.usrclk_pll_bufg" LOC = "BUFGCTRL_X0Y28" ; +INST "fpga_0_SysACE_CompactFlash_SysACE_CLK_pin_BUFGP/BUFG" LOC = "BUFGCTRL_X0Y8" ; +INST "PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/clocking_i/use_pll.clkfbin_pll_bufg" LOC = "BUFGCTRL_X0Y26" ; +INST "clock_generator_0/clock_generator_0/Using_PLL0.PLL0_INST/Using_BUFG_for_CLKOUT3.CLKOUT3_BUFG_INST" LOC = "BUFGCTRL_X0Y4" ; +INST "clock_generator_0/clock_generator_0/Using_DCM0.DCM0_INST/Using_BUFG_for_CLK0.CLK0_BUFG_INST" LOC = "BUFGCTRL_X0Y7" ; +INST "fpga_0_Ethernet_MAC_PHY_tx_clk_pin_BUFGP/BUFG" LOC = "BUFGCTRL_X0Y31" ; +INST "clock_generator_0/clock_generator_0/Using_PLL0.PLL0_INST/Using_BUFG_for_CLKOUT0.CLKOUT0_BUFG_INST" LOC = "BUFGCTRL_X0Y5" ; +INST "clock_generator_0/clock_generator_0/Using_PLL0.PLL0_INST/Using_BUFG_for_CLKOUT4.CLKOUT4_BUFG_INST" LOC = "BUFGCTRL_X0Y6" ; +INST "PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/SIO/.pcie_gt_wrapper_i/bufg2" LOC = "BUFGCTRL_X0Y0" ; +INST "clock_generator_0/clock_generator_0/Using_DCM0.DCM0_INST/DCM_INST/Using_DCM_ADV.DCM_ADV_INST" LOC = "DCM_ADV_X0Y0" ; +INST "fpga_0_SRAM_ZBT_CLK_FB_pin" LOC = "IOB_X1Y111" ; +INST "fpga_0_clk_1_sys_clk_pin" LOC = "IOB_X1Y109" ; +INST "fpga_0_Ethernet_MAC_PHY_rx_clk_pin" LOC = "IOB_X1Y219" ; +INST "fpga_0_Ethernet_MAC_PHY_tx_clk_pin" LOC = "IOB_X1Y217" ; +INST "fpga_0_SysACE_CompactFlash_SysACE_CLK_pin" LOC = "IOB_X1Y105" ; +INST "fpga_0_PCIe_Bridge_RXN_pin" LOC = "IPAD_X1Y12" ; +INST "fpga_0_PCIe_Bridge_RXP_pin" LOC = "IPAD_X1Y13" ; +INST "fpga_0_PCIe_Diff_Clk_IBUF_DS_N_pin" LOC = "IPAD_X1Y16" ; +INST "fpga_0_PCIe_Diff_Clk_IBUF_DS_P_pin" LOC = "IPAD_X1Y17" ; +INST "fpga_0_PCIe_Bridge_TXN_pin" LOC = "OPAD_X0Y8" ; +INST "fpga_0_PCIe_Bridge_TXP_pin" LOC = "OPAD_X0Y9" ; +INST "PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/clocking_i/use_pll.pll_adv_i" LOC = "PLL_ADV_X0Y5" ; +INST "clock_generator_0/clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst" LOC = "PLL_ADV_X0Y0" ; +INST "PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/SIO/.pcie_gt_wrapper_i/GTD[0].GT_i" LOC = "GTX_DUAL_X0Y2" ; +INST "ibufgds_76" LOC = "BUFDS_X0Y2" ; + +# clk_125_0000MHzPLL0 driven by BUFGCTRL_X0Y1 +NET "clk_125_0000MHzPLL0" TNM_NET = "TN_clk_125_0000MHzPLL0" ; +TIMEGRP "TN_clk_125_0000MHzPLL0" AREA_GROUP = "CLKAG_clk_125_0000MHzPLL0" ; +AREA_GROUP "CLKAG_clk_125_0000MHzPLL0" RANGE = CLOCKREGION_X0Y0, CLOCKREGION_X1Y0, CLOCKREGION_X0Y1, CLOCKREGION_X1Y1, CLOCKREGION_X0Y2, CLOCKREGION_X1Y2, CLOCKREGION_X0Y3, CLOCKREGION_X1Y3, CLOCKREGION_X0Y4, CLOCKREGION_X1Y4, CLOCKREGION_X0Y5, CLOCKREGION_X1Y5, CLOCKREGION_X0Y6, CLOCKREGION_X1Y6, CLOCKREGION_X0Y7, CLOCKREGION_X1Y7 ; + +# fpga_0_Ethernet_MAC_PHY_rx_clk_pin_BUFGP driven by BUFGCTRL_X0Y30 +NET "fpga_0_Ethernet_MAC_PHY_rx_clk_pin_BUFGP" TNM_NET = "TN_fpga_0_Ethernet_MAC_PHY_rx_clk_pin_BUFGP" ; +TIMEGRP "TN_fpga_0_Ethernet_MAC_PHY_rx_clk_pin_BUFGP" AREA_GROUP = "CLKAG_fpga_0_Ethernet_MAC_PHY_rx_clk_pin_BUFGP" ; +AREA_GROUP "CLKAG_fpga_0_Ethernet_MAC_PHY_rx_clk_pin_BUFGP" RANGE = CLOCKREGION_X0Y0, CLOCKREGION_X0Y1, CLOCKREGION_X0Y2, CLOCKREGION_X0Y3, CLOCKREGION_X0Y4, CLOCKREGION_X0Y5, CLOCKREGION_X1Y5, CLOCKREGION_X0Y6, CLOCKREGION_X1Y6, CLOCKREGION_X0Y7, CLOCKREGION_X1Y7 ; + +# PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/gt_usrclk driven by BUFGCTRL_X0Y29 +NET "PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/gt_usrclk" TNM_NET = "TN_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/gt_usrclk" ; +TIMEGRP "TN_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/gt_usrclk" AREA_GROUP = "CLKAG_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/gt_usrclk" ; +AREA_GROUP "CLKAG_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/gt_usrclk" RANGE = CLOCKREGION_X0Y0, CLOCKREGION_X1Y0, CLOCKREGION_X0Y1, CLOCKREGION_X1Y1, CLOCKREGION_X0Y2, CLOCKREGION_X1Y2, CLOCKREGION_X0Y3, CLOCKREGION_X1Y3, CLOCKREGION_X0Y4, CLOCKREGION_X1Y4, CLOCKREGION_X0Y5, CLOCKREGION_X1Y5 ; + +# PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/core_clk driven by BUFGCTRL_X0Y27 +NET "PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/core_clk" TNM_NET = "TN_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/core_clk" ; +TIMEGRP "TN_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/core_clk" AREA_GROUP = "CLKAG_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/core_clk" ; +AREA_GROUP "CLKAG_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/core_clk" RANGE = CLOCKREGION_X0Y0, CLOCKREGION_X1Y0, CLOCKREGION_X0Y1, CLOCKREGION_X1Y1, CLOCKREGION_X0Y2, CLOCKREGION_X1Y2, CLOCKREGION_X0Y3, CLOCKREGION_X1Y3, CLOCKREGION_X0Y4, CLOCKREGION_X1Y4, CLOCKREGION_X0Y5, CLOCKREGION_X1Y5, CLOCKREGION_X0Y6, CLOCKREGION_X1Y6, CLOCKREGION_X0Y7, CLOCKREGION_X1Y7 ; + +# clk_125_0000MHzPLL0_ADJUST driven by BUFGCTRL_X0Y2 +NET "clk_125_0000MHzPLL0_ADJUST" TNM_NET = "TN_clk_125_0000MHzPLL0_ADJUST" ; +TIMEGRP "TN_clk_125_0000MHzPLL0_ADJUST" AREA_GROUP = "CLKAG_clk_125_0000MHzPLL0_ADJUST" ; +AREA_GROUP "CLKAG_clk_125_0000MHzPLL0_ADJUST" RANGE = CLOCKREGION_X0Y0, CLOCKREGION_X1Y0, CLOCKREGION_X0Y1, CLOCKREGION_X1Y1, CLOCKREGION_X0Y2, CLOCKREGION_X1Y2, CLOCKREGION_X0Y3, CLOCKREGION_X1Y3, CLOCKREGION_X0Y4, CLOCKREGION_X1Y4, CLOCKREGION_X0Y5, CLOCKREGION_X1Y5, CLOCKREGION_X0Y6, CLOCKREGION_X1Y6, CLOCKREGION_X0Y7, CLOCKREGION_X1Y7 ; + +# clock_generator_0/clock_generator_0/PLL0_CLK_OUT<6> driven by BUFGCTRL_X0Y3 +NET "clock_generator_0/clock_generator_0/PLL0_CLK_OUT<6>" TNM_NET = "TN_clock_generator_0/clock_generator_0/PLL0_CLK_OUT<6>" ; +TIMEGRP "TN_clock_generator_0/clock_generator_0/PLL0_CLK_OUT<6>" AREA_GROUP = "CLKAG_clock_generator_0/clock_generator_0/PLL0_CLK_OUT<6>" ; +AREA_GROUP "CLKAG_clock_generator_0/clock_generator_0/PLL0_CLK_OUT<6>" RANGE = CLOCKREGION_X0Y0, CLOCKREGION_X0Y1 ; + +# PCIe_Bridge/Bridge_Clk driven by BUFGCTRL_X0Y28 +NET "PCIe_Bridge/Bridge_Clk" TNM_NET = "TN_PCIe_Bridge/Bridge_Clk" ; +TIMEGRP "TN_PCIe_Bridge/Bridge_Clk" AREA_GROUP = "CLKAG_PCIe_Bridge/Bridge_Clk" ; +AREA_GROUP "CLKAG_PCIe_Bridge/Bridge_Clk" RANGE = CLOCKREGION_X0Y0, CLOCKREGION_X1Y0, CLOCKREGION_X0Y1, CLOCKREGION_X1Y1, CLOCKREGION_X0Y2, CLOCKREGION_X1Y2, CLOCKREGION_X0Y3, CLOCKREGION_X1Y3, CLOCKREGION_X0Y4, CLOCKREGION_X1Y4, CLOCKREGION_X0Y5, CLOCKREGION_X1Y5, CLOCKREGION_X0Y6, CLOCKREGION_X1Y6, CLOCKREGION_X0Y7, CLOCKREGION_X1Y7 ; + +# fpga_0_SysACE_CompactFlash_SysACE_CLK_pin_BUFGP driven by BUFGCTRL_X0Y8 +NET "fpga_0_SysACE_CompactFlash_SysACE_CLK_pin_BUFGP" TNM_NET = "TN_fpga_0_SysACE_CompactFlash_SysACE_CLK_pin_BUFGP" ; +TIMEGRP "TN_fpga_0_SysACE_CompactFlash_SysACE_CLK_pin_BUFGP" AREA_GROUP = "CLKAG_fpga_0_SysACE_CompactFlash_SysACE_CLK_pin_BUFGP" ; +AREA_GROUP "CLKAG_fpga_0_SysACE_CompactFlash_SysACE_CLK_pin_BUFGP" RANGE = CLOCKREGION_X1Y0, CLOCKREGION_X1Y1, CLOCKREGION_X1Y2, CLOCKREGION_X1Y3, CLOCKREGION_X1Y4 ; + +# PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/clocking_i/clkfbin driven by BUFGCTRL_X0Y26 +NET "PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/clocking_i/clkfbin" TNM_NET = "TN_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/clocking_i/clkfbin" ; +TIMEGRP "TN_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/clocking_i/clkfbin" AREA_GROUP = "CLKAG_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/clocking_i/clkfbin" ; +AREA_GROUP "CLKAG_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/clocking_i/clkfbin" RANGE = CLOCKREGION_X0Y6, CLOCKREGION_X0Y7 ; + +# clk_200_0000MHz driven by BUFGCTRL_X0Y4 +NET "clk_200_0000MHz" TNM_NET = "TN_clk_200_0000MHz" ; +TIMEGRP "TN_clk_200_0000MHz" AREA_GROUP = "CLKAG_clk_200_0000MHz" ; +AREA_GROUP "CLKAG_clk_200_0000MHz" RANGE = CLOCKREGION_X0Y0, CLOCKREGION_X1Y0, CLOCKREGION_X0Y1, CLOCKREGION_X1Y1, CLOCKREGION_X0Y2, CLOCKREGION_X1Y2, CLOCKREGION_X0Y3, CLOCKREGION_X1Y3, CLOCKREGION_X0Y4, CLOCKREGION_X1Y4, CLOCKREGION_X0Y5, CLOCKREGION_X1Y5, CLOCKREGION_X0Y6, CLOCKREGION_X1Y6, CLOCKREGION_X0Y7, CLOCKREGION_X1Y7 ; + +# fpga_0_SRAM_ZBT_CLK_OUT_pin_OBUF driven by BUFGCTRL_X0Y7 +NET "fpga_0_SRAM_ZBT_CLK_OUT_pin_OBUF" TNM_NET = "TN_fpga_0_SRAM_ZBT_CLK_OUT_pin_OBUF" ; +TIMEGRP "TN_fpga_0_SRAM_ZBT_CLK_OUT_pin_OBUF" AREA_GROUP = "CLKAG_fpga_0_SRAM_ZBT_CLK_OUT_pin_OBUF" ; +AREA_GROUP "CLKAG_fpga_0_SRAM_ZBT_CLK_OUT_pin_OBUF" RANGE = CLOCKREGION_X1Y6, CLOCKREGION_X1Y7 ; + +# fpga_0_Ethernet_MAC_PHY_tx_clk_pin_BUFGP driven by BUFGCTRL_X0Y31 +NET "fpga_0_Ethernet_MAC_PHY_tx_clk_pin_BUFGP" TNM_NET = "TN_fpga_0_Ethernet_MAC_PHY_tx_clk_pin_BUFGP" ; +TIMEGRP "TN_fpga_0_Ethernet_MAC_PHY_tx_clk_pin_BUFGP" AREA_GROUP = "CLKAG_fpga_0_Ethernet_MAC_PHY_tx_clk_pin_BUFGP" ; +AREA_GROUP "CLKAG_fpga_0_Ethernet_MAC_PHY_tx_clk_pin_BUFGP" RANGE = CLOCKREGION_X1Y0, CLOCKREGION_X1Y1, CLOCKREGION_X0Y2, CLOCKREGION_X1Y2, CLOCKREGION_X0Y3, CLOCKREGION_X1Y3, CLOCKREGION_X0Y4, CLOCKREGION_X1Y4, CLOCKREGION_X0Y5, CLOCKREGION_X1Y5, CLOCKREGION_X1Y6, CLOCKREGION_X1Y7 ; + +# clk_125_0000MHz90PLL0_ADJUST driven by BUFGCTRL_X0Y5 +NET "clk_125_0000MHz90PLL0_ADJUST" TNM_NET = "TN_clk_125_0000MHz90PLL0_ADJUST" ; +TIMEGRP "TN_clk_125_0000MHz90PLL0_ADJUST" AREA_GROUP = "CLKAG_clk_125_0000MHz90PLL0_ADJUST" ; +AREA_GROUP "CLKAG_clk_125_0000MHz90PLL0_ADJUST" RANGE = CLOCKREGION_X0Y0, CLOCKREGION_X1Y0, CLOCKREGION_X0Y1, CLOCKREGION_X1Y1, CLOCKREGION_X0Y2, CLOCKREGION_X1Y2, CLOCKREGION_X0Y3, CLOCKREGION_X1Y3, CLOCKREGION_X0Y4, CLOCKREGION_X1Y4, CLOCKREGION_X0Y5, CLOCKREGION_X1Y5, CLOCKREGION_X0Y6, CLOCKREGION_X1Y6, CLOCKREGION_X0Y7, CLOCKREGION_X1Y7 ; + +# clk_62_5000MHzPLL0_ADJUST driven by BUFGCTRL_X0Y6 +NET "clk_62_5000MHzPLL0_ADJUST" TNM_NET = "TN_clk_62_5000MHzPLL0_ADJUST" ; +TIMEGRP "TN_clk_62_5000MHzPLL0_ADJUST" AREA_GROUP = "CLKAG_clk_62_5000MHzPLL0_ADJUST" ; +AREA_GROUP "CLKAG_clk_62_5000MHzPLL0_ADJUST" RANGE = CLOCKREGION_X0Y0, CLOCKREGION_X1Y0, CLOCKREGION_X0Y1, CLOCKREGION_X1Y1, CLOCKREGION_X0Y2, CLOCKREGION_X1Y2, CLOCKREGION_X0Y3, CLOCKREGION_X1Y3, CLOCKREGION_X0Y4, CLOCKREGION_X1Y4, CLOCKREGION_X0Y5, CLOCKREGION_X1Y5, CLOCKREGION_X0Y6, CLOCKREGION_X1Y6, CLOCKREGION_X0Y7, CLOCKREGION_X1Y7 ; + +# PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/REFCLKOUT_bufg driven by BUFGCTRL_X0Y0 +NET "PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/REFCLKOUT_bufg" TNM_NET = "TN_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/REFCLKOUT_bufg" ; +TIMEGRP "TN_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/REFCLKOUT_bufg" AREA_GROUP = "CLKAG_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/REFCLKOUT_bufg" ; +AREA_GROUP "CLKAG_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/REFCLKOUT_bufg" RANGE = CLOCKREGION_X0Y6, CLOCKREGION_X0Y7 ; + +# NOTE: +# This report is provided to help reproduce successful clock-region +# assignments. The report provides range constraints for all global +# clock networks, in a format that is directly usable in ucf files. +# +#END of Global Clock Net Distribution UCF Constraints +###################################################################################### + + +###################################################################################### +GLOBAL CLOCK NET LOADS DISTRIBUTION REPORT: + +Number of Global Clock Regions : 16 +Number of Global Clock Networks: 15 + +Clock Region Assignment: SUCCESSFUL + +Clock-Region: + key resource utilizations (used/available): global-clocks - 2/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 12 | 0 | 0 | 0 | 80 | 80 | 0 | 0 | 0 | 0 | 2 | 0 | 1600 | 3200 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 17 | 656 |PCIe_Bridge/Bridge_Clk + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 2 | 255 |clk_125_0000MHzPLL0_ADJUST +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 19 | 911 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 2/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 8 | 0 | 0 | 0 | 40 | 40 | 16 | 0 | 0 | 1 | 1 | 0 | 1920 | 2880 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 4 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 0 | 0 | 80 | 1263 |PCIe_Bridge/Bridge_Clk + 4 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 0 | 0 | 24 | 52 |PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/core_clk +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 8 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 2 | 0 | 0 | 104 | 1315 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 6/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 12 | 4 | 2 | 0 | 40 | 40 | 0 | 0 | 0 | 0 | 1 | 0 | 1600 | 3200 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 2 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 6 | 156 |PCIe_Bridge/Bridge_Clk + 0 | 0 | 0 | 0 | 0 | 18 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 |clk_125_0000MHz90PLL0_ADJUST + 2 | 1 | 0 | 0 | 0 | 17 | 0 | 0 | 0 | 0 | 0 | 0 | 10 | 991 |clk_125_0000MHzPLL0_ADJUST + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 0 | 0 | 0 |clk_200_0000MHz + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 8 |clk_62_5000MHzPLL0_ADJUST + 0 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 |clock_generator_0/clock_generator_0/PLL0_CLK_OUT<6> +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 4 | 1 | 1 | 0 | 0 | 35 | 0 | 0 | 0 | 0 | 1 | 0 | 16 | 1155 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 4/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 8 | 0 | 0 | 0 | 40 | 40 | 16 | 0 | 0 | 0 | 1 | 0 | 1920 | 2880 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 240 | 1088 |PCIe_Bridge/Bridge_Clk + 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 11 |PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/core_clk + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 104 |clk_125_0000MHzPLL0_ADJUST + 0 | 0 | 0 | 0 | 0 | 5 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 |fpga_0_Ethernet_MAC_PHY_tx_clk_pin_BUFGP +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 2 | 0 | 0 | 0 | 0 | 5 | 0 | 0 | 0 | 0 | 0 | 0 | 240 | 1203 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 4/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 12 | 2 | 1 | 0 | 60 | 60 | 0 | 0 | 0 | 0 | 2 | 0 | 1600 | 3200 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 27 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 12 |clk_125_0000MHz90PLL0_ADJUST + 5 | 0 | 0 | 0 | 9 | 15 | 0 | 0 | 0 | 0 | 0 | 0 | 24 | 1156 |clk_125_0000MHzPLL0_ADJUST + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 0 | 0 | 0 |clk_200_0000MHz + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 99 |clk_62_5000MHzPLL0_ADJUST +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 5 | 0 | 0 | 0 | 9 | 42 | 0 | 0 | 0 | 0 | 1 | 0 | 24 | 1267 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 4/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 8 | 0 | 0 | 0 | 40 | 40 | 16 | 0 | 0 | 1 | 1 | 0 | 1920 | 2880 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 2 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 28 | 382 |PCIe_Bridge/Bridge_Clk + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 90 |PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/core_clk + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 2 |PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/gt_usrclk + 3 | 0 | 0 | 0 | 0 | 5 | 0 | 0 | 0 | 0 | 0 | 0 | 48 | 725 |clk_125_0000MHzPLL0_ADJUST +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 5 | 0 | 0 | 0 | 0 | 5 | 0 | 0 | 0 | 0 | 0 | 0 | 76 | 1199 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 4/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 4 | 0 | 0 | 0 | 60 | 60 | 0 | 0 | 1 | 0 | 2 | 16 | 640 | 1280 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 86 |clk_125_0000MHz90PLL0_ADJUST + 0 | 0 | 0 | 0 | 8 | 17 | 0 | 0 | 1 | 0 | 0 | 0 | 12 | 281 |clk_125_0000MHzPLL0_ADJUST + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 3 |clk_200_0000MHz + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 210 |clk_62_5000MHzPLL0_ADJUST +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 8 | 17 | 0 | 0 | 1 | 0 | 0 | 0 | 12 | 580 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 2/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 8 | 0 | 0 | 0 | 40 | 40 | 16 | 0 | 0 | 0 | 1 | 0 | 1920 | 2880 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 43 |PCIe_Bridge/Bridge_Clk + 4 | 0 | 0 | 0 | 0 | 0 | 3 | 0 | 0 | 0 | 0 | 0 | 99 | 1148 |clk_125_0000MHzPLL0_ADJUST +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 4 | 0 | 0 | 0 | 0 | 0 | 3 | 0 | 0 | 0 | 0 | 0 | 99 | 1191 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 4/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 4 | 0 | 0 | 0 | 60 | 60 | 0 | 0 | 1 | 0 | 2 | 16 | 640 | 1280 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 2 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 36 |clk_125_0000MHz90PLL0_ADJUST + 4 | 0 | 0 | 0 | 1 | 20 | 0 | 0 | 0 | 0 | 0 | 0 | 37 | 263 |clk_125_0000MHzPLL0_ADJUST + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 219 |clk_62_5000MHzPLL0_ADJUST + 0 | 0 | 0 | 0 | 6 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 |fpga_0_Ethernet_MAC_PHY_rx_clk_pin_BUFGP +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 6 | 0 | 0 | 0 | 7 | 20 | 0 | 0 | 0 | 0 | 0 | 0 | 37 | 518 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 4/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 10 | 0 | 0 | 0 | 40 | 40 | 16 | 1 | 0 | 0 | 1 | 0 | 1920 | 2880 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 20 |PCIe_Bridge/Bridge_Clk + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 83 | 834 |clk_125_0000MHzPLL0_ADJUST + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 |fpga_0_Ethernet_MAC_PHY_tx_clk_pin_BUFGP + 0 | 0 | 0 | 0 | 16 | 26 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 37 |fpga_0_SysACE_CompactFlash_SysACE_CLK_pin_BUFGP +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 16 | 26 | 0 | 0 | 0 | 0 | 0 | 0 | 83 | 892 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 4/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 12 | 2 | 1 | 0 | 60 | 60 | 0 | 0 | 0 | 0 | 2 | 0 | 1600 | 3200 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 48 |clk_125_0000MHz90PLL0_ADJUST + 0 | 0 | 0 | 0 | 0 | 27 | 0 | 0 | 0 | 0 | 0 | 0 | 74 | 579 |clk_125_0000MHzPLL0_ADJUST + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 8 | 227 |clk_62_5000MHzPLL0_ADJUST + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 15 | 4 |fpga_0_Ethernet_MAC_PHY_rx_clk_pin_BUFGP +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 27 | 0 | 0 | 0 | 0 | 0 | 0 | 97 | 858 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 1/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 10 | 0 | 0 | 0 | 40 | 40 | 16 | 1 | 0 | 0 | 1 | 0 | 1920 | 2880 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 64 | 646 |clk_125_0000MHzPLL0_ADJUST +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 64 | 646 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 7/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 12 | 4 | 2 | 0 | 40 | 40 | 0 | 0 | 0 | 0 | 1 | 0 | 1600 | 3200 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 |PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/REFCLKOUT_bufg + 0 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 |PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/clocking_i/clkfbin + 0 | 0 | 0 | 0 | 0 | 27 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 2 |clk_125_0000MHz90PLL0_ADJUST + 0 | 0 | 0 | 0 | 0 | 8 | 0 | 0 | 0 | 0 | 0 | 0 | 65 | 555 |clk_125_0000MHzPLL0_ADJUST + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 0 | 0 | 0 |clk_200_0000MHz + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 2 | 100 |clk_62_5000MHzPLL0_ADJUST + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 9 |fpga_0_Ethernet_MAC_PHY_rx_clk_pin_BUFGP +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 2 | 0 | 0 | 35 | 0 | 0 | 0 | 0 | 1 | 0 | 67 | 666 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 1/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 8 | 0 | 0 | 0 | 40 | 40 | 16 | 0 | 0 | 1 | 1 | 0 | 1920 | 2880 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 19 | 23 | 0 | 0 | 0 | 0 | 0 | 0 | 63 | 449 |clk_125_0000MHzPLL0_ADJUST +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 19 | 23 | 0 | 0 | 0 | 0 | 0 | 0 | 63 | 449 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 2/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 12 | 0 | 0 | 0 | 80 | 80 | 0 | 0 | 0 | 0 | 2 | 0 | 1600 | 3200 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 8 | 327 |clk_125_0000MHzPLL0_ADJUST + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 20 |clk_62_5000MHzPLL0_ADJUST +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 8 | 347 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 1/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 8 | 0 | 0 | 0 | 40 | 40 | 16 | 0 | 0 | 0 | 1 | 0 | 1920 | 2880 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 34 | 208 |clk_125_0000MHzPLL0_ADJUST +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 34 | 208 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + +NOTE: +The above detailed report is the initial placement of the logic after the clock region assignment. The final placement +may be significantly different because of the various optimization steps which will follow. Specifically, logic blocks +maybe moved to adjacent clock-regions as long as the "number of clocks per region" constraint is not violated. + + +# END of Global Clock Net Loads Distribution Report: +###################################################################################### + + +Phase 9.30 Global Clock Region Assignment (Checksum:e5ad4bb9) REAL time: 14 mins 49 secs + +Phase 10.3 Local Placement Optimization +Phase 10.3 Local Placement Optimization (Checksum:e5ad4bb9) REAL time: 14 mins 49 secs + +Phase 11.5 Local Placement Optimization +Phase 11.5 Local Placement Optimization (Checksum:e5ad4bb9) REAL time: 14 mins 50 secs + +Phase 12.8 Global Placement +.... +............................ +..... +....... +........ +....... +....... +...... +....... +....... +...... +....... +....... +....... +...... +........ +....... +......... +......... +......... +......... +......... +.... +. +....... +........ +......... +.. +..... +....... +....... +...... +....... +....... +. +.... +...... +...... +..... +..... +..... +.... +.. +...... +..... +...... +.. +... +...... +...... +........ +...... +...... +.. +. +.. +.... +.... +..... +...... +... +...... +...... +....... +.... +.... +... +.... +..... +.... +. +.. +..... +..... +..... +.. +. +..... +.. +. +...... +...... +. +... +..... +. +..... +..... +..... +...... +...... +...... +Phase 12.8 Global Placement (Checksum:651fc219) REAL time: 20 mins 14 secs + +Phase 13.29 Local Placement Optimization +Phase 13.29 Local Placement Optimization (Checksum:651fc219) REAL time: 20 mins 14 secs + +Phase 14.5 Local Placement Optimization +Phase 14.5 Local Placement Optimization (Checksum:651fc219) REAL time: 20 mins 19 secs + +Phase 15.18 Placement Optimization +Phase 15.18 Placement Optimization (Checksum:11e1af7) REAL time: 23 mins 42 secs + +Phase 16.5 Local Placement Optimization +Phase 16.5 Local Placement Optimization (Checksum:11e1af7) REAL time: 23 mins 46 secs + +Phase 17.34 Placement Validation +Phase 17.34 Placement Validation (Checksum:11e1af7) REAL time: 23 mins 47 secs + +Total REAL time to Placer completion: 23 mins 51 secs +Total CPU time to Placer completion: 21 mins +Running post-placement packing... +Writing output files... + +Design Summary: +Number of errors: 0 +Number of warnings: 50 +Slice Logic Utilization: + Number of Slice Registers: 13,531 out of 44,800 30% + Number used as Flip Flops: 13,529 + Number used as Latches: 1 + Number used as Latch-thrus: 1 + Number of Slice LUTs: 14,602 out of 44,800 32% + Number used as logic: 13,948 out of 44,800 31% + Number using O6 output only: 12,711 + Number using O5 output only: 318 + Number using O5 and O6: 919 + Number used as Memory: 541 out of 13,120 4% + Number used as Dual Port RAM: 164 + Number using O6 output only: 12 + Number using O5 output only: 32 + Number using O5 and O6: 120 + Number used as Single Port RAM: 4 + Number using O6 output only: 4 + Number used as Shift Register: 373 + Number using O6 output only: 373 + Number used as exclusive route-thru: 113 + Number of route-thrus: 497 + Number using O6 output only: 417 + Number using O5 output only: 70 + Number using O5 and O6: 10 + +Slice Logic Distribution: + Number of occupied Slices: 7,119 out of 11,200 63% + Number of LUT Flip Flop pairs used: 19,423 + Number with an unused Flip Flop: 5,892 out of 19,423 30% + Number with an unused LUT: 4,821 out of 19,423 24% + Number of fully used LUT-FF pairs: 8,710 out of 19,423 44% + Number of unique control sets: 1,396 + Number of slice register sites lost + to control set restrictions: 3,277 out of 44,800 7% + + A LUT Flip Flop pair for this architecture represents one LUT paired with + one Flip Flop within a slice. A control set is a unique combination of + clock, reset, set, and enable signals for a registered element. + The Slice Logic Distribution report is not meaningful if the design is + over-mapped for a non-slice resource or if Placement fails. + OVERMAPPING of BRAM resources should be ignored if the design is + over-mapped for a non-BRAM resource or if placement fails. + +IO Utilization: + Number of bonded IOBs: 255 out of 640 39% + Number of LOCed IOBs: 255 out of 255 100% + IOB Flip Flops: 494 + Number of bonded IPADs: 4 out of 50 8% + Number of bonded OPADs: 2 out of 32 6% + +Specific Feature Utilization: + Number of BlockRAM/FIFO: 22 out of 148 14% + Number using BlockRAM only: 20 + Number using FIFO only: 2 + Total primitives used: + Number of 36k BlockRAM used: 16 + Number of 18k BlockRAM used: 6 + Number of 36k FIFO used: 2 + Total Memory used (KB): 756 out of 5,328 14% + Number of BUFG/BUFGCTRLs: 15 out of 32 46% + Number used as BUFGs: 15 + Number of IDELAYCTRLs: 3 out of 22 13% + Number of BUFDSs: 1 out of 8 12% + Number of BUFIOs: 8 out of 80 10% + Number of DCM_ADVs: 1 out of 12 8% + Number of DSP48Es: 3 out of 128 2% + Number of GTX_DUALs: 1 out of 8 12% + Number of PCIEs: 1 out of 3 33% + Number of LOCed PCIEs: 1 out of 1 100% + Number of PLL_ADVs: 2 out of 6 33% + Number of PPC440s: 1 out of 1 100% + + Number of RPM macros: 64 +Average Fanout of Non-Clock Nets: 3.81 + +Peak Memory Usage: 789 MB +Total REAL time to MAP completion: 24 mins 34 secs +Total CPU time to MAP completion: 21 mins 42 secs + +Mapping completed. +See MAP report file "system_map.mrp" for details. + + + +#----------------------------------------------# +# Starting program par +# par -ise ../__xps/ise/system.ise -w -ol high system_map.ncd system.ncd +system.pcf +#----------------------------------------------# +Release 11.2 - par L.46 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +PMSPEC -- Overriding Xilinx file with local file + + + +Loading device for application Rf_Device from file '5vfx70t.nph' in environment +c:\devtools\Xilinx\11.1\ISE;C:\devtools\Xilinx\11.1\EDK. + "system" is an NCD, version 3.2, device xc5vfx70t, package ff1136, speed -1 + +Constraints file: system.pcf. + "system" is an NCD, version 3.2, device xc5vfx70t, package ff1136, speed -1 +WARNING:ConstraintSystem:65 - Constraint [system.pcf(78662)] + overrides constraint [system.pcf(78661)]. + + +Initializing temperature to 85.000 Celsius. (default - Range: 0.000 to 85.000 Celsius) +Initializing voltage to 0.950 Volts. (default - Range: 0.950 to 1.050 Volts) + +WARNING:Timing:3223 - Timing constraint TS_MC_RDEN_SEL_MUX = MAXDELAY FROM TIMEGRP "TNM_RDEN_SEL_MUX" TO TIMEGRP + "TNM_CLK0" TS_MC_CLK * 4; ignored during timing analysis. +INFO:Timing:3386 - Intersecting Constraints found and resolved. For more information, see the TSI report. Please + consult the Xilinx Command Line Tools User Guide for information on generating a TSI report. + +Device speed data version: "PRODUCTION 1.65 2009-06-01". + + + +Device Utilization Summary: + + Number of BUFDSs 1 out of 8 12% + Number of BUFGs 15 out of 32 46% + Number of BUFIOs 8 out of 80 10% + Number of DCM_ADVs 1 out of 12 8% + Number of DSP48Es 3 out of 128 2% + Number of FIFO36_72_EXPs 2 out of 148 1% + Number of LOCed FIFO36_72_EXPs 2 out of 2 100% + + Number of GTX_DUALs 1 out of 8 12% + Number of IDELAYCTRLs 3 out of 22 13% + Number of LOCed IDELAYCTRLs 3 out of 3 100% + + Number of ILOGICs 131 out of 800 16% + Number of LOCed ILOGICs 8 out of 131 6% + + Number of External IOBs 255 out of 640 39% + Number of LOCed IOBs 255 out of 255 100% + + Number of IODELAYs 80 out of 800 10% + Number of LOCed IODELAYs 8 out of 80 10% + + Number of External IPADs 4 out of 690 1% + Number of LOCed IPADs 4 out of 4 100% + + Number of JTAGPPCs 1 out of 1 100% + Number of OLOGICs 236 out of 800 29% + Number of External OPADs 2 out of 32 6% + Number of LOCed OPADs 2 out of 2 100% + + Number of PCIEs 1 out of 3 33% + Number of LOCed PCIEs 1 out of 1 100% + + Number of PLL_ADVs 2 out of 6 33% + Number of PPC440s 1 out of 1 100% + Number of RAMB18X2SDPs 4 out of 148 2% + Number of RAMB36SDP_EXPs 6 out of 148 4% + Number of LOCed RAMB36SDP_EXPs 1 out of 6 16% + + Number of RAMB36_EXPs 10 out of 148 6% + Number of LOCed RAMB36_EXPs 6 out of 10 60% + + Number of Slice Registers 13531 out of 44800 30% + Number used as Flip Flops 13529 + Number used as Latches 1 + Number used as LatchThrus 1 + + Number of Slice LUTS 14602 out of 44800 32% + Number of Slice LUT-Flip Flop pairs 19423 out of 44800 43% + + +Overall effort level (-ol): High +Router effort level (-rl): High + +Starting initial Timing Analysis. REAL time: 1 mins 3 secs +Finished initial Timing Analysis. REAL time: 1 mins 5 secs + +WARNING:Par:288 - The signal PCIe_Bridge/PCIe_Bridge/sig_sb_txrem_n<0> has no load. PAR will not attempt to route this + signal. +WARNING:Par:288 - The signal PCIe_Bridge/PCIe_Bridge/sig_MB_TxREMn<0> has no load. PAR will not attempt to route this + signal. +WARNING:Par:288 - The signal xps_bram_if_cntlr_1_port_BRAM_Addr<31> has no load. PAR will not attempt to route this + signal. +WARNING:Par:288 - The signal xps_bram_if_cntlr_1_port_BRAM_Addr<30> has no load. PAR will not attempt to route this + signal. +WARNING:Par:288 - The signal PCIe_Bridge/PCIe_Bridge/sig_MB_RxFull has no load. PAR will not attempt to route this + signal. +Starting Router + +INFO:Route:501 - One or more directed routing (DIRT) constraints generated for a specific device have been found. Note + that DIRT strings are guaranteed to work only on the same device they were created for. If the DIRT constraints fail, + verify that the same connectivity is available in the target device for this implementation. + +Phase 1 : 95521 unrouted; REAL time: 1 mins 22 secs + +Phase 2 : 84728 unrouted; REAL time: 1 mins 35 secs + +Phase 3 : 34551 unrouted; REAL time: 3 mins 59 secs + +Phase 4 : 34616 unrouted; (Setup:0, Hold:93713, Component Switching Limit:0) REAL time: 4 mins 32 secs + +Updating file: system.ncd with current fully routed design. + +Phase 5 : 0 unrouted; (Setup:0, Hold:92310, Component Switching Limit:0) REAL time: 5 mins 40 secs + +Phase 6 : 0 unrouted; (Setup:0, Hold:92310, Component Switching Limit:0) REAL time: 5 mins 40 secs + +Phase 7 : 0 unrouted; (Setup:0, Hold:92310, Component Switching Limit:0) REAL time: 5 mins 40 secs + +Phase 8 : 0 unrouted; (Setup:0, Hold:92310, Component Switching Limit:0) REAL time: 5 mins 40 secs + +Phase 9 : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 6 mins 40 secs + +Phase 10 : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 6 mins 55 secs +Total REAL time to Router completion: 6 mins 55 secs +Total CPU time to Router completion: 6 mins 44 secs + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +Generating "PAR" statistics. + +************************** +Generating Clock Report +************************** + ++---------------------+--------------+------+------+------------+-------------+ +| Clock Net | Resource |Locked|Fanout|Net Skew(ns)|Max Delay(ns)| ++---------------------+--------------+------+------+------------+-------------+ +|clk_125_0000MHzPLL0_ | | | | | | +| ADJUST | BUFGCTRL_X0Y2| No | 3788 | 0.520 | 2.062 | ++---------------------+--------------+------+------+------------+-------------+ +|PCIe_Bridge/Bridge_C | | | | | | +| lk |BUFGCTRL_X0Y28| No | 1452 | 0.412 | 2.085 | ++---------------------+--------------+------+------+------------+-------------+ +|clk_62_5000MHzPLL0_A | | | | | | +| DJUST | BUFGCTRL_X0Y6| No | 504 | 0.299 | 2.065 | ++---------------------+--------------+------+------+------------+-------------+ +|PCIe_Bridge/PCIe_Bri | | | | | | +|dge/comp_block_plus/ | | | | | | +|comp_endpoint/core_c | | | | | | +| lk |BUFGCTRL_X0Y27| No | 93 | 0.266 | 2.085 | ++---------------------+--------------+------+------+------------+-------------+ +|fpga_0_SysACE_Compac | | | | | | +|tFlash_SysACE_CLK_pi | | | | | | +| n_BUFGP | BUFGCTRL_X0Y8| No | 55 | 0.163 | 1.770 | ++---------------------+--------------+------+------+------------+-------------+ +|fpga_0_Ethernet_MAC_ | | | | | | +|PHY_rx_clk_pin_BUFGP | | | | | | +| |BUFGCTRL_X0Y30| No | 12 | 0.038 | 1.879 | ++---------------------+--------------+------+------+------------+-------------+ +|clk_125_0000MHz90PLL | | | | | | +| 0_ADJUST | BUFGCTRL_X0Y5| No | 167 | 0.285 | 2.028 | ++---------------------+--------------+------+------+------------+-------------+ +|PCIe_Bridge/PCIe_Bri | | | | | | +|dge/comp_block_plus/ | | | | | | +|comp_endpoint/pcie_b | | | | | | +| lk/gt_usrclk |BUFGCTRL_X0Y29| No | 6 | 0.058 | 1.886 | ++---------------------+--------------+------+------+------------+-------------+ +| clk_200_0000MHz | BUFGCTRL_X0Y4| No | 4 | 0.100 | 1.879 | ++---------------------+--------------+------+------+------------+-------------+ +|DDR2_SDRAM/DDR2_SDRA | | | | | | +|M/u_ddr2_top/u_mem_i | | | | | | +|f_top/u_phy_top/u_ph | | | | | | +| y_io/delayed_dqs<0> | IO Clk| No | 18 | 0.095 | 0.419 | ++---------------------+--------------+------+------+------------+-------------+ +|DDR2_SDRAM/DDR2_SDRA | | | | | | +|M/u_ddr2_top/u_mem_i | | | | | | +|f_top/u_phy_top/u_ph | | | | | | +| y_io/delayed_dqs<1> | IO Clk| No | 18 | 0.083 | 0.380 | ++---------------------+--------------+------+------+------------+-------------+ +|fpga_0_Ethernet_MAC_ | | | | | | +|PHY_tx_clk_pin_BUFGP | | | | | | +| |BUFGCTRL_X0Y31| No | 6 | 0.004 | 1.941 | ++---------------------+--------------+------+------+------------+-------------+ +|DDR2_SDRAM/DDR2_SDRA | | | + | | | +|M/u_ddr2_top/u_mem_i | | | | | | +|f_top/u_phy_top/u_ph | | | | | | +| y_io/delayed_dqs<2> | IO Clk| No | 18 | 0.101 | 0.425 | ++---------------------+--------------+------+------+------------+-------------+ +|DDR2_SDRAM/DDR2_SDRA | | | | | | +|M/u_ddr2_top/u_mem_i | | | | | | +|f_top/u_phy_top/u_ph | | | | | | +| y_io/delayed_dqs<3> | IO Clk| No | 18 | 0.107 | 0.404 | ++---------------------+--------------+------+------+------------+-------------+ +|DDR2_SDRAM/DDR2_SDRA | | | | | | +|M/u_ddr2_top/u_mem_i | | | | | | +|f_top/u_phy_top/u_ph | | | | | | +| y_io/delayed_dqs<5> | IO Clk| No | 18 | 0.101 | 0.425 | ++---------------------+--------------+------+------+------------+-------------+ +|DDR2_SDRAM/DDR2_SDRA | | | | | | +|M/u_ddr2_top/u_mem_i | | | | | | +|f_top/u_phy_top/u_ph | | | | | | +| y_io/delayed_dqs<4> | IO Clk| No | 18 | 0.101 | 0.425 | ++---------------------+--------------+------+------+------------+-------------+ +|DDR2_SDRAM/DDR2_SDRA | | | | | | +|M/u_ddr2_top/u_mem_i | | | | | | +|f_top/u_phy_top/u_ph | | | | | | +| y_io/delayed_dqs<6> | IO Clk| No | 18 | 0.096 | 0.393 | ++---------------------+--------------+------+------+------------+-------------+ +|DDR2_SDRAM/DDR2_SDRA | | | | | | +|M/u_ddr2_top/u_mem_i | | | | | | +|f_top/u_phy_top/u_ph | | | | | | +| y_io/delayed_dqs<7> | IO Clk| No | 18 | 0.101 | 0.425 | ++---------------------+--------------+------+------+------------+-------------+ +| clk_125_0000MHzPLL0 | BUFGCTRL_X0Y1| No | 2 | 0.000 | 1.739 | ++---------------------+--------------+------+------+------------+-------------+ +|PCIe_Bridge/PCIe_Bri | | | | | | +|dge/comp_block_plus/ | | | | | | +|comp_endpoint/pcie_b | | | | | | +|lk/SIO/.pcie_gt_wrap | | | | | | +| per_i/icdrreset<0> | Local| | 1 | 0.000 | 0.585 | ++---------------------+--------------+------+------+------------+-------------+ +|Ethernet_MAC/Etherne | | | | | | +| t_MAC/phy_tx_clk_i | Local| | 9 | 2.887 | 3.720 | ++---------------------+--------------+------+------+------------+-------------+ +|RS232_Uart_1_Interru | | | | | | +| pt | Local| | 1 | 0.000 | 0.743 | ++---------------------+--------------+------+------+------------+-------------+ +|ppc440_0_jtagppc_bus | | | | | | +| _JTGC405TCK | Local| | 1 | 0.000 | 1.526 | ++---------------------+--------------+------+------+------------+-------------+ + +* Net Skew is the difference between the minimum and maximum routing +only delays for the net. Note this is different from Clock Skew which +is reported in TRCE timing report. Clock Skew is the difference between +the minimum and maximum path delays which includes logic delays. + +Timing Score: 0 (Setup: 0, Hold: 0, Component Switching Limit: 0) + +Number of Timing Constraints that were not applied: 5 + +Asterisk (*) preceding a constraint indicates it was not met. + This may be due to a setup or hold violation. + +---------------------------------------------------------------------------------------------------------- + Constraint | Check | Worst Case | Best Case | Timing | Timing + | | Slack | Achievable | Errors | Score +---------------------------------------------------------------------------------------------------------- + NET "PCIe_Bridge/Bridge_Clk" PERIOD = 8 n | SETUP | 0.067ns| 7.933ns| 0| 0 + s HIGH 50% | HOLD | 0.035ns| | 0| 0 + | MINPERIOD | 0.000ns| 8.000ns| 0| 0 +------------------------------------------------------------------------------------------------------ + NET "PCIe_Bridge/PCIe_Bridge/comp_block_p | SETUP | 0.051ns| 3.949ns| 0| 0 + lus/comp_endpoint/core_clk" PERIOD = | HOLD | 0.349ns| | 0| 0 + 4 ns HIGH 50% | MINPERIOD | 0.000ns| 4.000ns| 0| 0 +------------------------------------------------------------------------------------------------------ + TS_clock_generator_0_clock_generator_0_PL | SETUP | 0.028ns| 7.972ns| 0| 0 + L0_CLK_OUT_2_ = PERIOD TIMEGRP "c | HOLD | 0.021ns| | 0| 0 + lock_generator_0_clock_generator_0_PLL0_C | | | | | + LK_OUT_2_" TS_sys_clk_pin * 1.25 | | | | | + HIGH 50% | | | | | +------------------------------------------------------------------------------------------------------ + TS_DQ_CE = MAXDELAY FROM TIMEGRP "TNM_DQ_ | SETUP | 0.030ns| 1.870ns| 0| 0 + CE_IDDR" TO TIMEGRP "TNM_DQS_FLOPS" | HOLD | 1.027ns| | 0| 0 + 1.9 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.045ns| 0.805ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/gen_ | | | | | + dqs[0].u_iob_dqs/en_dqs_sync" MAX | | | | | + DELAY = 0.85 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.045ns| 0.805ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/gen_ | | | | | + dqs[1].u_iob_dqs/en_dqs_sync" MAX | | | | | + DELAY = 0.85 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.045ns| 0.805ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/gen_ | | | | | + dqs[5].u_iob_dqs/en_dqs_sync" MAX | | | | | + DELAY = 0.85 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.047ns| 0.803ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/gen_ | | | | | + dqs[2].u_iob_dqs/en_dqs_sync" MAX | | | | | + DELAY = 0.85 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.047ns| 0.803ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/gen_ | | | | | + dqs[3].u_iob_dqs/en_dqs_sync" MAX | | | | | + DELAY = 0.85 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.047ns| 0.803ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/gen_ | | | | | + dqs[4].u_iob_dqs/en_dqs_sync" MAX | | | | | + DELAY = 0.85 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.047ns| 0.803ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/gen_ | | | | | + dqs[6].u_iob_dqs/en_dqs_sync" MAX | | | | | + DELAY = 0.85 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.047ns| 0.803ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/gen_ | | | | | + dqs[7].u_iob_dqs/en_dqs_sync" MAX | | | | | + DELAY = 0.85 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.071ns| 0.529ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/en_d | | | | | + qs<0>" MAXDELAY = 0.6 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.071ns| 0.529ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/en_d | | | | | + qs<1>" MAXDELAY = 0.6 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.071ns| 0.529ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/en_d | | | | | + qs<2>" MAXDELAY = 0.6 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.071ns| 0.529ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/en_d | | | | | + qs<3>" MAXDELAY = 0.6 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.071ns| 0.529ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/en_d | | | | | + qs<4>" MAXDELAY = 0.6 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.071ns| 0.529ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/en_d | | | | | + qs<5>" MAXDELAY = 0.6 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.071ns| 0.529ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/en_d | | | | | + qs<6>" MAXDELAY = 0.6 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.071ns| 0.529ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/en_d | | | | | + qs<7>" MAXDELAY = 0.6 ns | | | | | +------------------------------------------------------------------------------------------------------ + TS_PCIe_PLB = MAXDELAY FROM TIMEGRP "Brid | SETUP | 0.187ns| 7.813ns| 0| 0 + ge_Clk" TO TIMEGRP "SPLB_Clk" 8 ns | HOLD | 0.502ns| | 0| 0 + DATAPATHONLY | | | | | +------------------------------------------------------------------------------------------------------ + TS_PLB_PCIe = MAXDELAY FROM TIMEGRP "SPLB | SETUP | 0.510ns| 7.490ns| 0| 0 + _Clk" TO TIMEGRP "Bridge_Clk" 8 ns | HOLD | 0.456ns| | 0| 0 + DATAPATHONLY | | | | | +------------------------------------------------------------------------------------------------------ + TS_MC_CLK = PERIOD TIMEGRP "mc_clk" 5 ns | MINPERIOD | 1.010ns| 3.990ns| 0| 0 + HIGH 50% | | | | | +------------------------------------------------------------------------------------------------------ + TSRXIN_Ethernet_MAC = MAXDELAY FROM TIMEG | MAXDELAY | 1.695ns| 4.305ns| 0| 0 + RP "PADS" TO TIMEGRP "RXCLK_GRP_E | HOLD | 1.060ns| | 0| 0 + thernet_MAC" 6 ns | | | | | +------------------------------------------------------------------------------------------------------ + TS_clock_generator_0_clock_generator_0_PL | SETUP | 2.151ns| 4.917ns| 0| 0 + L0_CLK_OUT_0_ = PERIOD TIMEGRP "c | HOLD | 0.404ns| | 0| 0 + lock_generator_0_clock_generator_0_PLL0_C | | | | | + LK_OUT_0_" TS_sys_clk_pin * 1.25 | | | | | + PHASE 2 ns HIGH 50% | | | | | +------------------------------------------------------------------------------------------------------ + TS_sys_clk_pin = PERIOD TIMEGRP "sys_clk_ | MINLOWPULSE | 6.000ns| 4.000ns| 0| 0 + pin" 100 MHz HIGH 50% | | | | | +------------------------------------------------------------------------------------------------------ + TS_clock_generator_0_clock_generator_0_PL | SETUP | 3.664ns| 1.336ns| 0| 0 + L0_CLK_OUT_3_ = PERIOD TIMEGRP "c | HOLD | 0.465ns| | 0| 0 + lock_generator_0_clock_generator_0_PLL0_C | | | | | + LK_OUT_3_" TS_sys_clk_pin * 2 HIG | | | | | + H 50% | | | | | +------------------------------------------------------------------------------------------------------ + TS_clock_generator_0_clock_generator_0_PL | SETUP | 3.842ns| 8.316ns| 0| 0 + L0_CLK_OUT_4_ = PERIOD TIMEGRP "c | HOLD | 0.116ns| | 0| 0 + lock_generator_0_clock_generator_0_PLL0_C | | | | | + LK_OUT_4_" TS_sys_clk_pin * 0.625 | | | | | + HIGH 50% | | | | | +------------------------------------------------------------------------------------------------------ + NET "fpga_0_Ethernet_MAC_PHY_tx_clk_pin_B | NETSKEW | 4.455ns| 0.545ns| 0| 0 + UFGP" MAXSKEW = 5 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "fpga_0_Ethernet_MAC_PHY_rx_clk_pin_B | NETSKEW | 4.833ns| 0.167ns| 0| 0 + UFGP" MAXSKEW = 5 ns | | | | | +------------------------------------------------------------------------------------------------------ + TS_clock_generator_0_clock_generator_0_PL | MINPERIOD | 4.900ns| 3.100ns| 0| 0 + L0_CLK_OUT_1_ = PERIOD TIMEGRP "c | | | | | + lock_generator_0_clock_generator_0_PLL0_C | | | | | + LK_OUT_1_" TS_sys_clk_pin * 1.25 | | | | | + HIGH 50% | | | | | +------------------------------------------------------------------------------------------------------ + TSTXOUT_Ethernet_MAC = MAXDELAY FROM TIME | MAXDELAY | 7.423ns| 2.577ns| 0| 0 + GRP "TXCLK_GRP_Ethernet_MAC" TO T | | | | | + IMEGRP "PADS" 10 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "fpga_0_Ethernet_MAC_PHY_rx_clk_pin_B | SETUP | 9.363ns| 13.248ns| 0| 0 + UFGP" PERIOD = 40 ns HIGH 14 ns | HOLD | 0.458ns| | 0| 0 +------------------------------------------------------------------------------------------------------ + TS_MC_PHY_INIT_DATA_SEL_0 = MAXDELAY FROM | SETUP | 13.905ns| 6.095ns| 0| 0 + TIMEGRP "TNM_PHY_INIT_DATA_SEL" TO | HOLD | 0.812ns| | 0| 0 + TIMEGRP "TNM_CLK0" TS_MC_CLK * 4 | | | | | +------------------------------------------------------------------------------------------------------ + TS_MC_PHY_INIT_DATA_SEL_90 = MAXDELAY FRO | SETUP | 14.527ns| 5.473ns| 0| 0 + M TIMEGRP "TNM_PHY_INIT_DATA_SEL" TO | HOLD | 0.262ns| | 0| 0 + TIMEGRP "TNM_CLK90" TS_MC_CLK * 4 | | | | | +------------------------------------------------------------------------------------------------------ + TS_MC_GATE_DLY = MAXDELAY FROM TIMEGRP "T | SETUP | 17.706ns| 2.294ns| 0| 0 + NM_GATE_DLY" TO TIMEGRP "TNM_CLK0" | HOLD | 0.056ns| | 0| 0 + TS_MC_CLK * 4 | | | | | +------------------------------------------------------------------------------------------------------ + TS_MC_CAL_RDEN_DLY = MAXDELAY FROM TIMEGR | SETUP | 18.115ns| 1.885ns| 0| 0 + P "TNM_CAL_RDEN_DLY" TO TIMEGRP " | HOLD | 0.231ns| | 0| 0 + TNM_CLK0" TS_MC_CLK * 4 | | | | | +------------------------------------------------------------------------------------------------------ + TS_MC_RDEN_DLY = MAXDELAY FROM TIMEGRP "T | SETUP | 18.117ns| 1.883ns| 0| 0 + NM_RDEN_DLY" TO TIMEGRP "TNM_CLK0" | HOLD | 0.020ns| | 0| 0 + TS_MC_CLK * 4 | | | | | +------------------------------------------------------------------------------------------------------ + NET "fpga_0_SysACE_CompactFlash_SysACE_CL | SETUP | 26.887ns| 3.113ns| 0| 0 + K_pin_BUFGP/IBUFG" PERIOD = 30 ns | HOLD | 0.468ns| | 0| 0 + HIGH 50% | | | | | +------------------------------------------------------------------------------------------------------ + NET "fpga_0_Ethernet_MAC_PHY_tx_clk_pin_B | SETUP | 32.341ns| 7.659ns| 0| 0 + UFGP" PERIOD = 40 ns HIGH 14 ns | HOLD | 0.314ns| | 0| 0 +------------------------------------------------------------------------------------------------------ + Pin to Pin Skew Constraint | MAXDELAY | 2106523.523ns| 2106523.837ns| 0| 0 +------------------------------------------------------------------------------------------------------ + TS_MC_RDEN_SEL_MUX = MAXDELAY FROM TIMEGR | N/A | N/A| N/A| N/A| N/A + P "TNM_RDEN_SEL_MUX" TO TIMEGRP " | | | | | + TNM_CLK0" TS_MC_CLK * 4 | | | | | +------------------------------------------------------------------------------------------------------ + NET "PCIe_Bridge/Bridge_Clk" PERIOD = 8 n | N/A | N/A| N/A| N/A| N/A + s HIGH 50% | | | | | +------------------------------------------------------------------------------------------------------ + + +Derived Constraint Report +Derived Constraints for TS_MC_CLK ++-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ +| | Period | Actual Period | Timing Errors | Paths Analyzed | +| Constraint | Requirement |-------------+-------------|-------------+-------------|-------------+-------------| +| | | Direct | Derivative | Direct | Derivative | Direct | Derivative | ++-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ +|TS_MC_CLK | 5.000ns| 3.990ns| 1.524ns| 0| 0| 0| 345| +| TS_MC_PHY_INIT_DATA_SEL_0 | 20.000ns| 6.095ns| N/A| 0| 0| 21| 0| +| TS_MC_PHY_INIT_DATA_SEL_90 | 20.000ns| 5.473ns| N/A| 0| 0| 274| 0| +| TS_MC_GATE_DLY | 20.000ns| 2.294ns| N/A| 0| 0| 40| 0| +| TS_MC_RDEN_DLY | 20.000ns| 1.883ns| N/A| 0| 0| 5| 0| +| TS_MC_CAL_RDEN_DLY | 20.000ns| 1.885ns| N/A| 0| 0| 5| 0| +| TS_MC_RDEN_SEL_MUX | 20.000ns| N/A| N/A| 0| 0| 0| 0| ++-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ + +Derived Constraints for TS_sys_clk_pin ++-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ +| | Period | Actual Period | Timing Errors | Paths Analyzed | +| Constraint | Requirement |-------------+-------------|-------------+-------------|-------------+-------------| +| | | Direct | Derivative | Direct | Derivative | Direct | Derivative | ++-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ +|TS_sys_clk_pin | 10.000ns| 4.000ns| 9.965ns| 0| 0| 0| 1090426| +| TS_clock_generator_0_clock_gen| 8.000ns| 4.917ns| N/A| 0| 0| 626| 0| +| erator_0_PLL0_CLK_OUT_0_ | | | | | | | | +| TS_clock_generator_0_clock_gen| 8.000ns| 3.100ns| N/A| 0| 0| 0| 0| +| erator_0_PLL0_CLK_OUT_1_ | | | | | | | | +| TS_clock_generator_0_clock_gen| 8.000ns| 7.972ns| N/A| 0| 0| 1078756| 0| +| erator_0_PLL0_CLK_OUT_2_ | | | | | | | | +| TS_clock_generator_0_clock_gen| 5.000ns| 1.336ns| N/A| 0| 0| 2| 0| +| erator_0_PLL0_CLK_OUT_3_ | | | | | | | | +| TS_clock_generator_0_clock_gen| 16.000ns| 8.316ns| N/A| 0| 0| 11042| 0| +| erator_0_PLL0_CLK_OUT_4_ | | | | | | | | ++-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ + +All constraints were met. +INFO:Timing:2761 - N/A entries in the Constraints list may indicate that the + constraint does not cover any paths or that it has no requested value. + + +Generating Pad Report. + +All signals are completely routed. + +WARNING:Par:283 - There are 5 loadless signals in this design. This design will cause Bitgen to issue DRC warnings. + +Loading device for application Rf_Device from file '5vlx50t.nph' in environment +c:\devtools\Xilinx\11.1\ISE;C:\devtools\Xilinx\11.1\EDK. +INFO:ParHelpers:197 - Number of "Exact" mode Directed Routing Constraints: 128 +INFO:ParHelpers:199 - All "EXACT" mode Directed Routing constrained nets successfully routed. The number of constraints + found: 128, number successful: 128 +Total REAL time to PAR completion: 7 mins 33 secs +Total CPU time to PAR completion: 7 mins 9 secs + +Peak Memory Usage: 705 MB + +Placer: Placement generated during map. +Routing: Completed - No errors found. +Timing: Completed - No errors found. + +Number of error messages: 0 +Number of warning messages: 9 +Number of info messages: 4 + +Writing design to file system.ncd + + + +PAR done! + + + +#----------------------------------------------# +# Starting program post_par_trce +# trce -ise ../__xps/ise/system.ise -e 3 -xml system.twx system.ncd system.pcf +#----------------------------------------------# +Release 11.2 - Trace (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. + + +PMSPEC -- Overriding Xilinx file + with local file + +Loading device for application Rf_Device from file '5vfx70t.nph' in environment +c:\devtools\Xilinx\11.1\ISE;C:\devtools\Xilinx\11.1\EDK. + "system" is an NCD, version 3.2, device xc5vfx70t, package ff1136, speed -1 +WARNING:ConstraintSystem:65 - Constraint [system.pcf(78662)] overrides constraint [system.pcf(78661)]. + +WARNING:Timing:3223 - Timing constraint TS_MC_RDEN_SEL_MUX = MAXDELAY FROM + TIMEGRP "TNM_RDEN_SEL_MUX" TO TIMEGRP "TNM_CLK0" TS_MC_CLK * 4; + ignored during timing analysis. +INFO:Timing:3386 - Intersecting Constraints found and resolved. For more + information, see the TSI report. Please consult the Xilinx Command Line + Tools User Guide for information on generating a TSI report. +-------------------------------------------------------------------------------- +Release 11.2 Trace (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. + +trce -ise ../__xps/ise/system.ise -e 3 -xml system.twx system.ncd system.pcf + + +Design file: system.ncd +Physical constraint file: system.pcf +Device,speed: xc5vfx70t,-1 (PRODUCTION 1.65 2009-06-01, STEPPING +level 0) +Report level: error report +-------------------------------------------------------------------------------- + +INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths + option. All paths that are not constrained will be reported in the + unconstrained paths section(s) of the report. +INFO:Timing:3339 - The clock-to-out numbers in this timing report are based on a + 50 Ohm transmission line loading model. For the details of this model, and + for more information on accounting for different loading conditions, please + see the device datasheet. + + +Timing summary: +--------------- + +Timing errors: 0 Score: 0 (Setup/Max: 0, Hold: 0) + +Constraints cover 1280410 paths, 18 nets, and 87141 connections + +Design statistics: + Minimum period: 13.248ns (Maximum frequency: 75.483MHz) + Maximum path delay from/to any node: 7.813ns + Maximum net delay: 0.805ns + Maximum net skew: 0.545ns + + +Analysis completed Fri Jul 03 22:25:44 2009 +-------------------------------------------------------------------------------- + +Generating Report ... + +Number of warnings: 2 +Number of info messages: 3 +Total time: 1 mins 34 secs + + +xflow done! +touch __xps/system_routed +xilperl C:/devtools/Xilinx/11.1/EDK/data/fpga_impl/observe_par.pl -error yes implementation/system.par +Analyzing implementation/system.par +********************************************* +Running Bitgen.. +********************************************* +cd implementation; bitgen -w -f bitgen.ut system; cd .. +Release 11.2 - Bitgen L.46 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +PMSPEC -- Overriding Xilinx file + with local file + +Loading device for application Rf_Device from file '5vfx70t.nph' in environment +c:\devtools\Xilinx\11.1\ISE;C:\devtools\Xilinx\11.1\EDK. + "system" is an NCD, version 3.2, device xc5vfx70t, package ff1136, speed -1 +Opened constraints file system.pcf. + +Fri Jul 03 22:26:27 2009 + +Running DRC. +WARNING:PhysDesignRules:1842 - One or more GTXs are being used in this design. + Evaluate the SelectIO-To-GTX Crosstalk section of the Virtex-5 RocketIO GTX + Transceiver User Guide to ensure that the design SelectIO usage meets the + guidelines to minimize the impact on GTX performance. +WARNING:PhysDesignRules:372 - Gated clock. Clock net + PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/SIO/.pcie_gt_w + rapper_i/icdrreset<0> is sourced by a combinatorial pin. This is not good + design practice. Use the CE pin to control the loading of data into the + flip-flop. +WARNING:PhysDesignRules:372 - Gated clock. Clock net + Ethernet_MAC/Ethernet_MAC/phy_tx_clk_i is sourced by a combinatorial pin. + This is not good design practice. Use the CE pin to control the loading of + data into the flip-flop. +WARNING:PhysDesignRules:367 - The signal + > is incomplete. The signal does + not drive any load pins in the design. +WARNING:PhysDesignRules:367 - The signal + > is incomplete. The signal does not + drive any load pins in the design. +WARNING:PhysDesignRules:367 - The signal + > is incomplete. The signal does not + drive any load pins in the design. +WARNING:PhysDesignRules:367 - The signal + > is incomplete. The signal does not + drive any load pins in the design. +WARNING:PhysDesignRules:367 - The signal + is incomplete. The signal does not drive any load pins in the design. +WARNING:PhysDesignRules:1269 - Dangling pins on + block::. The Q1 output pin of IFF is not + used. +WARNING:PhysDesignRules:1273 - Dangling pins on + block::. The SR pin is used for the IFF + Flip-flop but the SRVAL_Q1 set/reset value is not configured. +WARNING:PhysDesignRules:1269 - Dangling pins on + block::. The Q1 output pin of IFF is not + used. +WARNING:PhysDesignRules:1273 - Dangling pins on + block::. The SR pin is used for the IFF + Flip-flop but the SRVAL_Q1 set/reset value is not configured. +WARNING:PhysDesignRules:1269 - Dangling pins on + block::. The Q1 output pin of IFF is not + used. +WARNING:PhysDesignRules:1273 - Dangling pins on + block::. The SR pin is used for the IFF + Flip-flop but the SRVAL_Q1 set/reset value is not configured. +WARNING:PhysDesignRules:1269 - Dangling pins on + block::. The Q1 output pin of IFF is not + used. +WARNING:PhysDesignRules:1273 - Dangling pins on + block::. The SR pin is used for the IFF + Flip-flop but the SRVAL_Q1 set/reset value is not configured. +WARNING:PhysDesignRules:1269 - Dangling pins on + block::. The Q1 output pin of IFF is not + used. +WARNING:PhysDesignRules:1273 - Dangling pins on + block::. The SR pin is used for the IFF + Flip-flop but the SRVAL_Q1 set/reset value is not configured. +WARNING:PhysDesignRules:1269 - Dangling pins on + block::. The Q1 output pin of IFF is not + used. +WARNING:PhysDesignRules:1273 - Dangling pins on + block::. The SR pin is used for the IFF + Flip-flop but the SRVAL_Q1 set/reset value is not configured. +WARNING:PhysDesignRules:1269 - Dangling pins on + block::. The Q1 output pin of IFF is not + used. +WARNING:PhysDesignRules:1273 - Dangling pins on + block::. The SR pin is used for the IFF + Flip-flop but the SRVAL_Q1 set/reset value is not configured. +WARNING:PhysDesignRules:1269 - Dangling pins on + block::. The Q1 output pin of IFF is not + used. +WARNING:PhysDesignRules:1273 - Dangling pins on + block::. The SR pin is used for the IFF + Flip-flop but the SRVAL_Q1 set/reset value is not configured. +DRC detected 0 errors and 24 warnings. Please see the previously displayed +individual error or warning messages for more details. +Creating bit map... +Saving bit stream in "system.bit". +Bitstream generation is complete. + + +Done! + +At Local date and time: Sat Jul 04 08:21:51 2009 + make -f system.make download started... + +cp -f /cygdrive/c/devtools/Xilinx/11.1/EDK/sw/lib/ppc440/ppc440_bootloop.elf bootloops/ppc440_0.elf +********************************************* +Initializing BRAM contents of the bitstream +********************************************* +bitinit -p xc5vfx70tff1136-1 system.mhs -pe ppc440_0 bootloops/ppc440_0.elf \ +-bt implementation/system.bit -o implementation/download.bit + +bitinit version Xilinx EDK 11.2 Build EDK_LS3.47 +Copyright (c) Xilinx Inc. 2002. + +Parsing MHS File system.mhs... +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.m + hs line 253 - deprecated core for architecture 'virtex5fx'! +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.m + hs line 298 - deprecated core for architecture 'virtex5fx'! + +Overriding IP level properties ... + +Performing IP level DRCs on properties... + +Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC... +Address Map for Processor ppc440_0 + (0b0000000000-0b0011111111) ppc440_0 + (0000000000-0x0fffffff) DDR2_SDRAM ppc440_0_PPC440MC + (0x81000000-0x8100ffff) Ethernet_MAC plb_v46_0 + (0x81400000-0x8140ffff) Push_Buttons_5Bit plb_v46_0 + (0x81420000-0x8142ffff) LEDs_Positions plb_v46_0 + (0x81440000-0x8144ffff) LEDs_8Bit plb_v46_0 + (0x81460000-0x8146ffff) DIP_Switches_8Bit plb_v46_0 + (0x81600000-0x8160ffff) IIC_EEPROM plb_v46_0 + (0x81800000-0x8180ffff) xps_intc_0 plb_v46_0 + (0x83600000-0x8360ffff) SysACE_CompactFlash plb_v46_0 + (0x84000000-0x8400ffff) RS232_Uart_1 plb_v46_0 + (0x85c00000-0x85c0ffff) PCIe_Bridge plb_v46_0 + (0xc0000000-0xdfffffff) PCIe_Bridge plb_v46_0 + (0xe0000000-0xefffffff) PCIe_Bridge plb_v46_0 + (0xf8000000-0xf80fffff) SRAM plb_v46_0 + (0xffffe000-0xffffffff) xps_bram_if_cntlr_1 plb_v46_0 +INFO:EDK:1560 - IPNAME:ppc440_virtex5 INSTANCE:ppc440_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\ppc440_virtex5_v1_ + 01_a\data\ppc440_virtex5_v2_1_0.mpd line 175 - tool is overriding PARAMETER + C_SPLB0_P2P value to 0 + +Computing clock values... +INFO:EDK:1432 - Frequency for Top-Level Input Clock + 'fpga_0_PCIe_Diff_Clk_IBUF_DS_P_pin' is not specified. Clock DRCs will not be + performed for IPs connected to that clock port, unless they are connected + through the clock generator IP. + +INFO:EDK:1432 - Frequency for Top-Level Input Clock + 'fpga_0_PCIe_Diff_Clk_IBUF_DS_N_pin' is not specified. Clock DRCs will not be + performed for IPs connected to that clock port, unless they are connected + through the clock generator IP. + +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:plb_v46_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 70 - tool is overriding PARAMETER + C_PLBV46_NUM_MASTERS value to 1 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:plb_v46_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 71 - tool is overriding PARAMETER + C_PLBV46_NUM_SLAVES value to 12 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:plb_v46_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 72 - tool is overriding PARAMETER + C_PLBV46_MID_WIDTH value to 1 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:plb_v46_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 74 - tool is overriding PARAMETER C_PLBV46_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_bram_if_cntlr INSTANCE:xps_bram_if_cntlr_1 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_bram_if_cntlr_ + v1_00_b\data\xps_bram_if_cntlr_v2_1_0.mpd line 75 - tool is overriding + PARAMETER C_SPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:xps_bram_if_cntlr INSTANCE:xps_bram_if_cntlr_1 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_bram_if_cntlr_ + v1_00_b\data\xps_bram_if_cntlr_v2_1_0.mpd line 76 - tool is overriding + PARAMETER C_SPLB_NUM_MASTERS value to 1 +INFO:EDK:1560 - IPNAME:xps_bram_if_cntlr INSTANCE:xps_bram_if_cntlr_1 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_bram_if_cntlr_ + v1_00_b\data\xps_bram_if_cntlr_v2_1_0.mpd line 80 - tool is overriding + PARAMETER C_SPLB_SMALLEST_MASTER value to 128 +INFO:EDK:1560 - IPNAME:bram_block INSTANCE:xps_bram_if_cntlr_1_bram - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a + \data\bram_block_v2_1_0.mpd line 69 - tool is overriding PARAMETER C_MEMSIZE + value to 0x2000 +INFO:EDK:1560 - IPNAME:bram_block INSTANCE:xps_bram_if_cntlr_1_bram - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a + \data\bram_block_v2_1_0.mpd line 70 - tool is overriding PARAMETER + C_PORT_DWIDTH value to 64 +INFO:EDK:1560 - IPNAME:bram_block INSTANCE:xps_bram_if_cntlr_1_bram - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a + \data\bram_block_v2_1_0.mpd line 72 - tool is overriding PARAMETER C_NUM_WE + value to 8 +INFO:EDK:1560 - IPNAME:xps_uartlite INSTANCE:RS232_Uart_1 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_uartlite_v1_01 + _a\data\xps_uartlite_v2_1_0.mpd line 73 - tool is overriding PARAMETER + C_SPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:xps_gpio INSTANCE:LEDs_8Bit - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_gpio_v2_00_a\d + ata\xps_gpio_v2_1_0.mpd line 71 - tool is overriding PARAMETER C_SPLB_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_gpio INSTANCE:LEDs_Positions - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_gpio_v2_00_a\d + ata\xps_gpio_v2_1_0.mpd line 71 - tool is overriding PARAMETER C_SPLB_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_gpio INSTANCE:Push_Buttons_5Bit - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_gpio_v2_00_a\d + ata\xps_gpio_v2_1_0.mpd line 71 - tool is overriding PARAMETER C_SPLB_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_gpio INSTANCE:DIP_Switches_8Bit - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_gpio_v2_00_a\d + ata\xps_gpio_v2_1_0.mpd line 71 - tool is overriding PARAMETER C_SPLB_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_iic INSTANCE:IIC_EEPROM - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_iic_v2_01_a\da + ta\xps_iic_v2_1_0.mpd line 79 - tool is overriding PARAMETER C_SPLB_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_mch_emc INSTANCE:SRAM - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_mch_emc_v3_00_ + a\data\xps_mch_emc_v2_1_0.mpd line 82 - tool is overriding PARAMETER + C_SPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:xps_mch_emc INSTANCE:SRAM - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_mch_emc_v3_00_ + a\data\xps_mch_emc_v2_1_0.mpd line 84 - tool is overriding PARAMETER + C_SPLB_SMALLEST_MASTER value to 128 +INFO:EDK:1560 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plbv46_pcie_v3_00_ + b\data\plbv46_pcie_v2_1_0.mpd line 86 - tool is overriding PARAMETER + C_MPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plbv46_pcie_v3_00_ + b\data\plbv46_pcie_v2_1_0.mpd line 87 - tool is overriding PARAMETER + C_MPLB_SMALLEST_SLAVE value to 128 +INFO:EDK:1560 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plbv46_pcie_v3_00_ + b\data\plbv46_pcie_v2_1_0.mpd line 89 - tool is overriding PARAMETER + C_SPLB_MID_WIDTH value to 1 +INFO:EDK:1560 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plbv46_pcie_v3_00_ + b\data\plbv46_pcie_v2_1_0.mpd line 90 - tool is overriding PARAMETER + C_SPLB_NUM_MASTERS value to 1 +INFO:EDK:1560 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plbv46_pcie_v3_00_ + b\data\plbv46_pcie_v2_1_0.mpd line 91 - tool is overriding PARAMETER + C_SPLB_SMALLEST_MASTER value to 128 +INFO:EDK:1560 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plbv46_pcie_v3_00_ + b\data\plbv46_pcie_v2_1_0.mpd line 95 - tool is overriding PARAMETER + C_SPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:ppc440_0_SPLB0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 70 - tool is overriding PARAMETER + C_PLBV46_NUM_MASTERS value to 1 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:ppc440_0_SPLB0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 71 - tool is overriding PARAMETER + C_PLBV46_NUM_SLAVES value to 1 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:ppc440_0_SPLB0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 72 - tool is overriding PARAMETER + C_PLBV46_MID_WIDTH value to 1 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:ppc440_0_SPLB0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 74 - tool is overriding PARAMETER C_PLBV46_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_ethernetlite_v + 2_01_a\data\xps_ethernetlite_v2_1_0.mpd line 75 - tool is overriding + PARAMETER C_SPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:xps_sysace INSTANCE:SysACE_CompactFlash - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_sysace_v1_01_a + \data\xps_sysace_v2_1_0.mpd line 72 - tool is overriding PARAMETER + C_SPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:xps_sysace INSTANCE:SysACE_CompactFlash - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_sysace_v1_01_a + \data\xps_sysace_v2_1_0.mpd line 74 - tool is overriding PARAMETER + C_SPLB_MID_WIDTH value to 1 +INFO:EDK:1560 - IPNAME:xps_sysace INSTANCE:SysACE_CompactFlash - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_sysace_v1_01_a + \data\xps_sysace_v2_1_0.mpd line 75 - tool is overriding PARAMETER + C_SPLB_NUM_MASTERS value to 1 +INFO:EDK:1560 - IPNAME:xps_intc INSTANCE:xps_intc_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_intc_v2_00_a\d + ata\xps_intc_v2_1_0.mpd line 72 - tool is overriding PARAMETER C_SPLB_DWIDTH + value to 128 + +Checking platform address map ... + +Initializing Memory... +Running Data2Mem with the following command: +data2mem -bm "implementation/system_bd" -bt "implementation/system.bit" -bd +"bootloops/ppc440_0.elf" tag ppc440_0 -o b implementation/download.bit +Memory Initialization completed successfully. + +********************************************* +Downloading Bitstream onto the target board +********************************************* +impact -batch etc/download.cmd +Release 11.2 - iMPACT L.46 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +Preference Table +Name Setting +StartupClock Auto_Correction +AutoSignature False +KeepSVF False +ConcurrentMode False +UseHighz False +ConfigOnFailure Stop +UserLevel Novice +MessageLevel Detailed +svfUseTime false +SpiByteSwap Auto_Correction +AutoDetecting cable. Please wait. +Connecting to cable (Usb Port - USB21). +Checking cable driver. + Driver file xusb_xp2.sys found. + Driver version: src=2301, dest=2301. + Driver windrvr6.sys version = 9.0.0.0. WinDriver v9.00 Jungo (c) 1997 - 2007 Build Date: Mar 27 2007 X86 32bit SYS +13:58:07, version = 900. + Cable PID = 0008. + Max current requested during enumeration is 300 mA. +Type = 0x0005. +write (count, cmdBuffer, dataBuffer) failed C0000004. + Cable Type = 3, Revision = 0. + Setting cable speed to 6 MHz. +Cable connection established. +Firmware version = 2301. +File version of c:/devtools/Xilinx/11.1/ISE/data/xusb_xp2.hex = 2401. +Firmware hex file version = 2401. +Downloading c:/devtools/Xilinx/11.1/ISE/data/xusb_xp2.hex. +Downloaded firmware version = 2401. +PLD file version = 200Dh. + PLD version = 200Dh. +Identifying chain contents...'0': : Manufacturer's ID = Xilinx xc5vfx70t, Version : 6 +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/virtex5/data/xc5vfx70t.bsd... + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'1': : Manufacturer's ID = Xilinx xccace, Version : 0 +INFO:iMPACT:501 - '1': Added Device xc5vfx70t successfully. + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'2': : Manufacturer's ID = Xilinx xc95144xl, Version : 5 +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/acecf/data/xccace.bsd... +INFO:iMPACT:501 - '1': Added Device xccace successfully. + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'3': : Manufacturer's ID = Xilinx xcf32p, Version : 15 +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/xc9500xl/data/xc95144xl.bsd... +INFO:iMPACT:501 - '1': Added Device xc95144xl successfully. + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'4': : Manufacturer's ID = Xilinx xcf32p, Version : 15 +---------------------------------------------------------------------- +---------------------------------------------------------------------- +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/xcfp/data/xcf32p.bsd... +INFO:iMPACT:501 - '1': Added Device xcf32p successfully. +INFO:iMPACT:501 - '1': Added Device xcf32p successfully. + +done. +Elapsed time = 2 sec. +Elapsed time = 0 sec. +'5': Loading file 'implementation/download.bit' ... +done. +UserID read from the bitstream file = 0xFFFFFFFF. +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +Maximum TCK operating frequency for this device chain: 10000000. +Validating chain... +Boundary-scan chain validated successfully. +5: Device Temperature: Current Reading: 30.69 C, Min. Reading: 27.24 C, Max. +Reading: 30.69 C +5: VCCINT Supply: Current Reading: 0.999 V, Min. Reading: 0.999 V, Max. +Reading: 1.002 V +5: VCCAUX Supply: Current Reading: 2.505 V, Min. Reading: 2.505 V, Max. +Reading: 2.508 V +INFO:iMPACT:501 - '5': Added Device xc5vfx70t successfully. + +'5': Programming device... + Match_cycle = 2. +done. +'5': Reading status register contents... +CRC error : 0 +Decryptor security set : 0 +DCM locked : 1 +DCI matched : 1 +End of startup signal from Startup block : 1 +status of GTS_CFG_B : 1 +status of GWE : 1 +status of GHIGH : 1 +value of MODE pin M0 : 1 +value of MODE pin M1 : 0 +Value of MODE pin M2 : 1 +Internal signal indicates when housecleaning is completed: 1 +Value driver in from INIT pad : 1 +Internal signal indicates that chip is configured : 1 +Value of DONE pin : 1 +Indicates when ID value written does not match chip ID: 0 +Decryptor error Signal : 0 +System Monitor Over-Temperature Alarm : 0 +startup_state[18] CFG startup state machine : 0 +startup_state[19] CFG startup state machine : 0 +startup_state[20] CFG startup state machine : 1 +E-fuse program voltage available : 0 +SPI Flash Type[22] Select : 1 +SPI Flash Type[23] Select : 1 +SPI Flash Type[24] Select : 1 +CFG bus width auto detection result : 0 +CFG bus width auto detection result : 0 +Reserved : 0 +BPI address wrap around error : 0 +IPROG pulsed : 0 +read back crc error : 0 +Indicates that efuse logic is busy : 0 + Match_cycle = 2. +'5': Programmed successfully. +Elapsed time = 10 sec. +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +INFO:iMPACT:2219 - Status register values: +INFO:iMPACT - 0011 1111 1011 1110 0000 1011 1000 0000 +INFO:iMPACT:579 - '5': Completed downloading bit file to device. +INFO:iMPACT - '5': Programing completed successfully. +INFO:iMPACT - '5': Checking done pin....done. + + + +Done! + +At Local date and time: Sat Jul 04 08:22:29 2009 + make -f system.make program started... + +********************************************* +Creating software libraries... +********************************************* +libgen -mhs system.mhs -p xc5vfx70tff1136-1 -msg __xps/ise/xmsgprops.lst system.mss +libgen +Xilinx EDK 11.2 Build EDK_LS3.47 +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. + +Command Line: libgen -mhs system.mhs -p xc5vfx70tff1136-1 -msg +__xps/ise/xmsgprops.lst system.mss + +Release 11.2 - psf2Edward EDK_LS3.47 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.m + hs line 253 - deprecated core for architecture 'virtex5fx'! +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.m + hs line 298 - deprecated core for architecture 'virtex5fx'! +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.m + hs line 253 - deprecated core for architecture 'virtex5fx'! +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.m + hs line 298 - deprecated core for architecture 'virtex5fx'! + +Checking platform configuration ... +IPNAME:plb_v46 INSTANCE:plb_v46_0 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 109 - 1 master(s) : 12 slave(s) +IPNAME:plb_v46 INSTANCE:ppc440_0_SPLB0 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 290 - 1 master(s) : 1 slave(s) +IPNAME:fcb_v20 INSTANCE:ppc440_0_fcb_v20 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.mhs +line 394 - 1 master(s) : 1 slave(s) + +Checking port drivers... +WARNING:EDK:2099 - PORT:Peripheral_Reset CONNECTOR:sys_periph_reset - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.m + hs line 462 - floating connection! + +Performing Clock DRCs... + +Performing Reset DRCs... + +Overriding system level properties... + +Running system level update procedures... + +Running UPDATE Tcl procedures for OPTION SYSLEVEL_UPDATE_PROC... + +Running system level DRCs... + +Performing System level DRCs on properties... + +Running DRC Tcl procedures for OPTION SYSLEVEL_DRC_PROC... +WARNING:EDK:411 - pcie - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.m + ss line 77 - deprecated driver! +WARNING:EDK:411 - emaclite - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\system.m + ss line 83 - deprecated driver! +INFO:EDK:1740 - List of peripherals connected to processor instance ppc440_0: + - DDR2_SDRAM + - DIP_Switches_8Bit + - Ethernet_MAC + - IIC_EEPROM + - LEDs_8Bit + - LEDs_Positions + - PCIe_Bridge + - Push_Buttons_5Bit + - RS232_Uart_1 + - SRAM + - SysACE_CompactFlash + - ppc440_0_apu_fpu_virtex5 + - xps_bram_if_cntlr_1 + - xps_intc_0 + +-- Generating libraries for processor: ppc440_0 -- + + +Staging source files. +Running DRCs. +Running generate. +Running post_generate. +Running include - 'make -s include "COMPILER=powerpc-eabi-gcc" +"ARCHIVER=powerpc-eabi-ar" "COMPILER_FLAGS=-mfpu=sp_full -mcpu=440 -O2 -c" +"EXTRA_COMPILER_FLAGS=-g"'. + +Running libs - 'make -s libs "COMPILER=powerpc-eabi-gcc" +"ARCHIVER=powerpc-eabi-ar" "COMPILER_FLAGS=-mfpu=sp_full -mcpu=440 -O2 -c" +"EXTRA_COMPILER_FLAGS=-g"'. +Compiling common +powerpc-eabi-ar: creating ../../../lib/libxil.a + +Compiling lldma +Compiling standalone +Compiling gpio +Compiling emaclite +Compiling iic +Compiling pci +Compiling uartlite +Compiling sysace +Compiling intc +Compiling cpu_ppc440 +Running execs_generate. +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mfpu=sp_full -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../../Source/portable/GCC/PPC440_Xilinx -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D GCC_PPC440 -mregnames +powerpc-eabi-size RTOSDemo/executable.elf + text data bss dec hex filename + 50674 372 86528 137574 21966 RTOSDemo/executable.elf + + +Done! + +start xbash -noblock -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/; xmd -xmp system.xmp -opt etc/xmd_ppc440_0.opt -lp /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/; exit;" + +Writing filter settings.... + +Done writing filter settings to: + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\__xps\system.filters + +Done writing Tab View settings to: + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\__xps\system.gui + +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\_xps_tempmhsfilename.mhs line 239 - deprecated core for architecture 'virtex5fx'! + +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_SP_FPU_Xilinx_Virtex5_GCC\_xps_tempmhsfilename.mhs line 284 - deprecated core for architecture 'virtex5fx'! + +Generating Block Diagram to Buffer + +Generated Block Diagram SVG + +At Local date and time: Sun Jul 05 09:36:10 2009 + make -f system.make hwclean started... + +rm -f implementation/system.ngc +rm -f platgen.log +rm -f __xps/ise/_xmsgs/platgen.xmsgs +rm -f implementation/system.bmm +rm -f implementation/system.bit +rm -f implementation/system.ncd +rm -f implementation/system_bd.bmm +rm -f implementation/system_map.ncd +rm -f __xps/system_routed +rm -rf implementation synthesis xst hdl +rm -rf xst.srp system.srp +rm -f __xps/ise/_xmsgs/bitinit.xmsgs + + +Done! + +At Local date and time: Sun Jul 05 09:36:23 2009 + make -f system.make swclean started... + +rm -rf ppc440_0/ +rm -f libgen.log +rm -f __xps/ise/_xmsgs/libgen.xmsgs +rm -f RTOSDemo/executable.elf + + Done! Writing filter settings.... diff --git a/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/system.make b/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/system.make index 1d8de4e4b..f339e79f7 100644 --- a/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/system.make +++ b/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/system.make @@ -245,6 +245,7 @@ $(SYSTEM_HW_HANDOFF_BMM): implementation/$(SYSTEM)_bd.bmm ################## BEHAVIORAL SIMULATION ################## $(BEHAVIORAL_SIM_SCRIPT): $(MHSFILE) __xps/simgen.opt \ + $(WRAPPER_NGC_FILES) \ $(BRAMINIT_ELF_FILES) @echo "*********************************************" @echo "Creating behavioral simulation models..." diff --git a/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/system_incl.make b/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/system_incl.make index 71c6546a0..e4c477232 100644 --- a/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/system_incl.make +++ b/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/system_incl.make @@ -57,9 +57,9 @@ TIMING_SIM_SCRIPT = simulation/timing/$(SYSTEM)_setup.do DEFAULT_SIM_SCRIPT = $(BEHAVIORAL_SIM_SCRIPT) -MIX_LANG_SIM_OPT = -mixed yes +MIX_LANG_SIM_OPT = -mixed no -SIMGEN_OPTIONS = -p $(DEVICE) -lang $(LANGUAGE) $(SEARCHPATHOPT) $(BRAMINIT_ELF_FILE_ARGS) $(MIX_LANG_SIM_OPT) -msg __xps/ise/xmsgprops.lst -s mti -X C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/ +SIMGEN_OPTIONS = -p $(DEVICE) -lang $(LANGUAGE) $(SEARCHPATHOPT) $(BRAMINIT_ELF_FILE_ARGS) $(MIX_LANG_SIM_OPT) -msg __xps/ise/xmsgprops.lst -sd implementation/ -s mti -X C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_SP_FPU_Xilinx_Virtex5_GCC/ LIBRARIES = \ diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/FreeRTOSConfig.h b/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/FreeRTOSConfig.h index 248e2a695..cb3d3828d 100644 --- a/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/FreeRTOSConfig.h +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/FreeRTOSConfig.h @@ -52,6 +52,8 @@ #ifndef FREERTOS_CONFIG_H #define FREERTOS_CONFIG_H +#include + /*----------------------------------------------------------- * Application specific definitions. * @@ -68,12 +70,12 @@ #define configUSE_IDLE_HOOK 0 #define configUSE_TICK_HOOK 0 #define configMINIMAL_STACK_SIZE ( ( unsigned portSHORT ) 250 ) -#define configCPU_CLOCK_HZ ( ( unsigned portLONG ) 200000000 ) /* Clock setup from start.asm in the demo application. */ +#define configCPU_CLOCK_HZ ( ( unsigned portLONG ) XPAR_CPU_PPC440_CORE_CLOCK_FREQ_HZ ) /* Clock setup from start.asm in the demo application. */ #define configTICK_RATE_HZ ( (portTickType) 1000 ) #define configMAX_PRIORITIES ( ( unsigned portBASE_TYPE ) 6 ) #define configTOTAL_HEAP_SIZE ( (size_t) (80 * 1024) ) #define configMAX_TASK_NAME_LEN ( 20 ) -#define configUSE_16_BIT_TICKS 1 +#define configUSE_16_BIT_TICKS 0 #define configIDLE_SHOULD_YIELD 1 #define configUSE_MUTEXES 1 #define configUSE_TRACE_FACILITY 0 diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/map.xmsgs b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/map.xmsgs index aacb5ac90..054e8b25e 100644 --- a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/map.xmsgs +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/map.xmsgs @@ -5,107 +5,125 @@ behavior or data corruption. It is strongly advised that users do not edit the contents of this file. --> -The command line option -timing is automatically supported for this architecture. Therefore, it is not necessary to specify this option. +The command line option -timing is automatically supported for this architecture. Therefore, it is not necessary to specify this option. -Logical network N194 has no load. +Logical network N194 has no load. -The above warning message base_net_load_rule is repeated 1200 more times for the following (max. 5 shown): -N195, +The above warning message is repeated 1200 more times for the following (max. 5 shown): +N195, N196, N197, N198, N199 -To see the details of these warning messages, please use the -detail switch. +To see the details of these warning messages, please use the -detail switch. -No environment variables are currently set. +No environment variables are currently set. -Net Timing constraints on signal fpga_0_SysACE_CompactFlash_SysACE_CLK_pin are pushed forward through input buffer. +Net Timing constraints on signal fpga_0_SysACE_CompactFlash_SysACE_CLK_pin are pushed forward through input buffer. -PLL_ADV clock_generator_0/clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst CLKIN2 pin was disconnected because a constant 1 is driving the CLKINSEL pin. +PLL_ADV clock_generator_0/clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst CLKIN2 pin was disconnected because a constant 1 is driving the CLKINSEL pin. -Signal fpga_0_SysACE_CompactFlash_SysACE_MPIRQ_pin connected to top level port fpga_0_SysACE_CompactFlash_SysACE_MPIRQ_pin has been removed. +Signal fpga_0_SysACE_CompactFlash_SysACE_MPIRQ_pin connected to top level port fpga_0_SysACE_CompactFlash_SysACE_MPIRQ_pin has been removed. -Signal fpga_0_Ethernet_MAC_PHY_col_pin connected to top level port fpga_0_Ethernet_MAC_PHY_col_pin has been removed. +Signal fpga_0_Ethernet_MAC_PHY_col_pin connected to top level port fpga_0_Ethernet_MAC_PHY_col_pin has been removed. -All members of TNM group "ppc440_0_PPCS0PLBMBUSY" have been optimized out of the design. +All members of TNM group "ppc440_0_PPCS0PLBMBUSY" have been optimized out of the design. -trimming timing constraints from pin xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_0 of frag REGCLKAU connected to power/ground net xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_0_REGCLKAU_tiesig +Trimming timing constraints from pin xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_0 +of frag REGCLKAU connected to power/ground net xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_0_REGCLKAU_tiesig -trimming timing constraints from pin xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_0 of frag REGCLKAL connected to power/ground net xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_0_REGCLKAL_tiesig +Trimming timing constraints from pin xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_0 +of frag REGCLKAL connected to power/ground net xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_0_REGCLKAL_tiesig -trimming timing constraints from pin xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_1 of frag REGCLKAU connected to power/ground net xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_1_REGCLKAU_tiesig +Trimming timing constraints from pin xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_1 +of frag REGCLKAU connected to power/ground net xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_1_REGCLKAU_tiesig -trimming timing constraints from pin xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_1 of frag REGCLKAL connected to power/ground net xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_1_REGCLKAL_tiesig +Trimming timing constraints from pin xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_1 +of frag REGCLKAL connected to power/ground net xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_1_REGCLKAL_tiesig -trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapper_i/bram_tl_tx/generate_tdp2[0].ram_tdp2_inst of frag REGCLKAU connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapper_i/bram_tl_tx/generate_tdp2[0].ram_tdp2_inst_REGCLKAU_tiesig +Trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapper_i/bram_tl_tx/generate_tdp2[0].ram_tdp2_inst +of frag REGCLKAU connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapper_i/bram_tl_tx/generate_tdp2[0].ram_tdp2_inst_REGCLKAU_tiesig -trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapper_i/bram_tl_tx/generate_tdp2[0].ram_tdp2_inst of frag REGCLKAL connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapper_i/bram_tl_tx/generate_tdp2[0].ram_tdp2_inst_REGCLKAL_tiesig +Trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapper_i/bram_tl_tx/generate_tdp2[0].ram_tdp2_inst +of frag REGCLKAL connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapper_i/bram_tl_tx/generate_tdp2[0].ram_tdp2_inst_REGCLKAL_tiesig -trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapper_i/bram_tl_tx/generate_tdp2[1].ram_tdp2_inst of frag REGCLKAU connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapper_i/bram_tl_tx/generate_tdp2[1].ram_tdp2_inst_REGCLKAU_tiesig +Trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapper_i/bram_tl_tx/generate_tdp2[1].ram_tdp2_inst +of frag REGCLKAU connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapper_i/bram_tl_tx/generate_tdp2[1].ram_tdp2_inst_REGCLKAU_tiesig -trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapper_i/bram_tl_tx/generate_tdp2[1].ram_tdp2_inst of frag REGCLKAL connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapper_i/bram_tl_tx/generate_tdp2[1].ram_tdp2_inst_REGCLKAL_tiesig +Trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapper_i/bram_tl_tx/generate_tdp2[1].ram_tdp2_inst +of frag REGCLKAL connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapper_i/bram_tl_tx/generate_tdp2[1].ram_tdp2_inst_REGCLKAL_tiesig -trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk_if/ll_bridge/rx_bridge/fifo_inst/oq_fifo/Mram_regBank of frag RDRCLKU connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk_if/ll_bridge/rx_bridge/fifo_inst/oq_fifo/Mram_regBank_RDRCLKU_tiesig +Trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk_if/ll_bridge/rx_bridge/fifo_inst/oq_fifo/Mram_regBank +of frag RDRCLKU connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk_if/ll_bridge/rx_bridge/fifo_inst/oq_fifo/Mram_regBank_RDRCLKU_tiesig -trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk_if/ll_bridge/rx_bridge/fifo_inst/oq_fifo/Mram_regBank of frag RDRCLKL connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk_if/ll_bridge/rx_bridge/fifo_inst/oq_fifo/Mram_regBank_RDRCLKL_tiesig +Trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk_if/ll_bridge/rx_bridge/fifo_inst/oq_fifo/Mram_regBank +of frag RDRCLKL connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk_if/ll_bridge/rx_bridge/fifo_inst/oq_fifo/Mram_regBank_RDRCLKL_tiesig -trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_master_bridge/Comp_FIFO/CompFIFO_64.dpram/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36.noeccerr.SDP of frag RDRCLKU connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_master_bridge/Comp_FIFO/CompFIFO_64.dpram/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36.noeccerr.SDP_RDRCLKU_tiesig +Trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_master_bridge/Comp_FIFO/CompFIFO_64.dpram/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36.noeccerr.SDP +of frag RDRCLKU connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_master_bridge/Comp_FIFO/CompFIFO_64.dpram/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36.noeccerr.SDP_RDRCLKU_tiesig -trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_master_bridge/Comp_FIFO/CompFIFO_64.dpram/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36.noeccerr.SDP of frag RDRCLKL connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_master_bridge/Comp_FIFO/CompFIFO_64.dpram/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36.noeccerr.SDP_RDRCLKL_tiesig +Trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_master_bridge/Comp_FIFO/CompFIFO_64.dpram/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36.noeccerr.SDP +of frag RDRCLKL connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_master_bridge/Comp_FIFO/CompFIFO_64.dpram/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36.noeccerr.SDP_RDRCLKL_tiesig -trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_master_bridge/RxSFIFO_64.RxSFIFO/BU2/U0/grf.rf/mem/gbm.gbmg.gbmga.ngecc.bmg/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5.ram/SDP.WIDE_PRIM36.noeccerr.SDP of frag RDRCLKU connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_master_bridge/RxSFIFO_64.RxSFIFO/BU2/U0/grf.rf/mem/gbm.gbmg.gbmga.ngecc.bmg/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5.ram/SDP.WIDE_PRIM36.noeccerr.SDP_RDRCLKU_tiesig +Trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_master_bridge/RxSFIFO_64.RxSFIFO/BU2/U0/grf.rf/mem/gbm.gbmg.gbmga.ngecc.bmg/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5.ram/SDP.WIDE_PRIM36.noeccerr.SDP +of frag RDRCLKU connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_master_bridge/RxSFIFO_64.RxSFIFO/BU2/U0/grf.rf/mem/gbm.gbmg.gbmga.ngecc.bmg/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5.ram/SDP.WIDE_PRIM36.noeccerr.SDP_RDRCLKU_tiesig -trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_master_bridge/RxSFIFO_64.RxSFIFO/BU2/U0/grf.rf/mem/gbm.gbmg.gbmga.ngecc.bmg/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5.ram/SDP.WIDE_PRIM36.noeccerr.SDP of frag RDRCLKL connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_master_bridge/RxSFIFO_64.RxSFIFO/BU2/U0/grf.rf/mem/gbm.gbmg.gbmga.ngecc.bmg/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5.ram/SDP.WIDE_PRIM36.noeccerr.SDP_RDRCLKL_tiesig +Trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_master_bridge/RxSFIFO_64.RxSFIFO/BU2/U0/grf.rf/mem/gbm.gbmg.gbmga.ngecc.bmg/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5.ram/SDP.WIDE_PRIM36.noeccerr.SDP +of frag RDRCLKL connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_master_bridge/RxSFIFO_64.RxSFIFO/BU2/U0/grf.rf/mem/gbm.gbmg.gbmga.ngecc.bmg/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5.ram/SDP.WIDE_PRIM36.noeccerr.SDP_RDRCLKL_tiesig -trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/GEN_TX_64_FIFO.comp_tx_pkt_fifo/COMP_TX_RAM_70.dpram/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36.noeccerr.SDP of frag RDRCLKU connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/GEN_TX_64_FIFO.comp_tx_pkt_fifo/COMP_TX_RAM_70.dpram/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36.noeccerr.SDP_RDRCLKU_tiesig +Trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/GEN_TX_64_FIFO.comp_tx_pkt_fifo/COMP_TX_RAM_70.dpram/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36.noeccerr.SDP +of frag RDRCLKU connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/GEN_TX_64_FIFO.comp_tx_pkt_fifo/COMP_TX_RAM_70.dpram/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36.noeccerr.SDP_RDRCLKU_tiesig -trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/GEN_TX_64_FIFO.comp_tx_pkt_fifo/COMP_TX_RAM_70.dpram/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36.noeccerr.SDP of frag RDRCLKL connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/GEN_TX_64_FIFO.comp_tx_pkt_fifo/COMP_TX_RAM_70.dpram/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36.noeccerr.SDP_RDRCLKL_tiesig +Trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/GEN_TX_64_FIFO.comp_tx_pkt_fifo/COMP_TX_RAM_70.dpram/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36.noeccerr.SDP +of frag RDRCLKL connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/GEN_TX_64_FIFO.comp_tx_pkt_fifo/COMP_TX_RAM_70.dpram/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36.noeccerr.SDP_RDRCLKL_tiesig -trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/comp_rx_fifo/GEN_64.COMP_RX_RAM/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36.noeccerr.SDP of frag RDRCLKU connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/comp_rx_fifo/GEN_64.COMP_RX_RAM/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36.noeccerr.SDP_RDRCLKU_tiesig +Trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/comp_rx_fifo/GEN_64.COMP_RX_RAM/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36.noeccerr.SDP +of frag RDRCLKU connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/comp_rx_fifo/GEN_64.COMP_RX_RAM/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36.noeccerr.SDP_RDRCLKU_tiesig -trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/comp_rx_fifo/GEN_64.COMP_RX_RAM/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36.noeccerr.SDP of frag RDRCLKL connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/comp_rx_fifo/GEN_64.COMP_RX_RAM/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36.noeccerr.SDP_RDRCLKL_tiesig +Trimming timing constraints from pin PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/comp_rx_fifo/GEN_64.COMP_RX_RAM/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36.noeccerr.SDP +of frag RDRCLKL connected to power/ground net PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/comp_rx_fifo/GEN_64.COMP_RX_RAM/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36.noeccerr.SDP_RDRCLKL_tiesig -Initializing temperature to 85.000 Celsius. (default - Range: 0.000 to 85.000 Celsius) +Initializing temperature to 85.000 Celsius. (default - Range: 0.000 to 85.000 Celsius) -Initializing voltage to 0.950 Volts. (default - Range: 0.950 to 1.050 Volts) +Initializing voltage to 0.950 Volts. (default - Range: 0.950 to 1.050 Volts) -Timing constraint TS_MC_RDEN_SEL_MUX = MAXDELAY FROM TIMEGRP "TNM_RDEN_SEL_MUX" TO TIMEGRP "TNM_CLK0" TS_MC_CLK * 4 ignored during timing analysis. +Timing constraint TS_MC_RDEN_SEL_MUX = MAXDELAY FROM TIMEGRP "TNM_RDEN_SEL_MUX" TO TIMEGRP "TNM_CLK0" TS_MC_CLK * 4 ignored during timing analysis. -Intersecting Constraints found and resolved. For more information, see the TSI report. Please consult the Xilinx Command Line Tools User Guide for information on generating a TSI report. +Intersecting Constraints found and resolved. For more information, see the TSI report. Please consult the Xilinx Command Line Tools User Guide for information on generating a TSI report. -The Interim Design Summary has been generated in the MAP Report (.mrp). +The Interim Design Summary has been generated in the MAP Report (.mrp). -An IO Bus with more than one IO standard is found. +An IO Bus with more than one IO standard is found. Components associated with this bus are as follows: Comp: fpga_0_LEDs_8Bit_GPIO_IO_pin<7> IOSTANDARD = LVCMOS25 Comp: fpga_0_LEDs_8Bit_GPIO_IO_pin<6> IOSTANDARD = LVCMOS25 @@ -119,7 +137,7 @@ To see the details of these warning messages, plea -An IO Bus with more than one IO standard is found. +An IO Bus with more than one IO standard is found. Components associated with this bus are as follows: Comp: fpga_0_SRAM_Mem_DQ_pin<31> IOSTANDARD = LVDCI_33 Comp: fpga_0_SRAM_Mem_DQ_pin<30> IOSTANDARD = LVDCI_33 @@ -157,79 +175,79 @@ To see the details of these warning messages, plea -Map created a placed design. +Map created a placed design. -One or more GTXs are being used in this design. Evaluate the SelectIO-To-GTX Crosstalk section of the Virtex-5 RocketIO GTX Transceiver User Guide to ensure that the design SelectIO usage meets the guidelines to minimize the impact on GTX performance. +One or more GTXs are being used in this design. Evaluate the SelectIO-To-GTX Crosstalk section of the Virtex-5 RocketIO GTX Transceiver User Guide to ensure that the design SelectIO usage meets the guidelines to minimize the impact on GTX performance. -Gated clock. Clock net PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/SIO/.pcie_gt_wrapper_i/icdrreset<0> is sourced by a combinatorial pin. This is not good design practice. Use the CE pin to control the loading of data into the flip-flop. +Gated clock. Clock net PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/SIO/.pcie_gt_wrapper_i/icdrreset<0> is sourced by a combinatorial pin. This is not good design practice. Use the CE pin to control the loading of data into the flip-flop. -Gated clock. Clock net Ethernet_MAC/Ethernet_MAC/phy_tx_clk_i is sourced by a combinatorial pin. This is not good design practice. Use the CE pin to control the loading of data into the flip-flop. +Gated clock. Clock net Ethernet_MAC/Ethernet_MAC/phy_tx_clk_i is sourced by a combinatorial pin. This is not good design practice. Use the CE pin to control the loading of data into the flip-flop. -The signal <PCIe_Bridge/PCIe_Bridge/sig_sb_txrem_n<0>> is incomplete. The signal does not drive any load pins in the design. +The signal <PCIe_Bridge/PCIe_Bridge/sig_sb_txrem_n<0>> is incomplete. The signal does not drive any load pins in the design. -The signal <PCIe_Bridge/PCIe_Bridge/sig_MB_TxREMn<0>> is incomplete. The signal does not drive any load pins in the design. +The signal <PCIe_Bridge/PCIe_Bridge/sig_MB_TxREMn<0>> is incomplete. The signal does not drive any load pins in the design. -The signal <xps_bram_if_cntlr_1_port_BRAM_Addr<31>> is incomplete. The signal does not drive any load pins in the design. +The signal <xps_bram_if_cntlr_1_port_BRAM_Addr<30>> is incomplete. The signal does not drive any load pins in the design. -The signal <xps_bram_if_cntlr_1_port_BRAM_Addr<30>> is incomplete. The signal does not drive any load pins in the design. +The signal <xps_bram_if_cntlr_1_port_BRAM_Addr<31>> is incomplete. The signal does not drive any load pins in the design. -The signal <PCIe_Bridge/PCIe_Bridge/sig_MB_RxFull> is incomplete. The signal does not drive any load pins in the design. +The signal <PCIe_Bridge/PCIe_Bridge/sig_MB_RxFull> is incomplete. The signal does not drive any load pins in the design. -Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[1].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The Q1 output pin of IFF is not used. +Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[1].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The Q1 output pin of IFF is not used. -Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[1].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The SR pin is used for the IFF Flip-flop but the SRVAL_Q1 set/reset value is not configured. +Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[1].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The SR pin is used for the IFF Flip-flop but the SRVAL_Q1 set/reset value is not configured. -Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[4].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The Q1 output pin of IFF is not used. +Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[4].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The Q1 output pin of IFF is not used. -Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[4].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The SR pin is used for the IFF Flip-flop but the SRVAL_Q1 set/reset value is not configured. +Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[4].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The SR pin is used for the IFF Flip-flop but the SRVAL_Q1 set/reset value is not configured. -Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[7].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The Q1 output pin of IFF is not used. +Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[7].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The Q1 output pin of IFF is not used. -Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[7].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The SR pin is used for the IFF Flip-flop but the SRVAL_Q1 set/reset value is not configured. +Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[7].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The SR pin is used for the IFF Flip-flop but the SRVAL_Q1 set/reset value is not configured. -Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[2].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The Q1 output pin of IFF is not used. +Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[2].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The Q1 output pin of IFF is not used. -Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[2].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The SR pin is used for the IFF Flip-flop but the SRVAL_Q1 set/reset value is not configured. +Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[2].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The SR pin is used for the IFF Flip-flop but the SRVAL_Q1 set/reset value is not configured. -Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[5].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The Q1 output pin of IFF is not used. +Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[5].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The Q1 output pin of IFF is not used. -Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[5].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The SR pin is used for the IFF Flip-flop but the SRVAL_Q1 set/reset value is not configured. +Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[5].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The SR pin is used for the IFF Flip-flop but the SRVAL_Q1 set/reset value is not configured. -Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[0].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The Q1 output pin of IFF is not used. +Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[0].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The Q1 output pin of IFF is not used. -Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[0].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The SR pin is used for the IFF Flip-flop but the SRVAL_Q1 set/reset value is not configured. +Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[0].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The SR pin is used for the IFF Flip-flop but the SRVAL_Q1 set/reset value is not configured. -Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[3].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The Q1 output pin of IFF is not used. +Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[3].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The Q1 output pin of IFF is not used. -Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[3].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The SR pin is used for the IFF Flip-flop but the SRVAL_Q1 set/reset value is not configured. +Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[3].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The SR pin is used for the IFF Flip-flop but the SRVAL_Q1 set/reset value is not configured. -Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[6].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The Q1 output pin of IFF is not used. +Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[6].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The Q1 output pin of IFF is not used. -Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[6].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The SR pin is used for the IFF Flip-flop but the SRVAL_Q1 set/reset value is not configured. +Dangling pins on block:<DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[6].u_iob_dqs/u_iddr_dq_ce>:<ILOGIC_IFF>. The SR pin is used for the IFF Flip-flop but the SRVAL_Q1 set/reset value is not configured. diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/ngdbuild.xmsgs b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/ngdbuild.xmsgs index fb27f3325..3372d0481 100644 --- a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/ngdbuild.xmsgs +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/ngdbuild.xmsgs @@ -5,1062 +5,1068 @@ behavior or data corruption. It is strongly advised that users do not edit the contents of this file. --> -The value of SIM_DEVICE on instance 'clock_generator_0/clock_generator_0/Using_DCM0.DCM0_INST/DCM_INST/Using_DCM_ADV.DCM_ADV_INST' of type DCM_ADV has been changed from 'VIRTEX4' to 'VIRTEX5' to correct post-ngdbuild and timing simulation for this primitive. In order for functional simulation to be correct, the value of SIM_DEVICE should be changed in this same manner in the source netlist or constraint file. +The value of SIM_DEVICE on instance 'clock_generator_0/clock_generator_0/Using_DCM0.DCM0_INST/DCM_INST/Using_DCM_ADV.DCM_ADV_INST' of type DCM_ADV has been changed from 'VIRTEX4' to 'VIRTEX5' to correct post-ngdbuild and timing simulation for this primitive. In order for functional simulation to be correct, the value of SIM_DEVICE should be changed in this same manner in the source netlist or constraint file. -TNM 'sys_clk_pin', used in period specification 'TS_sys_clk_pin', was traced into PLL_ADV instance clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst. The following new TNM groups and period specifications were generated at the PLL_ADV output(s): +Constraint <TIMESPEC "TS_MC_RD_DATA_SEL" = FROM "TNM_RD_DATA_SEL" TO "TNM_CLK0" "TS_clk_div_slow_0_clk_div_slow_0_DDR2_CLK_i" * 4;> [system.ucf(264)]: This constraint will be ignored because the relative clock constraint named 'TS_clk_div_slow_0_clk_div_slow_0_DDR2_CLK_i' was not found. + + +TNM 'sys_clk_pin', used in period specification 'TS_sys_clk_pin', was traced into PLL_ADV instance clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst. The following new TNM groups and period specifications were generated at the PLL_ADV output(s): CLKOUT0: <TIMESPEC TS_clock_generator_0_clock_generator_0_PLL0_CLK_OUT_0_ = PERIOD "clock_generator_0_clock_generator_0_PLL0_CLK_OUT_0_" TS_sys_clk_pin * 1.25 PHASE 2 ns HIGH 50%> -TNM 'sys_clk_pin', used in period specification 'TS_sys_clk_pin', was traced into PLL_ADV instance clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst. The following new TNM groups and period specifications were generated at the PLL_ADV output(s): +TNM 'sys_clk_pin', used in period specification 'TS_sys_clk_pin', was traced into PLL_ADV instance clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst. The following new TNM groups and period specifications were generated at the PLL_ADV output(s): CLKOUT1: <TIMESPEC TS_clock_generator_0_clock_generator_0_PLL0_CLK_OUT_1_ = PERIOD "clock_generator_0_clock_generator_0_PLL0_CLK_OUT_1_" TS_sys_clk_pin * 1.25 HIGH 50%> -TNM 'sys_clk_pin', used in period specification 'TS_sys_clk_pin', was traced into PLL_ADV instance clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst. The following new TNM groups and period specifications were generated at the PLL_ADV output(s): +TNM 'sys_clk_pin', used in period specification 'TS_sys_clk_pin', was traced into PLL_ADV instance clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst. The following new TNM groups and period specifications were generated at the PLL_ADV output(s): CLKOUT2: <TIMESPEC TS_clock_generator_0_clock_generator_0_PLL0_CLK_OUT_2_ = PERIOD "clock_generator_0_clock_generator_0_PLL0_CLK_OUT_2_" TS_sys_clk_pin * 1.25 HIGH 50%> -TNM 'sys_clk_pin', used in period specification 'TS_sys_clk_pin', was traced into PLL_ADV instance clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst. The following new TNM groups and period specifications were generated at the PLL_ADV output(s): +TNM 'sys_clk_pin', used in period specification 'TS_sys_clk_pin', was traced into PLL_ADV instance clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst. The following new TNM groups and period specifications were generated at the PLL_ADV output(s): CLKOUT3: <TIMESPEC TS_clock_generator_0_clock_generator_0_PLL0_CLK_OUT_3_ = PERIOD "clock_generator_0_clock_generator_0_PLL0_CLK_OUT_3_" TS_sys_clk_pin * 2 HIGH 50%> -TNM 'sys_clk_pin', used in period specification 'TS_sys_clk_pin', was traced into PLL_ADV instance clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst. The following new TNM groups and period specifications were generated at the PLL_ADV output(s): +TNM 'sys_clk_pin', used in period specification 'TS_sys_clk_pin', was traced into PLL_ADV instance clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst. The following new TNM groups and period specifications were generated at the PLL_ADV output(s): CLKOUT4: <TIMESPEC TS_clock_generator_0_clock_generator_0_PLL0_CLK_OUT_4_ = PERIOD "clock_generator_0_clock_generator_0_PLL0_CLK_OUT_4_" TS_sys_clk_pin * 0.625 HIGH 50%> -User specified non-default attribute value (8.0000000000000000) was detected for the CLKIN_PERIOD attribute on DCM "clock_generator_0/Using_DCM0.DCM0_INST/DCM_INST/Using_DCM_ADV.DCM_ADV_INST". This does not match the PERIOD constraint value (5 ns.). The uncertainty calculation will use the non-default attribute value. This could result in incorrect uncertainty calculated for DCM output clocks. +User specified non-default attribute value (8.0000000000000000) was detected for the CLKIN_PERIOD attribute on DCM "clock_generator_0/Using_DCM0.DCM0_INST/DCM_INST/Using_DCM_ADV.DCM_ADV_INST". This does not match the PERIOD constraint value (5 ns.). The uncertainty calculation will use the non-default attribute value. This could result in incorrect uncertainty calculated for DCM output clocks. + + +SFF primitive 'xps_bram_if_cntlr_1/xps_bram_if_cntlr_1/INCLUDE_BURST_SUPPORT.I_SLAVE_BURST_ATTACH/I_DBEAT_CONTROL/I_DBEAT_CNTR/STRUCTURAL_A_GEN.I_ADDSUB_GEN[4].FDRE_I' has unconnected output pin -SFF primitive 'xps_bram_if_cntlr_1/xps_bram_if_cntlr_1/INCLUDE_BURST_SUPPORT.I_SLAVE_BURST_ATTACH/I_DBEAT_CONTROL/I_DBEAT_CNTR/STRUCTURAL_A_GEN.I_ADDSUB_GEN[4].FDRE_I' has unconnected output pin +SFF primitive 'SRAM/SRAM/EMC_CTRL_I/MEM_STEER_I/SYNC_MEM_DQT.REG_DQT_GEN[2].DQT_REG' has unconnected output pin -SFF primitive 'SRAM/SRAM/EMC_CTRL_I/MEM_STEER_I/SYNC_MEM_DQT.REG_DQT_GEN[2].DQT_REG' has unconnected output pin +SFF primitive 'SRAM/SRAM/EMC_CTRL_I/MEM_STEER_I/GSYNC_MEM_RDACK_GEN.ADDR_ALIGN_PIPE_GEN[3].ALIGN_PIPE' has unconnected output pin -SFF primitive 'SRAM/SRAM/EMC_CTRL_I/MEM_STEER_I/GSYNC_MEM_RDACK_GEN.ADDR_ALIGN_PIPE_GEN[3].ALIGN_PIPE' has unconnected output pin +SFF primitive 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_FOR_SHARED.GEN_BKEND_CE_REGISTERS[0].I_BKEND_WRCE_REG' has unconnected output pin -SFF primitive 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_FOR_SHARED.GEN_BKEND_CE_REGISTERS[0].I_BKEND_WRCE_REG' has unconnected output pin +SFF primitive 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_FOR_SHARED.GEN_BKEND_CE_REGISTERS[0].I_BKEND_RDCE_REG' has unconnected output pin -SFF primitive 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_FOR_SHARED.GEN_BKEND_CE_REGISTERS[0].I_BKEND_RDCE_REG' has unconnected output pin +SFF primitive 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BURST_SUPPORT/RESPONSE_DBEAT_CNTR_I/STRUCTURAL_A_GEN.I_ADDSUB_GEN[7].FDRE_I' has unconnected output pin -SFF primitive 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BURST_SUPPORT/RESPONSE_DBEAT_CNTR_I/STRUCTURAL_A_GEN.I_ADDSUB_GEN[7].FDRE_I' has unconnected output pin +SFF primitive 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BURST_SUPPORT/CONTROL_DBEAT_CNTR_I/STRUCTURAL_A_GEN.I_ADDSUB_GEN[7].FDRE_I' has unconnected output pin -SFF primitive 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BURST_SUPPORT/CONTROL_DBEAT_CNTR_I/STRUCTURAL_A_GEN.I_ADDSUB_GEN[7].FDRE_I' has unconnected output pin +SFF primitive 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[0].I_FDRSE_BE0to3' has unconnected output pin -SFF primitive 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[0].I_FDRSE_BE0to3' has unconnected output pin +SFF primitive 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[1].I_FDRSE_BE0to3' has unconnected output pin -SFF primitive 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[1].I_FDRSE_BE0to3' has unconnected output pin +SFF primitive 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[2].I_FDRSE_BE0to3' has unconnected output pin -SFF primitive 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[2].I_FDRSE_BE0to3' has unconnected output pin +SFF primitive 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[3].I_FDRSE_BE0to3' has unconnected output pin -SFF primitive 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[3].I_FDRSE_BE0to3' has unconnected output pin +SFF primitive 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[0].I_SIZE_S_H_REG' has unconnected output pin -SFF primitive 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[0].I_SIZE_S_H_REG' has unconnected output pin +SFF primitive 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[0].I_SIZE_S_H_REG' has unconnected output pin -SFF primitive 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[0].I_SIZE_S_H_REG' has unconnected output pin +Attribute "CLK_FEEDBACK" is not allowed on symbol "PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/clocking_i/use_pll.pll_adv_i" of type "PLL_ADV". This attribute will be ignored. -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_STEER_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[0].I_SIZE_S_H_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_STEER_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[0].I_SIZE_S_H_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[0].I_SIZE_S_H_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[0].I_SIZE_S_H_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/GEN_DWIDTH_64_128.LDMUX_FDRSE_4to7[7].I_FDRSE_BE4to7' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/GEN_DWIDTH_64_128.LDMUX_FDRSE_4to7[7].I_FDRSE_BE4to7' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/GEN_DWIDTH_64_128.LDMUX_FDRSE_4to7[6].I_FDRSE_BE4to7' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/GEN_DWIDTH_64_128.LDMUX_FDRSE_4to7[6].I_FDRSE_BE4to7' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/GEN_DWIDTH_64_128.LDMUX_FDRSE_4to7[5].I_FDRSE_BE4to7' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/GEN_DWIDTH_64_128.LDMUX_FDRSE_4to7[5].I_FDRSE_BE4to7' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/GEN_DWIDTH_64_128.LDMUX_FDRSE_4to7[4].I_FDRSE_BE4to7' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/GEN_DWIDTH_64_128.LDMUX_FDRSE_4to7[4].I_FDRSE_BE4to7' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[3].I_FDRSE_BE0to3' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[3].I_FDRSE_BE0to3' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[2].I_FDRSE_BE0to3' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[2].I_FDRSE_BE0to3' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[1].I_FDRSE_BE0to3' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[1].I_FDRSE_BE0to3' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[0].I_FDRSE_BE0to3' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[0].I_FDRSE_BE0to3' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_S_H_ADDR_REG[6].I_ADDR_S_H_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_S_H_ADDR_REG[6].I_ADDR_S_H_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_S_H_ADDR_REG[7].I_ADDR_S_H_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_S_H_ADDR_REG[7].I_ADDR_S_H_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[0].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[0].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[0].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[0].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[0].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[0].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[1].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[1].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[1].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[1].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[1].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[1].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[2].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[2].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[2].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[2].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[2].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[2].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[3].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[3].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[3].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[3].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[3].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[3].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[4].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[4].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[4].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[4].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[4].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[4].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[5].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[5].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[5].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[5].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[5].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[5].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[6].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[6].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[6].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[6].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[6].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[6].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[7].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[7].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[7].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[7].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[7].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[7].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[8].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[8].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[8].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[8].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[8].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[8].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[9].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[9].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[9].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[9].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[9].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[9].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[10].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[10].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[10].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[10].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[10].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[10].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[11].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[11].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[11].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[11].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[11].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[11].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[12].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[12].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[12].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[12].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[12].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[12].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[13].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[13].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[13].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[13].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[13].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[13].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[14].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[14].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[14].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[14].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[14].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[14].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[15].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[15].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[15].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[15].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[15].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[15].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[16].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[16].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[16].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[16].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[16].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[16].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[17].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[17].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[17].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[17].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[17].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[17].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[18].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[18].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[18].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[18].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[18].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[18].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[19].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[19].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[19].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[19].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[19].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[19].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[20].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[20].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[20].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[20].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[20].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[20].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[21].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[21].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[21].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[21].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[21].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[21].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[22].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[22].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[22].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[22].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[22].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[22].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[23].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[23].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[23].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[23].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[23].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[23].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[24].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[24].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[24].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[24].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[24].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[24].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[25].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[25].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[25].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[25].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[25].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[25].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[26].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[26].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[26].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[26].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[26].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[26].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[27].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[27].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[27].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[27].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[27].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[27].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[28].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[28].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[28].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[28].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[28].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[28].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[29].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[29].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[29].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[29].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[29].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[29].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[30].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[30].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[30].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[30].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[30].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[30].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[31].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[31].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[31].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[31].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[31].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[31].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[32].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[32].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[33].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[33].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[34].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[34].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[35].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[35].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[36].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[36].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[37].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[37].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[38].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[38].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[39].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[39].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[40].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[40].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[41].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[41].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[42].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[42].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[43].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[43].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[44].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[44].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[44].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[44].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[44].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[44].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[45].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[45].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[45].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[45].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[45].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[45].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[46].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[46].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[46].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[46].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[46].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[46].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[47].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[47].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[47].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[47].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[47].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[47].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[48].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[48].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[48].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[48].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[48].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[48].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[49].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[49].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[49].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[49].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[49].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[49].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[50].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[50].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[50].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[50].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[50].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[50].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[51].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[51].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[51].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[51].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[51].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[51].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[52].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[52].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[52].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[52].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[52].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[52].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[53].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[53].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[53].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[53].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[53].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[53].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[54].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[54].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[54].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[54].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[54].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[54].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[55].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[55].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[55].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[55].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[55].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[55].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[56].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[56].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[57].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[57].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[58].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[58].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[59].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[59].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[60].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[60].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[61].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[61].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[61].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[61].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[61].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[61].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[62].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[62].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[62].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[62].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[62].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[62].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[63].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[63].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[63].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[63].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[63].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[63].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[1].GEN_USER_CE.GEN_ALL_CEs[64].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[1].GEN_USER_CE.GEN_ALL_CEs[64].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[1].GEN_USER_CE.GEN_ALL_CEs[64].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[1].GEN_USER_CE.GEN_ALL_CEs[64].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[1].GEN_USER_CE.GEN_ALL_CEs[64].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[1].GEN_USER_CE.GEN_ALL_CEs[64].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[65].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[65].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[66].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[66].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[66].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[66].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[67].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[67].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[68].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[68].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[68].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[68].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[68].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[68].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[69].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[69].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[69].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[69].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[69].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[69].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[70].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[70].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[70].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[70].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[70].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[70].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[71].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[71].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[71].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[71].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[72].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[72].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[73].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[73].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[74].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[74].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[74].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[74].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[74].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[74].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[75].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[75].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[76].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[76].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[76].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[76].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[76].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[76].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[77].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[77].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[77].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[77].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[77].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[77].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[78].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[78].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[78].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[78].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[78].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[78].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[79].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[79].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[79].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[79].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[79].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[79].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[80].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[80].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[80].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[80].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[80].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[80].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[3].GEN_RESET_CE.I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[3].GEN_RESET_CE.I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[3].GEN_RESET_CE.I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[3].GEN_RESET_CE.I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[4].GEN_USER_CE.GEN_ALL_CEs[82].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[4].GEN_USER_CE.GEN_ALL_CEs[82].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[4].GEN_USER_CE.GEN_ALL_CEs[82].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[4].GEN_USER_CE.GEN_ALL_CEs[82].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[4].GEN_USER_CE.GEN_ALL_CEs[82].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[4].GEN_USER_CE.GEN_ALL_CEs[82].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[5].GEN_USER_CE.GEN_ALL_CEs[83].I_BKend_CE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[5].GEN_USER_CE.GEN_ALL_CEs[83].I_BKend_CE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[5].GEN_USER_CE.GEN_ALL_CEs[83].I_BKend_RDCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[5].GEN_USER_CE.GEN_ALL_CEs[83].I_BKend_RDCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[5].GEN_USER_CE.GEN_ALL_CEs[83].I_BKend_WRCE_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_CE_ASSIGNMENTS[5].GEN_USER_CE.GEN_ALL_CEs[83].I_BKend_WRCE_REG' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/I_CS_SIZE2_REG0' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/I_CS_SIZE2_REG0' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/I_CS_SIZE2_REG1' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/I_CS_SIZE2_REG1' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/I_CS_SIZE2_REG2' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/I_CS_SIZE2_REG2' has unconnected output pin -SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_master/I_RD_CONTROL/I_RD_ABORT_REG' has unconnected output pin +SFF primitive 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_master/I_RD_CONTROL/I_RD_ABORT_REG' has unconnected output pin -SFF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/COLLISION_SYNC' has unconnected output pin +SFF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/COLLISION_SYNC' has unconnected output pin -FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU10' has unconnected output pin +FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU10' has unconnected output pin -FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU15' has unconnected output pin +FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU15' has unconnected output pin -FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU20' has unconnected output pin +FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU20' has unconnected output pin -FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU25' has unconnected output pin +FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU25' has unconnected output pin -FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU30' has unconnected output pin +FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU30' has unconnected output pin -FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU35' has unconnected output pin +FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU35' has unconnected output pin -FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU130' has unconnected output pin +FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU130' has unconnected output pin -FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU237' has unconnected output pin +FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU237' has unconnected output pin -FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU10' has unconnected output pin +FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU10' has unconnected output pin -FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU15' has unconnected output pin +FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU15' has unconnected output pin -FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU20' has unconnected output pin +FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU20' has unconnected output pin -FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU25' has unconnected output pin +FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU25' has unconnected output pin -FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU30' has unconnected output pin +FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU30' has unconnected output pin -FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU35' has unconnected output pin +FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU35' has unconnected output pin -FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU130' has unconnected output pin +FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU130' has unconnected output pin -FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU237' has unconnected output pin +FF primitive 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU237' has unconnected output pin -FF primitive 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rden[1].u_calib_rden_r' has unconnected output pin +FF primitive 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rden[1].u_calib_rden_r' has unconnected output pin -FF primitive 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rden[2].u_calib_rden_r' has unconnected output pin +FF primitive 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rden[2].u_calib_rden_r' has unconnected output pin -FF primitive 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rden[3].u_calib_rden_r' has unconnected output pin +FF primitive 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rden[3].u_calib_rden_r' has unconnected output pin -FF primitive 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rden[4].u_calib_rden_r' has unconnected output pin +FF primitive 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rden[4].u_calib_rden_r' has unconnected output pin -FF primitive 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rden[5].u_calib_rden_r' has unconnected output pin +FF primitive 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rden[5].u_calib_rden_r' has unconnected output pin -FF primitive 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rden[6].u_calib_rden_r' has unconnected output pin +FF primitive 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rden[6].u_calib_rden_r' has unconnected output pin -FF primitive 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rden[7].u_calib_rden_r' has unconnected output pin +FF primitive 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rden[7].u_calib_rden_r' has unconnected output pin -Attribute "CLK_FEEDBACK" is not allowed on symbol "clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst" of type "PLL_ADV". This attribute will be ignored. +Attribute "CLK_FEEDBACK" is not allowed on symbol "clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst" of type "PLL_ADV". This attribute will be ignored. -logical net 'N194' has no driver +logical net 'N194' has no driver -logical net 'N195' has no driver +logical net 'N195' has no driver -logical net 'N196' has no driver +logical net 'N196' has no driver -logical net 'N197' has no driver +logical net 'N197' has no driver -logical net 'N198' has no driver +logical net 'N198' has no driver -logical net 'N199' has no driver +logical net 'N199' has no driver -logical net 'N200' has no driver +logical net 'N200' has no driver -logical net 'N201' has no driver +logical net 'N201' has no driver -logical net 'N202' has no driver +logical net 'N202' has no driver -logical net 'N203' has no driver +logical net 'N203' has no driver -logical net 'N204' has no driver +logical net 'N204' has no driver -logical net 'N205' has no driver +logical net 'N205' has no driver -logical net 'N206' has no driver +logical net 'N206' has no driver -logical net 'N207' has no driver +logical net 'N207' has no driver -logical net 'N208' has no driver +logical net 'N208' has no driver -logical net 'N209' has no driver +logical net 'N209' has no driver -logical net 'N210' has no driver +logical net 'N210' has no driver -logical net 'N211' has no driver +logical net 'N211' has no driver -logical net 'N212' has no driver +logical net 'N212' has no driver -logical net 'N213' has no driver +logical net 'N213' has no driver -logical net 'N214' has no driver +logical net 'N214' has no driver -logical net 'N215' has no driver +logical net 'N215' has no driver -logical net 'N216' has no driver +logical net 'N216' has no driver -logical net 'N217' has no driver +logical net 'N217' has no driver -logical net 'N218' has no driver +logical net 'N218' has no driver -logical net 'N219' has no driver +logical net 'N219' has no driver -logical net 'N220' has no driver +logical net 'N220' has no driver -logical net 'N221' has no driver +logical net 'N221' has no driver -logical net 'N222' has no driver +logical net 'N222' has no driver -logical net 'N223' has no driver +logical net 'N223' has no driver -logical net 'N224' has no driver +logical net 'N224' has no driver -logical net 'N225' has no driver +logical net 'N225' has no driver -logical net 'N226' has no driver +logical net 'N226' has no driver -logical net 'N227' has no driver +logical net 'N227' has no driver -logical net 'N228' has no driver +logical net 'N228' has no driver -logical net 'N229' has no driver +logical net 'N229' has no driver -logical net 'N230' has no driver +logical net 'N230' has no driver -logical net 'N231' has no driver +logical net 'N231' has no driver -logical net 'N232' has no driver +logical net 'N232' has no driver -logical net 'N233' has no driver +logical net 'N233' has no driver -logical net 'N234' has no driver +logical net 'N234' has no driver -logical net 'N235' has no driver +logical net 'N235' has no driver -logical net 'N236' has no driver +logical net 'N236' has no driver -logical net 'N237' has no driver +logical net 'N237' has no driver -logical net 'N238' has no driver +logical net 'N238' has no driver -logical net 'N239' has no driver +logical net 'N239' has no driver -logical net 'N240' has no driver +logical net 'N240' has no driver -logical net 'N241' has no driver +logical net 'N241' has no driver -logical net 'N242' has no driver +logical net 'N242' has no driver -logical net 'N243' has no driver +logical net 'N243' has no driver -logical net 'N244' has no driver +logical net 'N244' has no driver -logical net 'N245' has no driver +logical net 'N245' has no driver -logical net 'N246' has no driver +logical net 'N246' has no driver -logical net 'N247' has no driver +logical net 'N247' has no driver -logical net 'N248' has no driver +logical net 'N248' has no driver -logical net 'N249' has no driver +logical net 'N249' has no driver -logical net 'N250' has no driver +logical net 'N250' has no driver -logical net 'N251' has no driver +logical net 'N251' has no driver -logical net 'N252' has no driver +logical net 'N252' has no driver -logical net 'N253' has no driver +logical net 'N253' has no driver -logical net 'N254' has no driver +logical net 'N254' has no driver -logical net 'N255' has no driver +logical net 'N255' has no driver -logical net 'N256' has no driver +logical net 'N256' has no driver -logical net 'N257' has no driver +logical net 'N257' has no driver -logical net 'N266' has no driver +logical net 'N266' has no driver -logical net 'N267' has no driver +logical net 'N267' has no driver -logical net 'N268' has no driver +logical net 'N268' has no driver -logical net 'N269' has no driver +logical net 'N269' has no driver -logical net 'N270' has no driver +logical net 'N270' has no driver -logical net 'N271' has no driver +logical net 'N271' has no driver -logical net 'N272' has no driver +logical net 'N272' has no driver -logical net 'N273' has no driver +logical net 'N273' has no driver -logical net 'N306' has no driver +logical net 'N306' has no driver -logical net 'N307' has no driver +logical net 'N307' has no driver -logical net 'N308' has no driver +logical net 'N308' has no driver -logical net 'N309' has no driver +logical net 'N309' has no driver -logical net 'N310' has no driver +logical net 'N310' has no driver -logical net 'N311' has no driver +logical net 'N311' has no driver -logical net 'N312' has no driver +logical net 'N312' has no driver -logical net 'N313' has no driver +logical net 'N313' has no driver -logical net 'PCIe_Bridge/PCIe_Bridge/sig_trn_terrfwd_n' has no driver +logical net 'PCIe_Bridge/PCIe_Bridge/sig_trn_terrfwd_n' has no driver -logical net 'PCIe_Bridge/PCIe_Bridge/sig_trn_rerrfwd_n' has no driver +logical net 'PCIe_Bridge/PCIe_Bridge/sig_trn_rerrfwd_n' has no driver -logical net 'PCIe_Bridge/PCIe_Bridge/sig_trn_tsrc_dsc_n' has no driver +logical net 'PCIe_Bridge/PCIe_Bridge/sig_trn_tsrc_dsc_n' has no driver -logical net 'PCIe_Bridge/PCIe_Bridge/sig_trn_tbuf_av<3>' has no driver +logical net 'PCIe_Bridge/PCIe_Bridge/sig_trn_tbuf_av<3>' has no driver -logical net 'PCIe_Bridge/PCIe_Bridge/sig_trn_trem_n<4>' has no driver +logical net 'PCIe_Bridge/PCIe_Bridge/sig_trn_trem_n<4>' has no driver diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/par.xmsgs b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/par.xmsgs index bd1165beb..a598a1796 100644 --- a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/par.xmsgs +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/par.xmsgs @@ -5,47 +5,47 @@ behavior or data corruption. It is strongly advised that users do not edit the contents of this file. --> -Constraint <NET "PCIe_Bridge/Bridge_Clk" PERIOD = 8 ns HIGH 50%;> [system.pcf(66101)] overrides constraint <NET "PCIe_Bridge/Bridge_Clk" PERIOD = 8 ns HIGH 50%;> [system.pcf(66100)]. +Constraint <NET "PCIe_Bridge/Bridge_Clk" PERIOD = 8 ns HIGH 50%;> [system.pcf(65973)] overrides constraint <NET "PCIe_Bridge/Bridge_Clk" PERIOD = 8 ns HIGH 50%;> [system.pcf(65972)]. -Timing constraint TS_MC_RDEN_SEL_MUX = MAXDELAY FROM TIMEGRP "TNM_RDEN_SEL_MUX" TO TIMEGRP "TNM_CLK0" TS_MC_CLK * 4; ignored during timing analysis. +Timing constraint TS_MC_RDEN_SEL_MUX = MAXDELAY FROM TIMEGRP "TNM_RDEN_SEL_MUX" TO TIMEGRP "TNM_CLK0" TS_MC_CLK * 4; ignored during timing analysis. -Intersecting Constraints found and resolved. For more information, see the TSI report. Please consult the Xilinx Command Line Tools User Guide for information on generating a TSI report. +Intersecting Constraints found and resolved. For more information, see the TSI report. Please consult the Xilinx Command Line Tools User Guide for information on generating a TSI report. -The signal PCIe_Bridge/PCIe_Bridge/sig_sb_txrem_n<0> has no load. PAR will not attempt to route this signal. +The signal PCIe_Bridge/PCIe_Bridge/sig_sb_txrem_n<0> has no load. PAR will not attempt to route this signal. -The signal PCIe_Bridge/PCIe_Bridge/sig_MB_TxREMn<0> has no load. PAR will not attempt to route this signal. +The signal PCIe_Bridge/PCIe_Bridge/sig_MB_TxREMn<0> has no load. PAR will not attempt to route this signal. -The signal xps_bram_if_cntlr_1_port_BRAM_Addr<31> has no load. PAR will not attempt to route this signal. +The signal xps_bram_if_cntlr_1_port_BRAM_Addr<30> has no load. PAR will not attempt to route this signal. -The signal xps_bram_if_cntlr_1_port_BRAM_Addr<30> has no load. PAR will not attempt to route this signal. +The signal xps_bram_if_cntlr_1_port_BRAM_Addr<31> has no load. PAR will not attempt to route this signal. -The signal PCIe_Bridge/PCIe_Bridge/sig_MB_RxFull has no load. PAR will not attempt to route this signal. +The signal PCIe_Bridge/PCIe_Bridge/sig_MB_RxFull has no load. PAR will not attempt to route this signal. -One or more directed routing (DIRT) constraints generated for a specific device have been found. Note that DIRT strings are guaranteed to work only on the same device they were created for. If the DIRT constraints fail, verify that the same connectivity is available in the target device for this implementation. +One or more directed routing (DIRT) constraints generated for a specific device have been found. Note that DIRT strings are guaranteed to work only on the same device they were created for. If the DIRT constraints fail, verify that the same connectivity is available in the target device for this implementation. -N/A entries in the Constraints list may indicate that the constraint does not cover any paths or that it has no requested value. +N/A entries in the Constraints list may indicate that the constraint does not cover any paths or that it has no requested value. -N/A entries in the Constraints list may indicate that the constraint does not cover any paths or that it has no requested value. +N/A entries in the Constraints list may indicate that the constraint does not cover any paths or that it has no requested value. -There are 5 loadless signals in this design. This design will cause Bitgen to issue DRC warnings. +There are 5 loadless signals in this design. This design will cause Bitgen to issue DRC warnings. -There are 5 loadless signals in this design. This design will cause Bitgen to issue DRC warnings. +There are 5 loadless signals in this design. This design will cause Bitgen to issue DRC warnings. -Number of "Exact" mode Directed Routing Constraints: 128 +Number of "Exact" mode Directed Routing Constraints: 128 -All "EXACT" mode Directed Routing constrained nets successfully routed. The number of constraints found: 128, number successful: 128 +All "EXACT" mode Directed Routing constrained nets successfully routed. The number of constraints found: 128, number successful: 128 diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/trce.xmsgs b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/trce.xmsgs index 3c4f0421e..5b58063e9 100644 --- a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/trce.xmsgs +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/trce.xmsgs @@ -5,16 +5,16 @@ behavior or data corruption. It is strongly advised that users do not edit the contents of this file. --> -Constraint <NET "PCIe_Bridge/Bridge_Clk" PERIOD = 8 ns HIGH 50%;> [system.pcf(66101)] overrides constraint <NET "PCIe_Bridge/Bridge_Clk" PERIOD = 8 ns HIGH 50%;> [system.pcf(66100)]. +Constraint <NET "PCIe_Bridge/Bridge_Clk" PERIOD = 8 ns HIGH 50%;> [system.pcf(65973)] overrides constraint <NET "PCIe_Bridge/Bridge_Clk" PERIOD = 8 ns HIGH 50%;> [system.pcf(65972)]. -Timing constraint TS_MC_RDEN_SEL_MUX = MAXDELAY FROM TIMEGRP "TNM_RDEN_SEL_MUX" TO TIMEGRP "TNM_CLK0" TS_MC_CLK * 4; ignored during timing analysis. +Timing constraint TS_MC_RDEN_SEL_MUX = MAXDELAY FROM TIMEGRP "TNM_RDEN_SEL_MUX" TO TIMEGRP "TNM_CLK0" TS_MC_CLK * 4; ignored during timing analysis. -Intersecting Constraints found and resolved. For more information, see the TSI report. Please consult the Xilinx Command Line Tools User Guide for information on generating a TSI report. +Intersecting Constraints found and resolved. For more information, see the TSI report. Please consult the Xilinx Command Line Tools User Guide for information on generating a TSI report. -To get complete path coverage, use the unconstrained paths option. All paths that are not constrained will be reported in the unconstrained paths section(s) of the report. +To get complete path coverage, use the unconstrained paths option. All paths that are not constrained will be reported in the unconstrained paths section(s) of the report. -The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet. +The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet. diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/xst.xmsgs b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/xst.xmsgs index 6716d23b2..bea4a975e 100644 --- a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/xst.xmsgs +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/_xmsgs/xst.xmsgs @@ -5,1024 +5,1036 @@ behavior or data corruption. It is strongly advised that users do not edit the contents of this file. --> -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3103: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3111: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3111: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3119: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3119: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3127: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3127: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3135: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3135: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3143: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3143: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3151: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3151: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3159: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3159: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3167: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3167: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3175: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3175: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3183: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3183: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3191: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3191: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3199: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3199: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3207: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3207: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3215: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3215: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3223: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3223: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3231: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3231: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3239: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3239: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3247: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3247: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3255: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3255: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3263: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3263: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3271: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3271: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3279: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3279: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3287: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3287: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3295: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3295: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3303: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3303: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3311: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3311: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3319: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3319: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3327: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3327: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3335: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3335: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3343: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3343: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3351: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3351: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3359: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3359: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3367: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3367: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3375: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3375: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3383: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3383: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3391: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3391: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3399: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3399: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3407: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3407: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3415: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3415: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3423: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3423: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3431: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3431: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3439: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3439: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3447: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3447: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3455: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3455: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3463: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3463: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3471: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3471: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3479: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3479: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3487: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3487: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3495: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3495: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3503: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3503: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3511: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3511: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3519: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3519: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3527: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3527: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3535: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3535: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3543: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3543: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3551: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3551: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3559: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3559: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3567: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3567: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3575: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3575: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3583: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3583: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3591: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3591: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3599: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3599: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3607: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3607: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3615: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3615: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3623: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3623: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3631: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3631: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3639: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3639: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3647: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3647: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3655: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3655: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3663: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3663: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3671: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3671: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3679: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3679: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3687: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3687: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3695: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3695: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3703: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3703: Instantiating black box module <IOBUF>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3711: Instantiating black box module <IOBUF>. -"E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3711: Instantiating black box module <IBUFGDS>. +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/hdl/system.vhd" line 3719: Instantiating black box module <IBUFGDS>. -Signal <pgassign9> is assigned but never used. This unconnected signal will be trimmed during the optimization process. +Signal <pgassign9> is assigned but never used. This unconnected signal will be trimmed during the optimization process. -Signal <pgassign11<0:6>> is assigned but never used. This unconnected signal will be trimmed during the optimization process. +Signal <pgassign11<0:6>> is assigned but never used. This unconnected signal will be trimmed during the optimization process. -Signal <pgassign11<31>> is assigned but never used. This unconnected signal will be trimmed during the optimization process. +Signal <pgassign11<31>> is assigned but never used. This unconnected signal will be trimmed during the optimization process. -Signal <pgassign10<0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process. +Signal <pgassign10<0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process. -The FF/Latch <plb_v46_0/GEN_MPLB_RST[0].I_MPLB_RST> in Unit <plb_v46_0> is equivalent to the following 13 FFs/Latches : <plb_v46_0/GEN_SPLB_RST[11].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[10].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[9].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[8].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[7].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[6].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[5].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[4].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[3].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[2].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[1].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[0].I_SPLB_RST> <plb_v46_0/I_PLB_RST> +The FF/Latch <plb_v46_0/GEN_MPLB_RST[0].I_MPLB_RST> in Unit <plb_v46_0> is equivalent to the following 13 FFs/Latches : <plb_v46_0/GEN_SPLB_RST[11].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[10].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[9].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[8].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[7].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[6].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[5].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[4].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[3].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[2].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[1].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[0].I_SPLB_RST> <plb_v46_0/I_PLB_RST> -The FF/Latch <xps_bram_if_cntlr_1/INCLUDE_BURST_SUPPORT.I_SLAVE_BURST_ATTACH/I_FLOP_ADDRACK> in Unit <xps_bram_if_cntlr_1> is equivalent to the following FF/Latch : <xps_bram_if_cntlr_1/INCLUDE_BURST_SUPPORT.I_SLAVE_BURST_ATTACH/I_FLOP_SET_SLBUSY> +The FF/Latch <xps_bram_if_cntlr_1/INCLUDE_BURST_SUPPORT.I_SLAVE_BURST_ATTACH/I_FLOP_ADDRACK> in Unit <xps_bram_if_cntlr_1> is equivalent to the following FF/Latch : <xps_bram_if_cntlr_1/INCLUDE_BURST_SUPPORT.I_SLAVE_BURST_ATTACH/I_FLOP_SET_SLBUSY> -The FF/Latch <xps_bram_if_cntlr_1/INCLUDE_BURST_SUPPORT.I_SLAVE_BURST_ATTACH/I_FLOP_WRACK_2BUS> in Unit <xps_bram_if_cntlr_1> is equivalent to the following FF/Latch : <xps_bram_if_cntlr_1/INCLUDE_BURST_SUPPORT.I_SLAVE_BURST_ATTACH/I_FLOP_WRACK> +The FF/Latch <xps_bram_if_cntlr_1/INCLUDE_BURST_SUPPORT.I_SLAVE_BURST_ATTACH/I_FLOP_WRACK_2BUS> in Unit <xps_bram_if_cntlr_1> is equivalent to the following FF/Latch : <xps_bram_if_cntlr_1/INCLUDE_BURST_SUPPORT.I_SLAVE_BURST_ATTACH/I_FLOP_WRACK> -The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.I_BURST_S_H_REG> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.I_BURST_S_H_REG> +The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.I_BURST_S_H_REG> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.I_BURST_S_H_REG> -The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/sl_wrdack_i> in Unit <SRAM> is equivalent to the following 2 FFs/Latches : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/GEN_WRITE_BUFFER.GEN_WRBUF_WREN1> <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/GEN_WRITE_BUFFER.GEN_WRBUF_WREN2> +The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/sl_wrdack_i> in Unit <SRAM> is equivalent to the following 2 FFs/Latches : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/GEN_WRITE_BUFFER.GEN_WRBUF_WREN1> <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/GEN_WRITE_BUFFER.GEN_WRBUF_WREN2> -The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.I_SNGL_S_H_REG> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.I_SNGL_S_H_REG> +The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.I_SNGL_S_H_REG> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.I_SNGL_S_H_REG> -The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[1].I_SIZE_S_H_REG> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[1].I_SIZE_S_H_REG> +The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[1].I_SIZE_S_H_REG> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[1].I_SIZE_S_H_REG> -The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[2].I_SIZE_S_H_REG> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[2].I_SIZE_S_H_REG> +The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[2].I_SIZE_S_H_REG> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[2].I_SIZE_S_H_REG> -The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[3].I_SIZE_S_H_REG> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[3].I_SIZE_S_H_REG> +The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[3].I_SIZE_S_H_REG> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[3].I_SIZE_S_H_REG> -The FF/Latch <SRAM/EMC_CTRL_I/IO_REGISTERS_I/mem_wen_reg> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/EMC_CTRL_I/MEM_STEER_I/SYNC_MEM_DQT.REG_DQT_GEN[0].DQT_REG> +The FF/Latch <SRAM/EMC_CTRL_I/IO_REGISTERS_I/mem_wen_reg> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/EMC_CTRL_I/MEM_STEER_I/SYNC_MEM_DQT.REG_DQT_GEN[0].DQT_REG> -The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.I_CACHLN_S_H_REG> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.I_CACHLN_S_H_REG> +The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.I_CACHLN_S_H_REG> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.I_CACHLN_S_H_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_STEER_ADDRESS_COUNTER/I_SNGL_S_H_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_SNGL_S_H_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_STEER_ADDRESS_COUNTER/I_SNGL_S_H_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_SNGL_S_H_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/I_CS_SIZE_REG1> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/I_CS_SIZE_REG2> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/I_CS_SIZE_REG1> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/I_CS_SIZE_REG2> -The FF/Latch <PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/SIO/.pcie_gt_wrapper_i/flop[0].tx_power_down0> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/SIO/.pcie_gt_wrapper_i/flop[0].rx_power_down0> +The FF/Latch <PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/SIO/.pcie_gt_wrapper_i/flop[0].tx_power_down0> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/SIO/.pcie_gt_wrapper_i/flop[0].rx_power_down0> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[29].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[29].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[29].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[29].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[28].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[28].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[28].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[28].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_STEER_ADDRESS_COUNTER/I_BURST_S_H_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_BURST_S_H_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_STEER_ADDRESS_COUNTER/I_BURST_S_H_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_BURST_S_H_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[27].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[27].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[27].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[27].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[31].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[31].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[31].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[31].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[26].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[26].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[26].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[26].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_STEER_ADDRESS_COUNTER/I_CACHLN_S_H_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_CACHLN_S_H_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_STEER_ADDRESS_COUNTER/I_CACHLN_S_H_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_CACHLN_S_H_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[30].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[30].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[30].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[30].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[25].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[25].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[25].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[25].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[19].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[19].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[19].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[19].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[24].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[24].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[24].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[24].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[23].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[23].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[23].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[23].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[18].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[18].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[18].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[18].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.GEN_FOR_64.DPHASE_REG2> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.GEN_FOR_64.DPHASE_REG1> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.GEN_FOR_64.DPHASE_REG2> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.GEN_FOR_64.DPHASE_REG1> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[22].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[22].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[22].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[22].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[17].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[17].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[17].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[17].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[21].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[21].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[21].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[21].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[16].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[16].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[16].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[16].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[20].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[20].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[20].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[20].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[15].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[15].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[15].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[15].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[14].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[14].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[14].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[14].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[13].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[13].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[13].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[13].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[12].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[12].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[12].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[12].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[11].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[11].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[11].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[11].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[10].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[10].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[10].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[10].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[9].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[9].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[9].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[9].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/SIO/.pcie_gt_wrapper_i/flop[0].tx_power_down1> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/SIO/.pcie_gt_wrapper_i/flop[0].rx_power_down1> +The FF/Latch <PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/SIO/.pcie_gt_wrapper_i/flop[0].tx_power_down1> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/SIO/.pcie_gt_wrapper_i/flop[0].rx_power_down1> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[8].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[8].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[8].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[8].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[7].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[7].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[7].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[7].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[6].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[6].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[6].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[6].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[5].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[5].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[5].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[5].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_STEER_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[3].I_SIZE_S_H_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[3].I_SIZE_S_H_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_STEER_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[3].I_SIZE_S_H_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[3].I_SIZE_S_H_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[4].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[4].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[4].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[4].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_STEER_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[2].I_SIZE_S_H_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[2].I_SIZE_S_H_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_STEER_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[2].I_SIZE_S_H_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[2].I_SIZE_S_H_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[3].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[3].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[3].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[3].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_STEER_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[1].I_SIZE_S_H_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[1].I_SIZE_S_H_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_STEER_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[1].I_SIZE_S_H_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[1].I_SIZE_S_H_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[2].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[2].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[2].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[2].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[1].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[1].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[1].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[1].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[0].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[0].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[0].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[0].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BURST_SUPPORT/BTERM_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BURST_SUPPORT/BTERM_CLNUP> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BURST_SUPPORT/BTERM_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BURST_SUPPORT/BTERM_CLNUP> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.GEN_WRITE_BUFFER.GEN_WRBUF_WREN2> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.GEN_WRITE_BUFFER.GEN_WRBUF_WREN1> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.GEN_WRITE_BUFFER.GEN_WRBUF_WREN2> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.GEN_WRITE_BUFFER.GEN_WRBUF_WREN1> -The FF/Latch <U0/grf.rf/gl0.wr/gwss.wsts/ram_full_fb_i> in Unit <BU3> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.wr/gwss.wsts/ram_full_i> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/plb_size_reg_3> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/plb_size_reg_3_1> -The FF/Latch <U0/grf.rf/gl0.rd/grss.rsts/ram_empty_fb_i> in Unit <BU3> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.rd/grss.rsts/ram_empty_i> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/plb_size_reg_2> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/plb_size_reg_2_1> -The FF/Latch <U0/grf.rf/gl0.wr/gwss.wsts/ram_full_fb_i> in Unit <BU3> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.wr/gwss.wsts/ram_full_i> +The FF/Latch <U0/grf.rf/gl0.rd/grss.rsts/ram_empty_fb_i> in Unit <BU3> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.rd/grss.rsts/ram_empty_i> -The FF/Latch <U0/grf.rf/gl0.rd/grss.rsts/ram_empty_fb_i> in Unit <BU3> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.rd/grss.rsts/ram_empty_i> +The FF/Latch <U0/grf.rf/gl0.wr/gwss.wsts/ram_full_fb_i> in Unit <BU3> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.wr/gwss.wsts/ram_full_i> -The FF/Latch <U0/grf.rf/gl0.rd/gr1.rfwft/aempty_fwft_i> in Unit <BU2> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.rd/gr1.rfwft/aempty_fwft_fb> +The FF/Latch <U0/grf.rf/gl0.rd/grss.rsts/ram_empty_fb_i> in Unit <BU3> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.rd/grss.rsts/ram_empty_i> -The FF/Latch <U0/grf.rf/gl0.wr/gwas.wsts/ram_full_i> in Unit <BU2> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.wr/gwas.wsts/ram_full_fb_i> +The FF/Latch <U0/grf.rf/gl0.wr/gwss.wsts/ram_full_fb_i> in Unit <BU3> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.wr/gwss.wsts/ram_full_i> -The FF/Latch <U0/grf.rf/rstblk/wr_rst_reg_1> in Unit <BU2> is equivalent to the following FF/Latch : <U0/grf.rf/rstblk/wr_rst_reg_0> +The FF/Latch <U0/grf.rf/gl0.rd/gr1.rfwft/aempty_fwft_i> in Unit <BU2> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.rd/gr1.rfwft/aempty_fwft_fb> -The FF/Latch <U0/grf.rf/gl0.rd/gr1.rfwft/empty_fwft_i> in Unit <BU2> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.rd/gr1.rfwft/empty_fwft_fb> +The FF/Latch <U0/grf.rf/gl0.wr/gwas.wsts/ram_full_i> in Unit <BU2> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.wr/gwas.wsts/ram_full_fb_i> -The FF/Latch <U0/grf.rf/rstblk/rd_rst_reg_2> in Unit <BU2> is equivalent to the following FF/Latch : <U0/grf.rf/rstblk/rd_rst_reg_1> +The FF/Latch <U0/grf.rf/rstblk/wr_rst_reg_1> in Unit <BU2> is equivalent to the following FF/Latch : <U0/grf.rf/rstblk/wr_rst_reg_0> -The FF/Latch <ppc440_0_SPLB0/GEN_MPLB_RST[0].I_MPLB_RST> in Unit <ppc440_0_SPLB0> is equivalent to the following 2 FFs/Latches : <ppc440_0_SPLB0/GEN_SPLB_RST[0].I_SPLB_RST> <ppc440_0_SPLB0/I_PLB_RST> +The FF/Latch <U0/grf.rf/gl0.rd/gr1.rfwft/empty_fwft_i> in Unit <BU2> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.rd/gr1.rfwft/empty_fwft_fb> -The FF/Latch <Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_state_machine/state21a> in Unit <Ethernet_MAC> is equivalent to the following 2 FFs/Latches : <Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_state_machine/state19a> <Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_state/state21a> +The FF/Latch <U0/grf.rf/rstblk/rd_rst_reg_2> in Unit <BU2> is equivalent to the following FF/Latch : <U0/grf.rf/rstblk/rd_rst_reg_1> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/clk_reset/rst0_sync_r_2> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/clk_reset/rst0_sync_r_2_1> +The FF/Latch <ppc440_0_SPLB0/GEN_MPLB_RST[0].I_MPLB_RST> in Unit <ppc440_0_SPLB0> is equivalent to the following 2 FFs/Latches : <ppc440_0_SPLB0/GEN_SPLB_RST[0].I_SPLB_RST> <ppc440_0_SPLB0/I_PLB_RST> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2> in Unit <DDR2_SDRAM> is equivalent to the following 6 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2_1> <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2_2> <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2_3> <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2_4> <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2_5> <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2_6> +The FF/Latch <Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_state_machine/state21a> in Unit <Ethernet_MAC> is equivalent to the following 2 FFs/Latches : <Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_state_machine/state19a> <Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_state/state21a> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_0> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[0].u_ff_rd_data_sel> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/clk_reset/rst0_sync_r_2> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/clk_reset/rst0_sync_r_2_1> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_1> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[1].u_ff_rd_data_sel> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2> in Unit <DDR2_SDRAM> is equivalent to the following 6 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2_1> <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2_2> <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2_3> <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2_4> <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2_5> <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2_6> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_2> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[2].u_ff_rd_data_sel> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_0> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[0].u_ff_rd_data_sel> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_3> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[3].u_ff_rd_data_sel> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_1> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[1].u_ff_rd_data_sel> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_usr_top/usr_rd/gen_rden_sel_mux[0].u_ff_rden_sel_mux> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_usr_top/usr_rd/rden_sel_r_0> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_2> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[2].u_ff_rd_data_sel> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_4> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[4].u_ff_rd_data_sel> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_3> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[3].u_ff_rd_data_sel> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_26> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_26_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_26_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_usr_top/usr_rd/gen_rden_sel_mux[0].u_ff_rden_sel_mux> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_usr_top/usr_rd/rden_sel_r_0> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_31> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_31_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_31_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_4> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[4].u_ff_rd_data_sel> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_5> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[5].u_ff_rd_data_sel> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_26> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_26_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_26_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_6> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[6].u_ff_rd_data_sel> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_31> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_31_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_31_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_7> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[7].u_ff_rd_data_sel> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_5> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[5].u_ff_rd_data_sel> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_6> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_6_1> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_6> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[6].u_ff_rd_data_sel> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_11> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_11_1> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_7> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[7].u_ff_rd_data_sel> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_18> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_18_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_18_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_6> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_6_1> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_23> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_23_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_23_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_11> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_11_1> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_5> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_5_1> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_18> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_18_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_18_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_10> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_10_1> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_23> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_23_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_23_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_35> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_35_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_35_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_5> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_5_1> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_40> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_40_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_40_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_10> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_10_1> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_39> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_39_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_39_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_35> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_35_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_35_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_44> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_44_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_44_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_40> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_40_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_40_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_25> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_25_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_25_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_39> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_39_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_39_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_30> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_30_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_30_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_44> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_44_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_44_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_1> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_1_1> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_25> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_25_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_25_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_hit_r_1> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_hit_r_1_1> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_30> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_30_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_30_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_13> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_13_1> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_1> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_1_1> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_17> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_17_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_17_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_hit_r_1> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_hit_r_1_1> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_22> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_22_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_22_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_13> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_13_1> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0> in Unit <DDR2_SDRAM> is equivalent to the following 40 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_1> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_2> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_3> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_4> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_5> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_6> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_7> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_8> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_9> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_10> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_11> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_12> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_13> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_14> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_15> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_16> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_17> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_18> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_19> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_20> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_21> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_22> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_23> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_24> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_25> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_26> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_27> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_28> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_29> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_30> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_31> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_32> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_33> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_34> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_35> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_36> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_37> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_38> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_39> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_40> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_17> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_17_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_17_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_0> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_0_1> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_22> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_22_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_22_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_12> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_12_1> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0> in Unit <DDR2_SDRAM> is equivalent to the following 40 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_1> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_2> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_3> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_4> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_5> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_6> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_7> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_8> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_9> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_10> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_11> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_12> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_13> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_14> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_15> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_16> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_17> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_18> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_19> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_20> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_21> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_22> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_23> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_24> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_25> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_26> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_27> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_28> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_29> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_30> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_31> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_32> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_33> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_34> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_35> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_36> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_37> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_38> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_39> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_40> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_29> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_29_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_29_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_0> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_0_1> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_34> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_34_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_34_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_12> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_12_1> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_38> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_38_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_38_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_29> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_29_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_29_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_43> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_43_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_43_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_34> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_34_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_34_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_59> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_59_1> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_38> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_38_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_38_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_16> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_16_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_16_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_43> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_43_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_43_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_21> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_21_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_21_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_59> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_59_1> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_2> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_2_1> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_16> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_16_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_16_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_7> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_7_1> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_21> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_21_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_21_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_28> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_28_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_28_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_2> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_2_1> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_33> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_33_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_33_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_7> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_7_1> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_14> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_14_1> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_28> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_28_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_28_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[0].u_iob_dqs/dqs_rst_n_r> in Unit <DDR2_SDRAM> is equivalent to the following 7 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[1].u_iob_dqs/dqs_rst_n_r> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[2].u_iob_dqs/dqs_rst_n_r> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[3].u_iob_dqs/dqs_rst_n_r> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[4].u_iob_dqs/dqs_rst_n_r> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[5].u_iob_dqs/dqs_rst_n_r> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[6].u_iob_dqs/dqs_rst_n_r> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[7].u_iob_dqs/dqs_rst_n_r> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_33> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_33_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_33_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_37> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_37_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_37_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_14> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_14_1> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_42> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_42_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_42_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[0].u_iob_dqs/dqs_rst_n_r> in Unit <DDR2_SDRAM> is equivalent to the following 7 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[1].u_iob_dqs/dqs_rst_n_r> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[2].u_iob_dqs/dqs_rst_n_r> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[3].u_iob_dqs/dqs_rst_n_r> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[4].u_iob_dqs/dqs_rst_n_r> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[5].u_iob_dqs/dqs_rst_n_r> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[6].u_iob_dqs/dqs_rst_n_r> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[7].u_iob_dqs/dqs_rst_n_r> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_58> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_58_1> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_37> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_37_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_37_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_hit_r_2> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_hit_r_2_1> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_42> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_42_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_42_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_15> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_15_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_15_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_58> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_58_1> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_20> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_20_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_20_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_hit_r_2> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_hit_r_2_1> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_27> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_27_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_27_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_15> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_15_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_15_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_32> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_32_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_32_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_20> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_20_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_20_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_4> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_4_1> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_27> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_27_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_27_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_9> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_9_1> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_32> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_32_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_32_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_19> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_19_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_19_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_4> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_4_1> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_24> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_24_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_24_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_9> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_9_1> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[0].u_iob_dm/u_dm_ce> in Unit <DDR2_SDRAM> is equivalent to the following 7 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[1].u_iob_dm/u_dm_ce> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[2].u_iob_dm/u_dm_ce> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[3].u_iob_dm/u_dm_ce> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[4].u_iob_dm/u_dm_ce> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[5].u_iob_dm/u_dm_ce> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[6].u_iob_dm/u_dm_ce> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[7].u_iob_dm/u_dm_ce> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_19> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_19_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_19_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_3> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_3_1> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_24> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_24_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_24_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_8> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_8_1> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[0].u_iob_dm/u_dm_ce> in Unit <DDR2_SDRAM> is equivalent to the following 7 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[1].u_iob_dm/u_dm_ce> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[2].u_iob_dm/u_dm_ce> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[3].u_iob_dm/u_dm_ce> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[4].u_iob_dm/u_dm_ce> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[5].u_iob_dm/u_dm_ce> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[6].u_iob_dm/u_dm_ce> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[7].u_iob_dm/u_dm_ce> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_36> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_36_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_36_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_3> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_3_1> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_41> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_41_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_41_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_8> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_8_1> -The FF/Latch <plb_v46_0/GEN_MPLB_RST[0].I_MPLB_RST> in Unit <plb_v46_0> is equivalent to the following 13 FFs/Latches : <plb_v46_0/GEN_SPLB_RST[11].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[10].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[9].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[8].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[7].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[6].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[5].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[4].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[3].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[2].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[1].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[0].I_SPLB_RST> <plb_v46_0/I_PLB_RST> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_36> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_36_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_36_2> -The FF/Latch <xps_bram_if_cntlr_1/INCLUDE_BURST_SUPPORT.I_SLAVE_BURST_ATTACH/I_FLOP_ADDRACK> in Unit <xps_bram_if_cntlr_1> is equivalent to the following FF/Latch : <xps_bram_if_cntlr_1/INCLUDE_BURST_SUPPORT.I_SLAVE_BURST_ATTACH/I_FLOP_SET_SLBUSY> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_41> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_41_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_41_2> -The FF/Latch <xps_bram_if_cntlr_1/INCLUDE_BURST_SUPPORT.I_SLAVE_BURST_ATTACH/I_FLOP_WRACK_2BUS> in Unit <xps_bram_if_cntlr_1> is equivalent to the following FF/Latch : <xps_bram_if_cntlr_1/INCLUDE_BURST_SUPPORT.I_SLAVE_BURST_ATTACH/I_FLOP_WRACK> +The FF/Latch <plb_v46_0/GEN_MPLB_RST[0].I_MPLB_RST> in Unit <plb_v46_0> is equivalent to the following 13 FFs/Latches : <plb_v46_0/GEN_SPLB_RST[11].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[10].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[9].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[8].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[7].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[6].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[5].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[4].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[3].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[2].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[1].I_SPLB_RST> <plb_v46_0/GEN_SPLB_RST[0].I_SPLB_RST> <plb_v46_0/I_PLB_RST> -The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.I_BURST_S_H_REG> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.I_BURST_S_H_REG> +The FF/Latch <xps_bram_if_cntlr_1/INCLUDE_BURST_SUPPORT.I_SLAVE_BURST_ATTACH/I_FLOP_ADDRACK> in Unit <xps_bram_if_cntlr_1> is equivalent to the following FF/Latch : <xps_bram_if_cntlr_1/INCLUDE_BURST_SUPPORT.I_SLAVE_BURST_ATTACH/I_FLOP_SET_SLBUSY> -The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/sl_wrdack_i> in Unit <SRAM> is equivalent to the following 2 FFs/Latches : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/GEN_WRITE_BUFFER.GEN_WRBUF_WREN1> <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/GEN_WRITE_BUFFER.GEN_WRBUF_WREN2> +The FF/Latch <xps_bram_if_cntlr_1/INCLUDE_BURST_SUPPORT.I_SLAVE_BURST_ATTACH/I_FLOP_WRACK_2BUS> in Unit <xps_bram_if_cntlr_1> is equivalent to the following FF/Latch : <xps_bram_if_cntlr_1/INCLUDE_BURST_SUPPORT.I_SLAVE_BURST_ATTACH/I_FLOP_WRACK> -The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.I_SNGL_S_H_REG> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.I_SNGL_S_H_REG> +The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.I_BURST_S_H_REG> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.I_BURST_S_H_REG> -The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[1].I_SIZE_S_H_REG> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[1].I_SIZE_S_H_REG> +The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/sl_wrdack_i> in Unit <SRAM> is equivalent to the following 2 FFs/Latches : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/GEN_WRITE_BUFFER.GEN_WRBUF_WREN1> <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/GEN_WRITE_BUFFER.GEN_WRBUF_WREN2> -The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[2].I_SIZE_S_H_REG> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[2].I_SIZE_S_H_REG> +The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.I_SNGL_S_H_REG> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.I_SNGL_S_H_REG> -The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[3].I_SIZE_S_H_REG> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[3].I_SIZE_S_H_REG> +The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[1].I_SIZE_S_H_REG> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[1].I_SIZE_S_H_REG> -The FF/Latch <SRAM/EMC_CTRL_I/IO_REGISTERS_I/mem_wen_reg> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/EMC_CTRL_I/MEM_STEER_I/SYNC_MEM_DQT.REG_DQT_GEN[0].DQT_REG> +The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[2].I_SIZE_S_H_REG> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[2].I_SIZE_S_H_REG> -The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.I_CACHLN_S_H_REG> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.I_CACHLN_S_H_REG> +The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[3].I_SIZE_S_H_REG> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[3].I_SIZE_S_H_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_STEER_ADDRESS_COUNTER/I_SNGL_S_H_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_SNGL_S_H_REG> +The FF/Latch <SRAM/EMC_CTRL_I/IO_REGISTERS_I/mem_wen_reg> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/EMC_CTRL_I/MEM_STEER_I/SYNC_MEM_DQT.REG_DQT_GEN[0].DQT_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/I_CS_SIZE_REG1> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/I_CS_SIZE_REG2> +The FF/Latch <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.I_CACHLN_S_H_REG> in Unit <SRAM> is equivalent to the following FF/Latch : <SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHMENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.I_CACHLN_S_H_REG> -The FF/Latch <PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/SIO/.pcie_gt_wrapper_i/flop[0].tx_power_down0> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/SIO/.pcie_gt_wrapper_i/flop[0].rx_power_down0> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_STEER_ADDRESS_COUNTER/I_SNGL_S_H_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_SNGL_S_H_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[29].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[29].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/I_CS_SIZE_REG1> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/I_CS_SIZE_REG2> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[28].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[28].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/SIO/.pcie_gt_wrapper_i/flop[0].tx_power_down0> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/SIO/.pcie_gt_wrapper_i/flop[0].rx_power_down0> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_STEER_ADDRESS_COUNTER/I_BURST_S_H_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_BURST_S_H_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[29].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[29].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[27].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[27].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[28].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[28].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[31].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[31].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_STEER_ADDRESS_COUNTER/I_BURST_S_H_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_BURST_S_H_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[26].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[26].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[27].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[27].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_STEER_ADDRESS_COUNTER/I_CACHLN_S_H_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_CACHLN_S_H_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[31].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[31].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[30].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[30].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[26].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[26].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[25].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[25].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_STEER_ADDRESS_COUNTER/I_CACHLN_S_H_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/I_CACHLN_S_H_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[19].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[19].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[30].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[30].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[24].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[24].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[25].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[25].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[23].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[23].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[19].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[19].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[18].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[18].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[24].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[24].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.GEN_FOR_64.DPHASE_REG2> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.GEN_FOR_64.DPHASE_REG1> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[23].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[23].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[22].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[22].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[18].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[18].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[17].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[17].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.GEN_FOR_64.DPHASE_REG2> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.GEN_FOR_64.DPHASE_REG1> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[21].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[21].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[22].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[22].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[16].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[16].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[17].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[17].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[20].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[20].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[21].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[21].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[15].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[15].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[16].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[16].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[14].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[14].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[20].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[20].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[13].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[13].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[15].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[15].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[12].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[12].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[14].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[14].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[11].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[11].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[13].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[13].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[10].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[10].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[12].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[12].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[9].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[9].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[11].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[11].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/SIO/.pcie_gt_wrapper_i/flop[0].tx_power_down1> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/SIO/.pcie_gt_wrapper_i/flop[0].rx_power_down1> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[10].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[10].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[8].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[8].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[9].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[9].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[7].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[7].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/SIO/.pcie_gt_wrapper_i/flop[0].tx_power_down1> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/SIO/.pcie_gt_wrapper_i/flop[0].rx_power_down1> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[6].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[6].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[8].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[8].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[5].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[5].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[7].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[7].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_STEER_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[3].I_SIZE_S_H_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[3].I_SIZE_S_H_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[6].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[6].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[4].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[4].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[5].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[5].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_STEER_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[2].I_SIZE_S_H_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[2].I_SIZE_S_H_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_STEER_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[3].I_SIZE_S_H_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[3].I_SIZE_S_H_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[3].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[3].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[4].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[4].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_STEER_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[1].I_SIZE_S_H_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[1].I_SIZE_S_H_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_STEER_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[2].I_SIZE_S_H_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[2].I_SIZE_S_H_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[2].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[2].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[3].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[3].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[1].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[1].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_STEER_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[1].I_SIZE_S_H_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BUS_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[1].I_SIZE_S_H_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[0].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[0].I_ADDR_REG> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[2].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[2].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BURST_SUPPORT/BTERM_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BURST_SUPPORT/BTERM_CLNUP> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[1].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[1].I_ADDR_REG> -The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.GEN_WRITE_BUFFER.GEN_WRBUF_WREN2> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.GEN_WRITE_BUFFER.GEN_WRBUF_WREN1> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR2_INPUT[0].I_ADDR_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_EQL_TO_32_ADDR.REG_ADDR1_INPUT[0].I_ADDR_REG> -The FF/Latch <U0/grf.rf/gl0.wr/gwss.wsts/ram_full_fb_i> in Unit <BU3> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.wr/gwss.wsts/ram_full_i> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BURST_SUPPORT/BTERM_REG> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.I_BURST_SUPPORT/BTERM_CLNUP> -The FF/Latch <U0/grf.rf/gl0.rd/grss.rsts/ram_empty_fb_i> in Unit <BU3> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.rd/grss.rsts/ram_empty_i> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.GEN_WRITE_BUFFER.GEN_WRBUF_WREN2> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_BURSTXFER.GEN_WRITE_BUFFER.GEN_WRBUF_WREN1> -The FF/Latch <U0/grf.rf/gl0.wr/gwss.wsts/ram_full_fb_i> in Unit <BU3> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.wr/gwss.wsts/ram_full_i> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/plb_size_reg_3> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/plb_size_reg_3_1> -The FF/Latch <U0/grf.rf/gl0.rd/grss.rsts/ram_empty_fb_i> in Unit <BU3> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.rd/grss.rsts/ram_empty_i> +The FF/Latch <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/plb_size_reg_2> in Unit <PCIe_Bridge> is equivalent to the following FF/Latch : <PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/plb_size_reg_2_1> -The FF/Latch <U0/grf.rf/gl0.rd/gr1.rfwft/aempty_fwft_i> in Unit <BU2> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.rd/gr1.rfwft/aempty_fwft_fb> +The FF/Latch <U0/grf.rf/gl0.rd/grss.rsts/ram_empty_fb_i> in Unit <BU3> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.rd/grss.rsts/ram_empty_i> -The FF/Latch <U0/grf.rf/gl0.wr/gwas.wsts/ram_full_i> in Unit <BU2> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.wr/gwas.wsts/ram_full_fb_i> +The FF/Latch <U0/grf.rf/gl0.wr/gwss.wsts/ram_full_fb_i> in Unit <BU3> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.wr/gwss.wsts/ram_full_i> -The FF/Latch <U0/grf.rf/rstblk/wr_rst_reg_1> in Unit <BU2> is equivalent to the following FF/Latch : <U0/grf.rf/rstblk/wr_rst_reg_0> +The FF/Latch <U0/grf.rf/gl0.rd/grss.rsts/ram_empty_fb_i> in Unit <BU3> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.rd/grss.rsts/ram_empty_i> -The FF/Latch <U0/grf.rf/gl0.rd/gr1.rfwft/empty_fwft_i> in Unit <BU2> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.rd/gr1.rfwft/empty_fwft_fb> +The FF/Latch <U0/grf.rf/gl0.wr/gwss.wsts/ram_full_fb_i> in Unit <BU3> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.wr/gwss.wsts/ram_full_i> -The FF/Latch <U0/grf.rf/rstblk/rd_rst_reg_2> in Unit <BU2> is equivalent to the following FF/Latch : <U0/grf.rf/rstblk/rd_rst_reg_1> +The FF/Latch <U0/grf.rf/gl0.rd/gr1.rfwft/aempty_fwft_i> in Unit <BU2> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.rd/gr1.rfwft/aempty_fwft_fb> -The FF/Latch <ppc440_0_SPLB0/GEN_MPLB_RST[0].I_MPLB_RST> in Unit <ppc440_0_SPLB0> is equivalent to the following 2 FFs/Latches : <ppc440_0_SPLB0/GEN_SPLB_RST[0].I_SPLB_RST> <ppc440_0_SPLB0/I_PLB_RST> +The FF/Latch <U0/grf.rf/gl0.wr/gwas.wsts/ram_full_i> in Unit <BU2> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.wr/gwas.wsts/ram_full_fb_i> -The FF/Latch <Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_state_machine/state21a> in Unit <Ethernet_MAC> is equivalent to the following 2 FFs/Latches : <Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_state_machine/state19a> <Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_state/state21a> +The FF/Latch <U0/grf.rf/rstblk/wr_rst_reg_1> in Unit <BU2> is equivalent to the following FF/Latch : <U0/grf.rf/rstblk/wr_rst_reg_0> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/clk_reset/rst0_sync_r_2> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/clk_reset/rst0_sync_r_2_1> +The FF/Latch <U0/grf.rf/gl0.rd/gr1.rfwft/empty_fwft_i> in Unit <BU2> is equivalent to the following FF/Latch : <U0/grf.rf/gl0.rd/gr1.rfwft/empty_fwft_fb> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2> in Unit <DDR2_SDRAM> is equivalent to the following 6 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2_1> <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2_2> <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2_3> <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2_4> <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2_5> <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2_6> +The FF/Latch <U0/grf.rf/rstblk/rd_rst_reg_2> in Unit <BU2> is equivalent to the following FF/Latch : <U0/grf.rf/rstblk/rd_rst_reg_1> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_0> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[0].u_ff_rd_data_sel> +The FF/Latch <ppc440_0_SPLB0/GEN_MPLB_RST[0].I_MPLB_RST> in Unit <ppc440_0_SPLB0> is equivalent to the following 2 FFs/Latches : <ppc440_0_SPLB0/GEN_SPLB_RST[0].I_SPLB_RST> <ppc440_0_SPLB0/I_PLB_RST> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_1> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[1].u_ff_rd_data_sel> +The FF/Latch <Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_state_machine/state21a> in Unit <Ethernet_MAC> is equivalent to the following 2 FFs/Latches : <Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_state_machine/state19a> <Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_state/state21a> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_2> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[2].u_ff_rd_data_sel> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/clk_reset/rst0_sync_r_2> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/clk_reset/rst0_sync_r_2_1> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_3> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[3].u_ff_rd_data_sel> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2> in Unit <DDR2_SDRAM> is equivalent to the following 6 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2_1> <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2_2> <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2_3> <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2_4> <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2_5> <DDR2_SDRAM/u_ddr2_top/clk_reset/rst90_sync_r_2_6> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_usr_top/usr_rd/gen_rden_sel_mux[0].u_ff_rden_sel_mux> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_usr_top/usr_rd/rden_sel_r_0> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_0> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[0].u_ff_rd_data_sel> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_4> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[4].u_ff_rd_data_sel> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_1> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[1].u_ff_rd_data_sel> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_26> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_26_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_26_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_2> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[2].u_ff_rd_data_sel> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_31> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_31_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_31_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_3> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[3].u_ff_rd_data_sel> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_5> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[5].u_ff_rd_data_sel> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_usr_top/usr_rd/gen_rden_sel_mux[0].u_ff_rden_sel_mux> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_usr_top/usr_rd/rden_sel_r_0> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_6> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[6].u_ff_rd_data_sel> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_4> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[4].u_ff_rd_data_sel> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_7> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[7].u_ff_rd_data_sel> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_26> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_26_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_26_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_11> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_11_1> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_31> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_31_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_31_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_6> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_6_1> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_5> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[5].u_ff_rd_data_sel> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_23> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_23_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_23_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_6> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[6].u_ff_rd_data_sel> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_18> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_18_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_18_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/cal2_rd_data_sel_r_7> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib/gen_rd_data_sel[7].u_ff_rd_data_sel> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_10> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_10_1> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_11> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_11_1> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_5> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_5_1> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_6> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_6_1> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_40> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_40_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_40_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_23> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_23_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_23_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_35> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_35_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_35_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_18> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_18_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_18_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_44> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_44_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_44_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_10> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_10_1> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_39> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_39_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_39_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_5> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_5_1> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_30> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_30_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_30_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_40> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_40_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_40_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_25> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_25_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_25_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_35> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_35_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_35_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_1> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_1_1> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_44> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_44_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_44_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_hit_r_1> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_hit_r_1_1> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_39> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_39_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_39_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_13> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_13_1> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_30> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_30_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_30_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_22> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_22_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_22_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_25> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_25_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_25_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_17> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_17_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_17_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_1> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_1_1> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0> in Unit <DDR2_SDRAM> is equivalent to the following 40 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_1> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_2> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_3> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_4> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_5> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_6> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_7> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_8> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_9> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_10> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_11> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_12> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_13> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_14> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_15> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_16> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_17> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_18> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_19> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_20> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_21> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_22> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_23> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_24> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_25> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_26> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_27> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_28> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_29> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_30> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_31> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_32> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_33> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_34> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_35> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_36> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_37> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_38> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_39> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_40> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_hit_r_1> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_hit_r_1_1> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_0> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_0_1> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_13> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_13_1> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_12> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_12_1> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_22> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_22_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_22_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_34> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_34_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_34_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_17> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_17_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_17_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_29> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_29_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_29_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0> in Unit <DDR2_SDRAM> is equivalent to the following 40 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_1> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_2> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_3> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_4> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_5> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_6> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_7> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_8> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_9> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_10> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_11> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_12> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_13> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_14> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_15> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_16> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_17> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_18> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_19> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_20> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_21> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_22> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_23> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_24> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_25> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_26> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_27> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_28> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_29> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_30> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_31> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_32> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_33> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_34> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_35> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_36> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_37> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_38> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_39> <DDR2_SDRAM/u_ddr2_top/clk_reset/rstdiv0_sync_r_0_40> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_43> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_43_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_43_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_0> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_0_1> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_38> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_38_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_38_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_12> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_12_1> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_59> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_59_1> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_34> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_34_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_34_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_21> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_21_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_21_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_29> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_29_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_29_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_16> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_16_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_16_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_43> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_43_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_43_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_7> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_7_1> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_38> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_38_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_38_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_2> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_2_1> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_59> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_59_1> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_33> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_33_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_33_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_21> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_21_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_21_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_28> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_28_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_28_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_16> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_16_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_16_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_14> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_14_1> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_7> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_7_1> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[0].u_iob_dqs/dqs_rst_n_r> in Unit <DDR2_SDRAM> is equivalent to the following 7 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[1].u_iob_dqs/dqs_rst_n_r> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[2].u_iob_dqs/dqs_rst_n_r> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[3].u_iob_dqs/dqs_rst_n_r> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[4].u_iob_dqs/dqs_rst_n_r> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[5].u_iob_dqs/dqs_rst_n_r> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[6].u_iob_dqs/dqs_rst_n_r> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[7].u_iob_dqs/dqs_rst_n_r> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_2> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_2_1> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_42> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_42_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_42_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_33> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_33_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_33_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_37> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_37_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_37_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_28> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_28_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_28_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_58> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_58_1> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_14> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_14_1> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_hit_r_2> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_hit_r_2_1> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[0].u_iob_dqs/dqs_rst_n_r> in Unit <DDR2_SDRAM> is equivalent to the following 7 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[1].u_iob_dqs/dqs_rst_n_r> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[2].u_iob_dqs/dqs_rst_n_r> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[3].u_iob_dqs/dqs_rst_n_r> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[4].u_iob_dqs/dqs_rst_n_r> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[5].u_iob_dqs/dqs_rst_n_r> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[6].u_iob_dqs/dqs_rst_n_r> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[7].u_iob_dqs/dqs_rst_n_r> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_15> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_15_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_15_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_42> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_42_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_42_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_20> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_20_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_20_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_37> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_37_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_37_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_32> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_32_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_32_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_58> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_58_1> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_27> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_27_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_27_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_hit_r_2> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_hit_r_2_1> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_9> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_9_1> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_15> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_15_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_15_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_4> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_4_1> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_20> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_20_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_20_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_24> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_24_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_24_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_32> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_32_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_32_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_19> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_19_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_19_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_27> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_27_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_27_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[0].u_iob_dm/u_dm_ce> in Unit <DDR2_SDRAM> is equivalent to the following 7 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[1].u_iob_dm/u_dm_ce> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[2].u_iob_dm/u_dm_ce> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[3].u_iob_dm/u_dm_ce> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[4].u_iob_dm/u_dm_ce> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[5].u_iob_dm/u_dm_ce> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[6].u_iob_dm/u_dm_ce> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[7].u_iob_dm/u_dm_ce> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_9> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_9_1> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_8> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_8_1> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_4> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_4_1> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_3> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_3_1> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_24> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_24_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_24_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_41> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_41_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_41_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_19> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_19_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_19_2> -The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_36> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_36_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_36_2> +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[0].u_iob_dm/u_dm_ce> in Unit <DDR2_SDRAM> is equivalent to the following 7 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[1].u_iob_dm/u_dm_ce> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[2].u_iob_dm/u_dm_ce> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[3].u_iob_dm/u_dm_ce> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[4].u_iob_dm/u_dm_ce> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[5].u_iob_dm/u_dm_ce> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[6].u_iob_dm/u_dm_ce> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dm[7].u_iob_dm/u_dm_ce> -HDL ADVISOR - Some clock signals were not automatically buffered by XST with BUFG/BUFR resources. Please use the buffer_type constraint in order to insert these buffers to the clock signals to help prevent skew problems. +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_8> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_8_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_3> in Unit <DDR2_SDRAM> is equivalent to the following FF/Latch : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_3_1> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_41> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_41_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_41_2> + + +The FF/Latch <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_36> in Unit <DDR2_SDRAM> is equivalent to the following 2 FFs/Latches : <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_36_1> <DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_u_ctrl/bank_cmp_addr_r_36_2> + + +HDL ADVISOR - Some clock signals were not automatically buffered by XST with BUFG/BUFR resources. Please use the buffer_type constraint in order to insert these buffers to the clock signals to help prevent skew problems. diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system.ise b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system.ise index bf28fd80f446949af031d9bb0127cc33fb890b96..55ee070465d17f136e25048ae55283d918a7f4bb 100644 GIT binary patch literal 169389 zcmeIb511s$RUer8i`3plw*rJD7Hv-NO8bZHuFCpft(}%;x_f4Ncc#1P?)kSfOKnzV zR!?cFt4dYX)7@IF(0`01WPyYvZ0r1ij4=po9kR?J?(XB`Bo5FS`{VH07=5<%$@~$A zjSs`;&$j~Ii^zz`h>VQL$m;Iunqf=I+3L!S7cXACcrW6`i(jri@uC+`S#h72z>l+o zTb<0B@{w22M|%BkZ>H`Je9gmui+^~ad5}>Z`g_ATs^9Gh?x)s5xN)%B~jOH1n;%d0DQPdT&B-BasJXO}lNrJq+%KfVD^FY!<3@19!T zV87HB)|XCgE-ltBFK?a$Vwf6#|NNtqV1F?5w`+S|Ju`$>!cS96D~nU4)~Q5>oNVF9IYMni zN{KlW1^SM+|H7AlAB2gnyQo0@&amI|2Tpcs%4_wfKq^x=hQr=qF2iJo^m}&D-RU>{ zX1BlP&vyKwEZBp~a-@2v;FHT{t25csOg_8mRObr0xtueb&6k~G`AOWMeyefA?R#~% z-`_{ib05dc6$@q0pJ`N`+)TFMWM`_4dUd8=X}Hx=BkNcF+|*rDwHh0%H7qCe6C2g^ zCo1}8tL@`Dc*e?e`P^KgG+Qi`D&^vnQ;kNm;5F(_)d%j2`BJu6EHw+&a;e^S7cUf=I^`$O_q zqr1Hg9tZi4yQa>y{Jz_7+-No2_M$&%ZP6PwL~R|)Eq8`~zvH&4*<9IJ+2?iejn~Eu6_$ay zdnmE2i3AebU|{}|`A|vX$G-jH*?$17K-cTFtx07Lo$`3iA?>snvv}dZ{_1B7U=q=F zLfgU#HIJ-qlWZoHw#bf(TsDImv-F5T>2r(38b|2-#;1Sz2fhzF9bNfUbQnd;df^Mv z^)2!NDi%<~AGm=(FMwar_ZL|&qPNJM;6E=}n9D4|QtDRb3@o+QH&-_@m%II&t8;)SlXdVT*^t-jN04`(}D9;yhlqx=URcrk56(tlGu`yx~~ z`iOJF-}}A)_Luj-ujrbCOE9o88o(r;Vc%*S!~SNy4TaI?W!8(WoRzgQk&3=J{pW9d z4DO?=3|CS`wb}r@xbM}vb>!D;L$}`cYc-HxZ07+*^g;F&edbv22Y=&##g=n7tnY?D z1csPHkEkkNNSXwPg%W)68`Ra|k|w@r+JJ`6A1Cpk@;xY4OAyIqMC6A`n@A$D zB?f-kgcp@WroVdEdw&unfvyJ=B$CcIk9nz*VI2_Toj-TZ`m;qCdg!`8p_P;BpTqV| zu!r7g^jp2*AaU)0qPYs2MM!9Dmo$@QqRG(02{vptxq7WF`ct`;ht6IRVp7RGGdn%)kF9zX!NNmuV-4wBkBZJ&}8X=)OPy zjnDkTH@@An&}G_Hu~kRAiU|2-Fj4Ec+y0>EHhkN^<+klT99g%YnTq_r*xQC5LDmiX ztUp{H_?LnJw*Abr@Vjl>1Ak~Y;hz8I?IC+#ee1rZ14;f;VOCLDI}*(&_{$Xj@-4kbV2Ud+E5a;5|L&l?FYgKpRyl-);z_y zy5C;u?%M5c_a^E$-)-E$;FKWje_ zK7bnp#ZdR#*RUEADTWU{1x2ui;|iFb2b?fcq<(m&4sE+h{=_|q{|Ra!?D%ipfuV+Z z$7H5KeZoq5JJ5^MOY7^a>vQ&k+p#;{q3!t)joWT@d@NtsTLhhH4tJ5BlKqTXJgR_! zzCYaQcgQH?DhKxf-Smvz?SVdomG_})BtkViUXaH^q;T?wiu)iM;UTRzdOR;+c*@tKB6W4suFU&d5EE?{x9q8NFwtSFWaG!KQ z-{120pzldNCm))9-O~QwEX}n%^oLzpN2#N_ITgzY=H=9MOphAba3B(<^i7e zjO{%86efel9eo(ndCabcLydG)(Bni-#2XFlj=xLWhy;nP^w7Nto!LP1EaXp6Rd4pY+jiX#+)2N`(;NC877`Zx1w;i296t;8!kg)K+JXMV1BhoToza|8*g{<2 zCe!ELR=Z8rCW}WQvCF76%$eCRI_V#!!Zc-^&;kV@I@;-WX5QxaK@M&ols8bKR`XFz z7{-|Gg5e)@+X8_FP#Wk#)O_&3+pPiG2M3+++SqJ$n@xMS--1vG%tVGvCQvsPmOBKk zsqYM7usy&lwmriWb7yu*e>`jZZO|UhfZuPgcBlu2K1I_OWL}_tsUwmrMMY58*$%4@ z3fVUKiz&q^G}G}x_Ndw5>ifvP?0~HZ1~-&IjDQIlxLf`vmrt#)EU%oM3oHy( z&Rut4V^c8z)9Tr~EpW6hQB^u7$Y6ztlFhb?X0LaU7Em&{$ygccV3=S8hmA**k-&}( zfjqE`+z&j}>cIT4<+-5Ac6}cn0VNdARlfR|h5v1{yPNOcmR^NYhRTGt;2<`|S&E z2Xqb8vTK9i1SLYI2D}b3XIV{2k1!81>AzQ%yK+{oWKY$6yQ?hG-sR^oEX z(O}`lmS-TsI7@p9%mU9fyq*pw?yI8+!^(s6^97DH_!(;jE)S^U3nz+rR1Pktit zc@|rMFVZfhB08jx@8*C~LVyZKh)m77~}~Sjy`iyNKFZ2*DQb;!#B!xrvp>UCd7F1xuVyoc;#Hx_nk`J%jVo-sZdSF`VDt513sxYAPJM=fAST` z@3>1)c_SVh*(&0%Qf)W|$8S`-Dy4FhFpg(6-y6XFS!WN!|>Kv^EnZsWFs=MB^k8OR${tBT`fu z2|j81@_}hbTZ0;N6zSbRaOj*y6NwKYA02pPAL(?~TB25AML^xAb6caTgUT}hR`1uQ z(W!QHjj9eIZKXQIMfxEXJbJ$Ex2r$#pQhkfbdB2OYHp%kj_QZc%c5KaKL`I-;6M7A zPqfW}Dc3vu(+8lc8rVG7BWsDlbl@PohkYa3;~)Nq|L6bvENqFQ>rErdCe?(YkRS6w z>cruXXAn_cqFa^8NI#{qXCEZmGgV{Iwtn=U!QOr;J84}eO$=gYf&XOhwcq$OXf?Xt zIHG3XF4IC;9us_UFRFobsOfjy%MQj;DmVRHzS{K5ZnNAdc~!Sstu%|3x}U2wvvoj0 zN|n%{-*E?|th?m#V#a3PZ4|R!C10u*oMyS|7D{eDTL!GDeAe|G_b}N6Y_w=%06(`F z!Kz1lXoNY$ne-zrS}V~D;$5|K1v{xW$I&buB$$w>%Yf1eYlXz63?*SQhmg~gA{L(~ zrkk=FbETRc5ZUAZBu0NISNaK<1YDsKwrRSfc_R&`SgE*EN$s8A_?`UEfy~gAg-bh& zrBX{ZiON-u*&_oy6q-zkbIIzz_(%W#O%QWI*Q2KGzFk}_w0MGjs@M@Ad-k#6o1lO) zI9D3e7*jf}X;hII$u#PSr812wLaIT|1Pos!)2Ji{CP>{?lJU`zF_D&J8j}d>B$kRh zmDK*^RhK`gG>t0mCfhX1T*sKkU-;|&zS1l)97H}G4mj26?)?emfwuUYn+9*sE`1VceERD46ww#$s zpr!L4L#PLR32x6wWqk>$ec}YkzpDh0bZtEChf6GZ(tv z4xGO|qHU_pL*g&GQ*j8JQ@j|ze`GcT@y#r{Lw6=Xr#~uDUO7Y_k64^n4};Y+fW^@5 z@6Qm7pQ94%(?`JT5r`MKWFH&82`)hz^tyS6-sq@YE`O#;Z*)Qm#zrSDkO{62J`vQc zFP`}NuOlFQbm>itITz8+Le;_tos0?wbmXM*>^J}Flg|Qo=+fH_9S<72p_YbaeHMej zz6d}{Ut+z~`ZnP5<*+yWx8Q9OcU#|Xy#ij-aSsRw{rer(cUs?Ny$Y~FUkz_hc`aa^ z-3$2hAGAJX{fPBp_&#m@9qS|3k6J$l-ygI-3XA1O-~i^M)(qq$WgtH0!0$QhV^#qm z?n(f8TCu7COZr9tKs^c6f86@G^=7zt3UJdF;O|BFzGR)T&RXXH&g^mP3G2Ld!CJ9a ztu+wRIy|ufPi$Hj;rUCjuYLu7xeC8LXZZ+V)pMbl*)r4+ROF z`0saEKWM!Zo_&|~ZtFep-}hSYv)&K?{Up%-+tv?9H@?5})lYw^1=b8*di$HMua!FY z6V_vI+S_hZ^o^4b!h19xgcJFVt_OfKCm+1Hd1j{a;G5>H#{&HKnOoq^8Cb{3X=k=H zZO>cwV>bZ3#P7p5`!VoMKB!x(+48-4x)0+x=uI8D%3QwiSVnjP6$!Kx;l0fVW8kq2 z_Y-p2T+^by5BxI9e~{1s{mPo?qLA{i|9L`8o)^=;Be@loF*(zBNT+KzPo*L zS_D0wwl{8cch>;$1{m#jkWW83-E;wDX4*!0j3=khterhY+Bc1{Wll~n&1JUtX@b=t zbGbDf`T!UL_&}My-}cdql3;F?L77(}Fmv$2n+4SiZ$!4PlegXg4iysl?2X}edz!YL zaf3tyjUILzGc9;Wjty;S1ILnVhAzB7W*BoLqo;5Y5Z_vF!!VRKt29C^_8Ar3HNZas z#666xA`y(esiJhoMUX99_5#Ka1VIl6&|##Vu{Twe05%I~0dh((Rp!XZcLExZWssU- z(T$>T05XCs$ELeA2(+uVx^n*Nqf3iV)K<@&nYM4VJkRf(oCa7#riAAN(1r`EixKZ>QtN)KCu#KBUb6)wMrilK+WAF;sm0Qj&878~Q|D{P zGsi$P+YB%dGiMa3L=z2WHBbuxC>mzh{X|8;kMYxtp$mA=fFpbRN@dvER!W5!)L_m^ z)Fqgg;$>3&qUp-ohP{M9;SfBWXI~B=_h2r;&1Km-387+d`QXk4rNXn+mH0_2LBBf> z=+pQCf1%sn+3uiOF1$Du1*U~7;eRm|eQSg#{+ZK&8LaaVkC?fjh6r;TxY{Ji)nQH#>++-QSyDxWz0)lK)&ykmNYCO$ zl(4!-iprK_oO1Fj`?bEmvEKmz)&YtxaO;coio1bgB8Em`|$WS|g3{nrnL<9Jh&JU(P)PU3-RQ>mN?w&?ZOgCkfk1ZKgA$n&p*3p5}bVG@j!dPc~*>e%6PptW0S8I#%~Eg(y*WI2-r z5^l03Zd(NNd$a&xb9`nEA0?B1(Gt;L*@&kT2$h-sf;2K{3H?RvA3;|S-uaJ2 zq;?9>u!%qnR8$rnEP7!c4s$*<*&Ib?LT6GDghGi7R>N=rek`?KnaIX|2+@9+E>=;H zT$uFB+b!J8pDp{$9rxJh@-!D{AH{8hZA$9R2P}Sp+a&MVZNdv_;6)FVXS$QM9&9Jl z>AzeqtYEc=qVEHFqYkzRl~2JvHSKeY=V5TkEE|=-M4YX zZO{Vm&QVl8rKOGdh$YA0NTk5zBXERBusd2Br@UKePt(I8;W2JW0)a4}^ZlOjC!RAGpytcly zu)MLnx-#)?MlGoEt1^hd1Wk%5oyLkHIG6d3zfel_9 z!u$l|QBGx`vPiq!qjDSbpsb-e=})Z zq)+AMxNthv1$NVjM1Br$qjRc2%jb>C7v`X~+wht@P|5BV>?KL6K(^M3jq)5t-_oeF^|z?Xx%?4HBW;>1iVuWQYKxppA~9<=791E1T-F78!x@`?%6jbZ146cgqePXcj*Zy{&h~K9 zNMQe>VJXU!!WJ(2w^|U!;=~q8O1?*}3-cecL&71XzL*=t`V+pO)o&0ngUOW2tBGj< zwD?)SgI@QGb4p_J1Y};=7(j2$;&he#z5(we^EFLT_|`ReH!Q6Ex8cl!eG$FukCBkI zND8Q#g#2iija!X|DAq^Z=vsF$oPneoJON=T3e1YCvKqSEgD32JJ zCw6xrfXrr!VJAHDhz;)>MCoeKx4~1?9N6|FkEnYUvYJ>4ZV&=J;f|KZ**3h+4lO+i zdjebJCUqz5A&#OvG7mX*UJTHHza_!N0`Y(`xaCY6eBa(+#r^W6A<3>U_Yyb46lEMMEbVP9;DV)x)D$?kZlk> zkHjaWxH)arxXoaF3qmJEzNdpN7s`r4z~m_s7!4)75jYPNZxtV5iYQw{#ROn+eF4AA zy@6BQv81t}g}^o}yWqW|kX}MG0xTcqSnd*KP76eiU~sGBV62MqXRsjFX8EuXM6)i~ zkhib{VPkmnG^|CbLy#M+2n_kb?%hPTw}Y9>Km-%#9u43d9R1m@u^(nT?IzPyJb9w6 z1Xmh}1+AW7p$Dnqf(py>iJip+989P>5b+G@$qyh+HM#pGOh81^I<4Vv_X4zC?3KkGtDuI4IJelGNOh4?5^ikr^th8)~ zMk$$L04pz{D@ zVcX?q5-F1nb5e=@4`{T0qpU# zUAib#uaWK?K{VPoYkh7RPhl8EPxh4pNivcXHAcvK z5e7G%K|mZ6&h%`{vxun3l#6L&lX5$#&|v6_qUeKskc^~Np~90&deW-52D^Pg41pQR z1W&7HTlLU$E}vfB2tp=lcRIq70~%SFEE4y)sx0{;P&paUW0Ync{nox8m=% zA&nPsYCN$X8gGG32pDYbc6S$T(ZfKXSQIvFjQDm3mv>QlT8TWcVuM-KVhdwSliEIs zz77)q6MY@*Nvflvs9PS(1mIYYkt5;(Dwx6rq*+XbC<)Xz@T z;#ca^551s{$oUYMu4oPhF$Rs!=^l(fa<*1HW=~Gn#vl4&+`&XlK1dY>0XP_UK@}0< z!Q4)LsETn1JC+6~*$>7ZsUyN4Y#hcNWsf;Dsoc{eS`(QkXk~khA&p}`s4n#3@rr?r zCVtD4jHPgkLN)VHDnt5lcDzC$gO(Qp8L|fu!U)6pc%?vX11|<@8RF%@Oqajj9$jc^W$pAa2eTgP?+X%bosJ8Yw# zJ(AYvx)idw5qDfYoRCmjVIL-w!WCdA;=p`2L{v zPz<2=2>|rY0}Qqd=sgcF8GbW>o}Yrd2+(`cng=-Vf(Fog1D@D~CoU2=@2ddiJr7{s z1q|jrPvE=}nD;!ud3ylmJr7{s1pxED0pD8i4MBO&1FseUdT(1D_+=i0dCy}w?*fMN zo+p6byKrw0Ke3PhrI6n9);r+N58~h6i9x;Rt@mJ1?|Fdr{vm+$o(E9x699nzL9yrm z+0TCTfu~Pe7QTQ5HJtuvJ+B1#{*VapZJ)G7h;Q_RA;>p}@omFL#QDDLdym>q#eRIJ z92jt$l2TFP&fw6*`YL>K!E3D_y=$7ElJgkx_eQH;gfreVO?n@JR990*EI zzQfbvV7Y_#N9KAd^oWPT6cq^esSF{p#i@i4uVgvZEY`*;ScGew^unt=9~KR?J{A>Q zWE*9mXpyVo5LFsHZjk%SNvwm7Qv*&RCM_XYCooEi$R`6$zRZm!RAH;r&(xCB9=01OlqL$19SsaDk3W<@Jv%9g8epuWfMT>(K%HF_yu+$&oPAd zjsO?OcQ-S18phb+JYJh+YZr@GEU;Hgiz&=@!Gpz*&;)sJNz;T7bC_=fJzo}#NK^h{ zi{BLecSQaLykL2XHX2%R&`2Rl6->lZV*Y}8kHZhvSbY*X54tDfMT3|vOm*-pUDVu> z*lxm3$;iA3D6Y(L(%5ejb0p>}GDo7WVI*&4=3)iMLb6HB(NL(!9Sw#a0((ey1{xbg zYv`7DL=D)M3qL%gG5MgSs@R9|KF9$z^*|h&Y*CEJA63=^@kcb751SJ`4x{EH^2ZeR zKnzlC<~gLgoSsFrW|ZLW)KImts}~%LPa1?~v3`Yx5Zs=GhO6;<6wz^7c=HzBWx$wE zNsLnxkzH2m{qcD@e}E7cU}VShuVK(+svl4fuuLdgh=kbIzkq&+ltgY!E4Fr%UNnBEro4Y54grc^NRJPNS}@&1wc*KMf~}e(hO^a(0e7`V$4q3w@M#Z8(`1(~$1k~jJ+>U+JiWN}C@gYk^_$(oK$e_& z5N?#B&x&-=$pWFJv2B|NkDW|7+K^TFMuXV!byRFxo?e6;oox-^8JbcnZ&bvC&`u+| z9KHCbw1i`zXfaihaLiL$s%tdZ&JG8`h;Z#xKS|FSf1zKe?i}^x_H!Eg@Bq@Nf z#!w|cMTwiiREF@ZYRYgYO56;uQjOgzR2C)9<5tSno(fL}autZuKcd7(Mt7wI`Ts_V zr$l_^Ny*=%#7D$~l?kI?qQnmoB~~VWaGY4K5mC-Zhm7Tjvab^L!-A2;HAvLW2C1XN zl4Yz*ed?HvQa!3HBQNpJ57VfFMtH6K!UkeX827-yT^m5XrZdtq%4?V$BHyE#DH_x? z91uDv0&M6?DFS*pZo+#h-K@C38gw1)x3dQYmqp|#F%s8G2{4Npq9l?~W|_B7ipXhP zy$oHWMtn`FR1EEv&EjdpjtmHvNyIQZr4x)H{3@Lp8*L#gCP|9*H)(`!oKY}TIwr$$ zdk0{(vfeb=Ue|RcY^k$&Gm03A$mljj(q${K6n!brz7(AqlVr!n1&s(xfti>>VGqaL zWU3PJHc6pyo$%D@=z=|<(QSo_9|!0u)1M%!D*9V-&q5~v4FIVifyA{C3C52VmlP|4 zkb%oKxQY5Hw#){34qJpqa`Lm<=m4PDL>z_6)%hQ|LvU>Fw#)+A=_*$fyp)ko9}=(2wY9 z0#in+Y5+7yMRz>>C8GdR{ze@)k{HE#otYR7f%+zbS0gOFgK`>YjYbeGO37Dv`DmU} zDqkNr$VX?H7*OCfVnAWpctAk96#a0xZ4xb*Ih0%s!*Pu9NOJsyb_5+!qP?kDFG%RZD?7KGaiZ~@d* z9x$RZI1nGYLtBQhzWznmU0u*SWP<;8$Lr|l;KUIoAtIyyPZjF zccdAn%{FLnu`t2yH5$1DHN&K>$7FyK2QY0yV!uY9VraQ!+f}MgVmB+AgTx?uq}Ts1 zr$6~CFR?6iA@G+tGoP77t_0AR`z?RqWT&RQR(}e-%G8bFus4{?FrR~-n+@Lm2yo6@ z{w&OKWXE(wzGx(7rr-l`EY+E8X(pfDbgFZO++5C?&F0HavHT=%Wxv(9;r6|{+wbqA z=edvL<%)%}=g%~%PHrY!aI!PiM!h;yuQc3hsgd=oes1cnWEY7}G+?qkFXg#>Zmv+8 zEtV@zuK46sBU^PF`CO&ia0-s!sCpH@n)m7@_?jye^7V8Lx#13G@brRfPWUxfj%8cp zFr+5rs++k0;-)-XDm#@jG{LLpE0tW)%{R(k5x!K5ZYf^|_M7!mvs&>FvkCc1VjCjH z->3;7>T;!0avD{)P%PK0^=39-@Egr~rBbeYe)TY$kjqsn)ufIfjhHgx-p}X!dbw0B zId0i;s^vzbT(1`!dAH(~i*Ow#_xXww%ey$mqLx--9}UOo5U7^?Y_V9b7OL4|rRf#R z9*m57Ip@J>tyc<%Is}|bEcfamplnIpNGKEmV7ua%U}FiP$}mR zwIjfiImir&mj4U$@Bhi~0gI2WqRR3oP43l5YA+CL`{IeO|N1MT7IZx}YHdWqP#k?; zX1&k~CZU#C*X%d{>XXj`h8()8@L;S@lUv61EE!Yk)>+nP4RGRK2{>{02sm*cU^sCf zv_540i1lIXUO-u;L1U6^D@G z?g6a00$|0RvCdlOtYzzQ>j~?;b-`M(R;@Mb`>l0&VgsJo1f;mr1S{?y0NX17Ufeaf zcino5V8z{IA+)##{PqdB>jPTcJ%AQh0KB*se7hIp#kJw@djKsC{oBE4aXss;@V##h z2vQunvy1QVS^E~ni9^4>190Nr3IBbU^=`n3y9cAhy&wMjNud3=0VOWdr(gN%r@zz! zP(XCO`5=87)uEpd5#UaW_-`jo(cf$i{!N5_qb}WX(DWi#nadYsxVOgw<-<^Kflfb` z;eN7GqP)T5kih3780;3jrV{cm=9O&l4_Sa+f5Ue@zYifw0uTqZqyzHt5OKlw86RzB z7;r4=6+O$T#C0Rt7HD%m0voq9m)YL00mZk#!G%HQG8}I70ZGYkbpVv5?Yk&`0rS;u z7k)Sc(UA4c)eRE*SWtyNM7FMzwXGhKP7%eGWW!P_VW(oNV~4nUB&SSDDI*kOQRE`T zVxLjbjmbvSrmE4CRdS>{7Cer!7JF00lYH)yl9BI_jqVImM{dg_ox;WEgE0`K$Zm0v z^21}!jZgbvx62UEP9Y3Fn#=|u?CC?IWjaVXG8SXO?8YY#l#QST$|L%LvE+EAP|G1+ z8NydD1zYlg5-h|3IXRtOX_+8C*}LI!syOu>Hm+l4RV#VG=mth>}W;+(-0Ch7KH?uo7Vj4!lzG(r~}43XgU&E&tXDAAL!gDgV3<^9swsYNX0;Q0DIEgYjt z5ileS|7QE}Jjm@T71&5O1$o3$5diSw7EPmpHVWd4zJZ9Jp9l~ukT?+s(TIXf`QC4i2>3K}Cu@;zxJhScNZc%@*1N|{;) zsfXe5%7Bb%9#@i#=(1~|~N zJ=g;4&z+@;cWb;cj9)_^GIOvlk4Q0^r=H9x&4Ff83{E8-GN&P!Ad_RT=HZYuReddS z7#t54IHbpUW7iew#|<1JjtaI5Zv-BNSui3PBU51a;CdO5jW7wuNrcZ-i8OZj9BA#9 zTE?WdNDJ`V$wbZ!Uy|vqxNQ*x-X;YZ^N>5=1SV3Par35079C_xA2BATAOOQ~0DdgB zUYW>-L1^OfA^UE5yM^0TU=we$Bw(9@Syo_T zvMJT%v>COa#;?jC0uwZe=@Z{_-ucl{gkdAimdP=c@+Gy<_S}Eg-4F?Lw#{iabi? z#%`rY7mboIgC`6zM5E=+00`AEp;3A~&?udeK|rH~QUZQPi;oED86|Uw z;GI#@2Z!#AQXCzxQ=)WO*qjn!GriZsa!NGK#)2M8scnSd1bb1n#u^n0M$(j>WCiEmRH<=R3u2(Xex?PCl zT}7%PP!$qC4zyEV?IqcoZJHdHdTS6?6#^z3Gh>BPjZ3>?`{|?Sv;;t_abPro(z7Ze zpr&J(F&JUErVI=bB-e>-FF^u>2qwXarAKw>4>F!24SzwLkG#Fi#$ST8cRM0puS!f(R7JlYo=oxV!>P}0I0>2_4A@vKw}Z8Zu}TrU(9rF)n`|Yr zhN1`1*J|5tED0ceyA7W}KafleQH+g+fdhTQPGXEL;jOxiU_6G&A+hH=bY#WM2UG@w za&DFryt0P3}Or#ozp!Se@A_g{b1aYQ?EyNlKo)p!LBGeEg$Jz*jtP{ z${sWJAWNF=|A^_&L~9};Ev;rAV@Tte52_1&c)VgbzLV+`B!pu;sy;zdptgZO6+taS zxL?IDN153-ImZ^~fKxlC^vBT;%;RyThz$0_tVL>b2XR~Mtc9?PKf*aAenNC?Z5`VQ zq)BM)0nQ#t;SXI3S=@*_t{zTED3fRThq7Sed;1vuEC~x9#!^Xy=KiUV{r-pF1F+oa zIyDlEbL7nyI*9Ji89;Qu0wB6yDL{0;hCy_{&brUCtrGyyeZTbpyszmE@clvSp%{Sf z69CYi2bgOapnKkW6UM7P1$PlZ_o6iq@Z1FrK=%ebu?bIHB=Fo<0h)Urz_|+;oO_d4T8k0GfLqz_|+m&V2*Ewcy)Ztef!d1cHfasnFknR)K2doc@J^asp z_M;CxebTbfb?z{FI11SPArY|KK52`P-ROsln`dS!558&MdaUpFy8Yn{!h{{z$hrx9R zX^m)0o8{XCXCTCP%?)<8Awy+<7NH2Tlmk{m3GK}>hxi+I8#66Ph$@gNUVYJJq>byd32l_aJmqKNS(kaDI%jro5&aO84flKv(41O zfZf0}*0_;Ik)jirR--u!ayCY;)-(fWIq>>%L3leoh%XM-S>QhzdYN%8qe>5xP?_U3 zU)M7UO_Fh!h1MiuBeTY&27W$3H$bH~1*GHzp2cH~0?Q@<&7*Uw2-pkk&JK6?Lhm_* z{PYGnJft!h%+P5VV~6c{ZI-QFEdH>-UM(#~FaysB7Bhmz%6mncCWIKmd>iO_vA|lJ z@(*bZrf9w+@-N{3%2Tw_(0_wQ3Q?z#Fnqzh$Ke5MtUif^2i+6#{dChLi955MkCAzc z$JY&Fqp`b5g6PaPK1OCPR&XR@namswZHn76G`LCh0q~MWW)I2EKx2dGiwFbEd_LPk z;fH5*!zIuWRV>4JU*mw9dLRx>wj4&}k1Fed_%qoJ7?D4wum@t0YO_92Z^|M}L1pu* zhMo;(tPu-_HuAu{n^@cy?6hb~J1z%zFi&DzXSABP>EPLBqG* zj%VX|_EE4KoWJ3_oQBS0dRyez1Zeiq#2Uo!N8%F|jS4mbF{@w`e)jy%$?2FGB}Kv2 zXablYoP%P>v@lBu%0{?89mSkgur1gCVY#nbMjOuBHOfmUL^#HiW*%c!!LdfrsiyAH zC?k^TqyW?MYQS(|69<_I#;S5YmR=EH>*a{yX*FWNU9HhE6In34*+bGa+2zaeOB|k3 zdwOvzQCQ?Az{U#wCpq&VDkjCT6&cZ!1uDx7Q51T0p}XB{k;C#jC{hwXKiBg6ZohG3 z-=?syVI&;tP(B6s)WEI?95y5uvI^g55F5VoPbHG0^C(!-@Sg}d_LP=hJg6)t%+ZT~ zN=rBfT9&fQ5srCEOLdI~+gVNqlK@9G`V@Xf-9%!>1w(!+GQC%G&wmGgsp#=n<|^9t1&=O=im=X@YuNC2tCN+F-5|VUAAv zr)}P{Q%&a94LVs)1{kZPm!VP~2P!KIco?jFG{V&)qzWn-P8Aid1;Qy&;8Z5Fm@jlB zvSR5$Rb!}Cj}jjd-&H1zeu)x4MATQA_`z{sxkf}e9~}agBg(!?)DH_57S|wA zHyfl{Y*@y+)TfTwDAl9HGV&7d{4kC9K#1Ik?hj&17{|cCT^m5RrZdtqYHK(pmhaKb z6b8p9TOb?|Iw+!R=t?2+Xt;yt!BoWIy_9ZN++Pj4juJgESS%t(i3R(qc1pll%n&7! zgc{4deNseD%RO!q(Cg3N>p%jxO#rm5x zLO0ItXzW$inXG|OL7>Eo(dLW%|0^R0B@5v12eF-g~Nq2rmYytaSZUL)9eN22TEsz;L0Ip>W z$FX{25C&06T;vxBX@DjvJ28GE(I}93^P!_r@^evA2g`Slm6eD-$*ZZjy{i%!Uw%Xg zNGeCp9O$>xBV$aS2aBsJ73*SOLpZeG-5L7w)EKDQXf49h9+?McQ-@Jmk24Qu%oF1V z8X7SQ@QZ8?a1&JE!ZGrXRTZGDTfCZy8L?*wb{NB#N-yF}Z^Bwz20V=DgX_%>_l9f+ z3D3*@o;>s;x|+b0kqixh1F7hahreW0Kg!>z<3}aU2U`i6t~Sx3dSR^Alok=P_EN1cZBcvWNGZ%aRmf%HDQ-Bp%|3U-w_0s|>Fn~x z=K9rIEu(()mC;9M2e&$!=kJYu9^~Ddsk;MTQ}Wfyk^@bt`1*$a-Y}`YSH;#h-0o>= zvz28`TBzU^YDA@VtyI^mYU%%a_WlPy0o~c?E8Ly*d1g}AXR_|Gv#VN0~6=Y&CH^|-Oa46 zEffmb+Lczj)!D0EYW0E1V(sk0LZ(*RgLbw+4+x&`o>$Kxk)xk@jhtFqS)3BJ@sS5U)Lm0+{q9>J^JK-n)!K50-98qa{Ilbb z8)U16{B;YCe>5Pgko?)%YSedHZIAqUW#jxN&~L!W0rCquUPS()yA|ZmQ#-?MXMdaC zgdQSagTp%H4+v2s^f>ZYb7w%G+UsLn2y){F1Sfi>unc62lRt)VwuXF#l{NVW2R`VJ zfkz;}LyL00*J_eKgJU`5510p&Z{E&!4|26yT~^BD zP-0mVnI=fZz!4?$5lTjs#6SGQ)<0bVj39KqUfY^fj>##H*D=vCgB=v<`>ACA3I0`f zGe_t@DVuOY8mniFKmE(M{mi%j26P6xPG~!1Lj5Xj<7iGE`O2gBuT=c#v<&vnw8~s{ zGZ$bFm1j$3r&2CHIpq}`uhQ_Um1Z_u&t(gKwE`1>YQ=9fD}`LyKM22MYLG=f|A`m9 zcnUgP{zwy4>L5rn)Fo{oCRj_^hiSZwWDjCWCpesp0RxzXiJknuG{kgn$e1gY)dWV^ z=S}b}NyZ~Pr-zM+YyDI{4H=WJFqfc#_e#Z`s!Qs3{n3&KHWOW0)8?k~X}QXnorOHy zQo6T%>DW2ec)GuM?)QG>>(E>1depSXCe`W1^wk8fFV8Km1uIOtf+5opy*~tRn9WXr zKYYYMSy&4-{>{XQg>(`;T{RU`#y+n&8d;t98-Y`m(fyar_rB;IFnrOqoSxH=ESbq5 zvEbzz9E#iq6oB)sj*s4|I5jnx;(uD%B1?IC7R#Q2P?ZfJC(%&WEc$(>XYVzNx0-w9 z?6BE%oP22pvi>_m078TZ{NE2k1+5mD2a;?K8jOdUAqiI%X?Go2wg{%iaFXR%dIW+uP44?LLVRCby?we9)lV5~DRzB$`ap**1`7E_;8J0jX@l z{B_2RfQjRkf)??-AX2({sv$=z$NKkoKmJ!pD(I4Yc05NRspx!kd1I+|X=#09d3B|B z{uF?WZJfHav{<{mym@ZQab_Jf1rx?JRLVY*awuxohHxaqpeBL@o3xK(DqMpJQ3}pN z0gKZ5!Y}rJ`f0F!=$Zw&3GuY}hNhbM_Om0>s1qH8C3N80E86LP&SXa!X(dX zE_?&unu@!y14Qrol|r+k+!|3eH=TbScVI#0I<1F2?im4SCmAM~bFs&&@A}H;e;52K zx}>cUx$S|Kx?Q|1WUbvP0fTZiTPph1YPsGl=Njd*=QbODrC7-O6-W=<3d}w=+D0GFk`%G)E~wsnz<^>10N<3slKY zan%O~3OQnvt}zg-f$KEmClsz|fv&zH2poeNVr3&R-a*ZRD)HkMdfWJyQqcK-1 z3;ey`dix(g1Opsh(i&97RY)xAEBV>a!uryw%}Dr`zpse!o_7aBbVgtiPO&V_UEkiX zfke?cjluQHfFkb0E6e;==X&37`*5b}I^;53-*({#x+`)W9mBc4wxBqMa~f=ibn>E}76ph|ozOb~hdFsL%8(NNoj-}*Eb50cs zu;XMTd<}F^w0eDMZFOTAD!5AH+T@1l24OIpqP`~lO=8KDlhZ%KV7;9ZI+@-a$7w6A%Wb27W zX*flTnh0MnKLfX)$VDha(ba!`_~xtU!D*mN3MuhTN#)+1aYv^EPE)${+_-nCi9CrG z$HdKF@`exp$!|bUpv%?`$VrWrlYY2U;^P$ulIQ$aQ_P&&g6CDDO+jiZvzaML0Qo+{4D;HsFX zN!p=|8yO-6ov+f@ud>hFE^DYQ^Smvn|(Xn9B>y|+TGFz795_d#Sp>#wBXv{cr_ zVI>IBz+)xz5eiatS+984bKg`R`4(D}&S#DL$Twj%t9!m&BQZVNGaTP`z&Bi|%{s?cmyisfp_&o*H<*KJfwC9hJ=RZ97Cv0iNy55sSj zCBMbnNa@UXM2(VX?uNEdT44ct3E-k=9GA4hA|(?1mNZA_i<#I=X@wOfpz~VV+EteF zuIK*khu;MQ5?#^?i?2N-5cOHhokri^hH>k*gMC`aieKuu^|tR#Ay89T;*HG0FVOtk)Y4GMQoY91@v%!DD;=vO#b;J~RXe07eyT8x=!H52cx<4DVQ;7HQy)miY zkMzSGvuMkCPAOL?ma@f0rIB~C#ayviX?o2@-YwS;C3)C^#DeImjW@Q^s!dd)2{x+I zLXbeSY5b|Q5Tr#C9ICX25XzZYV0pCl+8%<YNpvZvM2TBVtsjNvCBnZ*K3nuds3Q}}~fBlhPy`@|T3av@!2gkh-B&?=& zgyUWaQi-ZP;Vb^)W#_3Uloo=tI3Al5>k0z{a>pzL>y4}f$@9%-w(8}4KUZyd`GQ;U za!#>XEjJG1AWcDD2=X>kS_o1-AZm1Iw(z^7Q!j>}sqtMBcVVFf@AU?Cb!uM3;2NKf*&uBc&H(bPW8Moghs85g6$dAFDcD-fp5e z4ZN8NFQG_9fA-!>|M$DsUtn42lGcWiHl_1u<4%KR%%=5e<95A_QJV6A85X-Gzxesb zzYJZ1E@{ml5}0Ve#@M`LJX_u`6}?8?$rZANx|7e>-GWMr#Z&q(M8cqBq@_MrwRZg_WloC)O$4r!nt`uM;2@NoXb;c-u=n&i zu!ZQ7W-wtR(s`(HNA(b&X`NGU%;#RPEY+*6cYpJ>xnGAqK$m2T!hV?4r55gi+dbx3 z&gPvQU@Su_XDJKGB7Uw?D8Wk*D)mY|-|+lO&TUk3l|xAsDM%ql-ULd!TDHZ)1tuxAfF}^A3eABr1#R5*#dZ%%F zP$EyYfBNi;+n-S;GxEJLsUC{-!=2)z;MF~j?|Zpy1K!|Mce2eKETIb7yj#yziur8m zFjh=*G9zyorMa@GLkV6gc-X)IN^2$b9v+RClGaN2`2-(zBDz)z3z-;6$w-UEb^b|H zv&t|&ch}$lnsTia)*Kd3>6;Jqy!E^$%!86*LHGe zlxwB15$XKVxGg>6Gp#oo_gV?dQtgWtfAgpRP`OqL`(aW&5$=II#t-GQIj7Ko+{Bz) zC^n0Ql2>dL3-zW0uE;M`55o`1YbD+UO3M;ndlI}5dep$wNo%Fxb#odoBr!!wB={j| zw#^qaF^tk$DM~=+i?p??jN-rl;?MlCa;?PI9ui3Dj{+hF-6XkTp?a-Pl2$3vq9(%E z%g?|sC2|qUQ1nc{dFkSBE7wYVQ_}gSaj%sEPSbj)aeGiAPqlwqe(1~ZP_C8u-k4Mm zMf%}R@lmCGrIf1|tK}l>ER{UBl6SmfuIyFaIzX!Dn}^|}f&gUDCB1`- z)f<*h>6L(jL61o3lwrkMeR7$v75rv4U#)m$r_}Hd10ST2m-dl(yZ36aeZmO_PIVHT4m@dK-=vjM@M>(0(~(w2 zq(p+>k>=KXF%x?zt&E}sblyi>yUH5+pDMjWxiaEw4+*67K>-niZj$VPP`%a@No$g5 zQ4`_o&Q_^{*aj%R5PSZN3aj%SsJk`Ew>)-6YTDdaf zdt*}F6X}OL#X~vOX0egYy1om!#c$R&Wt2>-}9L)p2P|)xpIEqKa2=pMOta`=2D(vM>HwPlaa>_%wn7`tM9bh zUP@mUlo`R7aRp5#|0kl0P#7c;5@~%IS6pQ}e`4v2U)TAvSQ6=d875=UX>q=cXFL;p<9wN*Ny)wpKW<}o@ymm@|024+#pzUaAq&5}%VedzH74`zcC6!!C2855f+WnT!Tb$&}-ugXIH+;6|;rONCJ zR&Q83rMChK20bEWUxXEFeUy~_Ae1u^wMJkDo=IPSC^T`7^k+}L@7>Dm3)X^k{%G9U z7r;3)k$zW@T7r#lkoj|ZFjik>+o`AlSqmrcplj#@#RJ{ ziBSqV52UYOWfF(q@dv-G#P_4Z!YvOer1eBWD-3!|I@T{Tp!G-+uAWrZqze*+XyBQW z`3MCmdZ$lc{JFDAd_SQz={(f9L52vcX}#3A@%^Yo)t>54zVfP%EAjnkaXdCA)>{Qb z@Amgt4nlf=aw^}<`(D;-=9*P_F$uh!#3`1XD)_Eq)2miXM+EfF+emqZKx{Noqa?3o zYzw8l!TwICIza%rm~2iOrOPT~Pu$ucfVBWhsC5u3lN0H^|o>5=iN@ z0wM-3OIke()oVSLl(82rY9f5S{0#h6A{U_yMR)c2Z@cRkBDE(ACfs}qKAY#x>lAjW)Pv@tiMNNb+`KdS}x(<;1RFr_K6TbYafA^D0 zKgAQ7Y(EunI_Z9j$W!g7GOzdtKd5_KrSPX!Mf7(i(=Y3TZ$tyV{eDl4(Z$|U$IY42Su zXksv>JPMhF&RiyNpfZ+!{GWg4ua$WeA_pOfls=1+G3YeOWz(`D(z&=Of!#m$kuve* z!X_P&BuRrcmH{WBG{pve>d*hXt<0kk8Oll7ZE21o_EZ1r7tg&wfsP&uKAmqG zcXSQ$nbt3j8}SdzQoXMFqaS_q|D;6x3;SVGS5>$N?vyoE)hQPo*r%#A0Y=Gf=ITwq zQt>OkS1$V5T=`IZk&O7qn?NbV#%oWKA2Ks_vJZl#m?oJ|_Ce_R)INw6GBJs=4-$%} z^Fger$`t-T*Jqwq`=FTOX?+lo7|92PRcqsXvIh_fnh0F>K@tg_&=WXN3I63@`TCpH zK8SHJ;XVlXoOmCEWU2N+|MbgmcvkI$SU*gx4?;a~r}&^!$pg3w9}fHGnobtLE1K|T zj;hmye2HwX*(e{zdPw#`ya|+j5T`v!J_sH)Fm+OP->n-xc=fOj(ND_mqeT)tk(3xF zlryo7HUy@G%yfx@&NS-lS6RjnF1G%SGP_TxKctY-D-kLNy(MM$i417FP0Fg1%9?aR zf)EW>Ny&VKf)p1@r+)XPXO!7}LTl3bsc~ob5mwW>s&QxcQHiR()%_o+Ush)K(c;)D zOsd18U2&)QtY)rKt`wZQTk^`KQn6aeR-F4U-pkuaDZ7vA0a2p_ zw?&>du!YiY)U9@RE5UV1!6jBE!FNg1YO$b+<&-A&G6|jcO5i|cGoO3v-cKoaqeKou z5^4Px5xhaCNxM-I7PKBr+5?gcn{-5yBn^C+0Vkm}MJM*c|L-6FigGtfY*0EcHtyXh z%4=FTHtyXh%BN=4^}gC$-lW`(V&x~>k&!WY$E>~}sREAi!awzr-zYZfe%<$~rBcB! zc#yd69LhUCXS}SX}43 zG&QTtR$%q9_1g?{sfsabyAe5ZwpC13y zkNuP~5*Rijoo^cVZWQ7(tzR1VZWNX!(OS%_*bm?Q)Sv#IG7=c}!=x^(a1Y!ut18c_ z7IFm_u#zgxtXJ}V*pe#y5DUx|A>;2*GW_I7Aa4StNFc902}>&UsDY`IB7u8-zt`;# zH5*b=B#;(K@I+D&NhoJx8>L8~L_z0|^!2MOBg=||FNJ6%Qm8+q5cNvp80RTUp*@kJ z*4aoQHL0wLOcSJH;Bu1r2qhy*;{1R7)6>e(p3s_fj%VDVJ;G{Q-!tye9+jxt{jA^p zhnHxQxjYdemSLh-M6@gJ6er{r91jjbRlx&g>u_Gzhu~heTCD&gZKVL-=nz7CSqXiX zw@^}KFQP@_h~`U5phbHFom-J2d+6~5mtsSG!qyNgV`BQ`rqLQvX`L%k*Q+vhulf9s zKBojNVqAx%qb?-L+Yg2Y&R+K1w88o$JK0C@7 zc{KH zw_f%tUe0Nh^Cei+IN7T2SMvEoNoz3ai zw7Q-3rM1l@3fD|b&hv(DX9>q}>sH#Vi8S5H5_0Z%XSPv`HRTHav4 z)E3s4PHiqN)-Eq^o&#c-8h`)%sg2Fr#;HsEBe}b$)}DCDOMx%;`NWUE5WfHa-`({L delta 554 zcmYk2T}abm6vlUsIk)}S{I5mSVo{jjM>Wm9lnMkLk%lesVtTPzLs+2(vI}Dt)y29P zoB3J~S?h~#qOxev1ZznbW^^&SN$EqhiyD1U7g11%ogq>0)$cj)InQ(6_s%WL*kHkc zQ>nsAT)js|wb8FBT{Ed87AMBnvr%OaY3i#jWUlSgJ@sTT)T@r-6KbyOAV!{2QL09N zL-4PW#;xTp%O?6~Smkrz^b9$Xt&qu)whe#7 z*Qs4WYdU75J(u#O8mzrdc2wOb6J9+aziM$9G4+VHq5UygQKGROfhXj`>j{dcw~W(D zLQks{kB*W9$H%A$iAmavP?m1s#Y;-#-CMeAaVF12Huk|-8f4HlwZh> zg%2{=*~~Uf=OkwS3&nBsJ6%NG50R7=SP=LOZ&|>S3>>HHW1ZImTz9MT8{74tGDB5je?VOhozm;$H@0Y(h_* k8<0#$-?brbUE($Sd+}?ChgVfEwd`*yG#dUprO)!>54nuR(f|Me diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system.ntrc_log b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system.ntrc_log index 78f694640..0a8503fa5 100644 --- a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system.ntrc_log +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system.ntrc_log @@ -2,3 +2,7 @@ Xst NTRC: "/" : OUT_OF_DATE -------------------- Map NTRC: "/" : OUT_OF_DATE +-------------------- +Xst NTRC: "/" : OUT_OF_DATE +-------------------- +Map NTRC: "/" : OUT_OF_DATE diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/cst.xbcd b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/cst.xbcd index 66c5de1c805ca3c4d474a2a4e1caf82e8c209523..4c6ff874ebd0f47477416a3420a66d4aea54dcb9 100644 GIT binary patch literal 896378 zcmd42V|1n4wl*5uwr!gg+jdoq8C#X4V!L9S72BxTNyWD9MVQbOP^w#Ngxj8m{^mO1#iMj(!a5Q#OPw+g? z6s%c0Ig;ZB z?^zzKJu=wdM0c=JC1=h@xfbt6FC1l5SQ{-7l3TXbK%9=(ztxt?x z(r$!m&&AiSzf#z~_Id2lxNPAZUa)BhV|imNEx$@^`^g)bx2x}}$QBjnonB6f9-)3e zJ`s*`f-ip*$;Wngj}VkLleoIMv*>PxTd#glaUYe`n&C5L(|G+kuOYQ6NWXJ!qSvzB zzG`kr#47LZ?tC{xamN)AQ?co4j230)(i-g3q!=EJ!yVU`o*E2c)$8#!nfk)1ghLX^-H6k3$fBotUwS1z(97f67( z;RX~6oBtz_zL@x=7t+(fgJ#j3rQO26Sfc(Fq9FH5wwsd1X2*t zHzS}}q_1v_5KtkzGgv;L_L>x3F`LZBU|7n0K?y(sgI_Mdu&+n8A# z_tA*iPhMZ=rCHgoUA+1E${QgcHRh%>x`$tu_UEEEFe`%H`HTVB5huAoBqWkkP|92& z!QvYmP-v0Am&YnB+8XSiIGcy;#s%WUtLnz9`Uj#p@uIo$Ccs4!1&|8S`gfrV)8Y69 zk}{ctK|~P=lS)oP(Y4@fyYbc?9D~4iGE^Vv8*a9D<$C%B-h%{m@UUH!i39+GA=VJ! zpYZp?K|(@+yq(QAG=d>2pdc!tKJbGJ*zosxv7!SRF$W@m>&gShVgd?)i1`ZKRRzPZ z4actw_h0xz=r6L4%k|LiCxSSQ=M}Rz*(ibFgGylO;p-9bW=TMp%^x`HFjxx#i@H?S;nDWK#%O=lY5weX=xO~#klxW-c;0nL%i#@P#Q5t5JiVj8 z(6;*!*ZK(}-N#?<{pIvM(s`3LrStiFn-0G|mBHEFVg1jYEJ;ieLev>tv|_FAQLa74 zJn2!TfeS<&=YdMz6zZK=JIKd_sPoZg<%APTg0Q_Inw(M90K6}&Tv09dWX^ftobw`_ z^YEPW$ec;PIg>^>lj1p(k~x2YJ|1A1kK8TBl~Lvlss_M)S;dNKVJ35qN1B)G(d2}d zSfzv8ww*Ln(Fl(}MC2fgcX0N6KG?CqI+qpqH4-TI5Eh+F!-yX9aLDs&#zZa~1Jv=+-K-@p^Zadw(Fyz6Fu1v1-qO@J7BRmq*M|2H8Gd!KYbjeXNI7STP zD=)wK*%k8H9 zH)+=VTCRWMk5*pSas?mKx|hn~UfS?StCq*YtB+P61wW+Qj~?Y677iX47R|^_6s2X# zbMj{aJa|@byjl(qoo22N{}P-!h$8o3mD?C4550tk_hFTJ3}UVx{S(G9^SwHV3a-Ja zv;Mmz{z0r^=6|%>{fIIcB_F+nr9WB;7{p9}w3_&r1pOi9KKg^5!=ii?ym<8gHjYX5 zQ84Ek9Qvc+ftPU4{=X!$yqPh&RDh}5>ga(J>-Nl8gghs!z~3cuJc1RX*E29{3bal> z+M6FyMwa@+OWmjaB6I=4ipfWdz=?lJVFfdCxN@8}c&jgnmb}d2ntylY!ok7)apjUe z21pk}5^LXZw_C5&si=T2XcZJkN%PNn@A}MOgrhL0NHd=U0 zyZShuE5ES!v~Zu+>bg)BbS2GmIkLF7b#uBb7#$TAWxKwXcF)&B5y=t?;uFW@c6?Y_n-n9k?>bh@4k>#nL-AnSHQDeax>aGSVFq3Zn1wxRlN!BmwG05d3e*+h-U z*}q+Aw}KX()?=^22jIZt)WPOl_V^v(MyJ6Kjty*^_S)B8HZ9t%SpT8pMy0(%N2bl7 zDtuIL>eZ zQU~R>n=A-8Bex6PfAf3xf08R+waH_g&rgr0gJYb$wjGQ1*JI;pwk{w1^L)i)cyMsqL`o_xDt#s>#3^rRG-B zE1~AemUv6j)3_KVP4r{tJ%xMRg}2=Q5n=E+^CHrIWTyFTn_e_wa{(Zt|1Dg!UpX;Z zIXP|)UB)C^>mb^^0}pLvlx*@A-a3Uv0T{%%J^O$AOY+iAe5}(xpMlw*3ETfqSUOrX z=aHq(m8H)2_ju>4raF_a<$fDoN-&0Tvz;^h5+^%y^eTd**dE-^YJRyQ!c` zs#vmHj0T_BlcUV03KJ|nrAUoO^TFHwN!Cm$E|w}TCguGO?24_~R5|cyaN!f-gNMaM zOnP%Z=%M9T){g9BeR@Z)LfR)wjj3?AMFbb`fu~k-6+}HAjjYO$+59dO_Pd%T0}jnv z!%!Ig+|rD!!!Sd+-1f9#ZW=rZGg_YXtmE_Vvi#OI;{Dr2b}#7_ACssV=bxPK00=IGe+ z@sl$@|EP|D$0>o$Iq2~_fQ(K99USZ4-s`e=ylCRKTfyV|i~d*|3HFY!<98*&6=UZA zv`Rv+t%^Q|l6Ks_)aPD5bZv>cKPRsNW`#m0)h(mU&FL;+v*oq4^H>*pZBaW~G$ZQw?5tEslaa20h5IXr=RFXB4 z^HM(C`Jys6qC-dz!V3XJ?_V@!Jcv z+r3jpjh**rC$}TD{k^Z-;Tx^Cnc{p|10BNR?{ch5fVeosN;!*G-bKRbRP@6E>{q@q zbK)ztx_f`U{ua17Z<1-Tn2gQip~E4`@)tRNkL`$rFf<2(3^OuyGG@xa%=kh~!}_vS z#c`>MX7}v~9E_4-`&y1|)7@sR-6pNurhmkpCaqNa+KUxBhZQ=Hf5cITmC>=L6nB6r zH^7wtA2I0T0FKQWuy6X)p7o{)+hGNcAMnA6M5hrCj!kZV&?$3&C%iVNfnU4YtPAQFveiJY_{U=5XwPbnI!cEItfBclP{c zZU0ACzNi~>=_pLWiD~TdpIy>UQ%b5m>*b29!-}lO-{VVM#1dMGuZZO9OsP1h+(FT@ za_u{|x#gVC9z@Af_#*41rIsvTW6v-n9&o8rA(?v#A#*?BQF_{4g28rrDg z(x73Q;D5yOpy3smL~IEYbrF*!k$*&G5fjV6;cs~?B{?iZIe&}F=lUkaIV{>aEOx3v zI5Z*%i8(>TF(SXY9Yt)*VmR)<=*l2z+d%0-r9;bNCvMdi92z}@#1Af6q@XNkdROs- zZnO9lTt+`NzO%tsc3n)_Ywzk*Jq_c9S5?rJF#y82U61nMGK=4CS!9dxaf5PYY7o1< zI`rt<#w+N)zECwl9w71PfY`kBu7Ql|CuNgIxy58TZ5jOmjgl-IoC)y*^u zEPpgV|8srDk-`9g%!}*QAelWYqs^x9Id8JU1ebDIXmNbVMbX8s1~egqYY{pjgL@G> zL62(@JVB3p5j|mb-}qj55mf%}^Fi(WNFw5bwCc%T4?G$m-wra6aUin}wS&Ly1iB%3 z+cb3@c3HiW*`cb(haKMYeX9Lcr)+H^iwI@d^A#N6Nqx z;LG*fQ@p1jVD+5fJ~Ls?vOY-rl&7LYyJAhT%%EtlBlGQWGY>LNXC3gy&CmBlmDu0a z*mi@x!Gi zWKS|sHNnE1`un#MnPY;T`Dg1PHNmF4_-!-TErCb1c9~;hoq^=(8P>sCFQRy_WP^>M z0?F825`QJvlr)?p$D(_N^D*!Shge97q3(J??Sh${1O|5z%E4DOghX2chT!cohr~Jo z%EO-wz@PjBtJ!?wPnBC(>DlCE-cSj>!gjJh9`f08V z^5lT^u*-BXVziOmo6Q*PXMhmUfd}Eso!=-6vd#kSF+z4hk6M&wGMHxaCo0szqMZ4Q zwi0bJWG{e6Rl%m~V{&ycNTUC1uL<|?_N$s>qOE`jx(Ijc#aLh(uYjkx2oLB9RUx2u z@W|dAF>RLhX4_Um`Z)3*q#gUM>mqt|5?#FdXWzr-GJ4P!`ZRF77V%;$wB((=TqbK?P)xH@^XE;YQuF6b2P6;_ zJ5d(!(yU4z21%dCPJ0&LNK3z4UtkEf8$9VYK?t^Y-gUdl0`GO+_rp|Q1nehHKzAe9 zEde9&0{NbOHF;gksUtxH&rxA*)L1|9E!toN# zApEQbWjXx{#!EDXAXyEH@`gt9)D9li+1Pb>kHPh}22#W;GRQ0P7q07XJ}lFw`*;R! z+g8~B>Bu+ zkbdT}!4$Y#tZ^+`vu9m6+0`!$s4d=o-gTc9a75ymn#=se_U!oD(slYoD zH=zl`Llo*;c49`2is&F_#NAQ=FndrIpW6iyuQ#{JCetwid-!db&X76{L7`7S?YuT; z1EYB(t`W}!D_n5hdfq}!7}5hjB~yzP#GN#Rk}nQToFP4MBnp+2U&S)GXd`Th3ntPk z1JRS7;h~FP!76wX08?{G&+y2_uVfXx5P{Ha5a-`?C|Jt` zg50TDaN$|4h|2R~PN;J$kd-U&{3%HJD!61LY>W#g(JF(`l3w=n$>gk$i}{fNudc!d zGo{@X#K*H_7Yy;dm?`ZaUfaU7IyNU~PfimRzCSVX&O^@5rjOQ2Klgp|n}pdg2Uo0P zO4r6xq=zfQfKY|)E(rvh`($THEL0E2RS)+ER(@)(sk1A*s_PL^Bjcvu0z$gdMf^5N zhX9n*RS|%c+W+W)pJUpLGp#&(o*A^zsIxfk#+B2{AxM==dF{RHwhnM^WL}PFEVeOi zi7)0KO+v80n>063fY=|x8A|SEvaGeA9quqkd|H(?8-CyrbYdb<_$+fdCi_w@Cb9ii zJh6peQEp&inyE(1VB;}|^Mf_d{@39*rG!lduOH%ZRqEvLV7O@K(*~1SwhXXbksc|< z3&U3=4*~H;LGQeBZ&qgFMd`9ZwlH(r&rUWaKOZLya3mw=Lc#~7c zH(ugc&!>k%#BSi zM(!U|2lcs76p5AIUz7TyL^zR)G}wn=jWJ0Y6h&XI+kv)_xCT;~<0SeBYNNkTA>@d`B+=j1=km(?thOdCG z3f`p6-d6g{Wxf}HClmZTgZ`k?qz^K~HCbz}%+m4wK*dR>PBd#0Zau|qRIx@m_2cN3 zZBJ=I^><$pc)3wQt}Sx1EK0LD(qH5m>9y{DQYOO6*v@c)S-M&Vw-k<;_G65txC7(*p%(mUg!Pp z&dRZ~sFMroQgz#)y^gD+Z0%%wqH5`FYu!ZKd={BOQt|%0k!F1I&i4SpZH4JG?y*N- zCdXk>qS>KtEqj*INEVBy2ERh9Z7?;1gX#6@DG0{hR;m~^&Qk^i`gf!f`a62u4XBDF z?{vFxzUA-S2bPNs0F>-&2xxPRwHAyDmo@cJ% zb)}i~qD@aH^?k&9bkJt0Icdh|;I1G!RaqNr6O8Bb!w^vRI9i~`P~GD?Bg z&~0X)?|gwVTFt>Od(F%l8S$_$1)tyo*_KTk;K&;hSMd~igD9RAZNgRbTQUDu zhVG_gl!#BDOUt!VkQ^jjQeg5ZJ|wI;X3(hVx!`hBAVuaPDs|n|JdXfDG-HeFiMM___{7B?F%v+yEqO$DaiDE zPz4r9c@{_o7Q~PG{oNb12tfw+Ob=lJn;Lj<9b1ME=#T+bTv-20sh8A;@{Q`(ZE2)5 z(I?k2N~jEbwCVAU70it`;a>);@~(IBNs#-UZHc{eKO&v8t{*OU$$cF3!gOe795(PL zExiUe9taB61nu|*eeev7X1(PH*_vPBORFCHgy~55q(Ia2f#p&5bELNT_=fPfhVcFx z!!!h?Mekojle3GX;FAK8kL!x>+aP1+le$2M?EM&mSAX{fEdq#vJ<>~9K$iv{Smzee zhtyrg1?AN*{J)gawL}%;9&wlk`MCP=*!uCf#2{01LFK1{3e5vl>awY|H_+tF!zj4K zK;&Y-s`O2wF>{H5$szlxuw_$!>c}$MXqSXo4>$h*p_}~Z*;=^q|9AJ(UC0-|e`F|u zf-~^o-rTGY0$FTm`~4m4-Sv}oSQ|TEf8H9r*0%gloKA3Zoxq(C(PC^6I3J94{Cs^+ z_7o@CnQRCfj**TjKB_tC;I~W2V{eRK~#08RjiXt1I z{E_h540(W=i4)DNwAy0iq3&u6t+6J+_$oNnlN ziHLWHnG0>mo0wK?O+M+JoA#Upbu|)z(Ha~Dn%b;xC0br?PwaREiMn&(AUS|}a-TN{ znzKBcdSkdeP|3i3PblfV1l^Hy&qGvDw~|9+j|#a~O@YX_xdMlTf}W$&4u<+P+!_NQWU zSh0*riA({Yyt?H_&(Q!(87^%(pgg4I2g%Vun5NmVzJ{HxAji+$;t{cs9^Hl(U6+qx zO5|`l1bvLmz`)%Z=QAkvXu)_eRtYYn_1@sHykM=wEbY9Pahbf9@SW)DNfVulyL^H3 z9@}h*5#NuX%RZa|R4XinawERbpi451ZEQ|14Nlzh3LfHB%n^e8W;xUyoN)~Ewb6|` zbyeOer<9qcpkwE3QX!Y9XmUn{5<+Kl_t9W#%WL7>+dC#NGnYrnX`#2FIVEAYp@TCn zoQB0^uXC}Y`=|IZs*_vB^)1iNcloP+0rmykmfam0pNH$gg}YNQAG=vDIKbqxyRX@T z)vU{!-s-WBE2a4fTR6HeB=(EKfac3$%ES3-MJvuhkWFuYfKhAh%Udouqc-FDK=K6G zt5FlC+*&4k?-nizgxvdXG3r8n2m$8PF}!%T@+H$P?PYQRWm>;`JR>EH7>g#EUqAS! zLoAmn2`zGP>XxDmo@KZQzP-6TUMl7f6{#(9MePSEARUIMx{mLqjs0h>%vU*34oOaH z3z%ZzYHf^_H+Zx+!}Jq@7?L_Ap(>eQV!<$E3`4=@6S}lfG<86Z(biDA1TK@SAgQ_H z0={r_#RjA~*vTLOM!GXT>B9-&IzuzX0e`_}iUm$%F_eL@9{Gx&)4Ulv%ETydN8Tj~ z5n<9L3Sm9`l^(mA9@NFSiyPZczbAu*pqu5aPdUY8CG_u%nsrokAmg`%HAL`+{+pSI z4=30cQE$tu3}h6ljBqHJXRP*12ajvmQX=3YEzn z`L38{)Y?fq76{~dj#&m=b=H3ToQWQhGzGw75sij}t9L|xT@k1I*YEDn)JxYBSReFr z3jQKM{9HWANGOMmZ}V_Dp1PimBy6zSmO~WOac4zZgB;@oMu%^d=a`~~++z$hb-H0$ zFRRyh$Zk1%4hJT*dKZMjZ%0eJ&tpV|68zbo>c3_=V<&l#!;fQdM&LMFVrBtC10kC1 zQWHgi?9vo?J*xALK5dp)dYq_1oE==zPqET4>3B1!Sh{l|ypxr!Stwk6k5Z%OU@vH2 zV=Vj-n_iCzBRYiK$){cbEDq6cR|M%130G_Onz{R?8lO3A%L;?Db_`xMCi~}U@k6Cm z9R`BMkE;X%)gxR6DUIs>U{eqxGLRk;A`%c5F`*==pBTX0B-!auRE$79BvD=eY((PS zzi@V-3>`qezffFXp{O`uVj_oT#~{JL=13B^f|s5E_}>|;n!1()Vz6MKbE=WTpmJo1 z6Ue{S1S&=$(NKO{0@P^glM%SoRyIXT+W@aO|rMV29 zXxt(9AWRn^-q4IRE*At$0$5>}b{6$lwcF4b@~^=J2;`X!i+NKNxM-%5(j-ZansK$- z?wo004aj}fM(aByous}@bE|4{QKBwCelqy9!wSnZl&;+y;jdLz0>)qB+@gm(&)e?} z-%LBO3=l82oV3 z-|58PDc#>`rQI1PcRk>fQ#KPZm2?Oixo9{_O*o1J%#k;hWH4I32<-F^*lFt0jPTS7 zePJFSVV=anTYhKl&B5m^Xiu?p`F@%n<#4#7EM~(O%`3}Rp4!Jxv!Mo;Jig<{H)T`M zya=8d=R=%I=Mpa_Y$2J0#}S5?mVB)^jG9H-g5lAPJl)xZv(dT24{dYp*XI(^QJ)L) zeCnCG!aOAId-g9uayc&4K8|DFSjJU{OyFOjEeSa z9|FEjY){zDp7nRkQE*8}7`*c{b~c~9I@{DWKV)5Q{WvqYcyIIw2uZFAiX>chzb}3* zUps`S@_z94(d`)OjXL{`N(;$5-5aG|9SZJByd?Z({AJ0wJM~pP`cm+)StAatZ;wn+ zFV4EuaCgJHG@i*mn6S!<@1ps#=CLc<;9g<*RQ$aBIhnS~{RiPiV}Og@o%!ThMyO?E z^(~#7C;{NskNCMO@>GE7W<7-?{7w3IPhOww_#ss)WBU20b!5{lxoE28&^vo+ zA>N`FvJAPtwzIpWIz*QaegnWGwEBnFZR;iQZ#enfE&>mzwjo)Hr;- zWb%kVWhMgki7<@+?6s3*ZGR7|0c64nuymZU$DUQadBfLYfjLX17D)4!?2RC8`c!&s z`FicyHPBgk?VDNGa|aG>Zw@zpEjWWn&dNE$3<}shbXm0uK3TQZCjRD}qF!h%Cl8+N zYDlV|K(}?0QZA{pmdZ7d)UlK@?HmQzC1oPg_e2G8vvVYg`vpQ(_ow`lHtyaf3(xZ6 zrE`Vj1o=^9b5<%EG4rs%HgC)taOFa2&1o@d{_3NE7OO|t5NH@Lu!SLey z4hy?e_UTu?jbxsUzk<2x&Xc0zUw*yQ{$TD_ApLB$1?gbrPZ$Sk4Z3RAl3!+BC=+fY zlbj@fKts54I}XrM-}OG}d$y>-GGO*?)XjWsE!eJw#MHA$+dd-lD6`o*`?*hwJ|yAz z=*A5%pwF|L2jeQ}EJzIFYA~{@z$hL%tT$R*IJ`RJpuiZIChZ!_M;R8|J#yI8y7eiY zYDMgmpi(oh_SvEO5NbgyS3EyCjH}Mbs=NfgQnSio<$-JIu6$r?>3V3oy-Nr0lmq4G zfkhbCAO6;5E5alAeL)Gst8v$QQ|Hn#zoouo3%@l9IJi;WR5I^CiEA#`kq z2EfS)9~z;#hD~>Mb;to z7VHfCgYF@`g5|T8z#2N&B`0!7aAActq3cW>8Ew;CGchgwon>f`!5L{*@r&??!3la6 z)$8ur#a{S&d3IFiFW|jWnD-NmodKKZ-+9|2lH z-3$KW8+u@8+T-%$TV+xw?W;{-XYwQM)B4QSeST-@`|AlpZT$JU)#LM(eofxR1V%6} zqjZfw&zplg)LC%Yixxu^X9j2z`S~%KVgnxpy8mbw#1Ay6?BE#wT+>I34!kd+)B0zKk1(DJ6KgUjSx+>c$RP@9S!)Zm_bQ%qhmvP-^Kmo6nZ9BZ zf~&aY)Dw^nU+OABh&=468pMw+fntN?6nB`XU`2kguB^0CB!h>75y1epQ=#E*kBy~4 z)MQKcM16)pYRB8@-zNU0GzR1@lV4G@Fd`HufH0%37xVL3cyRb_0anOf;y`oto#Y*a z{Hs1t8183393irg7f2((xtM-iK19iCkwCG2HewJc?Qmok5DjYBROeuj!_dXaep~u> z*&P(|h+t(bF-IoZOQ=sMa>Ab{wRO@9YwKFewecf9-_C!ZGmW1bVTR4B0$_HCRTml(bf<2u z%PsWzI+~HP`zXI_ed!9hzVJMhw|wEjtm*s)=Ro}I5%UVi^3$roygm`62AgR5BPvJ) ziG!`428q=D+Ck7&1CB#>7FoP(v`Q3bpwR5fnjWzzru@_$9N^PBa5OVHy!{QeOD2x_olJ~neVDa1U1T{f9t(7a{h{ zXV2NxWKY3iRox>C5`5dV5d3Up=QXO$D3{tvK+k{~KXQ3{>K|#SS*I4B!JgY!fC`;V z%hAkc-249dazSp}QAE$HYje(jN(47f1h*##zC!FW=tZb~kl(|G64ym$oVaDjd8(Mc|Iifhm1J~1NG@wG_Zks%+PL`B)#$&-mS zPfDuow2X^AZ0*{#rJ0mME_ZLvz~rK}37j6j^~OBF z>>B*uOReq8{*C)2R$c0Kot_hp!{&3bl&nc^~g@hR491H7e5z*1i}$44l@ zpxKIXal=7unTAwAAsPy;#6)a)8;)6lHF*PX?g7E32bvlMEVulXf<0Rb&fFh(tfz`= zoA-0Kwfc?A?bcYNU&Zb3kz%Y>e>Pin)j}=70MR+l+#_ry=g49naa9}A(%BFi=3E$Y)rvia z(u|#yZQ&cn`A!Fm$1`I}A!=|WEBLUf8uj{APYboM_^a^vtFU;7@OX!?_?qze++mTh;s zTEbfXm%RRSuHIL?eMZ~8lg};C89$LQORqEJgae%1v?#_h3%Rj&B zWA!`M=y_8_tLGJK?-#8-?vSVTE1dZf2%5b?^u9TC7{(*lc5v4{9(Xw1#=%bnR}IXR zJ#72BoBM|^bEmBI9kM<`U?X7x9N_fk{@uy z-Bhx_QJ5K!=D`6tT5KvY+b+zE{M$srUL|^~kf2|VKP=4yjq{cYaHO;{o7YtGm(VT8 z@1N!Y#(4_`I6_{TCH)ZWRH8Qu3H}tmrg{968NR~Ibod{g<+XSgpD~oS1{?wMT2xeB z{f`ie&*nD?f2GunJlF$s7|r|U@>N!2MVp;?v4s)hZGNeOL&}Fkx?u0o{2r+vjp7g8 zA}D-UWI)Q_N{Qi3P}3QHa!&NT{PXSCb~Mp5XiaC}F(SILFX18~_dC$v8QsoHZOrw= zr*T18?)QI7%Bz@OY0-5(2^ZFS>s{?n@pV0M7c#e&P<1`K>Idcc2{~`QR%Wm5RVMx+ zu~V7omE%X`yyg4Y>~9iG&RgA;S;vn8AA9-FB(Lc@b8FnwpZ6U4tc~&`+4!%d4Bx+X ziTIEGjA*Cwk9N4sx_Anv>Pk4bD&p*_w2H6liaVE)+k&dm8*cNKkJUFiVg})yzB0SUU!|(S9eQEg>XRnQI;sKQ6fe;kGiXX(BYl zFqp`Pjevbgh900sjnJXMs*+{$Nin49q*Xc4D#-Oyo{CYPnpB?pRgh~hEw-H$Hb9FW zX+V>zY{E>fh7tciIC?bEd#4N zb=JffXrIX8nA;$e}qE2D?uAK!m2ZWcgv~Vtq z=|f!#EGkquYE(FS)CfHatRh(^K#F0TU`}s-cu_L9P;PDKctjvgfXlKYK{ejE57B`f~Yjs_=atn1`Kq_C6d_67+C%YMJi^4U-#^NDSeWMZBTClQ; zMDvcz>=$u*(60 z5+$Di-+CxSFCFLWv68jNLhp}940wtkQrj|l@6ShbWf>=)NrSx^ds<~av*sLU`s-1w zGje%3QVg=T35d#hJ~xdZZgsA1(@nKg0v1ExYu~R=_MTR`hGpn$s~;EGD|;Fm>bXOw zSBlSq&UM`FJ7#!RywBbCvYlA3xg75+-DkPiu@PJLC{A4|7t2NQ!uqohZ~{>jsRRPI zl&Ba2x3Iv-kM3tI7@68fHM5XItPO)WEz%1qnCCH7O9qstu;P8RXn#>nzj>iSh)O=s zY-gZ(!$x;Se_FT*0gAr3ghGtAyM&Srr!jL)dg18Y?1r|iXgytvweLsw80^KN+wuevSn|meHwJ!2rGs&o<*dIco$wmYY0rCd2 z0Kw)aG6TWp1~Lah&Lvc!#D=Nsn|Fl%{0b==fst6Tnl)a*Dw#qaAIA{oETv@s<(t0G z*I5yCODuGp!xKlQFBy4EhX;jZLN~QsoMIKj%ED11g2SZol)Vogaan!{P8I~p>5G@_ z{lE=xfs>uj9K}lKvvS8a?W=4>kyppr;$@E3cToyaJYyGLl!tl>;?2}F=Ki!Hh%@GA z_?*)7a!cVw`JdNbU`%hf*PI18G^F%1*A8L}UY}zZMUyCM=tyLcCq&bJevXpKGy8P| zTNIa=Li^&SpHo8cq)S&B27a(T!$f&o?dUdXfuYA?omBTM=rlf@{8(YJ-|soWe1$pG zUk|-q?CU>up6(qqSD>Uj?HbPqid?f5MS~+tjqC(h$Q{-afaUZpI9?~dA2hG~38viE z522^^q_oZIjB-7}to+@G==5Upgz)37@$%4WncaQgN!IxZzVj!Qm4HAK6==EkDK3`h znfG~Ug*zTfqu0sVv9!IZV~@qfyW!Sqt}y|gEd6uNrM(TYlm>%bi&qr6vSjn6)U>y4 zZdpzNy!!Q^F;3Q^>+wmdb26_lYNN3l+!BCYJnlqCV~z3H%5+jSZi%EHKAs2?Grs2v z5CJL{9$W=QjSBjvZ?ZhJnDm8 zLHf#b{L+&k)_Y0BpsmkB!=MPAz%Kh#tB_Nur|uJr*U=~D@PL9jv?-%Y7R+e>C!yIa z=J21s58uVEQjokGeWsa=+F8As!}-93YzT|>KrLH^Y#@tIf$L8lzqwrhq&lBJ5s&Yf zg+z2G=4@^XQJKMux;`&V9H1vYq>H}#oI;RvDDt(?gEHS;aZRQm-u^8-#te$ll>>g)#a+4&eJSNln4mQ0A*_|9@*JPzCx zXqWi5)}b_vcMnm#>STKVD1*ra<5yS9WoGp+Q=PDm1ccnajK_x5s)WNd6RndHT62%w z0`QGV(Oq4`ReIb4dL6jQ%ttC(r@QU=MM%pt10-H=^rvpf9C~MShvQl4m-_l19qK81zIP8B4M=oO%NfBcgiIF=*oE77`W7DME!dCO~GjQ zv0K2(ZFdSgWHs4GFr}3_M;xlFex|J7>m!pFDO`pQ7@|$il&W~6bW49QTS46^|B`<-f^+CgyF_iJ_>GeFy zYpQSOC>4AY8ar+-tgG94hONrmA`@M!uNc(VdWWC)f3Xe%AGaEMBbG;3`U1^Y8Qt2z zmRH>ol=*VYSIV99M2=MX7coYqi4$5gvUH_uC9%r++1O3xfbkH%lA2Dew8$?rhDMr>*6X@>%qXitxuB_#D<;l-4^TeQtFYB zicu!z(Gl&7k1bN(4dLE_R<<@KT&l@2DVhnl387yw%h+=7_*TIVzctYa_6wurPrgXs zP~-BwE7Fb8PKAR(p`X&~oxyY~B=r;BR0!fC%;BTUl<*?<4DHIk&A|iX$#!+mQeiD`8CEAZ7SMxLZXW)RD(l$Y-rq1S?(6Cj4#z)aAO}xf~FqWRt)1lg?{x}<7c=E zF(V+b%?AzYUcweUNW+*kUz4%zRYdx}l!Qka6F9aEU1p|4Y_ze1P2 z4yVszBo-F4$rUWBriv6atENg6JgRC76)1Uz7T%%Aosy?6$*|QYn_DtY&Fbftw2P|z zA?T5=fYDD6{jSP}8l=BiUYPVpL1d$b{%_0-xiTrH7nADoV+NNZI^&#<_F>^Oy6I#6 z+@JtvZx%+PYfIfsn^<=%Uoj8TwNz^-9o1(6;>g%~<{E_RuC9Q_w|(En**m`_D_^p` z&|v%60s(Y%11VKfZyibdNHo`&t!!$^quqlk@4#&=eMW$(MCGQ>(NFq4UTL24fEd7Mj(rT z57=c+v2|Zr8g0Zo+fT6to-a(XZ8mykyQa6t03j;!?*4op-`D1!)k{*+Ss3{_}#nYf2zcVF6Uw>!Hf`epteC^Z@W;^|Ex>%ukE zb-9QlbM`x2vb41D8Zb_ZB+v;L~6qWlAp(4aetG*L81K zResfaO+4^qBiCufr`CyAqn~-s`bC7I{k)X!ug|3G-*}M)ym0KoSxlo*&ZgO*UP7YV zJCRK|UqdJQPJIyyg7e}zqXvUDBt!@zTWmqrBDVqOw_M-*#(qfII;|nPb>h0ZKeXV2 zzvL473hulzWnQh_c%eYnmgVv3t)a6UaEzH_V&9+K$cs~#rJrH<5 zFc>{Bct0Q*Js@~La2P#&@DWU2;)DW7L5Me-I^Q;Rd|x3vxlS&Lpv-3MAADb{C_DA> z^{)2fU+l5Py|54<`NBTM=;_k9arl1a43Sj|O=ZWY$TAs=?BynK*PJEiB?j6@S-I(u za?FYc7VE<#7wa==2z3jD1VoMa&7bf^qzNyOWy(oJYO_*MYp`RAIq+lZruHmkA6iU0 zv77VYu-U+;$_5l7RB5{Iq&~uTW*AHeCXbf{7OYePItJ0g3j@AS_*0pmML>Z1FdyH^ zW2%CjA_`#1^&VurzCCNzy=IHXlwg3(`Ol+vCrDRoU)PkT`KiUSH~%a#UYdfh8hN?P z`i`>s@&1vV3D3*j!;rlbke)PTuclKaT-#Bgk%ezHe{Md1&wlKgdaF0~6lLnIQ!DT+ zR@QNwtu8QB6IUe0zi-iB;aR^mm(Dm}KqZsucx-li+954Ke$5vTU$r?pV(qziR6kNK z*QD#-GNfL0mDPA}%F~}BZ$C+0*=sSh{UBe&!#iKPHdT6NrFLIe+NwQ=Uvbo+?p&y* zXInp|Z6Rz`0a{UyP&dyrseLT;e<%2^D4w@pGPdwDwmRhP6^fe5R92>_0mo=&JpIB& zO;Io!g5@`I8YjP#+wiAD=GcS?J+d{W+aXd z9;tRCmHb)q5kzD-8QU9%iPfQ(nG}w702j!#F33g{i^uawp$TX9pY=^rV~2f(JHmfttkCqk&; zq~KV2*qQQFY9s$2*4_d-Ze`mRjhUI*jyYzCneCV{W`;OsW@d^ZW@dKG%osC6o7)gG zGxO_YpL^bU|L(iTr!nSOr8VcOCAFk3N!4AYu%C!kY4)G!$xTM0K~7{bbSIjUo4D8p zE?Tg)7-l7!5;J)o^Oq1pKDI{}h4ljICs>^jr3;i5RtjWh3S<*W!rQ9HmCxm0VYhH# zm=W}>8>{e~JpEVv>f&S=aXC<{{gFAQ1bQI`?w+Z-G%s1xH-&B0M_pLPqTPme&Dvnn zS0WkiDZP6FtiD_3+(9#RdV?TRsavP~i1J@u3U>Ai#kgor%Qi5K)&S{~LTm)w>Z_|Or-RC|K+@xO)-24tCN?N^)7r#?pguK(#Hx8cITnw;GGc?42W$S3AG7q)n05_GL zxEYrCvI=FqG1$|X(#M^AVt2E)4GUn4`XyANr;oaEp4HQXBfV4N#Dg4b_Fg{&&Qe8+ zk$+RfYZ*OWE*VOPMmDGAZTHt~hc2_nAE*)d6g$tkEGI|he4=gAB$w$f7ic)2BB8vK z6!|3DE*IPxT*oa%yaQK@92TBSnyHDk;=}Ist(Rdu~Wt zOzQ1Xsw;Vgv!|z2yuWrg*o;5xT|Ly6PJ3m4(<=CwyceyO>yUJb@;;Uds~_?NeYia# z@d1&IQ<>X*MaQW=-j`=(a+)XBVp=axc}!Vw+hp5OC+S$*Awd1$EBMDx5Da6*4Q^p3 z!`z$BHlZze8Af_3h~g!pJYrdhRbvR-HQ1tbO-*@b-l19!HpZVhD{z$~p%ts-fvz~p zvt{H>os+)ZS27+$#^aoWNqDj>SMAc+Wi7BZ${3DL(~VRXUFRvM$B5oTaS1n=+zT~K zkI?Sn%aog|SM0jUV@^u5i?n?-pNF!3r42R-|~5nd~zob{3syOTQ>A`D%YE* zULw@xU<#RNxk!|ahkYuSq5i_t*6DFcvHEVuxDiBfH3pwNN7ud!MEl4;+|G^ zjk7b2MY5v~>62^>klj8 z^G$8yG5BOBBum~i?U3^jz%E6`SYy-@i8RU#-NG)tl0U@+@2$Qre3*~snytd5N9T!| z!K3xouVA#>*Z-ilXqE%oclC0iF8foAM9cZ2Pq+|euRu5w*Zk&|1{?k6eJp2g8k~z4 z(k@LsQbSM5slV3N^~u+*G(`%S-6KK+9I!yijKh}A;TrfZTJ~k?Y-pTLOjrRa3pTof8{V&`K8Kr zy|Y{xFqd;+IpxG|#)_1dBMg|&`SHvvzBevOrOTKmiRt@f#C9#UH_otnN+(~_v}1oT z|GMa8#v$y@Ei>y{#xk$*+KD%KmmJ*P%H26UT6!vo8SC|x5UsBxK2(Uer{NFO@a~qPT_ARs~7tyuWPT4&X|#&PsMM&KXiVuCaCgTtcPMFbYk|K za9u$lSLrnH(QDO+7f_a%w&sF;h{~O@Qb`Mxc2qJa{xB|4=&WcySX{DXxv}{UXVA`` zgK?s#zonTA1!r$-lc$+WN1gc}vDjQ$U855VKWn(^!A436zYm!QZIuo2AHmUT zY9*AG(6J^r^8TuN>&p;dBwGHqx6T

R-)#y@W=SDv(O&#eIzn_lo9p0F1=dvnn)x ze4&5n=Cb2}ix6s@=QoOus+65aTgRXaBXmnUr$!EC0w3fmTW5ENV4@CpU9!& z#Z7pCKsjPFXC!JhrVR(fop>glUT-gN5VrJe zouqM!t4jF!KJiq(Cz6Q$ULhGuJENqckdo&C9)1Ytgn2+y3X@Yp?3d^9F8mPee>;;$ z!qSC~<>E#8;sfgBTR&p$=Z3f}jIBPh%0?lU#JcN2+30&<5L&Hmq}%|Ts9gXe=?c`g zTOIC=p+kjy&YvrJXeBZeD&)g|#DhD3#*W2Q-lHp;P?ycDO5xFGaqcua^y;5|2>)?~ zRr2>pESykJ;?dVU^!oba>OY(p|Ehb?&p<$_=uz^Qx_i%IOP|wa`B!OLuxs>rz0DJU zbn8GtUo%%0pe~eE^C#Hk=)L`4MAFR)aCbBtjFc<6LBIq%akye9ntUf3MIKBVBKQPk zDCtn}LQ(&jT%iNSoIi9H_cc0>kL=JXYOS|Oqr{L4+!8Vwkjj`%gafrU`{8oS|5*Jg z);I7tQ`-Ob0ORa?NEOv&5~d)r66Huj_$x}?Q`5%ZK3*9bf(D4LX6o7khp)_2)(@aCNf`k<{T2U zPhsu`*Q#-@IR>SUkxILWPhlYR@68Fnoo~F7;)YQm1!xej$oa5d2lHXw@x5hXE?#+b zXtXDlh*elmM`)u~2wGnUJx9SjPv4rmODD4i(qhOic}TcBi0)W!DA8)Zy7Om9GjR?! zQ5$t&x44SNjv6(NeB#iQ6B`IBoG1M8ad0+m%VK=VVw~Gzyv1U?VK&XO?Bl|>S>!J= zHT<8gqG=lkv4C}0Ku1iVBNot-wsAqtO5x(!Abbx-nNKif^PvZG5~aw^hq?bMkT#1% z_TN%7NJ^YnEoeL>&rSIbTG3ou-CUaEY?|X-n&pp=3u>0~2j!IgswA|PkCOIt65(Y6 zpLK3xH%p^2)x8Uv56S-@NQt-m7bs18(a53ZsMME^9_P7&vOC50sII+Ej&wEaIQDT` zb0+{FCgi?jwFJp@bU;yG1MN~%9;P_~T5Ka4+P{j`-7wg}T&AC$&x`!@C*M9FAr&@q z6ee*{s;9^0%So+={aQ{);h>x;x?V+du_G_sDwg5k#VLOHk;3>XRuE0?O&q$HW>A;}W9Z7@pDY_5&OJmd{ci1!zt)IrSXJi14>bbB6R`U~&OsDrwkuWg22fBeR%1$}Ah{ot(^0^Rz+EguJf z#jg2;G(2N&j=Q?MO^v#QhhxRDoX@fPa?yCOXBe5#zUCpsnGuZ>qjvaOY|Kd_<9VsH zvrKiaX)^W%xh0sLw*QC#FDP-)W0FVXfkUk`IMKD{5?O6+U<8z=cjQYxaj!W4&;J>< zS>!vsiZ>i89Z;&SxrfLqt0AS1B|L$p7%Hm)Ysv;A$6k5n-otO2ehDvHIrr1e(9>64nTuQeS4?_7_zztD#C(`_$fj9I#%%C9j zcVEc=8IN5HFGW5upyM^t^rzpyX9TF>{youD!~N%oc5Bx$UU)MOj3D-lUIt{>6g}fQ zlRakWkLLy-!LYkSj2+8l?!OHucK_OFDZ$M7$rNNo()eT;1 zd(LI(RBl^Tjn_YepG&r`ZCvT%TA(97aT|S=6d3L-Gkh)Q|8@iJJBzT%Q+O|&s2T^s zgzTXjaI%IF!tdTW#$@>3)hgksE%@k4!u|!Lw|YzOC4SO=F}GxGp5uIG#_iiBFJbsf zLX*Iv)jGAdVre;n6Tr&ny;PSCJLq@ju+mSyA;&9jZuJ}YoUQT#;h!dDI&KVK5Tx)) z9uEwUKY98L^UI@%CBR#p-1NRmCI}sa6-#(Z5yldPO=*zOUwIp35}oIEKnT>kbi=s9 zc-espPZ+OXyuToTU?eW23Ux$7fO-h`G|(addE0z>1n|Z>6AH7Pau#6SiLl~@lk&hA z^T25Jb>BDbKxEJjWqH7JiggWQ!3~9Qi@!SR&X3>>jBO2qbuF+Wqin=@sV;z4->?XbEg;@$?`snU0_vPa2%ht9XA94TAH!jJ6 zd_(jwJZt&*{=-SMNyrYMv2BI5*!MNs_kFkTtF-T9 z*a`yHCO!-kXD74@2Il4ILAxV(%e zb~+FfM56ZOGrKZ3?GX!FM zkCgv<$SM^`+Bv+XsT>2`C9~CSeJgDlIzkv7z~G6Zu75M`?)KWdyd?ON!-$=%wTD+Hcd>n!9#GS2^Q2aBOgy?pJI4+i6fUx-Mb?YmA>-12UI`Z767iH}O zmbS2pCQJbk`k+y$l~Hn`XCK`{$3BM9;uT$T0X&M%=Z7+7zR#z>` zXto1~kvHgtsf&0Z0+wbFu=XAJRSs@^iO28 z8lS(tb>(COO@Xz~nDec2BB7TjC z83$}lpg&O>9(EWOVzuxyWM2ppi6Ask%4`|lgpJ~kzwFy;y^mhk_p<|lJdb3w>s51@ z3{VE9cQb5lX*gnM;K}uLWhs+Cb$k%Tb*tAf=97K>GI7Z8qD%3e!sNhFbhN7i6$;bI z&O=-DQeEAZXLOma6n0TQP2;$|OI&{!2chv-fo6NRhSlDtd1T+3+}>!@trq?iXlfK< zo82(LZrIhqOC3x)_b*R?CpF6PkL7*5sA9mdlc)sY!eA}nV&@^=)b=o}Q8KWt25E#9 z_U_4Ejri=eKlKD^?q-QZg??4Z`3LdsX8R`X2-k!)>L)A>CHtsa)`@hcxRb)h>L;v= zH5{AV;5q8zCHPavreA5)6^otr-zr{%(#j;)Dys%N9rc~VC`=8FO&F5fruW#3Eq5SiDkMji3r$MU(yMz%|O zvCT}{NNAxMn_NYn>K{0GQKXQx?_ta7V`d6I6J8_8aeQy~1Z}TQXWpp3kiLHth z6d_YM6T~ft7Tl8lK%)34`q@&_BA_5dhXBsOS|!FZKR|~7YkRqbbU_@dh2z6egOYG% z6#QS3mNr3GaPBFoNE2G_0``DHBjoC{oi95f^5x+-YMd)aPo5TB;KBVET;743%K^5M z(bciRP2Enk4(Xv+j9vAP$VLYdQqf3oywO8a|5=kdKTN6y>YfS+T=eK;s zO^NjX!NLp&p=@Z-sMqSJ$~5!9X*7sd(|+d&5Ml6Q)G=v)8Lhl5+FLq%*2I2GkvR*cR|S zT`)s+p-4K?EIQIxxtP&$KOIkqQWOryF`pHEo5e#u`coalnt*#dPt?_>0i5EGBl8o% z4jVc&oD)zjpjrE(9CLNKaF(MSdl)kiT()#@aVCOW+!?cMZP1X)qOm{KCeM*D90J`s zTvhtqZ*q6-V~XZ_ml}Azt_EN9c;r(ha5G>Y0szDFSYk);1e)Xrq^{4)SAXW*tZ~9s~t9$YMuCG4s4l z+P)hF>dlmUoeo5fb8S~SHaJzx;p#N~bP1bq`EDY#S&HEcxc!z1n;)ewB9-{^F(+yI z2_iR@}8h_kpx8lB?yuCRo+ ze8F@a1brfq0LnkW{72|tVF~#ofNHS(5q{S&JjDeGpc?-@6=FyW8_n)SP6}_J;VEMH zAIAXX82xc@|58BCKZd2Vx%xsR`1v3F?FH44h2{7EYps9x1qEM4Sgqm3iTWfkaHCm_ z>Pi2=Nr!~cD)>6!fk3N$4jz$bmVTN?GllZ$O-Sk5HmTq^sv{j5n&a+#A{5eRl+Sec zoY;X8&*Rd?*X${<7w}5z-uC9eTpJ1jB!~`WlAsQpHhv!PY+CTTxp9k`UAGWCB_dfM zQL_cVvavNo@kwT4OTRwyO-9sv-RqCQd79I07{+-Dw4LGT7^%mFSYMpi}7e1E0V7uLRn?H9exo@ zH!x^}OVVcKbsTn)yBBm3{WYB9wMKDiAf8|#p6)m3I3v|7ajSG#^x6#DUHaV^hTR?m z6!pJfOeQRKTufp<8hE*i%*pTDu}e)*b;aR7&pf`3;5-_1LVPX+lC&E6?tg#D<-H|P zKju7Lv|XtYbm)H_B6=csJ&x9`5p)@RUBr8e+6#Lba2)X2YWX7AVkG$6e(7@P_u2XW zGD7s!KzD2Ddc1JDc-Cgrb@u(`)afOXPFHYCeqpj1aKly!a(Vyd&fKv5R)4$Ff2sdx zaD>jm<0lZ6H|g~9x|FKY5ub)Q5U6&b-1J5%zYy4Rnc)03_5JOQg!LOFf6<+6>Z)M< zm2B=R0g0DzNzUMP7Hys9RyOT*&pkZ3lbPp>kCB2$e6KpvEd;zLSL4=(>xoJSW6ms; zN}@rD#X=Lt2WJu03}@x?2$?p96Q`C`phdimgD1N{jf8}zgvK(n(NT8w*DRClbQ=ks zO`To#(X8@|`bYOx&N0Ah(kF=L8y;7vA}De$8O|S>YjS%GOKZD;sGBLFdqgb!l4+qg za`NgHJ)XlT>f3_v-k#??cnY2T_!KA3v(x5AqP|tWx22#7Vom#La!Gg;S<9>5$MxWTCN>){-9ajU+Nc08x{t_3_9G55u`aBlsO!v`302ubU;0g zu$E*DHk^7V#Sc2sxK`HxpNbMX(Ew1g|Eb768X3cDQ2C*S`L*SPb!x+85cd2St~(58 zB7WAA&y|?2AwOZb9Zj&PIpN4)E;!+bzFa?NtPRBrtjZvM(g;6#C}VTdQJ7kAQaZ?5 z#273v&F&S&f5cSx%0J-Tzhwi$u@`1H1Z50~B!xhLnbXU_=DvI7FM<5suK2sLsCOv@ zV9cE01~!o0E3keoXzv{VC7`AdkTG+L7}x~yd@SE$gr@%`FK>>(%>@Q zTTA7zWv9%jLrD5EEx`gQzbZH2%{V7_VRllL=& z9~-N(%V(YrXnC4|m=bQ>#pKGDC2)>hSd-81$b+lr*s8f7G78*l#;hAHUengmtmN@` zmEV!q2!v0!zgcIl6fGuTEqU)d8XOSx8*mwe&D=h6^TE z19-`?t%0Td=XNwB%?Nj$t{(%fQQs4O7|2CL#&YP~>GDQ+Hmx#jW;<7Q?~#Ngr^S`( zMHV~RP=pjkV`ldv{Lsxn%KXb7h8GM%Z$q)W6`a_g%m&OJPqI6Z$Q2Tu3IZrjaTN7y zm%_{57Yt$(H7s9<5NY$CRQ}y&5GnF0reu%|)XEP0*&AWj#8rJgL$!A}Ok)7PnOc}= z>fMTN)@@6}YNo-_#_`Wwp*G~7)%9}h+THyDIJ`AjHd zf;ItamMbAz6p9P;{5Xtv55q=4pu}EikpcYRt~?rR{MebLLr+(4*~&Xn zjoZd(%{FWC^nIh@$1atNb0>Bw`RH_@B#F(X#Z`oU1wtWOLJ?Dn*-@>T@2aKJ+cadZ z8*F$uSpuvG3#v41E+lF=O0H1pXIfaO&rk55pCEsD2h0ixTV#_qD8wvNOP*j9+`|2# zFF(Jd6c>6A*+)uB298cjDgd5`h8pZA6dvoqI8>yuy^|k>0Ke@o^g-PJJ?2o35E(pX zj*tL+ff8vjcy63Za$wOzD`48K_g;8pFtgc zVotwiuyBH$7BB%$(qGU)EAM)d!kWQW63d(EH0{-EH!F?%b%(>-;;>vP=}x89{w?fQ z8jm+Vaq$XNQ+LqQc><1h-vaDb?^79BfmTjxoHrbM0cf9hNe;bdTXn`YlC2yBlRbvl zs@=0AC%S%GT6MBVZBNTs9sckd7`(Zo^Fy#CM@RyY58rhlQWAM#Rx!7`fOFF(dnX62EN?WnCU z<2fHsQ7jPplFL@s__Y;C>7C$k?_rb>gG(tLiOwMYjY(XJR9uQi{2N+61$sWkt0T2^ zZ3N$HJ?9qiF4fhA)kbamH6W+tutBrvYPC#DK$;tGR7u1rfrdr89+$Ecm(m}XG8dOp zJTgu)GVWd>lSZ@nt6sM2GB4#g-NTJO5ARidm%O2p^$}L;-p=lmd=NW=5`DxtNt;W4 z3;KNx8`G(Nb^H#%;ciooQW61fX7fcgGO4*OV&K7OZUs_z)@WptV>0c|6kpRpMAHFW z)4@yAp`M;QTz}&2oykte@Za7j8hr!}#M;S}bKGhq8o0yIq_}_oK=Z9uy{@)CvCztO zK$Bih1l=Mo@4+alZrG~Ge8$+pOkuo$X+gd?^BTg*!@Ntbn44WrPzY?~mgnSlD)m>i zU{vpS2I;lEJHKuSMM!@`UsgU`0N|6*UOvgx*7S9`^5sXl8EaXDq?r_~=kWgP45gWYEaI$ERMHeXQXK!P2*;1@7i)%x51J(rAct0x8xi z)oN9a7arwh@d0Jb8#P(2u{A_HmytRnb(a_nh!;twmY}ItpCwb)mU|o>^pe>lw*shk zT7q&RalS_(3_gk>Oqgfx!6|5}1;dSV8q#jw?0KXqW}b?E#fivkfp-^)56>b*3J{j6 zn5?IYpuw5G2&--@*Bm$EXIZ%Be_AY_ka&z&3wTzcgJ!aoG+w{@#*y%bo8>eeb8jZe z$4%{nRWz) zc!1$nHz^l0AtCPRS%X1jaqloI?lh>Uz1>YVI{C$&RKW4df#x;-tYEsaGL0p8?t@mF zYJGufy?mJ&aBiesZThhy@1!xjapA*quV8(tYxQ!lWfMa3vldFFS3U{k6+wLoBYe^c zQ;~+|3=c*%Uc`^!j7jl{(3fYPp$DC9FJcD)5^jAQZhaK)^$pvYy}Dqcse#`!F7qsc z=^pzLy9kl+8sP96pzxC3W(66XNx}Ye(buyU&vnbB^lqdDTvndZwg|4hW%n5i^goE7 zQKnl1S~`T_v|^YloeOk;7pn%pzC(nbGFOb_&w%}jmywhO-L#A zNX^d9i`E3y3mgVr*4?X(V0X*VUiX8I&V*`5VJGuw@q!Ib&s2}gQ8Z6K)2UB;$H2*Se^kvCt^{g75damz1g%SnV8_#fSVm@G8&u!hc$8yQ|C^8DUvy4CXfs-oB7j# zTTpjO>aD)!@^eGXl*W&FE`B`uG@}SrS||mQyZ#~bYCdm9XUvB5m-tF|2RS!+_r{IA zcKAd}k>L+o7YW1Po=3#uf=qGP8S|45!|55ybjj2OfF*!Mtnm@Wana0iBqAXO0>y}T z7TkVXj5$d#B|8un&R`85ep;b~)ePD(MjQpW{+N!W{W_8?D4)kdF^@#TZ%F$|F@l7s zVEtuL!Ba^gS%qPZ15k$`$ztF{{w=_;3KI@N+LL1RfujD-A;ahkmPN&371jZT{f&dd zD$F+oc>zigD2w`c4yaD3EGh}Bu-8{*!l0BD@!-Tq zd#%(kw`{7KsTOOQn$5O-LzN5Ak;VzvMjhRJI+2L2Xp`GE!V?9ydi-dQ(CM$AyvD*>_1Z?zZC#EA*-S{H{Dmt51W7HaB~#mQQ1FT9`1?dAlop9Br)LI^8@y#T>{2XtXS{o|FZ4 zrZ%>jET4KmO-Y#kysa!Bc}a0nrH0eZRDMOdvbyTxx0-C@D+_P)u#Q`*lrr&-AM>C} zb(Uj2N->`27%u-Br+L{RZ1N@j7V!%BajeQVMSqgHtJ2VisP{Q-o@6QHp`p`fQ6GQ> zJ-62c8kh6D9P;3dcy)xoyTJB4dL0x>a_JrPc4?XLJ3oK?SSwZP&7b^9pjEIAmuup9 zZws%pyQlw-_3nN40A)bBI>Oye#@$`0e_ePi26If0Xj`fzles!fyY$7|@vzmJuznp7 zT7Ujx29Ww(bj{GlX8=}HO?u0uBA)P=@O0r@?CA&6aWOAhmCUxZ zc-jSiIHo@Rd55yzqSM(Ctn|d}zLs`vp`%)pj}c8H!B^D=c>~fXhdidU0m)8{2K{aN zfsh5K91jz<&XnSw^dD>*$EOM`EoT~}8CpY=Nox%Wao8DL3-^^Yl{x~h(^$!X^y2f^ zJU>C*mYtfzmek-Db#j|07N54ZmqTK|H!da8m}ps_Ig6+thN3yQy6Wy4_Vu-N!n?mO zb*YegP)xQ{NVZc?uC9_<1W+CyqPsZ3Vd(yBWHdbT{mNIt12OkNzY)&Iq#{dh7k@Zw}N z_df6}^5>3AtIj-Yy)lOtYe3SNuuMo3l$+VGSG}=ry)l2iaj1TdoE8F8S`o*s^;=!hM_XjV@4Y?!z=%!!$g?G>`>F z6P&TBa0xIFdmy(A0_<6O+)@m@WSMnknfYazQ_xckqzwXS{o#rrss7#}l7%~7tm-x# zDJ|K5cqK0Ee4_0+z8x}X%z#eFa4wRVd~~YK0T?hB&#R{l{S4P z(XNs4aA1dprfl$80RM%NHCMR$L9OjmdBLahiR3U1m<6o1Ni)NcI+k9vF}sqZj0n7m zUc|jGAxB0bM}{H1&(;VYY%K3Ewo;Q~v}QkFbLpkdy!RRH-RG#zat1412u|b;O1v`I z(b3>0QTyIKvQ+Ib(N>CHt%0vFOJ*K%KwjKsIyBr9uNB8QYB-LU4Yc|Df}M z*%>}?=h;b^`UNmBA~Po<@}*E~>)M#hJls0JWO_v&pkH@BZ@u`pXG{X6nMglqe*K3N4}t9E+hL23_5h<(Mwrf&`3W4~S3m>~lUmtP zDI--``Wf>4Ee8juz^m7}Lz~@E=a@wQ%b9{&Xx(sO8)3E&^N)1Iw5}WKGT^CQZ^z2I zr(<)M^yon_XvFME3jR3LYQcG@W~9fI@`~PE|7aLxCt3YcBkfV(^S&aIIpnM=pHuA>s!WQ^j1K zK=?U4m6C}Z5oc(uQnnJsOyU16A2yel0XRwO4KKl@sY=i zSl$%@yU_Hu@uSoIIq4@|8EruwpmSTco=uXmqjj&fwe3k$M8oZ6!_&#D{MFC-t~dSU zjUu(D-m>wIHXQBarXN5-Cy9fRj;?01mqXJ@V`24$=$k`(hgzOXQ@Ypk2OfDfueDdN zwRXF;_DvX!J40qh$K+9_EN8|mlVADn@uThIJ12%^0}J*=_oZ5RJH3fsZnev=BomcI z4KhZoGI6mfV{yhRvL;fjJXCcQco^}TagkBO^iouROay4D=+1fNHj{IBtgN+lm6odw zKYDfP2c0io{DUm(&SbVX{ne=Xi69*2mBtWxgggCq>;ND3Wi?B5FrVz$7cvwg5HD&( zjNnf3o0?T;iGFh5-t=IJ3yn4YZ3=#yMcmVP6dy5esC^ zX=jCgbH8`P_HrlY*{z8Q4F@?%j_$-4u-$=jDmN>W4nB-=&E!`!am{T{G;wZWF!Y}pj^`Q%{asr|wAr5Awo%W5|?n9jshP+%3;h6GzlWxTWrU%(xE?)dmj zfitAE1c-OB6<_=-^rY>AU5Xtr{a~b#Kob5;qQDwpg5G>3ydxiBwA@Y&cr-8i>U|n< zxH8vC7zO{<_U4W_=XRcJSM18vu-!5VbJM*9>4z^9se(o>_bnElWri4R+=Vx2J}_3M z6FO{IJUym{l7XB*5>z85S7A3E)Tz=h zfgDF^D_srGnXd$GrL(ufSN-ZY@+?GidyK&k*Y`+c3(WdGUj7e#1q$D+rDd#Miu!I9 zrLCTUk+`(AJM+AUs2)7$B-zPQkyx6ssGbS5H8P3s<;mC@-YP}+k!sI<=Eo{-;p(1< zYD42!9uA)6l9B6QmQ{E3;THm%@%rS*DubJ$H!Z@-NGpX_wox)2=v4JuM@w;GO*8Nyg2K_HGs zex=2%>K_v8uvA1>wWJK*Sv9xv!>np>YpJ689};U;Rap1G8>zLxYXP{k*z?GsVv3bG zJJFvX;nXQ~B;6bq$)0cNg+2M!Sxn(wOs*RE?h<8mMv|+&;Chp%yx{tiQ9H#%l2LtT z;04Wtv+I8!aiKOVsxnW@125ibHw!?*U3SUsstU>O?H4!DzFwL3Q zrLy1UFGXRU?|(cC-1VMnM@hRAz7jB7gE`?@z3WuZBaZy=s>|Gt7Q*@STs#H!EO=7} zRv&!5yym%};r{~0hsIv?y%tx9Gnj>wkiz~UXmZQQa^_kCV=J2y0r6AAZA9{7Ts%ib zL*x%*!ol1n%rIEHIR?n^e`BPJ@0^+yPL&P3fb2W2pFaDjQ~awyx^O`NZGi8@y;S-| zJjWKb^?<^1WOhBCpgG$uv)TMkF}0V{lclQw!5$2eKAX2zGonG!I{>&W1oOvkm3PYT zOu$!}3{GKsBl1s~jBJVp1Lg3_zgCCzSU{KImNPGIN58wheWkaVDj&(fZU^r~ER9y* z-@syR7YSFdc7qC3pK^l=R`1SKYX$`HGW?zCi}Jz#aD4#I-zp@IBY6Ox#AvV&p2nE7 z4=#cu*|}x2lo-KAzvkjjnDhEt&X>+|N8#0d>VJ%ATuhqo#e_68Ppa*21-1k?*qD=y zeLWMPr!^&m;9)Mz#IRoo)lvV4^wYzil7a9r7h*!%F9hpg&q;>9p7}!}dHfJ&`nX>R z-7%Y!jDP((P|spY<`0RrUkKizl9LR7{n=md!;}n^#}6T9?cnYR)W#cI4aH`#m;mDg3^6X3{i4q`JaMy26{mjKctav1B9n9q> zz%tF>v{K243a?YL=lC!o-oHeX5%u$L%*?^6EcTRlyGywn4r28_V8^M#Y6e!^4Y0%jXk^{&t`A5yLf;IM>IibLFO$E&6XXC5iSN&}Rt8F9i(f?~ zbvEf!_#zrms~DaykHw|NT*Fg@aW;OUa1VHU!DmYypO>|kvv$(b|NI>xi#)<}*@K=e zX-CxA(Mi3EvFi9Es+0C& z4gIyK)V&*|9#-Dx4#s|C>bc2HJRu&+%S7%n)r4(3bE z8t9)pDr3n$uG#jZ+|#J-jq~&z?BdBTls>gS{H{Ow#tZsT5tODHwS#dGNqntEas77F z-y`PrJ;Qz2I>Xe@jO^?kI9i^88+5J3_xCLkleRpZ5=?IsqnZot-}Ji%rVX~em>oE9 zxe>*017lYWjCpb0xw5}9*DfK8e$UVCeo*{nMPr8xe;f+lmfP(@Q;iIjl-pe-htO$* z{A-$d(F@m#1CawU@TS*bm05!qQ7yD*gn4ncY}V~%@#Gf9Wt@4j@2Ppe)I^s(dkeUe zT)!Xh(V^$^&9|BQNOr+2s4pw6(`5VxEJ`Y5Dl*|3@iQ7xn=jfcUdR=0v!xoc_Q zqNqwH6h(nQ+mc_5WP&-VhK@LI6S4;`gdB6HtI--~{P~TlJmLlCV;6bRp#7^8OGId3 z#MPrv%FclX^ERQH&g*xA^H%-(fI&?DKgcLV%{AXxEZ z0DdI*{rO!qX+3ZO7}ur&c5ci}u4iHA2NyR+_`bgwZQsbBoHaRAf#MNGyzHy_2VioO zQ`dxYBpuX%{_2aL19SwoOKyV$o*V+z7kC8Obr>i5H!E^9WyL?9BNHSm9#`4xltLmRV`7K3&8_+1p|;bo9=3EKzs!y!|f}MGhLbi$gay9^1LhNp}Z${ z#YCs|e@J52NR)B3^8+h)KY5@zMVkC(`e5m@>40d`zx?` zH=EBm!7rBDz!(mf46K(LG^rh8x?e6FZk(SmLrX-ZMn%>_IV9+4g=5gm+i~@51^HpH zX2fg-DfLCM@rk$vUBZr%6Gor&y+03&l-TAAXwr6XOZ-~{ymZlCvl#_iySFjeHtn1= zwy!2N{Y4G}Pu$vwY@4=DT-sN^HvunPc-L(Hko>kydndQ;t65FJD;Li-n@Qk_d)prp zxqa2YiTB(EX5>_}fi^ik6ykt9oU1AV-2)BK*k-et*OH#x7ENI!kY!}*`YMW=k;B!q z2^osP2WkV!+Q{IxMu$DA-p)OXZAYPXS@b66FJU*(M#$--?f8CPg>{p&631S_9y*kq z&9VL78=zgn0oF?in)xp>#xIuAU>gn>j&A`n%MyI@qyJu7x1-#z7OHa{47bYvqOHLv z{{gz-lm7s3@X3FGDEQ=GfN;J%E|zQa_9{=W{E!Po(94`$ONv@m*TP5refoU|orgJ~ zFN&W(7;7FFH&Tuq_OfyAe;UVLaB({ySaNDunjc zNS)|VTd`nQ;e%|TM4G^Jwf+C^(%?T~jLGS9YdBf_(vrtIHh$iK$H&C6cZzg^1Hr3=fi${+*yU?XhNalbhNKPFIRe zr=_gfbQ_P=XK=&slh^>WDJNk7mRyrrt0;g!jdBtsp_ zMRfS3m9HyUVq*o_vq@|qYhwem99;wLy;bQ=Y=icD5~mevzm>2E0wZ_w@NKoMs#kW+={0~3~81DXzob#PVcYEGZK%@0JDQ=<9EG} z!-HDdp4zpm^KzY5Npqm7ct4UDlh)Z^dt^SDgZN7Sl~9v!WVNfQ@=l1M3Re zU3~Z?{N956d_S&wUMGE@ZoHftG*@@#@}3HPyQ#5BG`$Qivij*FaInu5+Y{+K4M5HL zfR^R|Y0@<;F)Xc(D&)!=zBO>v%u~%T_i6n_8dpS>H^eq@)Xs~~FAr%EXj+n6TK^&a z8#t=xq34&owFopUAuO#8Dv-(>{*WH?T9aBwnwI>Q6{Zz8IH(l1+AXPT~#_)T)o*U+)2(f>^trDI^9yK@V`d1Y=AF zJ`d!_$@vgL$cjAO05E^#Fejlrh)86b{ddd8g>q_tZxqsx&Exs4Ym4P>>xZ*AmH`DwySMp>#sC_&?g8>*^bFGYNkKjKwwR2ZVsECjui7M>5vp>l{#SqlPSq{PJc##1sQd2}U}%U)o&3H) zB%q-32pxcYNFLG|uy4rBJUP!KCtx;O{_9XZ7?=v@ZX(?n=vfACB7GQcBdY1Ixa8hOh;d+G}#I)o<{6fyh7~g;k zr*`8LJ`eJv7ULt^fD57iH9%{tV2@&4I}C#thDyYh6OuFA^dAb>u*A~YBEzXCUc ztJ3Z3((Uc6)ft6={B(B_wtL#$X#O0)OT-)j<%4eNZ(ljUMe!_dT}7>KJB0QfA_vRB z!CxNZZOn0c-q^VgonN)|{}B{H{1IehDg8Y=qn1U)cL86*VQI% z)2^+#vGBz0TRN-OQ8_48*M%A*!G7j_+-Pla^bPmqvFN^a%0=Oo$uo5JOM*2eckW%t zEU5S+rIuW4j^oj}Y@t?-TBVKgJg95SMTtkJ@?^pbFnMmh@p-?n4Pl(t@oIV@IQ?}!{m0iVLRS#S zhm*y?xIY;;9~2o6@z|FS()CaN$OUz0aZ`3md@WD6jP9!)+{23o_`3cScQ0Hk zMS<@abtg8ww7WWe6p(f63dw{T{EZ?@buaH;9acIhXNOAjzgMlgC>Q{zmnGo;De}<2 zaK!0k-JgRB!VRu_cM=CY&|05CeWM_;x(xuIXx20u`I+SG4IGHpY_HECMYRl*OnK8!hrLx#F()dby!hkfpIp3vvapzy4jIV=K18+ba>Hw?)oFY=CI*4=c{U!h<0>)J}#tRd&)&4x1P zFCECLM##lpv?JB-o3W3SIRRD5dj6k6E2eTMrv$*lY~Y`weAJrH>ee`!a5P$7ndecE zr!<~l`SrE9mQ^oNmsgxh+yzh*0dgq*FUYvcqgLv+DP0!Eq^A!+I_g%&@-oxNy_eMY z(Q342Arw-5Eihk3`@hhx!gTWsz%klx-O_}M~4~bak zGpOf6-Cl+LuM&bPLF*>6>D_A8La$@U=j~tYdp9qcOd+9MHja2e@wvt8J-&^Y>^eI> zEbYy_z!Rh|i6nBnqrP_(ysWBST*8cPW@dYGiM@h0!$$>rAk&g+in$VQ$NtGF9p8V$ zY1Nft_2>Er`t%IpzoWg`UpvB;BCKym!rR*V&Po)3XZn>#90m z%w>7&KkbtyI(A2KV>?Do=>7lc_mT{ zL8nD@?Z5LCfP#JZEdpi#?)wYW&=E)wGKYG3I_{y{I{0xCc)N9-z<`GByC9aE*U&3!WGfpzx1K+b_2RM9>2~=m7@y91U}Yn!a8| z*R-m8`hO?Tt?KU9_Veoi0rdcf0H``c!(5{F^|7+t*1^$uSscuIfZ;kvb6ugns#n=E ztLmQ9_ABZDk?{ck-w1*`KoC5@@d2vN&|H_Ouc}qH^s2i1wEg}F%KSzDZ9tXz{{#5S z{Qpe=mHGdV_^kBZsOp}5MN@U}0+F~>(LH{Lq3O`^`}Ig&edi6H^uHJW1f;z321f^^ z>;VpzXRt6P3=O)a`O|FN9#qqK;Px`j(-NX*%O z4rQot!Gz`2yPk%pg5XZs1UZ2W}$u=^&LES2^x z{lSEE-6h{nY51vaeN(cTl;o$1Kohi zNq2JetKj0aQu%Qs@%}dVSJ~>1nGK@-SY`aw5t2wQ`7x6DTxLcL3Sm3ILIrobJQNCb zJAHC#<{hDL(u%89T^1L0K z6U(}E9XLgq`F$f#rk|F!?u_CT@$2^ZaZBp!_AwabL{boMemlRXG3O?A=5yWf*( z=dV(GHrM&Ia*BHd$IrNWx^tOToeV9Sd3>54x|jq!n|sF|%$KUsNnD=XlPNbS!W2j@+PsPcy)s+K!C2Yz z^9#=2g)U&x0u1AhzSWbY$)XvL(XMC8WIFSw3f}=SRLjgt|_NZ9^{|3}F?FF$|Td7_+K#H|kTRJL%^w;p`0V__#v3(Ez zGlegs#iiDZ9kCR>b+76O#~D4s5IC6SCe=|r>JaG+{~8K307)nL9U==Va1S+4q!=#C z6ljiEDqIZH%o!EFeb(B>iF{UDg8%)2%~w(mOc6y^knbDt1XM9ePL?kO2sW^(aKv0h zF{Pkp@n7LPAPj(|(idRhh^1o4H5_J#+l1kFfp?x8F=WT`I$P}<*5{CUh|bMu3f}!B zw}`N0u=fnkgqz4^n#oS-C`UPSSeY|-aPewGqZmCj?GIJXNC`y=V4n#^>0oETpco{% zPLsP4{T9uh;my-d@f;)8l37kdnX?3i6f~i_Pfn(1z&~BBLPW z@DWfF{MHeWA3WkQP!>F2a;=ghkklVvWA>-N`cf9cjh!eo|qwMw+&@Sv&mEeMaG;Lr|rmph|7-mx<}0 z#sIy4IVh}-WH@iD@~zX1?bEg<17x|AzeEC#c$|1?Jlt=_9V~bV1g?oXyF+U|Ln09L zNC~cQ$BLNNw-CZrrOND=%YDG)*Jveffa%UDW?x#k*8?0RXLV?llkNhhjm)Ri(%NM< z3fVktq8b;nO)ut7THUa5IYl6c)+q-20#JV|*OV5r`J6L7>AwC(6~%Krc5Q2b|E>+$ z@giW?YT!=cRE4>j?O0Uz<@)Z14!3p8)3$UHB6)s5*~yNz_~gLTZvCT4l~>S83!8gr z(dX0unhwLf>}zXR(YM>n{#Y1&W$EN&+Xu25h9iT06+s zq{oXla+IV@i2VRCPJ*@N%`oz(O8PgLpgK&pJf^zuv;5Av1Iiy^B`>j#Hkf2TkA7sF zJX|7RNJ+GomHzH{v&@g5kd*jbvr(U;z}qBa{d_&xv$y+D=o;~K*Y@5>QKX&pIU-6f zEjy30Up$wd_khTKg!R0{YTjU~?l6e~=)d3w1#k~+yiBG;`=mq#tOStVQR0SKi2z$d ziZx4#_IA;R`hYrOe)s%aFI~@w1>mKxTiL9rqC;MJr+KM)UUYc7Hw6ZxQXxVNGcyT# z7*!K(-24uoi>Y+3FH2NjdH-z@UtslJu0WdoF#0zu1k0gI<@GyGHC$>PN^;Syr6$f< z(7E*M8pOfzXg6(@M_cF;Qtx8wt+LDp{M^OMX(ssJ+(2S5PtQ6SLbf6EcREmMA z0D&ON31(W3fobn6=~6$VMwG?zOKWutoSr>m-yRfsFg=Iw2#+Kyy;`3kcsw+DALDqi zQ3}EsJwcKl4^5w^YS42v?5!Tw!T%9}j?w=E;Ku0x0pMfw{{Vj@%pS%FT`b{hSQ6DB zB$_^`Bt5V(dH~yPk&r{U2MGpV&eqr83)ND#TDS)W90lefPOvBPq;s@Q!_$;_z1c8T zjBuX)^9HtlSu*mv+$8wDF5YD5{mIAM#X*zP>i+CX%4^!Z^J3|SR)ZkJQMkg7o0IpP zd9a~hO0#idbe?lpkTpEiX}|gQ*VqB8&lH7{3!J;n=LEH*d%oX!!m5qQ(sAaB@@>7* z*rtcp#wIo_?F$K~X~Syctz2Pbdc7+8Gh>;tn79N_y}>4{h({G?>!UJjmmcJp&sp)D zRi;ja<*xVioRS_(lrnpoZT%xBh1SLlJ6*#q6X)4QzL|RS&vn{!M~l_IN~1e%-Q z2cHREIbCY2UddJKA4t6Z_@bS`P4Hd#AJd>CQ=P&14|O(>DQ^^u)*1<|yY+1t$rT6H z4l8BZaARI=>59eQK07%JRxIIP?q|~5C}`L9J~-<7;am+^bv5P}$M4Y`y!0zCJ50)a z))TPcqUNIHNX@$-NhH1gh{HJI!o%!p&glXw!&xLtOqr7I2KX6E$IixKeGS^I* z>1WUN^Av^tOK^!-hv)!`#D-`O3e2|U02+idz2>_E5rT77XxUw?jY^xXiLq}%pcBNv z7?Grx04vBC!4|8lk3@znCxAqTDCdbZ5JIUgBu5MrO1WWUIVx7R)^?S|*1peT<2Im? z=DVlj-S&dcr{_%Db~4Kr#YEXuEnd6R#El;9=h}r#CfWkzF^Lz2$A$go zzK+b=x_DNtkIzo~*ulBUlCoW5i<0t^b;tG5V6*e`S0BY>cji|uk6lZ~ciRwg+KR99 zI;zL@bG3M{y~GH-BFVezoh#^#OUs^0&CAR%M&r>Jbfe){;?Go}E>{dAC?!n|_!3WPg*cPnIDMFSlztd964}6?fuyyjN^p zugn7RcsBKg4m(JdD5Z};c|tPG1>M( z*yMknZOfcmu+h>sMfoOSH ze*rs692Zqc0U;T<8P%{Tex`^ueMIF0F>c*Z|^0{zio7ATiccdph;#2ma`d=!R4G0{fka&ggyr)E)Ns;5*Nq3Wlljncgf?R?oeqj|;Fwv@Q*J!pQzP#s#MuAcG*$ocY z>XXtaJK32)cw0F*X>4a&b%@GN=gRn;S49grX)~&Q7JsT~AAx{GhWvGOK~QnG{AJ&# z-dx$~%z6I4*6iNfTYSnVrW^Q#`rrjHBV&0g>|^F-6Ia8o&8|YTlxOdonyM6I#JH&< zO`i~>BxN5MV+36vCYJCRy?9Wp7%*8p2tijeH9CFro{(2#7X=TP75t7qsw8B=e6A7_-$Gjck&Darmb zfqvS+Gl6c}r!#*&4Cc0+C2)O=_C368`XvGki6`G1td$qRv~O{%WCXMCeMFSW>N41* zX0l=d?i0g7CYZnBq2E(xIdx+XWlWVPzk z^8V=1>KjLVNvnXPkL$+QtvrP!ojQml)uJ&TFSqJjt?yc9I(1Y#<2#^7vxf(lr-x51yLYRtnP|}+6oD#jYOX8K7lk9R zaxZ0dxVBgA{rS~YW&Z;z3)j&XE+f#aS|XWc!1HnKq{8DXXt^J=#c4hSH_lzwm+gNn zk4%vlCw+HzRsL)-I?b2sxGr2hi5#U@mpqGrydw*eV&qmmq2{$v7Xr>|2PCz9a2TCvj*jHvmZrQSqu<;}*xV?KoD|LJzEnS9kcIit=PC)3avael1F!Tp}TeysEC@hxvocltfVGDNS^dk#tCBBQ7#B*;<<3(v9YZ`NHHZ_60u*uaSkVG1mGnt@XN%7BE&8YqySc z=#Q4`;wh@gi?}#C*$-|nqja)vE-5fJGp_gEb~v)ReD2S7?gsYd5~~)RhRU3V@^x%` zuJ`Wtp8FwX6P`;cpG!}gsSbT+p!DYU_E|yEM7{zu4-5fqlz_?FH1C%UsMJ34>a<^Q zDz86$dx=`5Jr&m(5LlX--7DIt*Hrzu-1c?!hoAHH%9mn35KUx`vquKPGPrqX+ykRvoFrH3FwQ;0I+uYM^f+lmZJ{Uq7RGP zmKz2d{Oayx2=k@cdcxkdHzfwCwSAvPtn7%unF1$og)GN_vay6;;KHdG5{2<&j= z`#!zA`3_;h=%)9yzc+9_$Ll%s`JKI;OWi?^IjC(ul2cx?)K(X zd}@aA=9z-o*;@l=B{^7RKjn__NG zS==c&NTb=C^k=)}@&+MwlLTdR6vQ}*x9+*^21~g2+Bd+Ss3&o&#<5?B!anFeC!a@lGNU^)_LRzp~I5TKGuE z{IX1wp-kb2?-jy?)k^?pBq?S^f-vw#JptJ-U!jNqiU=9NGH?T|?};5HL3R@YOlP}+ zqK>A&fr=9m+NqPHmZskUL#7bgad7^Ne5Q$g8Wqk$46VvUwiBR{uHFHAW+_LZ7=9!} z)(OV3^Y_FfKJEaEgAj_DfPwuErDr++>-m#Srer zErIT%;%IplMVy~ye{B8L{DsOY0^}FvG!(cvUky1L#Z%2xIJYIzn|_pj!_Wi zekU$Xo+dKLepO z*bX})1%w?{koi#u~ONWZJZ5y;(e| zK(9XS6%y_>dT!XHmMM;vgQ~~f)Xsx+?1t2`HXXUzIUBbXLDNk64B(1?DNlqm-X5S^UKOj)pHU1iU^m;!%wlSPZ zT$s95QTFH?vR?&0N)o{Z5<^K59kP$4j2}BpBJb9ZX^VwFZ6RUck?VrgaTb|$5~RBXjNT;IMV?ABP;x7wDqZ%u87%wU%% z>%2Tft_qgkiSxH{;iXi!mFn~QY|Cp?7U8wj?{^Osd&*JSORT9^B=Blp6z!PJI!mIF zaj#UZinTn_)9+5yV5Izf`e6M;gy_HwP*6mKNI(JxV1|Ldgu+}z{|#d6%brkJpqL~; zB;fSOKqL_KxV}VAkhg~(!xIfhWqh~RJ@e+SA+-nlFY6%wV=m0cP1hH0s}-SH!D7ap zGUSE!bC&7i{7ADht0-*We=0KOArI&xablDt;70mDlTW@*c*7>`M(nOtQ z&6NhEvY#1hN6B}EH!c;u0=RKrcLZ9Bv|q_qu4<29jeoE{I0DB%LJb_@AAkjp z@DGUf4;JkaEZ8EFze1#P4MF7+g25*SM*y&oa_R29fgK`5qOOKfqb09~F{2$_3kFEw z@Wot7?a_ZLT7`C=w3uLnlT*>7t)RHiJ52rm>iKh2xLw8>@Hu)(6u20BNDR1Uw`0+x znO#Y@W97&q+CPDUg0(^yZ60l{r(S+MbO4uaV{Pv=;^D(pDl2@B?aO^4ysGp)pna6d zLs@7)KT_TeHIv48Ba3oF3-dw?b3-fhLMwAaOY=fYb3@m7BkSLjlD>$E{*I41p&)vv z6MKqx1#5exG72|$ze4`hlfE;d@p=tFI56ZbTgbX#F6H&)@tfdvbkIq@txZW5_tM4b z?f%S33U2v)*_d#jF*n-Iq4SpAB{1H$G55+nUo~jBsN?rRAV#^v#~DoX`G)n`4^iWD z{<}Jd6H4?OA`BTKiZ~%_0-q+OUwF0*@tu`7S~pf}5J-U>GnQ3-?`wHUzgN#{m^EjLm+8OUA!P z3H@Ef43R^;i&BL5l=fl6g7&sPAm^`4t|ti9`x8+JR{&8Aioal#-&1q&-04}{A+rE4 z5Sj=IM*xvFkZ=dlUsM2!m>V|lr{=V!|4)O=AXN zoils_uev{<{jDc!XrTXR-MNOt>LA+CdXslRr~BJ)Gh@*+S|IuOV@KCI$@Bu#xUl`e zNh~NJGNE?9FS5yZNe{ogq>NV%y>ln)vvbcMk7!+y&?qvNmbjPLXCuBL27YuoOkQMh z1Myp8PmWf^dsi%4vW$&b#f2?S9QgKDa}+zdunz5$fdLoA(CW8ve=$LnVy#NrD)RhJ zJ4KxGyaf*Y)a|GE25^O~4DayK8DV0#g!GG@L$>O4h zMGEK{a3=tEm6v^}WOKiVzP$sI?sx{z5Gm1>C430YYuGzN=EqAe8}nK(hb$4gXmLK?lBqP7YJ1vgE215velpUoIhnOTuFk zu0J9I*fcmGO$ngeObcMJOTvVa&rwf^oZ=nuv;3MQF}nMpZ6WV`ouT#w*g(+Nka=S= zMB-7gMC|NfF-&|aJ3Kt=gX!C)%p=j6(d(9O=ZX~QIJ!KW`noJOo7XOX^VVpYMvqxx zI-6(L=dfbWV^0BEWug}rjo2a+3%Z&Iw-%yXZ!j3xPee3HR6@GjaaGTF&k%{Yfgi+B zDoyS`O*RRuJt8OV>cA&fiXq-j8uY$svxt!C$@@5hMdt5rh_0YLhwpPu=*zzgbSW{( z6x)S>RFl7$ji5>=kDLqeis_oxXKfPa!1K%wh$vshDtYb!e~(jp5@q}$m=(nM4mfe^ zJ%6~p!zrFvd+Qf|!3+&*-2ix+N3BpOVqqbvq#m~(Av=bQj8Wf6JlYow5nDS{jG@l% zo)K*-*JSp3rDf7m^70R2h+fGku+$O^N_KqrH)ipcH)Rp#K4=SfoT^|#))-w-D&%RD z4hWV44Z3RDaH9v~tV~kScf*!pKzR zr%;{=JyMIxHQ@+Sk|Uc*ZZ>*C54j*3fT*RKT-HDwwhnO6vPl++aYIcFUiW5YoZ>%d z8E%JRtO^_FOd*PP`GO-_?T7lGjx6hjVXY2>>z`ys<(!i}lN79Bf*bhTNMAJ*Twkj< zmWRrQ<9!X8fB13-%?DSee|CH2 z!a*5uWnf8ppm_9dLvZ?YkQ8ZP$tED1a9#scisLGH&y2k{&fbyzq!12KAkh^j1!K7V8gI1@4Y zc!=tfaDOjgf0ihunr0Q7L04twX!mcJ_3&cAlIlS5SkHkZW1?l2Bo+1%^)S0Z;P}40 zaNM14*uxlaTSClgXI9>K5zP4d*>Xy8Y_CelyUionDo%vTzR#CV zYL_W*YNekykk8d6E+tU-XF4q=;B>Z)rb*l7DP)|WnoxZ2Uo6Y_gFk<@$n995cd=CA z@yO?Y+xTvheGE0dUTW;VAFAzE`s2gei?QQIsH**7i@xKgQQJ+Vs=Z95Gf$4mr@;S9);L8+O%}AINCLy~wkpRQBHA9=}*9LL;Ho zjGQk>dK;2lCQMjF68tn5N@z*o><)!VE)e}Fj8cLPQ04a-X|S9n&r3YHq(vQIP6q>-n!*R7aoo_^Punc<%#hjN^{m9aN^OJAenkPb%z;?y zhOO>l?S>cv!qEh^l=V3sYRdlZn718hd>cX3VG_4sV=paY<=t}0AX9P2wRcPZ)4Ia$ za-i9B7P+gS|KRaRXwq5?=2%KuSmsUEo&LLD(Rq=`{)=6MCrE(0i>Rihp~Gh{n|N%X z)w+;L-iz9|?kSL!c=YM4df$fv@Op}GIoxW%=OY&@x6f0Z*~>Qdg2lI#>|b1(_St6p z6_I6Rs`~4zTPXnM2%X8~L2_6H^vH3g14AEvf;Cs$qTTd9ZMkjtMz4(GK|(NxR&qGX zJX*baz0Q5305Q&EZ|3H)d5sghhk|yvD!%PkCP{5qEKg$efT8^$1sPAQ$ph2%j-xX2 z=Va|?`|KOd-Fw^;Zn8`f1|fWlN6LJ2hL$wVv)I?h7PfR+^cv0If|f~pZLH%MGhE{P zQFd-iXURe58+t|H@BD2V$W>#Jli@3Z%xJ=OABYp&Go%P!b={^scN1PS1%HtXCZqWr zrq=nX?@62|oZGng{t=QoJc{{jU+1HP=}jN?rcc7&>A7e|zLk*ofvulkG_n^gXbwFL zah~&n;kGckLb2OlUm@D=z3dQe4U-KEcE^?_d~&;Q`cf!dehr+vr#*f+0@LJls7b(! z-Qe?#?Ilg4+1u@G^>Pc0&>#2;UPCXTeKz8Mb-|Qyo8(fpGLdLA{%rz-*p}!pHN6U= zcV;&`EI-qS%AR?3z-B>PtVydafrD*0qwm^mIwA5A_~rNWBjkYs>nM*<(f3a`o1%ry znoU=hW-MbA-z%ezmU}@Bs>`=6{)ai+y4y{J%?V4&523fSH-*G(`}bt0V{$e&o_v+B z1ZjeqyBXQVp$VH9zbqbHle{yVb^G|88*?O@0bXmt*v=(N<)>4$Z5>Arz2&Ict+j)u zwg93n%rSA&YAu3L{6v{iFI)N|SNhL*yB5+HC{0L|Wh~|3@=X+*Z~Hi>@Rl*(@Dw#d z`0bW$Bq-*M!k4ysR^U6$2x|D1-@6E{`9BuE+%#>p3?9O(2dklA1k+BQy4z_lazeAZ zvm}J~pzm6BVA`B!Qahd*V`2!?jvu<~@hox@cwsDKG;rj?tL_xNo{f1I8Sqy;zqzu% zw6J?FUH;Bx=&B!U$^Oh$ZGXz&#IWqyR{_Vd#m~(AtkK0O3_tBUV&>lqsO4SGN(g`K zU%qCPCR$B%y=j~#d#Y1&PCjBgNU42MPSd>Ey0Hi-zbVWc*gIGp{Q0%H<2jgL_&J`b znR8GgE~be1R{LY3zFX_3cVj|DNf43(Tz(<;pd`yU>pcCCVxOi0T$!iA78p>nj}LFz z2f}--h3?-r@z~e_2R}@#OF7I5q~fWm;~>=TRXRf- zH_-8=12KKOJ5`Po3lmg#FS*ZvveWjiOUg=A32R?nRhmg>A@Yo|wP%&oyWLQ6M&F0-p_aX~nLg4*6%;TwA z_V{?Qu5pLqW!p9oaEb48J@F3tSoQh&R92PwZvRq4;PVE2!#lQj4{ZcmcI&LtxIg$@ z_tOPu)rQS#x%uS^r-&{^ZBJ{zaY_?Uyvr_E(}{;qsLlQ zBFI!LsMd|8imcIV?K0S8WPZczQ(s%M;mgC?Dn&yRbZ{O!V6$-MV14$41i=yZgbYC{ z_Jn;2=^f;lAAU3-wWcuxzBE+Baeg!$!}7i~ITtX+Z6GI=zOB@MLqa&I1hkg%ug~XP zn9I7b6t`jj)`B~!{EKbDx6YDtfi3F-SKJ2iTMP8064+_cx78wvcfw-1F|ldB6Pg!f z(9i}2LJ$};ivb&-9{UtdpBWx@*mQW`GvZcu)p=c02bUhb;8q23im%3MZQ1Yu7#lYQ z7#oDZYCh;xsyN)LIt>CDTXcqR#0FDVlWqMO2iAl=3d@DLZ6_ke1K)FGTXC0mY6mJj zUp2#U;{|Lb5XlrpI7bT8k5vzBArQ$GMOYUQVfibfiZW<5nNj7sko)Y|>FWk|AV)8A zLp{JWfmTJ8mR1!jDPVSxLAJtTk<>g!LLcq#28cy*J4_)DVfWJ5 z(WH4KH8~`?Wi(8(%4y? zrn)6PDgLE6E>-3Qp~~9&Tp4xxsnwztX8V3zg$Q=j$0CG2Z<}Nk6MPNxr-s3u_u#uX zMqxObik(-Z>)u$Vl|JTY$(SrHIJLrMPOU%8SV?sRj6?~&;7@3@poyQ}U;LyV$FP4a z(D)UCn2!1t!kUWvk%KZLX%O8@7 z9Rgpn19sN*#rtn0_*pZEvnDWSr7xaJcNDem5L)#v%#s~|ExxfODS=E=AwHqss zKXN6SCW4GFb}d?`y<3-#&-7TMY?uLlu^Yhu+nPLmxsc=Il{uCm{Pr%$mC>twb>>^XKjU8 zosZxKik{~yWy@5&?3>H95N)kc7xgYxFLXKa8-{PzvY&=+_~gZti(QPY8S^bJ=VqT* zC)N{p2KtwtYD$%tbXc5Ej-(8*vfQ7!6R!QE^7{86YfL~V&9QLr*72SOP$D2GB}GN2YMdssAVC(t$P!2dhB&}?kM zS6AecUOLoNR@Sz#jBY<@yXnH}-urbEuIR+_-TFgmxqo5TE$Vp3&!iHjkGDapnEnUg zdpgfr$ntcJRIN83eV{A-3^;y2D|F)E(vHk`bcMIT2r`)gHtZ|h&tBD1TQ*+h={Qj9 z_H^JDeh^c3Rk6<+&};kdjsDpknOI6&I`|HaSySlJ=NdT#9~>XBdmZ3{Gu-lc4SRAK zGnaTcw~w@|#ZpvfFPWrY8#pp6nUv~t$w}AL^PrcnO)ov^(kDR!`vYdOm^4F9&7P7f zIL?6*E0`EJ3}9q}^7uHJDBm(xzD`b-v(M%3{8qL*ARG|O03nn1&Xr}aQOZ~<1-pGS z#1!+3-NOtW6qS$Y*Coy9NSX5(c#03+ehr2^o$I`RJLIUIK|-f6unUt|XarqOO6N%+ zdRVAtrwWg+VdpT=sI+N?y@eXj*ULgZ7}3RF`Dkd@cT1S&OM_sfBg(P2LHT3beA}WT zlHMy%kl2EsaX{US>Q32|uHg2aHd%)LLsLwP=N5CY23`*r_5lOE49u+|J%ZKeS6%$! zn%77XGUk{(z~JmGb4;$EJT_&%pFMVQj-Tl-boG*-%I3L=>VHE@Q8y^3sPJFgo?4^? zv+{u4nx+hOb5V`Q_i%BHD|B;ZVA0Gf|0K3jqWt$SJihG7PIw!Ii35I-QPu2e0}M3M zqdSH)(vv%=G?O=Ri9LTzT6FhcFNaVt@zJ7{s7)!ty@)&T$3m*%_U&{(lt&DVsAc#M z_~G8K8PfZ?p#nxtqJ!LE$K!jsu*T#2xWLCjPwTp0XsUU>luv>Dck&eF;hFB+$Hmm& zR?O_|e@&s)`qTG1Xy-$sx5;->YqgWA$sC`$ao5XaLZ}O@2L*y!9ammovRi1Sozd)^ z*)%9c@mvTjI-1{T=3$imnJGHL#Y%zjFo7As3ROtv2%Zl5KXufrEqdqwe!?gw()JH{U=FcWMU=ox;RUnPxI=fa5(Q zkrQj&Ko|eZEqlsP9~bb!h+Y=jcwLa2?z5%dKjBRGd0gF?q1*_aqnpRk5t%_PY&`gSNYuy; zU^olbl#wqUJPmhxkJmGx@YfL9?s7mpgSO@%m6%e2z}t%#T;H-(SRB@M>3o3sy=Dzy ze`&L`$)Uv};4=q~ZhE}DD3&k?X`IE5Mc7|H_awRWh12Q;$e^roP zB*ZLVC@>*uK0@Q6G+*|25+FUsz+OUB^jur0pCXhX0EXhrZjS&1r%kT3jRoQ5YLWwf%{aC*OJ*_ANL=fSnLV#>bwxAOV0es}V7 zCwG5eF5XCO2N3tmNAsNVDpNvL8yM~G#BKmVcIZjwNXe9NQC6sQ2NTCVm+eXF(QnT9 z59}ZX86yB!*@v~%g}e+vc(JPAPa4iM&74dL|BE>Kzlq{0;rxx%2zPSqzkcigA)fiv zs%IfG%t04cfU4kz8-V$7a(Lh}S-ZUpUV8#+5^7pwwLNfahe~}JtbZk+iG$8W{K!_U zOr)YPqo4}Db_tt5^eNNOb~t-&RVddkx`>@@n2wCN7I01{J>I@#fqM1u#I>f&(cCPy z^ru3j8hzh7nG=5`B#~1UO65D?za}#Fg(&4b4u;@%DqB zY42XA^^uq8^>s-O6(YA9>Zc$jmjDuC7;dgA5voPt&K-%6xe;uT9z9LKHYV(pE*J!f z3B5AxU|NrEL9x+4!l0n|A7D~Y{6E7~x8R>JDLRu8o5w-&!=dQ)I#y-zRqS3AlJP!$ zUt`ojN4!vm{spW|#`RsL=fg#yOM^%I!S4ifxcXTDvKqBYpF`1Zjh7yo&QP9Ql z+mFY{cduP4E|&g4256jJ0x>{-UOsEc{+0oZDSFIdSdlUA^&Q_~gY|IAwAi}YTO69- z|@HAG~Nr=7%wohv%yAiKJ-<2Fso9qa%aA zt#>IQC`Ng9Ln>Rvxr<+)=)a+qXBvKOluJ_a5*Cb{hco|JJZJwU!$&+$w0E6%U=;JQ zk9b3upf##b3PCdRA z2K}Q>2ezIj$_-d!EKQ~{nCe02q(j9=7jM%wQ^&w9UvAK9?La%I%B*r<%K@w3ST(h0 zlt%fWnlU;NyqsoeR9STyo0{|2C~hMpyCs6{68=^-cekF0Z{Gt@@HsI2D(JriR{%i` zcejCu@6ZEK^f@s8DkxVYq^l*uzXXK39(>&ozP{(cz^kAjjgVlL2vAG-h}GQxA;4V) zwYNkVuHhzh>3{6YNZi)QvH0vwq{^DJJSXh?0{c}PZGD?jyoDZjq5czg({I0X&iK4i zWSMofZ|I9rQz8|4YAeymTe^*HtA1SPl4wzO%_qM^vH_i-Rse(*FQ_%aWIPbF>8-C- zk#K2>eyX8s$+uN>E$;Dcb-coZdahg9)+M%MO_21a7r)^)FXJHT^Ve$vQ-f8XzT02v zTBr(I`N6dC_m75~wrE3_(|mUa6;04bWpr)UyqqiAjLlQsUg#GNw%Nh2AZy8>oHm>j zkVQXyZjrehOUAY1I7Pnt2(dNpvU?8*CbdbgtT%BjdFHi6Y8yl(;J8`CZfhMvNPkoE3e;-5WR~RZ$yHF!nSXGR5wdRCRE;+|( zNzOyqtOyBCAaYw|AmWS_U#1D6$;^%2vN0Z{bv4BjSR&_kF_HL~eDtd=_H&YX9 z%=XKp_O;ATy?itg8ROZPc2`?3D}BzI`skY8uWZuuv~;swC|mC*&Zjy%-A)fI)%6IHYZZL1bhowT#+u7T zx$P&wPtq)2l(5PO=c`Xf?>9AdF&@q8w8S2uG3P0Ce-ro)o{~8&fgmJ#xxiv!eFk^A zcJCKT=f=-9ZggxTjqQu?WOFUH;;E!768&TpD{v0+9tu#(rKK&9JQ6w5&;`(#8w&EEur#K z5m(V7WS!b}4{BCX;5@mmYbxdub7D_&Nva!KN{+Yr#q7C-Et4?Xn6yozY8Wa&eN83I zY_6TZAY+H#w*B@HJi@7`AeO;pKN+S6i4JaO$SZwL84UUzj>91vXt_}aD#D{rV<;R6 zYWyiV$YEj~6vTKKr5~TO;4DgE2Ex9xLYv-s>2_L7PASunImTTWC{wGzw%HkE*HA_* z16-U*#LPZnFrOLzR}Ez(zZtW5KEQ@h3_oX;3(CR0w^#azLzUgpeL-#BDO|nV~|cJ!Rw%Gg$F_IqaXahBAC<;LuDIdiKbJ@$^xF zHKY+ldd$%IqL_o=OJzt1bkGU=ot1Mxa7Zi3hC*?r4ef{nP>iPJh;4LoxTH+8YLpSf z0X}cvjw!lG4SR$)o&1TA9p$puV9el9NPJbb)~^PE2v#XFLr+JlG!Qvds9p1k`3sm9 zb%*|Ov6PI!Ytjf3JsN0(Kkai$kHy0?z1BU#sn6C8rOI|O$P?(QMDySrO(g1ZEF zcW2}7?(Xgy{M(s1_jm3+GiUz))_PX;^GbKsE}H7@ve#}smTLW0W@cjnv(SZetd8|{ zmMfT3rz2Cn+6wqVIPddwMPz&Tv*JLC)(3!?1}N&z!Ujs$Ag@#GJu0HOZ^D{p> z7e66axrC#ji%z0O9&>KG{&?`470K*BPk$LS3nTg(XSqCbwDZbx7CqIIV~4MpHS|#i z{rB4{L`v)4+0N%U(4RDuhTq9$QU&25nOLIpeR1J-b%?A#S-0>GsbNZQgl@;wBo!TX zl{ids#B~3_Y&FlrfpbQ;U1 zGhN5|J6M>m{{yH^*Z&(zPfhYHx(vrs%F>3er4tQmXyS~LX~qmG$t|8z=ReQek;8R9 zD9h!1+eVpO{*i<#tu#Bb$hxEZ{Rc!iJ^P3&c1um%)m)XO&cXy|n*J>?fJe3&aK}uB zwu9tt-uh=!FRE_>@STnFnxcV}RMJX%K`^ynlXJVd(Gr#P9H=a>KC$XDmr3gxVN)}! zrt}Tte%r6E!KF?$Fj|D4{6{b>!v6!R7UBN^wu|upfXhYr|AM@+YKhcR7hE;q7szna zM!^+Md#s(=W_>|3OHY0b)iH^BE@{s&>bHVwrW+iwd*zPvZpo5t#EMuUrYbt26I6AR z$uD8^d#33^C*11nVkhXaukhnsWBW#y8TvP{sU}O@1N&}l^d>j^rWrwyp8&Hg09F=& z1MBbf-+<*--OTT|hU+=k>;b0#3!n(-uEo_FJvCelHNeziqDE@$H-P+q0qF1>21~!e zwE*N@{()NNk!#qIYt0#J*#8H3G#OgvzcqLxoLq@FM2Ur&$2@NqB@ZUJ6N7F&TaH_v zwdHw5C9+IKQUY4ozwg(;7Tnpr> zY!%r5{tYuo?@i&>8&^WRAy9-JFOephlSIS*_y@^|7!#3J13ze8`gk2`!3=@MkTbZq z`i(rd2Rf$9Eh|>bTSp>|%PczsmD|Q%)ocBGk5Tyt1oR83cXZav-Y;VSuQYEqZQP)9 zhFm_wFoDF3PIrviVFA`y7r;(UuxY&IEGUQOlMG7z{jAq4?u4{_RcDtKh+%0ptyjK zk!v3YtiKzucUI$UF2dQKLj0-z2>AF9;B*GHKZV#`gd42Ji8f#-9I)n(T>B2Z8fQZt zctc&_OMeWlk`tayNsBC_K}}XvB9mIbA!i&~GO)d%N-n$R3077SLQ??G$VD zClzS^v@;ve2dASwn1-Q)OIz)OgfB1srZVQb((zcqIrhkJ0AkZx3>1$Z77N zD`1vNRkV;RxR#%EH9j^Z_z+#x07{AG0jX@pwwaw?Fb`O(2evxjpY59}zds*(X}|oq z!)r;-cJiC2R5vkA&vp7(J~Gt;QcgY9(s#1tH1ZmtCiCtl*i8XVLMna#^D>mzKoYrt z5cu38k7khV#)Qr#4UcA6qOUbr-Y3uCzasBb_bK?X&M!*!ZK7oC9&UHeVY=0bN6qEN zq2(sM$d?AD25K;NY~$ySQQMJq7a* z5MkBhr~cIocIis~|?b?JU(VW7gsM1u1ofZ-iBbLJ z4a0X>irXX3!rUll+zNLnNxtv6RzPkZ5=HI|;fDwj0fWmj1(DDYMj~%h5Juu|Lm>tW z;YMdbh|7WI6@Hvn3cj5s;&Bp}!}}{`6_?82K>4xu)_Vi2C>3BbvgX?V1t-2yLCssitP2dSLW#tl*{Im z1{#Z6u#JOopB#f))8CP>Do?yQ@Rr?qZXTA6t1B;&N24$6`##nMwZ|Um>mv7ar-@f6MQwen49I=JxXMEmu~nVolHqxs z;`ZUBx}=dYy4W}j5pc=<5Yh&CGpYkD^NbNre?u7}Sfvf%W>kAv=KqtT&8YUVd}EAI zmNxhcWr#qNHUOVd?PB@%e`vxfnQ+Z7zQK*v^BRu7eWCmwx8M_qyrX`5blO&FjuDF6(QEDlX~E0n@8U7zBa@ z9;-mNPXn}7=SJ}i}g)@m#MS#c!$a!WdMo-8Hh zT{taSR@OOeUq;h2tXMXxXN3OyMYgJD7t}Bh8t{c}XY*Oh%e%g*IQ?zy)_~*A;1XgV zX*5ogXner>3qiv(Czl?uZyz&R3^)?Ze0WoDJ+DEZ7Gi5jFJG|>6Hnb-K{VvCNXPU0 z8v+6@?+r16F&mDBm+))w-!Io#Xo-q? zk-)cYOg1{8GY9iwv9Rc?73L=c(YZ z$@xq~G5ojUCj+}V?;;moX?hLTZ#wAUG6!T;w2$HS37YC%`Fon`{rUNtKX;~Sl8l>J z?97HT(`?X6&hJl-+~jm@p02iOK^N}d>dXh&qtWR)&X&XW7}+OK_UT6|pu#FnSo>e- zz7$K~h-D%kh-G|zZT(PMaP;_OrX#>MW#|F7%pad$vsJbnA(!YAxZHAcmqHG=GmWk{ zd>=r$f>K~9mt4d1{{tc4QZBxRM`8G07+C866ar4ZRa}Tu4dO|FQxc%&c_PZ|FzLv# z^y}RMT(;l?Iir)6WM(rtrgph(`bt%k-vQcp* z$FmJ_C5N*)-~;0tC_h^%$u1Y9)j9nm>eK4}0jz0t{{XblR(%p0cGKU|>i&arEV&oN z|2fhs5fqb$`J+diMpT~xS&tOqXZ1`^>U4i$X@Nz5f%&VMR-gNU%M5`{QjDc6TP{Jr zIj*(OUU17D#P6;VAv48za*yh8<06*>nWEQU+?HGfvPj{)Obz|l;SEc_-r~D-Eh9P? zv5DFXdc`~!gNEO$pbY=j>m2JxgEbiIPXj)v*#6N-OR$?Jq1CHZNSdqPxNOIhIWv%E zi+2RgY%&VL}hzhy>ZxXol7A3Wx?;ac-eIj)4wWaDI}Yt055KRw-e zE~eL8t*^c5T$ye<8H z+VW~M$Z6F#FIzw3wt_av@wb8=F7STzHk5NNbZ?yS#iT+Of@Vaum&0QGN*qKLlKrh$ zo{Vw!31>UZgume0UB*TTzuz35B_H#-1Sc}sF3o;!?Qq_k04^{s9#M#rF&;^XOuxtv z(_92r8Cl4!eq%s~8-brYFPlemXWQIoif zEVM}&mkf>jFW?|U`|lvjh((r@_)(bGtE9M1NqH3?Z!0OuK}MDqi!3VvU6>c3q}WYK zx%DqKmYhVtQtVZ{Avf8dbSvJFgA7YnB1kFrKPjc0#IREAb$lK-*`IVfK97TpQdS~V zDfT}pw46k@QtV|sDL2`lbTgimgA7_$!cQso|4_#y3eS`HL;EU@) zna#siGiL)gnlEcy>uen%OW%ClI&pXAo`YpJ4(Oj(HFwW9q=JiF9;oF;GM+rkTFf_Y zXDold-gea~^4X+@mGFfxuy0&{?vU=e1#P~Mnmkj#fC5y%);{?3ig1B2X|{WJ!d`si zV_V~BQS(qDhy4!7W z-)d^v-)wpscDp-lX=$pcs3=W6IgVWLfX(pWZE@CgJZm~!wwtQo(YIZ{2|PKb9#Bc0 zuHTVdh@{ryssLJl3o0{-6$P|fl@IkNckRw?>kAbN&&zl2Isokpi-i-fGxxSip2`PI zEgpjZfWsAJ)Z7UXLC~Yhz$UE%7Lb`OL}S2Ty{B|)lEN_%z|cwTiFKL@ImnF zqlIK1r!@Tgl{Z~;@e}2+qwUQs%R}mj8Hv@+5iR*510T9u(s^L3lZUtad+T9cD#0GG zIvq$KXX_Vq81;{2FvaYXsjtV-9`{2%9Yorji8nN3Y$^uX7gC>gTTWt6Dx@j^bj{~2 z@hX*VzLzIkp5E*V8@M-NmghE?En`=B{W6U=X4>D*@G|3bTM+cOf}9<`txxiX+(FoX zg!f8+KD%pueBZ)5YNM$n+(|jlx6k&u@p(VO1!YCmgB6h%`VpQjJSz`kK;g?0UndGn zR<0mKi6um-Af(0^R3iwNuM3;c4@brr6e};p*e@@iBLh1{9&d^wR0mDA+>2->_+uVZ zmN8KYb5xFEAIJYONWYy?*n(mTTc|Dwx%|IUnklABV~SQvP@B;1RHkz;RHutv*eNQ> zx?#lf9O2UcO$#Z8DTj62bCN4z_o+i4|8Ss&or009>qack68>+r6&l8-yE8TGl04jl zKw#||N~q2c*~&14Ek_s!D<^_tN;JrnM6xbsD?Y?t(vX2KCz$|iG>T$h>`yh(a>)Pk z-lCqApZ6gfHI%`Y_<<=QfjtDn7>i~`3a(EIZbk~t7%PnZgGf|AFNQ*&R1*6Kp(t@) zjBu|wu^H({#@Ne8Ia$WKxsmloeGVvM=%SAzBe!QOYq?R8Iw7FF z+6KtzHAiUTu3FWk%-E1O7dse(Aoa=sw2YaXzCf}CH_@|$Rji5d-aA*jw+3y?^$CnH zJq-hyN_=kDOWS8XE;<#22OZptm!`&!hok46wH~8aYYv?>TE6!OdV~$jZ%IxbjPG7* zIzFDJ9^T)APb#m*w@Jd-e+O6)+io*P&s1%#{WZ89(Fchhh0a{vCvC}yqA}Y0Z#s(3 zT-!Hl$;hlR`akrO#%MQsZB-wQCF7rT_FOfza_0qB58}HSpIM5{!Olw#U9cW=8q5%Y z9f1$$U}vtu8Ihe|)4%CTe29mqq{n$SQ7^gj_*u_xCgd2ClQPML%R0y?;=Z;2gB@3p z1#`%X&?<->b8n!#F}xi~FKfuBN8@OlkJq&P?cjrrA0E*OH$ZkZzI%T9L+Lnycplz$ z58J@7II4@{4~)5tRmQm3shjUnpUStAVYz;>`ogNo+$)2)Kx7@z1Yrl-d!}vPd@}ZQ zqXb6pd-G-FZt2&B)!8v@{sTo@)@}GSilj?CzCug(?e}S}Xnc7U&|`CcEx4l6k4~&v z6%ey?-&?SMD@(E~u>UqO7uJd>Dn)W)&8ZNboeOEfR!|{1{!5-D7Xt8Vda00Kd0cyaTEdu$%z{%#ByTItuUUQ z3vcbM??d zGlYz`BINS3gan3%Fp1XUcSfi&dH=9>Bn}K8koNNHN+ROW=kNgsC_xDY(DEZp$_0{WQ8}GCm8R&9s7zR6;U)EBDId+H<`&XU{c%7JQew z%(DO)ep6eH?zyoZrJUR83xZSM?+ap}flFDXv?=V%3{H1D8ja8C{Wx{COp;Q$2yMtU z7)iLo&$fhe^>N^{hqk24$I_9oJ=b=%g)7hcRn3S>;5Najch@Gz-mhPFCV7JCaKAVl z_GYIGiNRapez83~ufFeymB**`#1#Y1TxdH(<&f9S?Ti880+&VQP}&sq^?>1i{(+p= zGx(7NM>G<1iP64$5Q_vOeAU2kRGJ;Fst22JF^mO{D=%8DF{ zM!}D}m{C zX*i`5SG8o~G)Rs;qFE||2N(MR9wPkNOXnVcz1TAnB&|^9 zoY*e0Ir}(qdYiVIEH|rKHSB0z8=d$+wRB{JFNoaPC0ZK4&h z4R;~1iUoq$2SebP$^=ABqo>;TnRo&9J1QLc@Rd~ofEDtll;@>3tjf-vrk0MkH~Jl$ z4J0p6P9AR8CKpYF3OYJ`YE{6-T-?cpvuyjG*8DphMjc1o=KcQ83-E72>0a%#?T7x^ zyILX4ftJq9PA!aDU9+-pEW^}d^@p3lC^I8{s2klr86$m|o5<)%A9pnY`F&5Xck&_v z%Cnw6pY&<)k5`6&DTS*E6i&rZpON~!|C0uXz1mh2(B1d+c{eR0U_5W>^J$*`sgyVs zLw=6w^(L(*_zUgxHmoL4I~7BJj`<%-Cx_Ph`R1&Nd7aNA>jQpS|1ytav@G9h#^_wO zAH30*ud~^jeqYvM**m&~l$&J}Zvw3W-3TzVL)+hSar*M>i1Upo$MRT`rgLHwji;?< z?#tua70rYc>GLJD#FvA_%jFa?VjGAQPvU05lh}Im=K=pdF0cukw@GP*{C0~{#K@^5 zQZV<5Z$Yewi%}=Yr%V2QTJ9`(99wVReCjOt%x`xIEe0#0-(n!4m3Xu|K^`5LnULS? z6QL84DGqTx?_zdz0j+r9YF!F)VtiFjfDxJWGMq{`i;2A4eFo1QosWF?m$fiQJm845 zL@>;k_$rM8A*Wbs*20_GVUqs^h*_y6|6ff@FC)gO+bClEzvFSS#0pLw+*Au;J+C+p zGO$vM?*x_`ft4y=j<6hLItCLgsIJg$EU8)<(2SgzP?Z-TQHqqnpw#_k=;$DQ>+XEt zoTtQY>%DFF7IMP4lm4T&wSfUU$fp3cOLk>q)CcG=#sKayrj(C38H35I*&n_ zT`OTJ;k=T#tSxbV-sPGUO(t1h*B+EJ;9Rvxh#`R9JP1mP@$s4$`Wjx~8kaIwIUX1q z3txGmnu8f`w2oJ`(A(+w<()JP^|{6y`h4*S(-xUe3KBqeu3y<_P%+@7a#D z-r`1_$VE7@vmgWK9<>Y@J@zyU_B0cA%{l8P^$}|xJ2YaAreUX`m?S6Ipa~PJ+1@%$dcDcjo5AU+GEyD zC2O`BYqk|@w%yho*6g^oIFZY6V#nlL`gXMbkG4z%&!xeSo!>BPH9n&hSF)j3Z_CeW zQ`lSL9`{F``!6xMU#d(QUfy@%$KNdUV-ZM$U|FCEixChAVwWaRFQh+WA##_MY0=u_T-c@%ngno!=L& zaseMT`PT&(ig2>{3vvbrOW0MeLId z5_NA+t|$#JMih4SuM*eU5c6IK-cSlG?rdgmR>js9V^?!S*Vyck`+!2xphD45VEWtW zz|G3U&1x^qx-Aj31D(Dj5wr!JPBPD$gMGy?IAtUxFUp>XILi{vVJ5aJZ^ z+0pm2BZgcAU5+7QVB}{k47o$P3`4MtNiE@_P3Sd|uO!-90HB{LQ9Zoip{}J(a3051lCE-xXjE54r_Vhh*}0F@Tc36D zl3s9$PKh0N34HF1nL;PIvKH|ePT@J6AwdA37ywWNfIpQpWWA2=r!j#G->e7zDG%L4 z9@|@dFn0txcLaQQ1m#=&NmiMuf5O-#>px+Nb?m=`c9QiUaF%1SEV57+R$-RpBwxY$ zGR<*ZMlhhMv($WnpNX&Yi;liDDIu3yz1hBkddK!Fyx2m5E!vv&hPKMNpiX;uwP(%2 zTe^>D_uGI{p(n<0$Hi0W^%_;|4U&#I?wV}j+wKDRN36A9aUDLAiCtc93|=1eNI`G* z_(T11%{ps*evLk0F7HtK?@*raP?qmdj_**0?@;4>XJ~rdm(QiH9gL*j^&Ax1cJiy+ zS->qrF1NF)wzDR;v!b`NI69U;g>qBOQ_QuYxO#lHeUK%*m+gFz?Yx)ee~{(Bm)(3B zX&;vv7>V($jVD0(oT~s+cZ%?;z7Gqjv5()5gCFO8S>`-E^H2GC;sO4 zPBUW5_^mXgV;OWzi<{9de#Py!cOdeD9Nt5(nzR(zp}6*RPCq4T5_M69kY(jFtf>Y;ra$#={ia@r&B4=M0=rB5eM zhScMOc`dCqj(F)F7EwART63|Fnhb!f!Gla3SuJ-1o=rAMV#(({#|7UvRi%R`b4 z$(-A3ju(~<(Zs&j1SLWeGP%Y?STNHk$9umt zwkC|I$Q53?obp$iXga=FvAm9qo254IJrZNW`Z3Uq(8quD)PY!j>bU6PM=|rV5nX<= zks^`txpuD%LW^42+cR`bH*}O^E^@5A#=})Q@yWPc4#oxcATm>ry!Q{iKkYrL5-03Q zsA(>Gt^XkJw&3audn?S zYmRa=2;V-qh2>rAD?~PqK+q+@UU4cI$0ngyG?-bxOMo6t`!@Yp3U(aL2z|FRU}Bvc z)3|eL#S%ee=Sv0rgtOd2&gea*1Z5RDI}+o2Zkh zD}KVdH#^lDRY7d}%T@3_Ld^pKDPS~iL*m{2o;?cpdDr=ikZyWF5A*h} zA&~{Y%c@L4`F3?*TdN5>aIxD{IFG~4j}7I`tsAiCfhdDiNn3y!(}$(Z#gB33qs#E| zvuR9D%u8!@&0n7bd&r5nlkp)5GPpvUjBWS7;sogc7f zsTkmU{h--kg*6;sFCMvAq_i@HRdb&(($mk?zG&)Lv|-h20gNNsKhbbAx}6!zkD~CWYJMBD`tFYAp+Fi zPyS}}r!4u5^|%k&+$a=sXFOT*%54Y*_)sP0Aj->%frjjo@_|c__j~Mb%tRxjb@9DGfi{r*f!o#p1d7N&!1)Jx+ zC-gK$z2%rY4*2PQ?tS{EGdp-eIS0HJhLKvku6rKPmNE`JJ>JB z2k=w@W5et45={XUdAFCONlh#@ZmCKZFX(Z!g|AhhP{xLrHOi8EAjJU{)Qel7%r!1c zROQt0dG=0PUGV!UF#u+jIb}5Qy(7&oc)VnwD7Pp1p}6EHjH-(0KAlGX*U6TWBP_7_ zgqX(KpFah3we0rJ@Ceb^ubng8Js_p?TVIh?RaOUA+>XzZCR?_LS9JItjCRks@9r@+ zH5t>XRqGu{Y!0ONU{udE-8>L}QUj&p#)r;kWOoQ(C!nWh5mvx_La7O2gUi0?`nVRW ze`g!>gJXrR2d?$**{V`A$hlq9^*vks@E@62F(h2yqyI~wrAUxp_l7NV*4sTJzPmRt zt^c%lHj({Rbe&Go#s|JYaorn!HjClfImZ2&^Q*s~8I`IQG>Hv{w1U7%=m}PU8vtnQ znu7&t)1t89`*;o+7}FRwyCRqMEU;xxrCX2V2Czj#t6Szd%jwj_asyn(hY30We=@AP z${$(qfi5WTpZ#H)T!$Y`I62hpdKqTDc3x0u26;-5a@6W7Sp$kmMJ*uT08)3bAjRRW zYx(97*GuKCrWnV&9EYk`n~&bYVl)+yqJ8ftB9 zrKLSUmV~`~x->wUFyYIxso-Y?OoeMMc7f$PIx0FP721k%)=++`fvrMH9?54 z*Gck~r^oennJ0XJbyMNwo*!TC=KJj))}?DGWC4AfHo}P9+;_3^InW!WnFX#=#EhRG zMTZ&$xoJ&D{ow5OZXS>vYaOS(J+yMPz|K57yfdY7(XF&GC`bJO z(GVtTqhvYYW}$R)=AtI3+9*k9v`;5L0DQdmyNN~g4DeYpQqvR zbObeERCAfMZHL(9tgx-VHx}SA(y(z@@dfZMu@uv!f%~eJeQl|;ib9`B=@jDqukbqv9=%$uH+0N(yUITxb zGzJ0W<1{!9yNdR!9&n;&a`B3mvz|aAwiQ2>Yv-^O*pP3z98<#Reb z*f@LJ5%&YXij~~$lLlI^uyk^Wy4#4xT5PHfeS9Wa$`{vo$wpm(ofU0d=H46TXNzo? zE{S$R!`^V|vjDUD1a8NP^-KrAm3J)na;2l2+QF*XLYzW;-C$ylsQgule$?b(Kt8xpKG$Y zLz{XGaFSO_&?@=#l2fU=`5R+T2>C_tY!osWH+G1L)_wx--@I1{;6Go1-4;Tp)tio? z()}FWEu1;pro&7W4U=cXl!M4ORZs_4Ak7`w85HpO(hMEPRMd-5nl||0lk~06u5nGg zikDZG_|~}6u_|$0j;hv|FLf0*_A__8>42O!_jw}xu2jeR7Z>=9KIX^uGaq(d4&~J9 z2hogQwZ5xW`>phKFSko37yaYvo_B*c4)e5Z+S)4CuUiax-gT<|l{>)!vZ7CGXH?QU zL8V3ezqaV3yEov(yg6X^y%(RG@V5{6f@&6tBU;^)5nd(>#qFRKH4iKRDcbFBi=J*6 zvN@YyD4qDmUv=?^WS4lqT%IUji}N3I z`aH9|K8AH>KJQ9+IEczkuZ$9a-JE5-5s)&Q^Z#8>8lfQvGjL8*`i0F(Ki0q}Sj>j3 zv6hb>Q|(_U_@kSu`v5z5`EF~+>XJEg9p;<@{%(Y(p*26`^Mn+g)~xx1+f<*87c*PA z6l2XK67&1K&xgWPUz|4Rqc)y#UsdB9?$yt}@c>4zb>(g9;Zs(u@PL~HY2z;hTURDA zvCEC$od_`4_Pn_sy`0uOT@%CIY&LmModC?}L)HrR3a%gtJQ=>3`Z!cM*@S}9dz=)| zp>2k;H4#Iq7;c85YEEH)pk~Vk$C>nlugeNRN1gOzt0N3XH=OiCRi6aW)4&XFUb(TK zIP<42kp))?*m1FQ>A^i2@IZr&+j%NRK5l!aeqQO9r_C%{aJR?^vQG4H%S!Cxwgtnt z1j7ddL4XHAh)CsmK^X?EXG})Klop32#|0zD?9ufzVDKeMh81GK3e{ypO5Viu8)DQ= zHNeEf?K!NXg(ateK*8LH$LT?=XIx!}hV4Sd^rK_|Q__Vh=;W97)pHbw%@xwe#6uSH z>J{AdCB7s?a_zJ&lwpLG1MVoS2pfmd$Ka-bD#8q#>#v822itR~i|LJJ{5uyzKZ8NA z0eSv)EKJ_YoRL^Kd3l%qLDS_d{C+DLgQ>A235)14BZ?x z`_5eWaXbxz!vXtm1zgB9PIwLnT-$w)%~89~l%4O)10PKyLC61PAmwoQ2Y_)n`~xg) z_ZK%utGiMR{Fw(JOd{dNadFZ(**F~jG;D2-{sZ(jNB;rYo1^~?A75IcuDJZN{{D_e zd^_Pi&K6+stJ9g-K+zEOdfjh{=8GpZRocw;G z)bkYdXoG|A)3EhSugBrjZTl9m^#a}Vh!XVp8TLR2d%S}s&Bv_iRyQS~(0DIj&8_F5 zefDxOH)sH#mHR=y#{q#=%Y!M+$C%?Cm`%#o22aY?i(St`CQkd?D#Lrz)-novI$zIA zEnJk(itX7D+aKO5|IVhegTeE4@8x;p&h6<*3nU(TLGj&L$9tm=-r1SPrSvXIWi3~y z6g2YQdF?E{@@HZ``u(z4p7WkfIq&6~fNRcj5mH<3`Wq(^YrT5q%FBQs^L=iw&k5Cl z!112Mv3jjV1Fw_D3Sd6yZQ|_IDJt^hc<*q|5Mck}Zg5+>_)VpN%cJ8fge3Fw zn}SK>8jq<>+_J*L+S>eqvXVE#a&Y?Vd@m~!P6@qun$?>m-prDP6a31GkxqEjQ=qyl za9!W0yPYYLn&)GjX}Fxu2?;(43B-L90m*53kJ5)W3PrvjUxumJYMaDrR=MEBv0Zt# zJq{ij;4)4M&hzqLyB$@uis}`ZJn3QNLM`*!u4#?b5X*}LZlC8^`^dhoDa@!`SM4=4 zL^iBidlWXPsmN3;F8ZAFoS<Ny=<5gL)NZhZYVW;mI2 zRa14^iJW+AbKF;7Cm_}C4Gm@3_OP-HLvMp&@3~SbtN{@aTB{Is<3* z0yZ&TeY@KL?T-;U_(^CN*aNCha!rir78BCS5z@+n=w>GC_P9iPLL+TVLCy>thZQ*# z9x)W&X(+1Cw4=*3(`g8S;)_KN!YmDDoD4O}fRwC9%+MpO8}y?S_J0ifKm#LEvH>x} zfUs`lk4{_^Ururm2Whb1hC=9a;(;)w3pCRUS}Mnl$$mNVH*r--m30E$^-m(ZfkfBcNN&|gZb%`m;=N87!Q5E+cMZfD=P2l+zlGrDhwc|O*?3R|2`|n?+)>kyR5^C7fHSXK< z``){CB1&HJz#@lGL=Jxt-S#56*BrP$xxRd`Giqwhcp$WP;?Tl5U1+4izw7|G3pd)& zuFnazEY0QC_o3823ElcBn7RsZz`GvK+(=~>W7v{Nvm?TJ^X$2y3?J}J@mxgsj zC$!u1rOePzH@vi#3FONe%L@ofKA8Kx5Bm1V(G*uqTY21}y8l4DsyQ0`&M(%%dni5Tp@x}%dF%MR|bgY$s?m&32i$B0IlyVWY zn{DBhJj;O0M2*;}x(XW>r{p^&EcKq&F2F=fByiq*5-1Px!zN5mf5k|*dcZl6QHso@ zZoNAs@Z~MvY6;x2z`vDIob$B!F(U_aDE-js9dl!c!L7XrK8OBhRDn^#cH85&Fs`SK zcnBka7;!`>e8gj33=N`11eL=`4`mrhD9(llX3Ps^IYcNv zufBb2^U3erZ{QQ4QyOs*Mj+bKLRRh)&5xhf;tTYuC-ScdiC>56$nnqj$auCIsG+j1 ztyL(ahQ9Q}-90C2@}C*$VecjNlDqt@cwz6S^>ok3zHHtzjDGJDMS}3iXBZ{(S0#d# z9$jUHxzR(E3KD3xp`ZHL7?^z+Fz|`1OB%BmM(>Y{*e5UyrkDx-MDW*SgS9_rAyR?! zPfH;u4P_Wq?j}5tMHvU?l0uGqqi354-?M7gt;Pw9{NStaaSxeoymwR5L=DmWvZmiyKC%StCEt z)BGycN}-6|msrDc*B+sokJhH{!8a>1;!ir#*7d{uN(6QPZRuBde_yFPQR_4jAopkK z!&(uTx+~5?@RM?qQF>94VgkBy%XJSZ+R%h}A}mO(NXEN!Ax-nTl}FTIpIUU}ye9Qn zfgXBU=_gLgOfp7JiPpMCfsE2IJ9~jv8k|TCl1v}vUx3G)Pp=+vFV9?iZAhKD#y;(io zG&d|~+&(#1h2c9GCcN9%wG+rFtj$48A5t@dXq2QkY>g6y5lI2Sox<%ziXe$J#npP> zR_oEG0DA0`;7lbqAgvj|2rr?9)KDWDsSplV@b_x@n&L_b?}!s)iy*JQrB^+A^oQx z18mHvm^-Xhfa3Ic$wej#%psDYD$Bj6hR4boTIi}khHoRexo*0K5?<{(E}3sFWTXxX z7LAa-+?EJGpB++dESMcwu76;;D>ZxR=_HT;+Ml^Sb@!gRTA!D-TEK04uDNO)OZnwV z+_2*%;3_Fi8|@*&UHzPj!22r;T@y0fOX0+G%I1DK{eJAAK1a7)kfuqHCQp#2PLSpf zruwTXZVXHWiDI^$uxg+xRXm_h@KKODp1w}7T`B@-rgWj+1(XTCb-MA5q)Q!v1OVBPzmYQro$B3H<+*V2h?l5H6e z_cV-WYDhn+`SS<%$*HcfcG6CW?o_y42o1jInAmID>euIu+;f3XYUfaaYq_?z{iU%WVDAF0(0vOlB+MYvZ|f7xrA7* zWYf3Ek2RO+U?y6Zj#7S2h|WuF${1%yh~D?Mh-Ppff|;5JnhZkcNTMOcZNirSaM;$! z<`G<{5tgeQ7mnUs#>;rjIPs*VMoB=1EZlZI9BSctWXanw)a-;@)jfJz?% zOJ6)(%?KKV5k{-r^--dS!d=QE{%#XxETnM`qq925m4P#70cWS(&!4nTS|@w<6O&BI z(d<#yk%6C{)SVD}sWFB=)zdzrpq>5B({1(V;NW(+v9J=uppAaKqfcb+eB9dOiTK{u zjt~04gL|-;>5dzdW^Smc!Od64<)kSpKI}GMPJA2T=Jp79fQNpkSN3bdT;m&|VAM;d((N<(%5`7GT2d)aeLFK^l{_}Qc5pHELwD9^+waTdS(?oRAcxt+Ugb! z!r1S7jArec*m3!84XRIKH_JJ@x!X@9+m2?bCsMt|_eD)t$-kz*u_yl6F-){@vp)2Q zGi8dsiK3yzHTKSlc9ct8& z#-@P}joGyd&khyp@{6cxsK|E@Dso&GXFL68{WpAKS)PzuUH|r*%!RPkP^kHHkFFRG67!TfREdJzk90)g+ePe3}Ibn^WC3 zuqp&Y(hP#46beWr>K;biG732~3c=)}XNFiYV+yjS@AKRBR8n8;#w@!rh2_=2^&bJ% z>=Uu%gU;g!L^X-t##Ph|MrFrGPLg`@RW1Js>EEW}(Z=rZX>Hee zVwIu|utcI|z#Z8@JL3Z40XimvltDrdKlF{ZCQZ|~ojO!FkXgQp2}Vn}t6AO@0!Be} zD~y5^17?Chdzj*h7-lRm$0Uov2(>k&+dg{}7PY;iPK#C^!nZ=Y6CL_iGop)0KHMoA zvm`=6g^ch{#LqpWpYRSgK8OlN#z>R|0fuZ1R!E6WMY6FDTnxC1F5b9{Vujj>GFkIY zQVl)4rVE(|JZ?nqM+UgiC<k|BtjUkB72-`>te}$Y3Oe(PSb;v>FTw6=iEhDaKMN zvKF$n%$P*>N||Wd7nMpPL^Fh9XwzaHVTc%p?7ZiBUia^Le$Vs%KJPzopZn7^a~;>Q zevjomuDPi`n*3b(`_G*W?X|*}UQ&*S9P;+O-z8HJacNLT*~{Zjx6b;&x1NU{Jg@i^ ze7SRt^S;`%J3@YJnWvdmvtf6p2=a@`g1CN@3g#0diU zVB5F)CGY#TU1|bH;ziebqGr|ZOLFka=y))&J?Mu{?Q`dcPknp4-WT8Md-6aWS0i}h zEUmE5b^pWVg)4otbuISBq#UD+xQ`#0sm*H0JN#{;~TWcR!F>37WS?D?SlM27wJsYkGvl!e+-ufdfuuWMv4 zGj_SE+Z`ydUHn__fmao{rNOecl>htq_FYjNQGssFAmK@0UUzr|HLKy1ju~IPal?tJ z@}SqcwH)@b57!r1cx}oVK9T&qBJf0_Z3`2~_s#S)l>YXOd8;?irOEg6$Y`kPt2hC(kp_|6M1knQzS`1{(xb=UI}fqmWOV2l9o*lSt-M0|Z1&^0y)u`? z*?rHtGoGJ%vq0C^r@5`V`0-HD--tuww;Hq_mcO~OJCPWF+-J%rt&-wsYGx9B(AU|G zC13DnSkzldS@60!bV+V@|5xwHJAVy!2v-nVzK{PgNe+HC^JL`G6X(_zpMzta-xr0y zZTQ)Fz3WiKwm=)z3rlvM*g$-=HY%Sto?_&2-ssm?@MRs##9dE^UH$%$W)w^~k)p=w z>PvY44-4~deyeB`bldxk-L3z!I5Tc4VWoO#$kQGA0Wl{h(+VOAZ@Zlz{WzU^ti7RL z>SA`Cxy7-?ya<)~;}g+owb{nM!k^jy(N9lY;hMcdwY_#=zr;slr`3FBCGMmCsSqD; z+|N<#>EgHY^UPg$>sS#*uPPE$)Y6_RE5_ArJGj~#Ul(_sVX!#DPic!EFYM+XW-~)~ zCHe1Wy#)z})-3*QAh|T>#L*9jbcxG&$@jWr3UfTSmt+_Y#2wv1ZILaysu#ZAa81e- zX@z2k)ib$|aVl|-|IYbiFyY-En|i=LS6Dr=S=O$syT0H$`KLo}VdZvbyEpkemT#Q2 zYqI5cMZW7UTfD9JzIjQ?9K8BvgSD>nWfLX_%VRrld|r3UK3V(P<3lrDp>?Z6LhuF- zMbRoRUrREt|E(v4y{SAZy5xQ5fsCjfcWSU*W9Pz;#KU*%;@-b26Y9*!mYFFH&YBrorLR1P$Mo8F`}>EI-y2B!?x^TH z{CMh|MZlfZ5+lkJyV}YFYA(4(l!xG7cw2?8$;gct%u|)G37B_R2b-C#)17Cl(e-A| zx;VDvspH;z6|Zfs6EiVem&hIwS%_}dQO`Czp04-kIv(X+ygZk_%y_-Sx5Ixn!0FgebqbPwD|F_ zH`)BGy}g%iw^ls7o|2ZRP!;;p^FXGC)8iH&l}90d_d*;`yg4O!SH9cWV3pdQjHkR) z`V~JPJ*__FaDKQo;iaSN^~g`Ek4a)Z}%Mrz8y!;@4_W7U`YDOy#Hp||UrbM-^0#>5A?A!|(DtR4({!D$?r zJNC|@)$UNGz2eUdcx$EoP_$lwn~Gf#zaZ>rVV)l&)9>iWNYP`p5B==b6HQO@w4>7p zubBEBb6@-8waM`f4OzaLGZ_gg^z{Le5&jXk-)gvp&YhFEkuR@&Ky?eZsvy?(jGS-P zu^nS45(l^2<$Y{ye(c&jKP!CmwD|r<#l)yQ&+KOICD)hwBhO4{E~Rf}O8Y*$n6iJ1 z$oQF3lZjFE)Ns9ho8DWN@%ZGk&Wq8D8X_)sv|nn>Z!6ia(;-RQx$XGo>(57yzLD;` zUP>%k8T>T9NIW;2-?t!iT-nRzRYK9)CB;uaC)qC;N-S*pH9g|@Do^O19(AmCLjy0= zC(n}br~c=0XXjT3b}C-!Z{Z{F!`kzF6-^mu+1?{$9cVuKyO?iyE=OC-5eZFaRD z&(l;gwfuZjPq-#BwdiT-Xry?3{a42B$I=h>Eqs2xw*JQw4Z+}(&8u4azl{_o)TNo# zEXg(Bzr2swmr+=#!Fii@Lf=c~t_?eFwUe2L#9f=xv;i5bg4xSlm}AzA z=(sff%(P|HU<=ar?e!YVdM-6({w!1&x}E0IB>R*}xN2-fU(S?Uzr=D8eK|vJncU5M z#g9SzFYwNKveroLNHYDp26p6@ zTpD-Z7$c-?m>Y+a&sVV!uq?m1czC-(jdzGwC!O7vc zz~P*|c}rFun>)VO?e$&Py{}z@uTQfaBcK`J&yNWZU%^!p~56)Xn33^A;?dgsQ ze{Z>>cH1tc9!f#X+It7x6777S2GeG;`W*-V_TzXnAC1Dk#7n zIFqjGvzL~(&TSRV5mUb?6LIO?+qKE(4lk9gwUo__Rc#~~jyl!7tvD-dsuV2DSh#rI ztQd(p_UzJcRmu@$cVY7Rw}+R>n69La7CN(iNqpD@$)Uo_-se#Gf+WU9`YNW;m}i(PnI6p3fvYWRoFRU^JVz4bm< zqqI=tOvu(b{RwlM)o?||3ZWrnVV_lNQ}TaZP;6Gy2G3DLLvDi${HA11p#~#l>%9I1 z?82JR5Km!WCAi~lp~kt8trGnSGRij4oc0Pf%xYA{2#%JwIyHmeyH83%@jw1Yd) zgIqD`@b9Yf9w7~jDi+$3z0cf4}Iv#VXG(J%k;)Rn0?e^qp!l{ zM<&-?CN_B|G#|M9#5k9cUAbnt*OPPFLCd}Nmh74~Yg};I>OXB-mKb=#AMA0xCa__H z;ug`C&fac#5XQw^Dl zeUeFY&vaB}v_wTtedx~koZ6XpbQcX#-Fb-R|5sFB`Z6{(LL6ko=iEbcbb``~rI zbE3p|)$y$8?D`4&7ZE$IM^d$wUcFeOc;&6vx2Fe-f2Q6{AzbkJzPdqC!(RV6w^Dn{ zU*#YA&)q%Ql^3r2mOtE<^H3pLJXy=&Blvye^{Kml)m>mur5PXP*Wp4qyJTw?T&bnx zR@5aJ^JS?=`wCCG1Q@R3UjJ3+a_@*sZAX6QhX9i+0p(sUNsF%>l2BUsEmHZvU*CJ> z2PWTi3DCZB==}-x_nR*SE?U*NLwe+Zw4)10{K4rOnS7P0^PNjw6nbwwklb%8R5pYP z?v3x&e@^p!TUoWisNncd|IAljl^emYKGClHyJY{yyfZ$nZLc34FM6=7k#<=1XOMRV zn_;2Cl#n()88F|>{MkXa_pdq*dw6Bc@hcf_I;OGIMc#RD_Pw0Cvr0l~Ubf=*q)aF*EL!o(m!i0K+rqRb%bOftTXbu0t0mqHX;ipSRKx9U3vz02Vz_ZuO0o5y zj(=!~M&i5JL;_&!k-o%0CB?_V^x=L*A!##alqmBAz$39%XkiGmct^Vug zvno=@Z{(lhOUN1s^EX{sG-OZsakTNjKRiDb8fLcbnEBacagB;McTTL+@3S+ETd1Ty zaVlqm%|9~FItX8v$UI#lCUN3f;i@A>$-U|hZu!e!_eJfX*Su!iGj56R+*kT}j=8k5 z?v4E$-A?ZLW^&y$bjkcjFL*ax727g?(83LP5jxs+vD{cG>se@R+h^mv4y|6kz0;(k>4bzxymMuBh<*!q z5?6iiv!2J;wr}dJ=xe1B`O^z{W{e5-J3V-wD??PF_7_4J z@$K4MGTl6x$-Clx6rBr{G?fkC@;20S6dxTf|Fo;%;h^%O?e^v4hs#qepONLW8MwbD z()D@b+ZEpaJUN7Owr`K0W3Mfw&oQ3I+UaJ0hA~G)eD6Hf3FopG%V;M@4!gZ6jc}9A zY`S-Medl(vIaG-~;{>@R1dzgYQv83TXUbo0>0@3U5Lr|vEbnH5#3?z8BE2Dpha zCLwB4bDlon8oZs#Uh$!pwu86-s9CwDr|Qput~85qSzXnil-xjXx45@c_pg1Q>5aX9 z#z*W2(bG8TG02t;IThT2c`?JvigZVZgKtB{D^`XcBy}u!?)T+dpYI!7+)?M3{F_IA zg!Gmg$S}(fI2YxFdJVj5Q;QqXG4&dF*3x4-*Y5tr=FR`QP>^x{Lqx+!vGPoI&w%l? zMepYlmEkXYKD(Z0?7Nv*HnWw)UqE*h7M&fKm*8j>#*hI&!75IiC0(niaBx$oc&ukG{^HLaz~!U-Pu07l6%H=4t_lA&xid4f?C4ppjxY5y#ejul`G7q$}Kn9zv^-V zyz>LR-FnP4oro(gGsg`=3nM6_wEb`Pgqg}F*Sscej?wdSS=!|IqWm3>-R!Qxa3G8X zeJ?IhEi$-rZlp6%*zB@3;<#T|#l+RtRUP4CZ|5r-=r^ABi=Eahbvrox`PYZGB30Fx zSDUxAku(PCl0&~+Q5&tOlLkj$3?x@?TC`#OokZdV85eauO^Zlr+3y`ns?R>C`<#=u z4L?Y&yWU}IUA<9-XzuvoaAe1F9Zy9iqj}OQ3q+0qNAi6S#X6;{9n))z118fF z^Ut(OTu>4IDdkIKs%-FmXj||oMxIhBr5Zd}cEcRWzDz=T?ODN#)-jz>Q|ZiFJ()?9 zFaybl;^oIS4eWC$Qc`>h{z-GPd85m1!1r?+UNIm)3b03V(Q*A)Keq~r7?mYcb0TFG zYsJb%t&B| za>m|Q?fTX7t=l3z6(&BU-Ow)09ksEp|9I6o;&%DgqY<~uwrXZAT~ZiLiKG{s( z*F3X>>9gp}tC@9F$@?0!4rhJ1VIBBYX5`ZQJ@*|~-!D9@)kSS69C=EwDH_N+INjfque;e=P}DIWdl zuNsDL=gU0U>1kG;;H)2b_WB>(79MPYPSPT$l+abyIS&J!Vhj^O%Ey0w!F~9iwx=UU zW>@w@ne7UoFFs2?g(8Ed+Gg2DZnV$Ny0o?dFy%IJ87yF4Rsa8{by<52Sj&GOT@8G&`8IX?GM76FnRgX7+^4VH&GA}6d)|3_7w*^}4nytR z?}G;D8Bbm_TtIl@6x7CC)T#^WGz~-!pnEujxSkM zJ-P9>Uj5}CZ8v?BjPEP;mnsL}SlGW&J$R$PnsG*9>e(=|-mig%&FB@-6jQ-T@613gBw&AU>=H=N$UH^IM~y6tumJI^k5Ozm4rh|gW^?EQEAEND$$j1!KE^#}GijpTA(A6Be4nYhEr+qKiV;O_Il z17005@85q|u@d}_>Y|6w2ajC3RC_<$#Y_6@o;-&G|L>ReyYn0@yrlnMFS=LG&WSm3 zWy#*F+cfV-^9*}_j&8pO;x&w5QTXKan$0k3aQA*E=0Qbj0)ShBdp?uO5l7)_Fl71@S+%HP+nO^F!t7BekIU7xj(w^6N`!OE0MT~@nBEc0?|c*rG@?`^B(r8OA}PYgqLo-A!Dzu*|E z#;9f_%l`c_8u8+T?83V_8;rTh5$pTpiB(NjC;mixP?IbrlL}v+x|6VKxyhx9eu;$% zhd*4}(tj!EzTj8x(j%8;A2lRB(QPTTY+qdVq4T(J+^b){gdMjF2V-3pcigSLQj|AW z$8gn%*3x55HDRK8p8Y4(Qk%SW^$#>Co@^e~?mioL_t&*ep_a_cKW5{p!cwus3kJDI zo+hYmoHaWl{!G}f_bZ|t2F@8-gG*2KiEgL08ET(YcD}c=jW%u8VV>+PK9pLx$@TKI z=Be`u{7XhYqy$Rac@6BZ(7w>|!Y$8!h&5jr?A-Nm`;@oY{WpG|p}*WxE>Yc2&Ny!! zD&Pip+^0;>vo9B0|H?70>zvKIwV$6#-|Nd?&Zh57zGLy_j_JI_bsw8lJpvPJEByvP zu3W#UXx*S-OMbe7y5{`^`9sDi)HEf{)3fHBcTP`d;6UVQ*Os`YGp?#`eLtct?h%mA zi2ZN1C~b+=I@QIdxBJa{R!#WuiU?z}QeJrs=XCJ{hVK*14$*GX6}BbT>)04>_<3Ny z>gUz+(aQHccGj{iam&&iZZ22DZJ%k@J+Uy}bn&!j!OYWz#tMRpcZ3_w?_Pf15#~Sf zvDs{lG#px_KOAd%bkhEfm(7qcAecH`<<)qndzVS>+&{gR^y!k%k!2=2tXN%^?JNIP ziHvAV%Puno!7txMI@GJj`46Z{(@OHBBQ)@vo~65$GI<_+J$d;Qr39}RLYvo&!y>2r zl}pV(aRz*pGPl1HMi8Z=FPu-x6q$a$*%17xSxcwASx&+>?f3=3A(yi27?ZICN$?br&y{{RsL{6j5mh{_< z&p&jEQjhbSs7g@^Ksmn62I>Ag`$NqV8S0y%w2T^#WVezjxLp$7&I7l9%wf#TlDakT z?0;(5tJfNU@2S6R2>Y_<#DaGNV)xm^-K@jAELD{^Ys#z2$;ml5sBTQ=mws5{FDo@? z>C$;pQnH4(@BHh}1Muh0oepZP$jSLfVUyW6_dhTD3p0z4*E;tM<9~gu9l!49-*58m zvh(Gaev?NsyT4`++}k|MUU=QHd&&O8InLup28Q*j-%NjXo$@Mv zm$mnznaaB9V5h^Er$0R(&{NZ(zq}-?!(P9otDRu9RqEIZH78D|GCR)xyMa07)u%Jd ze9p+f9;Z(&rGEuAN6{ z3aPCV^d0i%d%&BY?sSg`&NF#VHoQr43x%hps!png{Ql^3ytDK*&C{XWzhzQdqjZ7> z{Q)#sFJ8Z1i={|fw;M1oUd^Fo>6@CT?}l`5r3ew6GAUXFFwr|veqNTowz=|taK*@t zUS-NAnJYsbjNIwkrnIAUe-}X3MVG%jM(>vA+y^?;Ez)515)N5PX{=OpGG7?4|5>a0 zf?NVrXu_TTNo%(}rw)=W(5U{43tCEl3-vLJ_rGADu0oQ@24qe89BP(7;Oz~0C&+hB z(8c9Bkd7_6#i@&67biCkosy|cOSY$&n0Flj3Z0_S%T4+5QtZPpH0l~U1GvLmq?&;L z&HL}-wiEa|S^g*K{zo31JMpx$TWsa&oN6tdM7dSkC){w6*EF-O=s^*j_fq_uJ0-6c zEQ-v~%w9@(<5MK-b1mnZP35PGleTiaWrV?@Bg8t+;;ftv=J`2a&iL}>vc;7)?dxK` zEM4l^&E0-OT#00#b!3h%OF-Zy%JKA0xb0Uy4K7+qi#=0vqU%J`rE^P{ws)rC&Me`dx+{{~)V?ciq3PyGed4Tk zlGZW5O#CwoybC+kCYI}e)jpp(wBW){$vnm{Or3Q|9Z8psfHX5Re70^xg;s>Y(B>3K zL%?Ws5KTn{Ml(HI*M(1b5-)f8vQdt1d<9V8HUo!=Iync4l9-n(G|3W9f!`Skts*0< z(&Ld;$$E9b0r#}tZj^Q$qd(H1mqX|5!Xz!mv}wU4F~xKzOSLBT&7F4tF3r;yJ9cJs zlF}h}Aj0|7Bp&&A|Ll#@-$v=jH0TV-W&yZ=iEi~fpwsiAWm3q0Ef;6)8%?$!4WR3n zfN;`QVKiJsvqui2k(<3QpQq&lvT7v=rxO-VY2@Qbl7#n}&6S@=JWne{wGpBglUO@} zI{rq8j}9j5N@c&QnB|_aC0EJ6WwYNi|=-9mMJ~ z7OOzuBhq%Q`N%5cT^pqh#^@Ou^sCUSm6&s&aY=WgB@q|!!qJQ1=xNYXA(whL6!CqC zi>uQdgo=*kHyHaPIFIEyxiFg2IS_z+bBt!^Y(&FQzQJ%Eqq}aE+69rb3Yv49u^o^C zAg`W{EWfhkw9;`A?$uT)Bx@CP-EGDPTmXcckk-fvL9`=(=fNz7lX_<)?EJ z$jA8gr{@$(_gevD=>Mx%=IYCLI(1Gr6 z1HtYK>^Yn3Z;XOv5QmW5oIXc)@}m}2m85zAdPfp@rxEkc{5i-w1{xcs6G!P=HR#FE zJ0Tt@peD#W2Nkirnho+wD@!Pl;#Wd-CNOoPkUDyEPs55*+%;=oXtfrVNRoloB2*3` zkjMcJcs`V2hD4cppH`Y~BTO$Q$>>6wxfo43qKOVgUM?w^rAy@#GUMf9(m9pf*`68g~VU~=*eu@8xeLL7S|e~fRKC5)igJ%tp@un!j@iUru41;_Z}SweTZ zzdww?F%$tI%Fk~q*c;7y&URCNhZMgQI_?$bIA3`8lMw99foz6j1m}^wc^0G?!9I*c z6nN~7MKn== zl`?_2oMs@ey25LLQ!3CTrRj4og$eed2IgIRf0yP7UE$sPMxj?nPvHP?t)y$NZPG0g z5SkO^Uc(B!&QAhznWqar25cU~K4m4{XR1s(ek2H3Sp21)g1ycEXTkFGQCasaC2;1FtkE&#&Hmy6Jw+;{s%tFGVBfDfzJ}wO3{!{9%H3U1@eF5i@h<rY_rREf&jh@IkulMwdbv3~LsmZ88um`}oKy6Z-8x=ZN?V0ivw#V``avEV1t%Um*> zF&x1em*?0)Wz~=cYyuSLco;dY>+H*%Gxnof=s6Y;jBgPB>J~s9j+tQ;F)!;rY56FV zd>nz(>M-?AA!~-qfX25O2c4u<#^@I{=)On|ti?7Xk4PdMnX6|r8ntpS$Ptg%o$+Ps zot&q0mc=>qUE8OPu;HQ{@o3!{6UMomUBG-r*>`rdwOMsW=Akz>N&Z!oeQO6)+116o z&gnFz#Yyp}CDgv}q9_`Jh}f~sPR`puPJg_V91@iG2Ym#nD-agU@0}SuB$YY zL;J1`Ts9Bz|6Z@xRtWRJ5!sKSa{dnWN5_R zeIvcDpzh2c+Twb#xFsew6{pb{32 zBCO^B!rM%R9`HYEAhHGZ+$A$)iLFv<(rVv{hdfL`7IIayC6(AGe${F_;m;i%aWJqr zl^!>&UuG7|)u78VWPR|W9HP_QZvJjSx9Ol+b;T*=orTQTi#~DZWHZ^*)-rK&KY@)V zI%P=OXFyH2Rf$%jnaDhDvS^a&<_Bjp`P0^_@}yqpuF^M|F7d;lklYg;b_4PUC%6jW z^2_l186*={?65w^EH+u*ywF@Sk9o%luXA?DUkMuXRIB#gDdioQ;5FIITa&32BlGXK zfVm=fLu77tDN-OPhnVmX38pQp=PsWiCx8M20%ekox#KJ3T2w+=*hAZmx#bwRW7xhe zUy3#Y#lFPE5|LPSUd1U&-bwt4wYQnlfDS|>m|k}mL<3A*z#*qbk$U}lt2n19Z!o&J zXT*1-S^?%=X2Ss0uJ#AdLW4cNZhrAcY$om>x^u%0qgt!AhqCCTuo?7F`!F~08S%nTlP@(% z#3$TS>AhK&7WAA*wpasq7?VeUmN&ajD)!IsFn)8wul>h`^LuEQqZPd9Ih&z|e=rSI{?SmWhqf2fFvQJd_q26&g4_nZ zV7KsmLJugH#3&|=Ob@d#hxiavukYQd^j}$J+VbW-5DVd$xDm*;s3ad?#tvgQLNloz zws${BuX|W`CekF#45Fpw`lJ|qEit2>9@c+_p+WK)v2#M}*%I?gm~=!8gl5p1!Bc~@ zY~~ndFZJwjPMulo=cV+EfX+;hSdP$aMnh(2JtHb%P!KBDbC=GL?GoiS0C0tesv<+C z5yU3qO59C!Fz|!Hjafcz&5|eiICYi&6_QboOwNbo-|*2Dr<`1{WZLC~-wu*#t+_YI z&cDKSh>m&=I%Xp7@H67&F9?D{)q3vE88YvJT>VN2YSTnWv9QS%W?lOTb0MJoM?-~r z?wT3$<*ib>pw1ExzC(sM{uAL9_1x!}s}FKdIq|c~4CT#52-Dv%OwWg*I~7Xkp>4)o z?ME}o9(MJ{Sou7`F4 zW2X+-0jT=Yg&meaJyT3Qd!%0UfOb9i8m68p_Y|epELNmJ*VFtB%GRW64}WI+sJj37 z9EJb9iql^YR&h;Xb+vm`Dgs`q{CIw8cS;Ib+d4&wZuPhKd0}tWHHVbq-iee0f1hg| zd#)K?zx&gQBgtPhmW4%S8J=2mn0LhVFfZupSXgFO-?2`UZ$+IGCW5ENn=h2i#M^C4 zZi{G3WsS~Q&rEzvi=Mf(VnF-)=*YU*8MUyn60IqbE zv1W4W^C(-|UE;}P>au~csTRU}m*msxM^cAoYL{n>PFIv1zHLWa^Df_D^#(E@zgo<3 zTKno~6u*VAZCSTwDL0EH`Iv4KTf3RZRW4z6$%Kx^iS&8Ju>&MrN!+6RLHl=Q0tLaQ zm9vRLbsT;lPMDOIGN{k%CmD$mciz2Cwek0Jcemn%trp8;wx^{@KAszDRUTHit@46x z5K(i~#PV>d#bO?pJfe9}+IrNs`t%?E3f{TBbEvmFsNTz3CzBFH`c;VACqQ3luzDGp z&q|-&U?G91Jlg@4r(ML8PO8n{@bkRWOdA&SL7%OSv^8brp;A-(L!E%Y#y+B!1B8}} zNGk=jhb(G$)M}vHAuCR;yMzz^n?EsEp_RW6a^5I}E%sH#Gl@NdE)U?RY!2`lO$`ofXF(GBY%Up719?zl_AWu$Vuh z@E;cm{nrX;Pr?Zza|OG&ML8zzP_JJLis)kq|OG=5iUsr`pc;qx=I&xKYx-<`aMq)iP%#N4@hDQP$CAM4e|S% zGQKON2-)egC#cR$5Fz2gw(tWm{+ak;3V#0-ge2QkKr0L<^fSq-@<3?lH9$8DKOg#- zV!xrC>NA48v4zD{>$B~Zv8L!i;I2bpvmhWat?Qun3K7*I7V`k0lC`FgK?v$th!;wg zshi>g+7%Rm+;(bjfS#bD6?U_nPw z7>WDKVn$*?*AdW4!U>Y*3V#94YE#u9nIxgb7`j6k(Nz}qy&t*bx*?v~m_boZ$=M2> zCXJl-`k!bfi2N&2G+zf06Y>oPXjYu#Fq&;)$i83*$WjYDk1LO&*;N%r`Q2Q$m4quS zlK~A0OX4^znx_B@EKb{5%*3XQQ8Jl!4^|jI#H*+pvlB1idtl5ygi$)1%kGhI#*o=~ z04yAAGpOH4+-w%p1KFvcB=T=8j;%FU$cu$`o`ZH~X}u}I>JWbqj~js4DOZP$a*AX1 zEEMv9Lri2K{7bnGP&O}>OToKhiRaJbI`-K{sHNm=u0B2WM)@s*S~3cSa(US3d*qHS zK}0*?4qGeEAsDIE*yRtSe?AteD$GuK0Y4krsnbcF1YwUhSJ(^dtq$heoyfKL z4-|X}7WP0M*AR%5wsu+uavW8HV^PjTxo2A9354m7AGGGMK1agnoyW282{az)wM@7FC28d ze4j*L24mWQI*`y5Dkorq-arne!ct_%vv?j(cwnxe1eNP;L*6|OmFrs=PQh1UCR`CE zfl#d@;iSuDz6+`%p;nWpGVu^7p{Bh8>TRsoim{lveYUrO=avA^`NN(v3(tg96nkPP z^)}YeG+4}`K3h*I>vVvSDAx$Amfk|9j-D4KH6!Snc2Ye7D1Rr>!=M3KSU*f0O6~pec`(??E26!cZK{ViqAFC1r|| zYKvoy%@y9kocF|<>=sNr#~|Y17@Geyc@|M4ntd|X${^?eCS64^az-#R^X#CuV-3xR z#he2ivLHnt<@{pg5ErbrEj1RfPa^|dD#Dg`HJ3G!a2miNf+|&n-I%r>7_(5*#!_$@ zg~1?@IIqAU05mm!7zP$HAU_sDMC7@OXF);`$-gRc_+A`4VXhDZENEF+fI{z&bt5pc zDPoBLMz*CuH}w?#-7r}Hdt_suN4kNLO%XWlOcI?0eH(&;MM9lJz%(|*Z^rujECD+` zoPduZ%P4{Vo*#$YAr1xSyEfK@Eypl9;!nH)>XCyLXCtgf&*dP1O6;Z&Lce6<8HV_B z43(xlu123Nb#aRRM%YOIePp9Lr-_7^ZdBkHezRp&5`7v5bn|NDUMiNWU}RH30R6Qo$@h=HY6FFdQXq!KATcN=YO)~o_Y@~2vn?p@Qpqmsb3)s2H z9ci7^G~kW_@PitmJG@XLI9!Gim?;0nVm?PA9TG$i;Jh(N!WE-{4uU|@wG>*iAedUm z9L|8tsDNa)Q+I=*6IieiR4w8Pn8dAYbT$!Gy=O7!VeA+phmXav+ekRQ^Dv-$P(U3n zB166!;ul~})8<)tjc7iklZO=HV25?vYY-!idPf6@D^Rnt@a0+T0aU;na*&FPiqUIG z#ZvzrKzxY$i$x^Q;>3t%<~-}JRRG??buduG#hA~$E5q*hAv|8B;MX^1++UPZ2l72K z(+7?n_b-h$)1f~E7Q1*B^ARGw--X@((`PnIOje>}a3k*I|$n0~FY9U62omvJ|1?*%L^Wb09bpd`i zk64)-L(~q!dCmMq*+?HWfU17VpLh+MtnGOgK_i-EGW#(s;L`ANXec(Kc!FvcgpDXb zI+&d)WD_B!ZYRhl8kS8lXe=#Jei!DA4GUaD z{GX__2*S_XL?tQ+YNK&P47khW3pZXoeB zHtnqASr~wrFXBM-s&vr=4+8Z>Czd|E~*+cMX>0gr0 z4eP=%8)S$#0cMiO>`Y{4B48$TZ<|2lS|(naf;U0wL?a2`kH6o@m#7Xx;NWH#v96Oqp#^k?C>BZTL~i|QH>&@KlN#{nD-$m~1_jd=)Y zMR2D82BKvGHrAkuYQnk%&6YDs^nEZ*9--orgC+nGcQMbx3<1dLLzr@Fa~b$qvDY9~ z4@Q*<^b1B5&YcL_q{ zB+6i#0Sv@QoC%A`$EdD|^gk8H?f_H(8pOYdiZ2E^^6(Recnz$a%@WXZ!wDYd3M=5$ zqzZGlluN=gfN6-og;7ZfXxZU}U*-z$L7vp6szWp1X}wWLNjxT$2bYln5!GSoz-whm z^g!sf{~FauOZg>h9&gF~&&#njhrn{|8!q?C=fxERy9BIG=b%sIo$RI7x3;B7SueGo zSYT~V#wC*%tyq`1&1&H)oyVEzZA3t((Zb}vYQjdF z4@cHdv>0#QGM>72D%WPsnk~PgRY!I$pNh!3nw&hXrBM+*vrb?ZUA4`u=CfJtrRkCT ztihE{L6cLD2Cq;T4cbo$)Z@)+CMQx2rrNigjm?btx^9UZ>OVd@65lIRrJM?WTWaPn zg?BMzm!!(l0Ww6#jPhyJx0`_2hd7BrWQCef8*K`f%M zQ|4aaW%G|iA`JnJg}gUe_Al>sA~Nh;r3dnqzO?%=K*?DQO9HA5SbGFonZK|EPBzA% znF^rkK$!xAyA8JVa5cp5YRm{VC9?yTidD?4v!3bmgRCBOi~3>e7pb2NBHqQ$97G;> z9oS`oq)w{@wF}$rAjtS6H39VjhP|-GX@qp z0e=}_CTs1T1eo!$ti3i<6Rwt_Aalk@Ta`L! zG05EZ>YLQ+U_bZ0R_0UC-mA`N@T^2bLsW82Sb8_A5d{?d3!vS4GW$5tE^G}<2>#0< zLp)53erD0Xc5O+CBK?Zu*a8ynu+|#4@JcMZ=0ICmTGD~UPOQz4cwFj;W+#)(x>R|3 zDsl%l&}>G|c1RQH*P@bhA%LiqZ}1FsK)aRsiub zILRNfGOw|OTQ70IhVyBtU@2zjHWqUkDgX{w!P2cbwu6LQ4;{Q4JL6Vic0LLuUPdD} zR~szd`fQ69q&S!)&z_iQ+YPm%?nbSUd*ojmIfv^aVIEj5(aEgKAgKRyQ$>LnQLI>R z!<@RC%L+-juTUlnp1V&o_aT|;M}vravFSpM$6Ya^*$g(Z>ytsDcE)g&fqCr!xQM() zVTy9VVROVn;URgA|75LXcaRCRmDw#SfOizB{{|efM*BY&1G7kCMqnVObb2_72u%Ph}SSDQ?f)k?@(N?fnDHhg8^qN^Kyte zY?i8n&HA*6D-PC)$i;OjB4I%|!Ie(lZ3QCcPeRPlNaY`ue;!;!UdipGMgXrkl5kqf z0OIm7DEUGtQOsO8VWXdr%vBxKY_KNlNuoao=`X0t14hvOJ_*1+0vu4xTzFwl*bL$5 zj~11_R_0fsa@ao2e;F2kuumIC%K0x4@Qp__-{7s6Uxx_(cn0AK9Ijxi?y3wM#irvY ze5wyvcY9cwKY-l6L07c0|JhK3zNdnL6Ktr#@jAmoVVfqj0d~S!_yd>?;Oz7rJ6<0E zt4a_D(DyAt93-*17Y(W+?nM?;7jxT1QO?)mSal193xHRamLE*z$u!dzv{0L1gH84$ zd0>xiLT3BJy0lpjLAVj_kxHl9 zWO>{TDEe^m%`J|-PQo!DIES$EdH_PDQLnG_UyDyuwD_D{oZ_Gl!D)h^)P+uyWBh|W z?kdErt0HU^YzSH`6y`zI^5K9p3qJ)_qnLq`Ia-5a3ijQ-pzqsTnPErjc`JA4A~QwIAv`6tObLW?@9%WE9{_aA3&4^2VDx8v=UnS z8CeQORhTq1zycdx;#;Yz;N1T-iCzuyferz!d}n9?4_^ybq*x2F;&FG3XeNM#_gWa! zt#IBDHr&Eu70;)tVk@6#ET-yJo^5-zj1}c5$QgZDTb5&YsGQhBGKC)XAPB`{ObwDf+Zsbe-*QoDj+o!$GVVkTjN1z1;Z?q`Wdsds5Gn+ zJB4?%n2mk5?Ko?S50EKDrLtrSI{`AUfMp0aj7kVdPs0gg<_fZl06>b+Le{SqJJ0CH ziITpdn1W$<5S(F`kol)U#iWj{0N!W2jZZGd63~#voJ6|mUlBQg;gCbZOn}7;f0DjOf)Ln?p)gy7`V10lYDuK@aT6A*ndJs}4sM~3# z0d#M^s}5KV#N1-9mO0`%ZKc{PcC0E9r)9uBelyjye>!)`xdf?-#Z#SHATWhtlRe1;9* z0$!&^l%a_7J$M#|C}W(sVaxlQ%dEk692xr0aJUPL=rKcl2R1&etqLt&^0_A_f(%!e2|up$6S z|Jht2N*}VrD(XM=Xc8RQu{p^M9Pj}^G|03#)KU*4hfHD*PrzoD{Tfv_9|83X*lP|Y z(QCGV#QL{64jeJSp2-%uZS<1JKPH@T82Doil!@&lN>CM#`d$-8;UW|Mf&+em!46|G z?G_9v+VxO-VHa@dXZVjw5;ELM(at^!Mbn5SS>1#!6m>mIw?sJYk( z4|Y@aeYP&DDZ*zUs1^&5W~_f6JFO6~Um}q#PM9~CYL=03{VMQub`KW8Rkm4=PzjEr zTolz|Lk)QD_!Gd~*@|-%=FDOgt@|kLC-}iUi~R_2lyf3~Fw{8G$wh}fOQ+vZ;K^bZ z{v}pZzjRVvup)ATg8$Q)k-vsay95#UzlS&rV~iV9RM%V_`^a3O8P=`)6)5gtJplXU z7XdXFTj7c*cs0Pxh)lZ!Ek(yv>bie6N#{j%Skf;JA{qe$z(cHgFi)Su;f?=us6ZR5 zydp!q8gegtk$??`m2V8P4y+;G*b^ls4CJd?slk|gFM|aMaIbnwPBk2m0{(q`D*@wM z-4B#n`+|tCLF6s0I6I-+z%Bw5=(-r#w9`1fvockU%qi2Oh@2od5UHO~3@BTN#r0q>UO3Cc(Q&^vy6$J>g=FQ`0T_idkR; zRyNr14xTN6WlSS@+EoXki@u{k4Mr!UDStHqyAS~+xRW{rriS_?x;TPv4`u-avtT}p zNkqEUT@uxOE{<(5SLg&dGEqioBSVpmdf;meLqll)bDYII2t*Q7^lPC=O+?lB4<^B~ z9eXegCbxVrYZ-&5F^l1>g`IdGLuM@XQ-Q=j>`_Jo1@3k7SCVOYu$BIR8*TrP@d#rq zpr9lcWBCG}PN{od<{xPk9(<9IQf=N@U3~=jhNI6Xz{ewLg6m(Z;4(eHq7e7 zX!I=vOU84k2GZt&)e_puQ~iK)LhPFlng6wW{b%nK-%gbQs090VZHUTSXaoTpNQ7Nw z9#;;@R0b~1aH(*fd520H4Sh>Oz{LG)I|T+e;q7pOJ%bz%axY5@99MG{GVQXo zVEGkBrE|hUvExprfGQK@=N@Xsp}>TB0No#&j;7BEKKQzYJ`$SF3sdGdm#rn?Jb=)a zg?i|C!e53Nsux7uf{mXtJT7?dOI?_v{|>?uE0tht3Ho2uYOr*`_EXiJ)OfH;*loq} zhB6&dB7pTM7@q}9Ft{b7L;!=EJ~();CgE59&@OdieBy#1DXw_22D*|}PjM7BN$zJ(^D*6ti>3K2AmOhe4Z z{tP!p){noc@5%i(eX39JW@hBTb~`(}gR;@vw6fMz-SZi&vNbg zP~}&V*2-?2nf&Y?J+p&4MlPw*z8aC)vi{mci`lki(M3tGdiwjv?-klA{|>#;Rh!nn z(6!%`edLKRXIItm_a?1%26p$8BHsLnG>=<~8*+TzF+H=kl1r)P`j3$hejzvZhn9{A zbvxg#I75xEpgJwvZDZO(BgQbnQ^F)BE}bbqXkB#MTDc99nIJNoGDx<~)Xa^zg^tLq z)0xSDEZaK2VPNCOEL@eIrUx-w;h^=Cdv4&hYg&JSNPkT)$>7$0BF-A@BYqjnmxldm>LZvIMc>;(4et0OWcWWyMZ z)XBe&xaCNRgqaZv{l^p}jETZ5t%cB7Q>!OeFk^ERMZ$wfJExd1W!ClYW5n1QMD{&fnoQObLMbY16s4lgl4Zh-v1TiK)=GDa zt%V|E##(5!;!YTZ?!+*anT(m|`poeCJ-_GmJb!%LbA3MNI_G`fXSvSIJp%LZ(_Fr* zQH7u8p6IM#6WqWih6Fwq9=u&EqO(_i@@E7PV>`{yM0jB z<=_rM0IuP+vSMUq*nYeL8~QbuZ);RF1AunNAT=>sIKWNiYQ{x8-ba-T9#Sl3=lc2n`OjSH`gX znf#(hPV?WRJJ9800zklM$BS_pNN^RmZUoCGLLsv|GSKNsS5Nq;?gM;SZ9uUL!?P23 zGPGW0H5+)AO^GEJ)yUO4t-@4j4~W==jF}Paw9xC{i5yog1*t~*Y;=m5F+~OyU8EAs zLx{PvClLb@c|Ytp3E&|@HQK`wOOGj1t>`iYVo(B{4PuLRvc(t?ma~D59IHH3qr=u| z{$@g7A#FFhkVQMxhp%pk!DjfO(>&-EtaeKT#2MmX z2gJ#mgW5pn7`u2Pw$BEF;-Q)eyC643PX=Wvu3@8r&a#|go?E}7r zi`rntj;c>;jJIw@mzArn07UN}w$X@foO-niKz!z;W`;5B$G7~EN~e}Sz+06XJL7zk zpmLbQ9W28AW|sr`&_JgnUETbQ#9PqlP;P!HKwzZIC#r{?nySe$BeHDfgpFV)X7WcK zIEBuE1fGPFW2TDTBo<+XL5Sy^nAR2H&V!i#<75d{fBZ#u>q7dZK~`5YE`X_D-(0VGzX%oD60c?IO$~5OILxEq4(vj;?+Hcmd85 zwEtG5S4>Yt$HLzL#$2CxPw#t^BbkST?_hZ&+d(4Cep&o(Y_<&tiDDWyUH+CS_r_Ky? zj&rh3ZlJS$#c2Wf+6_6u>DAF<9A8O6h(Dri<_rT658h}t;x(!oq56to`x?EC8c>iE z=Lnv07%4P@eVWO?U*{A$12thq$f%{tiv)I$SjBmP$DAIaHDD)7R~P%JUN#AGn4-fj zi8d(XE7v-I6yfAK;6w*HZC0EVA30f$LrJ`>!I6VT1(3we#xMaa`&^1Lf|-5Gx3te6 z8iJhg<)DLc;<^-sILml~LE;6c zUTM74h(qioF2cox>Ve-bD#6%6PRw$c`Si?GY$kx7p#! z$%jD&F^3zA>$59m6YQ?1yb|#|PGT-p;?HG}C4K!kcAJ=DD@@QzjIrF!&dr+u=q%@Fl*)^+XGXBw zoK&wW!tv16%QUJoLHVy$o3m40k=?^qGdhi7e4HL8IAAA3S2q||zZnSvVJX2T?mtfa zr$8Sp%JCts2!{a7)i`NE z@0ubc*glkHviq=I(12YwhY;b}s@gb-;jF=ZAg0Dup|(1jgup@MYta` z`F%hP^5Hl;_MQK*Qx0z_N{#Sk*I{T{jMh!J>Ozgc5N;rLkW)D9i4M5ROLgarIbfo@ z*01?)Uw%W)by}$}g+|~BppBG22U)FitNX%k zh|CCs*{X21SxXo-A~{aN;;h7TX$qK3lsLXZr!hEl_=<1}oTCX_gk!Eajoon?In=?+ zTy=qqr-29w}T&OVOV7LM3$BN#77-Q6M_IKsAm zRzIf$#5zxLBF63lBp5h+D>>o69@7HO{u8D`3L+p7|NBr^u58eY98+XF`*P8`hG76} zexrG@^groaXGGkk&n3&o3_GeLa!Pyb2FGZGa+ZT#U2U29Lbb0i2^q8 zGuDTZ#8iLUJOMN$?#2YoSyj*v~ZRUns)cRlL-SdXO zU$1}f{xWI(euO}FE}Ua| z#;~$W)_=3@z@DQ^+E|){%zxN+WWJz$$5~HKvu4lapQ8@lc^>pr9jb^RyHZXD(I zg!PTRO#NA5xa40s%DMt6Rwn*2yt!)legSO)IRFbO~e!*AAx&;0Q+ zoB@b68`pn)TURh$)0Ke~A0A<5tuS3n)}=%sX3m^rosMBi4>BdNke#j1(GT0urKiz; zs*nR2KUs&z@xD*c&XApo&OfXjOA}S2cx?zVDQGR~&DwYTmg}pAq{Y8(0_lrr?k^Q5 zY{&dvo}kaL$qQPe&a8KvtjU>gRmIrp#i zhyGdBf?(&4Fq>AGmskxfe|_eOz5mxwHBX)fUk?0vTc{=T+gfjk-kf5;?y;uYM`Xnl zckf_>GxEMpdgn>Jm%D5g{`%;l18*JId06*)^= z}%^89y63l2qvNS}|7lC?KkQ`o- zWLQroZ3XHLZ!YUn81|xQw&mQ+iY}_|S(+rYE8`U7021Gng0cn*@%BCSMC!w{v>M2k z^C?DY3{zj8nF@h2kz*Y#_jm0?YXd=6S|(b7g!k=Kh69NH2Gd@1^r%qUD@dbmFhd^6 zIw;C|=?VC7JH=>?W`31VXops}OqhF+_zoA)|PdIkg7Xm@NYfpGT^~)KW8T1HMxSSu85G#s5 zqyvma%HerQOZUlz`@4ZL)#<1yIxLJ92|YR}hu=v;+jmanCjlMKJxfHY@{v8vdmu1N z1cvd zTroq}mS_G>0D`Yf+AHQ(-%~RFLXQfTd6B3iouqGI*M#OYs+;=3lu-uBg$Ku#&Y>QY zW7mPLwNs3c=!9@l)*)d4vDt+A1c}d1L7Ve|+_|1PQ8>5yf#OyRrMKqv>KvWdl(BRe zAcqztp^tPfEdY!tooU!Ndi@#NZ3fVYdPA$HG6LljL{I>hn+Y?b9A#_9 z`UNzy^ro|C=ryK{zmUct6Q%-^<@#xk1+At{m?x0vrzz-GNIxc%WlmDAA$vhUDsC?K zt}rgjGb165+Hx$Va#mdmelVLa8GXD*nMBnLrG-JJLxLG;NY)-v^esroqfAuV9KN1x z$Tq!w+HIDu97+>`1o3ZZhohM|c_tjvxJwSLL#nt(cKZWi)R;zhQES6!L6G3wDTW*p zeJ=&|FEGYx%|vC)kv>y!P?!w+XqTgzKBDMU$QVoxjUZLjlM5HI0F_6Ne+L!ynd1D1 z2m*h&$G?v%bB0Eb4FvjkOdHP9olO~SuRt&iU(=jpm?omADjDgm?V2`SEXUWkV3K!i?UzE}69m7$@mX*U!?!Oc|08b$TYuPt1tWE7ceaQLR=aJW+B-rwIA8nRw3PL**13Z{NhefWT>^L4H-NXx+HxWnu!<(w+igUHEMiZvUW?SF zH}FWRuzx7rTs?^JIN&w>4@KDjEc~-3Smik1qe#^^c-1r*KpVq?h5f0*Yo@|s`HhoD z`}7afQoKg$J-qWX`I?E(Ot9fGSYCPTCkVhy+9M+)U-E80a;0NnxPl%g{O!ctEp5dq zDX}nkwDLDP>MSiN9t3arH4U}Qs4!y~ zTLUV1Oqj;yXrE5>7aU)*zk3ggKy?Fc5)#A)GY%tJPg7V0FcE%yLnb<9uHqAA;ui>l zOztw8Ovj(4h0{Q|GTzYUqM70HOf6*)5@R_QlEeZX^m}I#0kkoE2bDEM*~b>_r^&=H zE999GK#(bF!t_V7@={O(z%;rh(=FdvW7EWuk z&2y{@*a5QcLcX@=jFiq9xUeS?Y>pg_uQI83cc^i%-Yz}1iv6$YmHREPmSZ&ym8Q~T zu%RgXqeZuwiSI#*KbFUKNq7rRJg{lsQd*lbFl|0Q9htQcyzc||@_TQaWbcm7mY2^K zi)j#V|LTN@dc7$BZbh)YDfef3Yc#giO!y}?KsBxv7umh1$#Mocxu{rjP*T=k{>7g-O{FnM89nSlat+Q-fA^rai)!o>tPNSMShnXaSrwNvExAt}QjwBE*vk-GGK zMmz=3L9{35X-D0jr%Z8=|0Y;=%cnd2ZU}HW_@kee6~nZXXLel!Q5FqmXdv*o#6Fp-4GJQX0j|vOZUjVJ3wwX-&}5`G7$0!1(3$0 zCQL~rzBZ*o8xnk$$xvK&NNwe|q;zFd>1+5NLvOG4C9{Z^A@jV!!u>H=DS0e6v?9HRTYr-}dKUio1Z2YSuy?oaqt9Buo&XcFT8F(m zbsv4w`t=N~qHXRq-0hBS3TvnBiRWec$*0}xs9lrW$Om5a!s(EZNyc1tR`gM@iqJgMHm$d zzvc~@S3PX`MwfBlWBvm0mvYaAtm#J%$+ES8HZ}(f_eNu<<*~hx7moe#gA`#+Ghtt7 zHC~`yHYIg1431!vsCL-0Q@8As7V<1a+tGUzk$TEQ$Epm7D9d8vE4lQa{{B)D8ZmrOwuCcsO-hY6>)T$Y+gyxI{GeoILh9 zq)53B-b5ANWh#6gdIo-%?b3>Hfg>RVBB07eRi-{7%dzSi1i-V{_JSYzc$Td$M8_Eu zEV9kkFU!^$T4e_d)1$Erd8{;~^8+^I_@tC@D7*x+K9Hlt^vvsO&87|CFbv;KnLzynPjOD_^kcW z7FC4Qg&C;>q43kSGQ{S*<+rebTl!mdx}9&{@Y36=Gt*5x^<~n|tJ&!O@7Vyu7NbzV znJ*q1OxASdfXLs0>_>;pUApPHd_vohPZ~B^^WpsDvike*SY=xYthTt$p(6e&39OvB&fy}_Dq(Dl z_=7{W&xQt5A{4Nq;umTgglw-0SJ<>96ORg-X(?hNG_e`t zi`5iOOsh77kBks5RQY%(=bxJ1!x^?m1y>LCaaWmReZ&_j9-H2;` zpztlWB`PpD;nkJB@#PaL*2$fxlUZD65Ty0ISDqai_;;`L^LClfC@DB8vbcH$)NjyV z(G5DklEpu;*(U*=%wOT~~ zRsS<;c17&`blG^%nYURx@d}!q7VXL%LiEWOm7?qa@reI>NK3Fv2}=~u(}pJwmD?H% zDrxz^s?@LwDp#r_)G#>ho0y?uTW!H?cP-v35p0t<;;y?4F$gZdcm6mY8F3 z$Gt_af$ErDa7UXWXR@duRjW-X&>GvUqE~4oPwa({r!?dcWd$>|GO-OsL`y+utv233 zZETdPUX2lgs0r8D>wPeAJ4OmVo=nlkI*A`m;YVPOz%}f=UCF$HVYYS>#N)!sw%+>! zbuhoH2*QE9*ld+^cZ`&X_lAs88j6W7f)yS`e1XoP*X>3Q1d3tK!)I-2NYh`c=W30V ziDJScDhqcg#u(qKeS(6m+ImS8K5V!6A9rsaa{myo5T;&BFS((Rs43oluR)R6J~S`M74I}i6RU=Jr7@jVy=Md`aEMnbid7BUh_EW0 ziQqo%b19Ud`HP}NH~90tv|Ybc1jyd=4lk@pEM!xIj4E=h=_RD7VE zc$Gxlo zB@KAl_BdN;mN|EZuLz|~yr=BGI`I98@r1>ArO~*D@i>pLwaq>9@bsjlI)(u^uxYuG z)SD6m=QG44!A)$m>{WMSi14PpTDGdXm}>Zx3cN-fM!qI2B%Xe_kDtshJgPdjUsV7j z0l#Fc?}8M@j+K)Wvtq9I==x(sd3=5tn&18ZD^O{`=%KA-biK)YCZ?kyw=_aGzXi zVvf*B5ivTC3>BHEYFwsh`&6EtPIRF~W@X{vWF9{S*dk%|!a3vszl`OV=nj$g+@R?y z%@(e)p5(m|HZQYqL6bZ&3b4!$n8$gfJvZv(v*iG8WF?{J)LQg)Y?jFUMP|_Sp~y1U zNXvc2Ih47OSV9&!`HQrq zmwLmsIq1XBkt@5+zy3d8vKqfXe<2q7TWWY`)uXVH;@bpi z)OGs&?Q!$eL4_b{&q$lGd3fgk>m}=5%=E3JyA5kUy={!~K8KJl#dXgH{=Z(1piE%Y z9vU{o@r{qq-M;J$41!&Hmb5#MrV!Tcp0lDA0vQ#C%#HZmA!>O5_j8 zB`)Zp4G%>~@5pp*IcLoC*e)WoXGd^^b@Gs|`>@rY1+qm$y$mT#v3-}O96#N;-0V`! z+@lWiiX>A%X0EF(OfB|z_il82@X|oiZI(?iOIwbPn|+ka(2ZWkG?Kq~{!3RdY2W7cFZ~0g_|8LxZ{r_) z$9D#g`^}#JlY0E^tMVQO2cJR~Qa-={m%o|6D7duMF&wB$Fjzf$ zgD@W>;7GTHtnz?P$3K_^OkBT@TJG%Rz5x zaucb#S%g!pg0{aiDB?&k>k}ZqfZKkhek=O;^oqZ85Tz9gk~PfHZ9E2n z)PflKlxN&`W|tQtFH9S_9a($%Ti}akz!_%NXBI_xe2* z+d}>#EuV}EiBqcs2HnQxl6z+$nvQX3n!cnDcphK8QioLCh+W|q5Ia@bndYjwSUG6&08K0yN)hx=}XycnH1ahbsy{8k=xNXt_n zE-d70rpr8j-`4B)4yCk(#hG zS+-<@YZr~ze@nbHxckH8WnE>MLw9)T0?mTy)>d%g9bP#6)=yge<@KwtC;X^xRbJtR zw27+q$m3Z3zp4+8Do)KdDd7SbVYjd>$)C+ri|Csz;k{!{-Qga0iI1CTv*u-2J;-y9 zcI$+d#ZmW-#mA(ry?t|u+KGC-Xth^h;@0}o8RoT_rAm73`-iK}3}uYig<;sXQ5zI8 zDhI7QbbetncE14CJ8mc8SdZA>to-n!gsH!h`S3ccj}TO^F|3;{?*_6 zU3i@<4J8SwePVyfFOpj)F9Zpo?5&D=r%FFvENDzln4T#$i)aQfE+n+LL=N*>wH*J0 zenekYK}QXu;jjMQ>0+Ik4{%)<&sF->d0C+UB9K*9QyK_b9z1T@KVpNwxH9-}%a;)w zlm)8o;ljA+{G|LSt9W)IpRvc3b@JNpm^4<#5W(uN>h|69xH+-U-2iPu$`zyRZi^pe zy&!@0p4S#poopBAg2?*OiFm1=azo#SXOLAbRVof4!$zR#zfmn+jIDAOu)8g3`$i1f`JH^)Uv; zuc=jah~V19QW(U`&||}xLEk?$?_e(33Y31wr7lz|m+u}?j8fQ56MauWN>7|ie3Gg3 zOF?tuTv}_E(yz5#S1q2N??faz{~+{Hi$20JTql!Vt?uX zTzG)68aceF!VmJ|EIT2eSiCif911UsdjbQoMSi{O>(HSxGj|_#^{$5nH-!eUG;Vj(B(s7f6Mu>wHwhxyT zH+?{E_~4fFb?~~FdBN@$+-&`GOuOS5<_)L6jd=@aC9EtxP+f0rKRj)s#9fwHG!Py1 zyY{xI7Zlw)UL|X~5#hI{4;R{HZ8sl?A1l7f~M>uU?}(sQwjq!q-E*=EVQ( z0wvtJh?_H$iBjlXoSO~#1FE)R?ZvKzwf@DUdq-OPE-yWx$L6AwX7L726Mso{7gQGn z=5eW8Tb0X)kz?n#wpNr@6lZTh1i^ir*br4XH*QB=RcA#HqV-?>cWi1&irBsvFgvnN zX_E#;2~aTxY+Am^Mxrcm>j9%*`2}OcV;190(Xf^54~${;@0uVZ!Ufk8Tl3M6X7N@|sIxh~ymlin&{`o1>(wHfjTb-Xf&ZkXD#X{vf*kqZ-l6S?}X1g}fqcZNAJ^w4+* zvr%50t(f={OXG)4BJeme< zL>^<^ltpLfla)SZowQL}zhyQdhHp5=^cwvtAh;$GvsfF(Oqi*7Ko38_hA5}HfZj~_ z6Ppmxn-C{Xty=FLA>EuyD41PxW@?wPdeqlL?ILe05fdJ6LfmL-J6RnY5S#lK+rh5f zxrDme2^=Hej1|rf^Ho2=HKh%RS2a20k;bqaeTJpoy2c%d@-JWGD?uC4L(VWyu^oG9 zgjx6hs~Ty}xO)pb*F3gV@O3?r?60?G_>Liv$AS-{?i~1gAw1GoIt85#Fp)t)(N^Cq za(7S+3)j6unS3*-BUISKy!N#sU#}GM1W4+Y{x;C+)2KYk$gi>~tej00u>M6t2PHgj9shyB+lTU{PUPQNx)eOT)Jai(2ivwQ4uyoV zJVy6yk!30evj$7>D0=M~W^4Yh+C|h_lUxd`Xj4;m|6)}Kg{8N~s-~aF!Pn2Sj^r`n zmaRqDKOqRQ@|ZVlAoab(n8;56EdAw7dOLy~$dDteCf zgkM`f{pN?<*m=giVbb8lFN5B%(7iE+>(Y~^Sj!>8iEl3^?$LYm(N(i(b0@TSiElGb z%o@P*HFK&Xd7=Ym41R=QrqAura&2_PHP11V8 zAgYkjdxi;muifIpHI*wAeP}RgQ~n-Mo)zAn1&w8;+VxSK@pN&rl{1(`QTB}Pk)NMT zUKuMq!(8t}x6Pt6{PuWGp)Ida;vo$_U_u6bqB@{~Wbo$j0nT8!o2I$}hOs{~o7;+( zid@}i#$wKZTF1NABXpNh2&IFJ>$DG4oAX ztxkWf4@B;fv1&O8VJHN183^ZZ_FPuH@>gPG;{{OC>{$4}UR>TQP*FI?Oq#85V}g>7 zb^6P6i+lyQYVm+H`0r(V;Xe({>8Ia>Np51`-}FMaRg+68?pXPnZV6h8zWRxgt^R%O z=;-gW^OI4ataj6c!HBFdF_yUzizR62O}MZ)3EPN8M8-n7;1!Bl%wl~QGjOJ&hOX@T ze)Y?NiC&$^2&fy0wclU|qnSM-gWTS?8H`OdV+O67+)8nW%h!YlQDuzFW~`uJ|2>vq zeW^-jINt(U8NtT};liO(pUJ;ryFq8epGO+4n!HMJ`^wi^OVC^B;PlJZ{0`nV{7#sk zG+?`7z}E2S#)cd6n;N!m5b^ou*TdJv5>!a>cW0HInCoUNNvFSvbCL0IEAKieoVx6^ zKCRDwui=sr)3XtSR?b_WF@jZ7tj-YO$jX>k7}H}0wU>%2zO$qhGOSsZd=)CK2r~`@ z+y;cN8(AF?zrn}I-bgoIE80KERkISbDShq?vo`P7o~8Gzc^OLQ?n4;Da@eJ{XbHYn zaTDY;}^nqe^O_#i5UK{aE2_;uDd4ISTS9|Q`a*gGS5J1B%zPzWxx!J9i9 zYZuD7gQuKF@ix@#9jwF>R*x4xe+8)&QbK(Y|cgG`1{3eYKf5Ja8BwwQ&X3^G8 z6Z>@I(GQB!%-I{HI2*b*Hyn&y5(%Et9L4)m-L|t-OITP+RMTa{`ab(u$m5Y4!P|!& zy#&fm4PYi*c1bFoT2)k$Jo3f?{pnISs+f^s%E|zdJs9~@!sMM3rh_1OrEcqoyN=maH#|KqUw^MNxlH@*f=CzXo^LtNPfGd zarO-JpBa=36<>B|NjrGBPF3t15>AW;hL<`{EZZV})JEXdHVO+V-UTK%)RWp|9 zigF#@-|2nDU?Fp$wJ6OG^4dd&?e!mUAh6^1ZeOG_S8#QESsH%{+KQe%#9-a?5_M*@ z;#?L$w=t0v&2gC-rJeTQbbCE`6{#YbHREFXnX$7EeP`(EVFn9b%2U5xP!VpSa(`gGWi$egErd-MbHS?KAcQluomyL zzr0IQ-;{N3MfowEWmkW^fOZ1wvK73uDo&H;7?9mGKyHfV&Pn@xN#oy8X8db(<}6yx z32jj1`_op#5e&}*_6lrPcS(fE?Ic?qe%qxr`U)6`1tBzL+06J?)At>5Lbn(A-Ub`M z+fPPA4wx2X!9m%OQ&Ec2GnI}~Ko^1ljj2QKO1US-Vs?`M86WeNVh2yl3KFiTcbC(EP4E_~fC#EHfD7TPK*_DYboz4nU@#$z6h1rMHGM9i0A#yk3xp zr=Y;61v8X&3X*ZAY0W)=mVAmOK8W8cK#<*}F@rVOU(Vqdsj~P&S@Ud}_w^#(gOZFz zn-S!({dOnDkliT5t);ah-|aI>Lv&6b8q+hp)2V%-{2NL$W$-dX0MigtKA|mIDnrw6 zYKY05P!K9Dq~WFlsoX46({o2xvI)dlJ0^YKNL&}1B3gQcp;_K=Y>@Q~Zmvv?(h8&s zp$E5?y3uu`M;?)Faf2b?cZ=v{L6YYFLs!AAyFXGJj_n)H4SZ5wS3{h2ekqL`dQ`>W?h;#`L>20lrvo9>)dczIB;H2k}j@wxVzA9or?U4~x=Qup}QVg_MX zZp)jWn77hD_x$}c^>fePUA;EK*gtGYoYj2!R*RQ%7bo3v<7rSqc?D z(5$tIV+=#XB9)cIUi$<}ixyY8I3FRuT0HtdnTSR@aq`SXM6@HEo0MK1kf+68F4;|> zR&R;6xw*wK{ZK%Ymgv1yD#6o(-$hd9`u8bYBRiihr_(bCdLGgP(%(81JrDy@QXNQ- zZ38m5I(R(f^x#K2oU3)b)gL5wS6g{+KYRUdwT8FG*~D+v=H99X+`0}*4F^8*J?y?4 zkab_+b9#S=)x}-jhIL#D_VEoKK0@Yc4?9j@G#lAo$9j_W zw(auQy&UW)B`rd21{e5uck2ffhHi<>=$RCKx1~+HB&pecC*^sl*q>DCfch4x1xbCv z?kV#I|1q)gEVuoiS?f!C<7_)(mxZ{OdV{tocY&)1Txp*tD?!t%B^|scIiC?i)tC#(O>)%Y1Wgm7Y!}9FY=;N*9h5D z+!3Bg!32qTg7LDrr2&E;m9eiw=pBr){co~=cg^G-S*`?0UV^rX^!Tf*+xp*YAiG(MM{jDiplYB;}TAlv9U3hgG(IlcyUrk zOYdGHwd;zz{Q#Fkw~M>W08e-KId|Iu?j7Ae?gs{V?{>#Uw0m>CNySd`EK9Vw>+#5> zbx)pb+;UUO*=ASc)|)c@Hhhgdo^V~89gV`C(hqH<8u>k?!febM#XMzx*oZcAe}pU8 z=r;;|lrAOgI4N>p?RnD6lL{@K=LCLtiA5Ag%lR1iaeJkD*~Bl)w;XB{tCQGG_;gaH z#c_duINhS->Xd85t7wrim2rNH^gWe{BO>zY@sk?MVlBrO1e#N$Y}77tnMyAuYD~os zdB$;-q`_=1FK-J~6-zqNtvYllZtDffitAsx10!%W_?WgeZ&VtI@O4UL=s=v%;x_-p z&r@MTj&Z#Iria_?URDY zw_#-=P3o7lrj7ElLW@@!&uZEPVSNflxo#v$P}C=ulA#!Y=@W8H_X)V!C+e7i49M)` z3Ucr>Iyl2S?T}z(1Lw+4OPajsA&69c%jX7aQ~M&KNGtt%t%C<86V#?uH$nZKFj8}o zo0Rc+lJ6aVo7TTcud9`cw*Q;_Pc?6mhE>w{YRMw-4>1*2ixlm6nKW9hP^9)U`9-xr zk><4|dbNzV%C%%{H7wd`Ohh_ut>ffHl=pT7mveV=K=HgtK?bfvc-hEX8^Qh19@TLC zmq1uoO~7MWuFq+S0k34Yn5Pl~YGirL)2afV$nrl=B?ml|<$j))8_+7t7n%BQ@{}=u zn?$E#v{}k7%JHq2Cx6S%cDw~w9k>?3rC>aeXc1Jz8{TasFn%LYY#|L?S>Vd}F4QLZ zL2^X-gJiVDJ812QiRUwOO)7wnaS*;*M#rjsxZz^ z-nsk_54yWnn4wgmLvf3H(18axStm6}1!C}!OF0gDTp?*#m50$nW%|;I=S~`1?$hHQ z?LL%ar^mai`%;ecBd!PX_;TQJ*j5tb&dzy zeLA9igeN`qapnCH{`9n;l`SLO2T}`doR$wSU}}rbo+oN(t;WTSnYB7#A?>5~#dK z6aOfoocQmQN{hWB&($uA$~u~~k4`)9@2=HJlZ#t?3=+7!Qk8AuFT#Da6L`GRf+o*i zRP<3x0QD<5X|*iU;>QraAg)Qsnz9($TgD^Wy#(r4pygN@e{(7d)GxoOG#b=z_>d=q zw>Q^~bl^G>wp_a?{6dscJ#h$;#cZM%2HE!}?uJYIp;p?pqHbV#CJgcdfZNfZc zpKg<~IpHDnRPvThtOwr}@gp|-JVdWZe6k7g;JvcV%*M+@ zs_RATNk}6RLL%j<7(O>gVp8q)Ktk7kZ^{+$NCP|q(i%W|4&0{ZHcVo{qm$}-MT$Zq z_w0#D{{SV&!4fDq4VJ}IK}ODTJf|}{18&gZ15y=j4+|ul0??{Bhgg^5Pt}QU1{qwwCPfn5(v=+1}*xPtTjw&0Rd@pYeWDA-67kBHd(i z_dBjQL-{1Nsne9?&@Bn@EP}_oEe!RNq@e0umIlYKS2#FF*k0kmr@o!^ddF7=_a%f^ zD_HJ$nLObDqu}O+4rcgGy1i2<+ZNy9KjlYhZh76n-80v+fn!WGrGlo7sTU22nh z?_j}F>x9uMj~v&nTyv?3Dy_0xE~OGwYGrvYrB$gk%kr2n)i}cPRid`xtE-NlK;N?>7+L&rSES)o&4aW z*nN%Jr2kGL?yJrwmz?Cjze76d->DrDY2I7@OYN?#^X4%C$Mv4Ztv(tB$^G5J5m;}b z|1yqD9%z*J+41@M!|vk|S>6J5Qa=b+Px7|-o!erO>N@GzC}%2uVy|7J)R_A7#M17_ zh>Q_#`Lsftpk?9E?S6c&-C>o*(MqN=yKO`oMaFa@lMALqBTAzs#|*}KqEed)w@<=a zoEP|_GJ+~^S&A)g)7%@jtQ)F!FzGpH1P9A_es(KYCR>VHrSGiF@4J<3D)YidCRgB! zlw4(LpUBIMxJq0f>{>ccWucbfwG7+JY)hFj-Sq2g_8t*m;x<_=Fi+VZGxEO4YiA#0@ZWV!dtb_(|Ha*VzcrP0@5AY# zhV&pcgce!=1wlm&k^oBYAT7p*s7P#}qNa?Rf*@9`+JhpYwf+0!^zoa?X`|tYC_;kiNZY5(y#SO@b5v~ zR(=ue(2^5^dr-GkC-lx{4~s@i&!9h$gqYVw6^6)ih>eVQk{O17O#L>*ct|2Bb3B{95x3dkSl462Qt4Nu9}Kf{c;h&w zGGE|cF0%E5yOO>Ipf${j7_qMX5TuAjZx12ie@Oj{V|_TD&>}n;0}9Q z`rf=WCuu&KW}KqlF+>Ped8w5{j$O)f_5LB(E>(hh%Mc=#UzwBs41Jcru};B8yp&7T zJ!}kz_CmT&6Q#{Ki03f)I+}*v8L565Ek6dgjYqjt5`)ga<^ROMPJsHAmiKDjIg}r#{jz z)8MrgA2IdvN>kRb=V;&;@kQe$^=_@(DEtPVG@{kp8i@V04X`{WR!4r35HvH!*gn`p z2E?6G7fgkLd8g0?_sBrJQ{jT0Ziu>*?}EQ%aJW;}gxO$V*PU{AeM_0A`&Ss=z->ai z!7t#cHiQqkMY7fS)I_pk#)1!H{x#;Ca-&Hu#B7q5HdKn@xS+occUAtUpt}uuRk2Sn*oF;~|7MDg#wT!|OM?vwmX0>J!(?Y2 zuaB4zQHi=O=bK9V+%w4Ox|PC^hRSesr2G#PzYmo`57SfooHA%9^f7(748{_@OCR9| z^_4!n4|#*`srTx0yg@slPw2zmV3g@meXilu-}UK2d`UnhPGt-eC>m(tv{RA==GDFB zuQ6c;xLjZ3P8d7|XB#Npq~(&c=4HKEuaU2emAy%?(VoU>f}JC7lU4P4x(Kn9_D-_Q zyrH+E5wS+@rvKUOZ&AiORMNKUmYC;R25gwu)UEoSHk|$~h-b8`G;W>;X1OuO;c@d~ z;%f!SHW1ZfA}o!Wury}E(m1J(c{IsVnISX%3r=`&asww{a3Wj#H6LXV8FnV%2h zlIXeAgMms(wlgt)Ib%t*dhYH!9p<5(1 z!uU>3ir7OK&>4~J}LVju5Y(DRQ(VC5ktcbnBR-RZYyujn++w~yo;L<%M?ivFLzXrYk}>MtGk1~5}|!R{D%Z%Bd0B4`{6fNoZTXJRBYoS|`<4UPHG z@iBvfaP%sO5d?033jr^@I?H*X5#-t|=jR3xz|R3c2TV6OI?%5=I#ent#BjSbgD60@ zfv7-QD8z0#ND~O?yXlKRtlXC7xo-*o;ZS+--IV9+S|?A8llQk?$nDs6Ba^jkel2~m z|4J2@l!3`;5SXk1lX@`ud>YtO^8SUkuCygvPAQ-<0F7z^M@9zI^ZR>rPC&a}! zEt&QP85+x=@d+HX#T}ux#MXyT-pTKMeH@wh&p(eEoJ`#D|LWIU&*R=*d*abZ+*E0q zJI>dqT2nFjr|)nCMZxgbl8&OkgITmbH`TpMUkf@0{#wL}?ViCnKKEaK#!R@gBjL`D zlAd~s!8|c2ke9bn(2a~>oGmfSr7j@L1@7$baA%L!4TEHhgyMOv&rA)MZzMkZM*HtZ z`f-PP)(;%nKiNDFTP(}wxh#W`hNW^WGSypa40Yj8+Ia%)_sQGC0IZ?E?wOW;5DnTcZIw_e?0`Wdx01Q z;=P?99+&Pe?r3@$Vv3Uh$)1LB3UKpz^Ohkca*fDgUXXrRpitq6!fAa+xM zm<_~YySWO?{lOdsfC0V(X&p}YjWE=8dq=@}*b{>=wi6JcKy(EFaT^n`t`f8A&oPMoNEy>Etb{`|imP1>aVX{g)ye~yQ5C&W&gnEv;^V+&Ij zGG=_ZcVnpg^`gW3C+xfV^+x_A|CLClog1YL+3g-UPRzd9bmOG#f2t{d|5MHVpQ_mV zf2wWr8@`JtPGkg6+|=>Y(CDe5!QMsld*;rs9GNuneC))?*Aw=od+%fX-E`wo%MIuC zDbJN@&o@u^U%4~jL)-PC^7xA4c$d{Ksw&? z>zt0nBG1+@CCm6P=bfbhQ3(Vd2yY-(gZZzeKrn!)uoHHR3LxBom=45Wc8f9~e1Z6+ zgrTxwsDGh%AL8+rztk|)Wjg?~zj^_r^1#R5NfnrV4;q~O-Zc+)p6`@!*cL>Le!VIpT|15pFS5<6kH$O2*=5S~CRvs=spVj&P^ zcEWCv3B(#8rU7vYX8CHj)|U1rCD~_WD_h zfczbZ_2!9~zppNEcx|^12J20rxCjb7C?4C%8JuL34BXwI&qU?V#CZ3;esydhp8}Z; zR)lK{92z~K&r)!G0j^arNTYp_LLeRh@x)Ge0#O0PCm>S6ZKM2WqAeQwsRl9|$ZJ40 zLto=wFaQe_dtrbo7&Qy#H%wfMOehumm zG7x1z_y92hEZ!^cVc_SF!oHGLxEsYPEOb&96ov%k75-7)+7-H)-_><6IIr-C9JCtH zDixsR0P!6VJAjyNCnCVS5zH6xy1L4l0A>PUfaXF;N7w990DpjH7vp$WUJ?*|Aa(;$ zKnH>kL?IBr+6lWwG7yKd!2x2xPS}UJ2!sz1>+A${c;q|~i9o!CaaXhh_y>kcuz#;fFw_P+uupz2fEJki zpY~zwr!N&WF2v(q6LVm$Owh{g1PKWE)~-hZ@gopTb_@HbVFU5Kov>RJ0TB=so(pnz}&Vh}#wf>xLS-1`gnz)+>3Kh(kd91;k_^euJql*a^f5AlBMv83v#dzUTM*LGu8{ zehu?KoemoNXvSrBO3?fRCwvf2_yYSEUk`p>_Al*l8g0iXe%JO! zX5Qv!$*rLQzKR0BDQp1SLDOQ_umHf?V@I|f1OfQqeo)U~oejTCJ-)!YJ1s(WieFRO z=%4qqxvX^#{fXko{GVIzNwdzw z?+E|9GrUmNeA;n&-8leDSu_&-j>xxHbg*U;T9pn{_@S(4WFX3b@M+yR>1X1fac2fu z8(y?$cf1?_p!#@d6SDR4he-C_`mdXLUv7OXx1(@CFBG67*-9Xj~-*^W{GMH2s1J#prLF@IvUUbbB->4@C;v+|>H`4m7Ak#`waXuN@| z#neBaSI+AAdEJ6m&Z|P+KzlLm&)Jok9bs!%xAr`XIC>}w)qN$d`{l9f<0a1f6|2=3O5EniT}?%O zq<-oF-7?`MZN*Y#z5JvptIu^b@SN^MqMVCJN&}xssb|=AP3-y5%hrKlKSEZex1CYo89O8k^D~j*o+wSe~kX5`~-V0=(;O5VgfS} z<))OWPsL+=$iA|z#$XdkZQcj=8FwCpZ{SxTx$ zgLpxo(Tcx8o*+0k~md@~eXXRHG`%nR?c+OE~?eo(v&f+Ii^$ z2;qLivh2S2R67 zdlaFRdnV-$Bd8SDB$0^1_N&DO8Y9%Zsxh-6Q>1Yivx}6e$7&{Mb7Jre1>GbRg|Wlt zNLQFA=TwdoLgmxAd{J;`z+2RA<0G}Q8r!8@&y5}SC}1q(x(?ItQQvSQhJEhQy>)>a z|4#p@k^#*mZNVz!U%cYFePcKdGR@dfx3>-P77{~>ATgw+0o6~>mQFT@+$qYyyfOTuzSMwQ zMZ7I-7LvyT4x6~d z|NX)zFrQ2D#)Lb?H}Gx>tZ6R9Sy`2+e+{fVRpGc6WuQP@;)GK!5Nt0Y;8Zk$yu@*f zvQW@g;<`l@EBLO&IYqfzu&cx^Mdd1J8gaO)%oH3Pak;9B5J*Ryd?>%`o(iWm%zTZ= zR_@j3n`u$GgHDI(rzDFEuON;~$sk{ZIBr}+xLnFGmI+QbcxI5+>k9f98I*r? ztE_}JzrSVs%oS1TUWhZs^@8RG-y1Yv@mg~-Y>&eADii!C9#aWFHheRsx5NvVZa5}5 zZgUBzw9Ec5JNM3PbSzSy(uG=MOCl~Kml)0qPTC0Flqa&k%nl>WjR;R;i(qHG(_~e< zjxKUpO1q|?Y@Ia1$r5{;8J5#F+8`@ST5McyiWNBxayH_p8GQwN<6YONX6QX3FC>2) z`e zg}gF-rOXXWr-Z1(eWx9TWhM_J0h%1&SsxNC9;l{;d8qnq zr_)1ujrwFK;i1AqeW}xNDsM#6Oj@JP|IT~NYc75OU=P4DfIf5a>i`}GSPam6F8&L^ z_5QDQ8%S$RUmd1dxZim<`v-zc zb1ym>;2nVB09$&|{s4ynhW zVOlTq2SPY#BjH2vNoxe6@4QRMbMbp%qy+%i0wm4F7Xd5;xEdg3F8)5i)c`NKJ5QF2 zAT7ylvcgetrom+?sYQ0u?AV)`;CN1TOhn@{l-0xHi+cn6PO;XsA=At?V`S8|@f`EZ1Eym*tc+;%~t(BFpT+4&8__8d}uac&FL&cJ3^Z%P#V@q{Y@r z@l^*A3k;EvG#IAMIf!8>p6HBbDm!c={ttt%dNAHK6jFcqB3uD^ljOR2c@BRZ-KE@= z6l?YEqdKDxWO;V_-$JhDucXUBr2p0e&C{WF)>uWK2zhaEv4em%gO3i zwxfqCPpx3P?pJ^v4()vlia?5hP`zf*9^|_Q)#PiZ-P2gBSE&7oEXYSs##5=J&+*YZcp&tQk z0XS)g+ehUy^d*4pX^C~q1AMiSJKRQnf5Q97pP}7S-b*gGHk$sEmT0L9@NEbHYjFP8 z|FiD<)2GHXt{1$-!1;_(9;U|0wz+r$H06l-gUj=9dI1{=H z-{F?v^Ar9sjFb%{9bU#+T^eS*&0W|q1{84aO z3~(Jl(ogt>080U`0Z91?{~X|2fExpRONc+==>YeFza}lQH-3j(xZ)W)9X`crfC)R? zx?u)k0J{KA1qj+D00#gj?r>WQT2FvS0Zsu3+A@IW08ZcG_8PR2FuP*t{RDhhvANEJ zoEq67Gr2eY9`Yi;!m>q69SnIXId52CS|*w@0=XLN`5St(%N-w+iY5P=Yb-rloEPzd z^pjz=X@h9GjXR1+ggx^X9=a|k2D8}@swgf#bdIFmFx}Kv?b@uGY+5u- zKS+E73*hOt!c~Z1eyZib7$!?`%Tzy%9rqiM{-W`;<*&jo;<;J&jyZm%U((Ic#Mz2J zBEKotpXjhy5BP59kGkC=yNSEaNpZX|IZm&o{t&neI9vgF8p-4@tjYd2p zp_8__W8N$E%yxIwd)0r`Vt1UA^1tfs?#Np-|D?ImP6vZiWGtZzJM^klDD+};uFA+l z6g$KReZ=q-5(QBQBM)O181hZ1Xi_=*Fpi;E1XpZnPEiKx55r3JV1rKqF;c8F^K)ip z;C36SIs6Q4p)n>WGXwvt(IqGO1}4@Ro|Aq9cNTsX6>ZKhMNn zxa~RS(H?gvB5}ETedA+pi)~PH7z{3f!8$PbNJ`gV5>C)&hvPq~XeMMIIe}dyxh#xr zNb5$rsm_{6eT0vcS4o@tkO`rarAQ&gojqBWBy@HUKPUAQdb)?6lg$<4-8q#we?yp{ zqk+~$J|!78ua1K3%y~vmRMupOo!3)@ZVh>_vDu0Z0!;%xA>wb@ zB1nZP6(KUn?<6lE6=tm!*^t!eP)YTb{A6afu?UjmkQbQQh&l>+fm4Czf8Crnn(&;^M+meMy#Bg`%%pa#?XM*>ev9+|x$L6Gp6Iz?B;3T`NShFZ_7?Ja(W z{D+5YNPUL=ho5MHr)z>7WtyH#9E^05xEU^(l5^b~18$-5d{SK+73-@KnkczmUi3iS zF-?FiV;8C&k^!AXiMG6Qhng=>dLo=Jzi;1#u2L=0#hK?=PHG8_!9DmVDo-6r7jatBtUl*m=HX^LOa=AlG&Q6o#8d&P)$&0XpN*sGr5z)LB{gDbMo(D&l--X z&voKCq`i`<<`p@`47!zD_9fb>b7Y~&KqtO{;6EcAKLjsJD$dJXB_>4~@Az zRB<3#&}FJJJ1N*YVJtimUtwUVPuR$-C{>cTkj0U$H?N@>XPLT@mNEZc1p62h~@sfI{M&8K~21na*y$3;P2GTQh~u-%4sp&x@V zQ?PsJE%97)WK{XMYYMOESCfmf8}&Wwgl=poG%Dz{Q`5F?)Kh>qfPSZ)66mE^pO$V^ zHm^t$?0-U+-&!aT&Zjj@1r?x9K+rk^`~m#)=F>{3rC54ukt7gCS_}{-um>Ow-~xcOQfv{x zSb)m_GD@-c0lEU5H=i~FzLNn)09*nvXh8Z#<2m9tAlnNGvC1*WO4=pe5=~q~#Yf~c z<0Zjft=nt*Cs~{UZOXJV8`3{wmqR^(k2Pu}%m*&q8J6ZTx4%`X`inwDJQD}ESEl8% zyGtT5S+`ZlwxzkC@$=qdw+i24eXor=Cn_#5yqYgC8a-A<+QOe#TGrmUWKy-Q*YAz~ zBs~R2RbX@(j2b<`fCB~_z#t7|D;TwyOX~_UQ1IVG=%TF&@tjJ;3|Of#aeTDS+h=sBla8F9Pf!zcv_2tpNlCJu+>)jbWA`LyMdGsfSU2S(7hNb8f<^tnAFz7ro5&KL@OjJ;q)ZciP=Pc;0) zJY_>Xqxee4&Hg!DFAUb`my_1$a*Nh3{=r;dci4uel8zmWAgY#fO8PrIg%GS$wfV0x z^@<8pZJ%eql8E}mbCBF8%~WT8N38br!#w0WNd5+x{2g)3-48Pr;4OgZ06qLL0|4Iw zOa(Z>53>&7V}RM;5w*o$xKD~~sH&M{5!<{H*q0F-jC)Ofkk?+)2#LN=#2d|Jcd8ok zKC~w?q}jopy%8ZZvupxL`-Ta{^>? zzA*k~!nV2&vTq@G^4CQ1pCR&}3Xs2;LEtzEj+5Yc299UoxDt*l;rIcLAK>T=M`t)j z8uci;U9Ra-dki2~K@?=c1-4_6JWp_h4LQFb^fkG-ZW2R$);zN}s~mG*rPAYa=}W19 z=z?;6meOfDdM;@xZMSZ6?u4a`LLDKOHW=O|Ddw%Vbaf&tDY5!j8u|z%yH&v2cv^Ff zCB^Gk)6MuUeVM30cK&H6ZoL@EP7~(UD zvf`GkMZz_Vu~ih#_va8QT}b}7=s!( zZ+*zH<2YxkYzD05=B$DmxLQ-w7}UT`*FDh$+fqM54cueXjNxNNPUI`jh*HA_Q@Cix zP^b@N`Qno%4>Jz>`=EX^{>a?gfO!T%8qP2TpIW2|Lc|aeom3g^@Qfl$+8B+Y5|g0> z&V@?;QGY>*VTY$c?1`PjYr^r3?l~DvSfepMXJ!*V(1^*Ioq(IIx~BhZcFajhaO4oP zAzhFMIr$#t;fIk6jAzsb+c4KvFSuB1LMOWtvD=_hpKf#Ml830f+MK!+i`4^dgc|t- z_0cxR8bzu4T$?M0w36!`&A3PVpgST=>TjlyU^fR?njq=5k_nC!jZ*_Bc>Y2 z*7x5dTLN1)he{lK7$qJBBWG@PnHD@f{jm1Ay&6G_E&7S>YHwodDB_Pd9BzC@*>F#UXf)m-gA(7TEc+h zy3<31qjE1n*O22;MY&*L$aSGSL2z^kafVkJRrUOEmO`ihEF`8YtM? ziC&``Fu96C8uIU$T2wd6tn9@Ia^UriMv*LY?R#F}2|{xPq! zNVLdCb}iJW;-Eg&fuJ~`y9UKC3N6-)z6}<|(YA~jWV`C9$$8kNF=W5&6wldmR!eL2 zpCesvTxOaknr5pUMK;T)>D|m6%lBGOFWO06w%MmHqaSyT?`b(x?VKfFV`2>ZjE7DY z@6`C)GFPFF@fKMwj-kF1EA)$pUB^QPWRo;_Tlz-~yeGxvJM1|g_61hK*Yl@g6~#XP ze|>NjhG=Jp!*lERCXd{4@{ZD7hzE)trggbaqs-YdPvd8`gpKx4I_okal5|GvFv{XU z0)FWos6ff&t-S*kC^vchJ5YfV!CO#wq7yYo*=3rX>pIHml1<~e)*b6~d`mv7dt{Eh zGy7R*@g4g&Tu6pp7qF7&G3M2Z8{l<7aCou0 z44@C(NZ}@Y7;dD+a9{QI!+Zg_-v2uY4$p+(upb16i49RR9X`@d_L!<*}fx0d$)OAs;V*O5#d=Nu}&h#e~v}Jr3+Aw)0EkoIlxy_#= zeF3|%&afM6OiPcNa+jQ;=*Q#()ems`UGfciKV}lZZh+H--zR>nB6$jG4Y)Q&AM$x> zo*3JT7tDQzYzR3#xrCbS8Qy%%b&uf}T>8_+vIS7^l5o_cmjyBrB$S2dZgxN{VWD&n z<%nJmz!nFDCp_9uzWTy-sq(hxh*x`DZ(!nb!IH+ux^wXC{|27@r-4Cb^KH+DKooY+ zLxQSQagnD|)#5`WwxAf}G~z8mJ(eHG4Nk~+Z3sK+VOf(AE64^}4pNm7YdX|cqx%YS zG5S|A8srmfvA(+o+pF?quSWN+@isO=RF@Nu-)eBO^p!X!l1}L6_IWgh-WT6C%&}Z3 z!Cxl6((e_bZL^nRs#KXK&%OzbVduo}3`LepBe-Vy8xy_{J^x%u>ebmzyK%W)He3RuZffHmg~pf#RB=jX0yx z5!S<~*~+S<5D|G7{fgv+F(fBtoWLP&5<43g*R|Rx<**@r8rIEOy z45~$bRoz~V`T{@pxx+3l#G88VFv{#hMKZAE!qWY%1CBT6x5WZKuuY{ z7T*LlWhKM7Cdx8>!!RKOYRcwm&<*9QFxm1f)2!hM34sG*g(j?F&MNe6`CC)zFgk-g zUB6PpXsG;%iT*aBf4>do#*F3KI^>o>}M_CWferO#0 z21y9>dW*Yp{}?=as~S;XN$s$2JE<gHMl<5`zcsm2GUwi4%N)oRmsHrzq# z6WFeq9v?ATJPE3|!zN2MLG^alQsfI`QC-qfGz01@XT3)3HP)MyHlK3Z4Dk|kYF))^ zOq_vJmp_iFRkZ7uK`piaMr=K7FCVsfk3uOL9+pHo<5(ZXBU9f9`mp?pKEIEV0Q=x8 z`(~K=i$Bj`Ziz<-l``g@c#Kf>BeOXkWmbOAJRFZRtDZ6?@kp|AC$lvkOIH2DRK%lq zD9f zb3Uz}J6Xh-&-lb8h@eu(4T4-mSbB4PtnSZf+1x}c^%>(f7ismPQX~1~vn(7tTqtF+ z=cmstW6thC4znUUS$8E}tm&OW6U8rC{+)gkCHq;EIs;FOAF{$a{ZC5;b^b9=TuYsF~2l-Y=V_!M26Zk%nk!ZJ~2yi#4uooQKj0@J5G_hq6+5qeH}0=@fXQ zL)cW=T6m*FgZCqv9+=jeNoun@o(rt5Y;FcJ@db4g|pU5E(A=7PyDH{m)kgFTc{1#yP+wE8 z={TZzWhX5ac0S{6{DX)qTg<2{n?bgkQJcO40lW$D#bl9W`hT>#Cm@e=$^}(yPVWpV z!xl_s#1oayUM@x~0nE07nLn5e=aidD*qpiqP?MC-2SJ?$>SLgu1nS>%%7=N&K+gfa zuhO~P!HDI+NPB0BBtL_GH@Me;I)cj3`Y4@8L0t>#^Pv76)Q3P_3+hNvgLeX`eL&p; z>T96h3F^gcjwPJRXz)>nec_jvmNT~up@hnA=AI#(Q1zPGJcKkW4>AuAVa=*hrep|B zRt_>-hwx<8I1`FPcPORIeQeAQ)ep?gY*e07!`#ir<*CffZEWOSb-BIl@TalvfuXedE4^nqmJ6%^SR1a1| zViaAisdfxi6sb>FyRvwm#E^$l_Ar5``dh6WLv$&d)%(XVU8-B^En}z}WsiE#7_LV3 z7E&;fFO`SYhsUrlRgcw@F<3cYR=1AfJyc)S3U|bQr9{2Y9kXAhS8sNQrSgB&yWMef zRR2|Pb4NZ@wyF=gV;`!9)iQVVRArxfr#pVC%BohiAqJER^?^3bfa)jp);82S<#9EX zCazQcscvaQeo^jIx3^)xz+FJxhQ`5NV0#-Lr}_+eN{B7W&4RWP%oddq@{~|1$|I1c zgiBHVA!sT=URCZE94x_JRqfSdtWIt0O6<>_z(jd}mW!R9wTuj7QjeyMOiR z*)UM(vAE;n|NTpvjaiK3Ck?b_g&_GI$=r;Bsl1t7-X9n5Px)v6i+L{Rp2ppO=zRFa zq1*pnd9lCw()Z!vzJb@Z{ka;R;_5p-JPTtoh$=m=4+Ax=kclv%Eqo7TA~;3yyD|C3 zP|M*G*Q1J9!9a=gLiuXJ(Gs_X3Rl57*a{*+_MZ@bFDA>@3gP$SoYc)Q+47$e0$uKH z^6#TGhOd!q7RHqX{4LHlEE4pMIPZ~f5F8(I+oM1WF2p+&$#3gtiyXSiTlF;}OgE_t zf>Y_eSzg#z#$tgi9+ya~l5I1`j|60iZ<+%}SXq*j=9m$Pe!ek>kNDk!yo!X8K#us4 z*%O{_G3||E4?7nxH$pOJ3(L(m>lt>2s?pRnYxm@9?DRQ@1Z~OHq=$cAg-vkm?YJhuf%Qo~r;YfML~-M*5%bywgiI=cyf%3yG6{lhjR1C?u} z5;HTf!^ZW@Ep0fV@=xZTHl$FskJ;RYH7kE(9&SUMRiBbj(Tu^M=aL7;M#~l(B7o{E zGk(LW53`OjbB?uv;PbK+_ykh9VT#ASsLp#QuB=U%1EaEzg=>60e+%S<(Kb^t# zw7OP@ainX^_XLupjnQ7%n<3zNp3eNR()eDqLEpN_EkR6qpUbvS^&mZ z#S{D|&4sQAFi~0|B=wVy+zKJ75Zy#;PzfhbN@LMVLh@vVEZ35VyV6*ecS+b?*=iQL zB=RxJlb2#SK7^U9ydl^*gqp1C7N~}B=ajDn2ZoU6R0joHhp=muqk_Fd5Q7>Nv<%@B zDWCO~xro;ktbSvzgBNkLJ_#}jIZA1=#yvh_9Dh)7C-(HY`{>iXv zd-)ASh#|vrv<;gFSM%;RbRJyI9?^^r+6V5`Xx|Qouh_+$Y}r$Sn5b;w23ezr!s*g* zA!#Ty5&4@zxV<7AImM8-JwF`YRVfOaoZq#Q(&GxEpB-St|2g1Oc4eN4B zmLm9uW9rTk2c;rYtsQZhEss!lj5y6!EK~Q75U$I;)!ieG*A*4&!4XKjny%K^TnfnR zlNR*36_EZ(Dik3KDEOq+)+wES1j&ALRA*qb_@UW3C$-V>rSg0AVVl!E#x*Y58e7e7 zmZg|6)!|vvATzZ(G)uP7>{89SC8e9aszYu;NEuZPS+mOw{5wVEs5gcMcPbm5r^>_B z-`fbQ$WLUq%=kO}afbnAJ2%ccX^hh&^)WN<~$~NFX@KF{?gKHzZ67OvAH2Jp@8^g(x&8+B>fF0s&US4lW z06LwwqPL&}@w4H8;6fT~;S>mtq`?+WjNojV^L_a$!O1kY`wABUyuxlNaf1FBi!#EV zD9dCykA$C=MzB0bLQl(67gO-o#kuGc!pokNA_k@G5_%W z>bO)KBtTd$u$>4Bp{bWmXokFA=Uj4Q$ZW~?kV-#$7xEgf)N+=MZ&tlGeba;?AU*R!&;LQL#Z7n#OvJo@I>Lo{@q7$>_3u<_*kp zWhwJWn`@q83iE7(ZwF~*(wu0w4$6n5bynmMGZC{~fn|PgbC?h5;WvbSLoB+a+f3P> z8IJqSNQQT_VW$`;Zch)#UobksyV)>njiKAKyK$e59y#1@EY>(RC#@U5*@(;GHNx8{ zwyE1jFiO=;^>-r>>pH34H3C~nZ`4gA@R|w8SsuY&S3OcoN6?|l+uXoDMgjdFF0Ie^ ze=lDX5epdYG7mGcGlU?UV|M6_xQtkB*sJb|cY3Kf#oZusi6K@&eL#FQEVr(j1FB)U zb<`YF4a=?P=J0A*ZtXEARKs%XzL{0+&yk!nPpA(5ih9Fus>wjK5?4_b+~8LCRrFb0 z#<0UG+P0*)VazH7!~ zC;%s|H!!10U2yNV4C9V|2eM@`?y>Vu#|-Bm9Wva0bhzOF;sW9XLI836(P7Yc3#rW$ zgaHC=8`H(#GS@vtkmR0X`aY^jcWth_WHSgKB>RUblw%!@eS{hGb$@0?N@a&$7P( zRms>SjWgtL&q+Wl3@f*njAM7m8O-B0ctvw$(h93vn%`IKa^*MfY^zfTIk)BmRn^Jd zCdb`Xjxi6(k#|*X|BtLU4{PfBzK1i1A%x5ca|ly_Fexe`U;+t521x`VDnpDD&Y-AK z5lP~Z!2uK%6*Y~hR8c{#q9P_WX{l8kl(tk6)0A4YR>4wDTh!m~=llNm`aJ6i=iYs9 z;zKUyoW0jto2?NS?>uop>^(tGVDDFYE@b!!7!B?V>4ycA8?XzRa|FZ&uOZq`g4hQ1 z5M!z!u)%YPeqE5(fFELR6d)VCX|%ru!BPZ`ffbOYZZ!IKL4p)RV~PasQbHrmCWw@x z8W~{%AE`$p{ghy;6xYaHB*03Eg)}-pOo}dKe8Hzn@rCri_>+1Oh0NU``oZ+k?t|zD z)yFu`59r19(f{D5_9FY3$AArmO{6{LhxDQo8MpY zAj~<*_e;QCp|94gSEN+Lg{z6q0K2ryGgl0K4n~thsR#HRDc#Ea z-YlPPOlDCSJXX{{n)yF3@z`Nd$%QVYra=+UqSro_UtxKCwJj)>6}>}q?M^b{7ppiv zjpq?B*oC9s@j&jk%0_0&V&#`u_(8AbAd!2-FM0Eznl$eoBEgnRD!m6AniV5ar{|GJ zE-Hh%XlpH=qwY;FpUD%H`8?z!>#X=v9(tm6O?*Kc;_{bH^krLAd<1XNNUiLyd==n$ zKkm8V4+pvfUBi8#!a7r2;u1uVVYNBEip--NGDkQk$avk@94oy&v60fw1Ec^rfC+#o zKr$d4Fc}aFNCN~nQbv|?!{iG91%S1H9KdqGJRss-|9d`L696~{C!Y4@mBQ~ne|tcii^OGyfe(p)xqz?xY7r^&aIWTMtkann1w%hWl+g)j~K)%ZmrJ2@}aZ_=kD zo*Dje?36IfdriTv$V5C$#Dohwe37-}Jj=z;3hJH-*FqACP+58fGbQ~AVv1;aWptr; zC9vl z4vZqge2k4t*Q;)46sZn5@gsX(KI73B)SrOGf57#{qLzC%ucM{5Nu<0Ie6P)mlrexw zu*Hya25=p=08-Wfw#t@D${)aw+TO5LN<cjsbMBB>}F#*C5 zyEGAZOh~l@YqC^0(LOs7|5WH{hhJ@~Fxp;l1$Rs6XNTYH7U48|@fG|BA>IzZSTs~; zCDk|$RA*(?*bJ!77FOf$Ky|ic3=;y?*;!+_lTe+VJBD2#oE~2^hF@)11Su@g#?s|# znOC^}FZsUyAomOX#~tboHNTRYctpq}{V3Nb5l@3}YiboGmwLurUFCa^w8WfS#kfcL z&Ag&2@E(~73Zz61ZzKTrv~F&p`M@2>S^_O5k)_ zi)Sa?v4;8(TS7yd!xBg(42}7kBEFNe18TC8ky|WWE$NPBuL9$mu?sWZAa$H&dDW5^ zm@g`LuDmX<3%V-D4VL#Rt-IrNliMoY498U^et^8+QaT#j8F9MqUqzIwvE^E22$&f+0HY4@6MgLT{q znOm7obUq}tFYX(DVFyb{&EZ#f(5$3y`MDkbR!TH~MF+)7KF2TV@U>Ex^J_X7p`_o< z%N420(iMo++I&HJ2RRhje~J#DP+Y#@L`)^H0Knt+{jw&L=k?U23iYellcXf%* ziS^<}T{K33$&!6df{`tJncRc;FzI|Ym?%tCs3-3n(;eW^cosb=v1+H2!VQkq>;uVB zb_wznl$Lk70vn+;w#JzyDI3Q`X-^15RrEs2?Yg_pVu@%RcUHgLc%+kf*5GH{(doWS zKhJou(`%VwnsHC3=S%%&<8kfaj*W4OIvgjwf~!zvawb>soOR)x*or8pYB49RB9;Oo zph-Y-B+k{f8NcYkJ%$F8=X;QkbK+z~-nP${PY+}Du>r8=7p)WNXgy{G= ziI_L~Ou4>-a9SVkNbRNNQtz9qd;RZ`V$8X{lzWu(<`uoZ_sA>F|C(Z&q93a^no^tM zl0j0MHS*aoh5-{oNH4aAcSF4$sz#wVH2FgBcG(yvM;O;$IEMRH7}Q=ehK&|ZZ=W@W zKW9a>&m~}%TiNYJ1l;ddQhONz>t~H0`J4qh0J3~P%YCvzDmSpauIdxyM_Ha%LAyXq zAijdSQEUS86@zLCOmMFWA4G1pEo{&4@_c8AbksF@5cTiPzbSl^LQiY%C~!%f#h{Jj zjllM7kAA8ndNeI5{JHv~Ev2&L9zMc~ceJ=j&0y@f6nF_CX}Be}^van$)NdIDSY~l_H|h+6o2A0d*nlj!;e3D;Pz9I)_zvFS5#Tc5&&p?y zFZp=9_v&(c?=>Fq+E3~8+Rqg+FlA(Q=W568%4guoD&>Q)%w9f(Mt@m=!s$`+dafJJkS-T1o6Hg^hCmfrDy4*7Zr}N{BD3qHrchSE z@yz(lM%;osC*21bi!7ZZ&9MJXX(9#Ajh7Z;_J2tw43ZC67D};Q(PyEw>)QnWl<$RJ z@p*liN1~Ycj6U2%Q9yi7ANH~+H9o5k|HgomU#M_zI)i?2mLDf zv0>s5hCsPy*gXwM{+YdgD=1sci+h{*UHZ@CSH)F|QaI zmgDhE^Abf;e{^Qumidah4+>fmJ5!@k5L_j@usf|!9F?r84lT>On?yAqf^GOo1;v%! zh2Eq4#<6@fHVG^RsEVj0pGQJd{EMQDu}MkF7H_Vb;rIY ze5~Pb$GlNk38!J6fy2P&QL;-=L#U;BTJq-?YEIl~#T5f?Z}ae|rRP{ygC+}Ht$&~2 zrSStCh39)7;K}?E%{0JGz-C2iXHYWFm0B$u;jh4K-InedxZ^n@P!|JF?lpLFuQ~EN z0#dwS>yrBl^WB|p%y`&(4Rii})JNh`+Q@_zv&_@~8_@r?Y@Po#s%(2e&d#3D4PT>7 z@N6DQBA5&z>>VzYl~%5nIl0=HZd8>ufmB@M<<$Mc?uI8-yWjCYKY*E_q424fG-Gwv zsr9mE{0u9$zOV+pPiJ6X@5Ic~ehd1F7;)r!?r4ZOq89bS_8nW( z=`jd%-7aTw{fu#j`#39bvhcaOGteiX>*u_Fb zX;nrO3lpWY7%#C@r=i@hS`bQrls?p!Dmb{=(mq z5SU1!@-q@ZtgxTIV3b(F3D=xVnxfhOWygO71{llx=+9OJPj zug8X|MvXG4nzmLDk>Fj;_*1|fMGi-$VV+u1_R<=d#P}WUg{5In+Td@%3e*DI z;*qQUsIPSAfSJQI#|L|)c>vG_7zf~C`{$i;2H}~01`)J)JC0 z5YudV?KuOeo3@qhSp&!ux|{Ngo%naUf91Db0sbU!vtShODoFt)ZAhgo1(#)|j%;^P zYa)+l9&fWpa=hikw1(djtMxs<@XCb8H;rxxjkIoU;FB+Mu7{YaImd;C_HrS5i>TV3 zW5uNk{q1>H*bt@IXISw8LX^EI6u5L8`>asheG$c87>eC3nqcR*VEz(DNwQjCSLQ3p zXu*CjOqR@9h@p$ZC1ne7i$n~`+=bXM(PT;P5K1ImB@qw7yj518KZM>WtgSDg;eHa4 z>%pJquqdHkO2hjI-Rp}Qk)Mm^)X!=}M+!IA=N2Mn2u1ahLhMDuGPbIf=+ybL_q4hz zbaUA^TJa|RKaSbex9_7rfvE6Q1*S~)$InmjwBZm5Gq`6IW6u@|(1j!VPnSbeucJ&b>#7dWo42s8D;j!u@x9^HIL zD+{vyy^eYonyBxP-&Xp)qW@wJObC2MdsFv^VnTn^Aoi$@)-KJ3b=hY5`6l-w!yGxR zqz)RU%0E{I@fd3@>7&6eF%J=>-)pWJO=tqf+f)U)32MDB6h4rl!53IP;^(ws_FJRk z%i7SZ4S&gZ^&>JtgyYshCQy%>>s88~a z(s@GIp^z7G-Md?EcT#46Sb!VbjThOx;dn6|uhq5Oc1?oorqt(@pr=~@f=i#kxef5v zuQMN(51?OIx725j!|g}^d+RE={eFmC z@pU%1EzD@aOxG4V^kSH>#vG;|M6C9jc}@curyMp{HF)_`f3ip$&^5tpARo+hl?>tj z71g$b)?l+x(4Ip>O%u*<&!Zuairm|!jTk>+Wc$oU+;X8$dv+uCTal=}un=VsEov7R zB7+QP<*HW5j?I-{X!UxoO_m>Q1$M_K`H@!d)8IdKsR9+QJ1tjN;J(s5m$y|QGj)^Y z+bTSF=+?`%72Y@If_7CuGt_|Zh>0cXf4kK>>@8}P6?$A-NhYmvaMaht0Z z0O5w<3ly8rSjd-#2IB?z+Y1z%(=7B^-KbIDgox7VjB1d)=r-_u5_}qIZ&XeNxNM)+ ze5t^3xr^1~3Pw-JbKnmI_CPC&6;5=$sK#vqR8=%G%tKh=`F5~cz>EE;B2pZCP!+16 zi$jZGQuG};BJ*?_*0C?hycN1U_KtpnNf&eM>7LAPE$Wl#i<@;@t?$GR!_x*cb2CR3 zmxj)_mW_yCp|@&3z@eRRh?s>c_eV~#F&#Htl=1LAnK0ao=}scM*Hi(;Y^G{8FpFn2 z>oDD&hzDR~;ZsF!hP0x4l}}B~f8RS-Fnl?_hA+nn_)^v4@YdPw`Qz|itV)IBnec_W z59j8|4V_+-b<^OxcN@O)De#qdg~0h>S#Y3g(f_`8mm%yoIKLIXbX|xbpk$`}?|U~p z^n<7|Hsu*7swv#0`ka%jEVd%{3;p8-R_rgrl=vbm{-g*MKdTG3LnoAL#RQx#R9+|c z_(!+UxK~UB!$spwvDXcKqVclWGg)74yd{R;Tdwgy4`HsBXFS~FaYVbq*w90KX&5kG z=s_u5-O-Vi(Ve{P^&zW3(IC2ld$#@dBv)BAe)_gw(nl5~ zZAVYv{wHr_ZPHOx@twnDS6B_!yVk4RqIvdZ@rYxt%OkMF8%BOVM=L8Q!nsx3FFGuL zR5p9Q-u6pLI#LAHuj)~rNC+RNR<{r@k6QG}c0P>!OPAF~QAL?D~V`9WZ15j#5 zla)DL$O-yw?ER4UG+5aNG3t`Og?&_vyJQ$*?-V1~=%+wsgEGYUoFCZWJ49c~Pip`x zr5Ai;1MuyAfN#&>@+JVQmdcGSoxOG>(4e%)Ope34Jnw;CH|ZrKK^aaWT2U44PE zISF|66OHMzAC0CYJb0%bY}_vz7^7)1DF^qq&o+2=dF@YOs-D1tL z7mtH{Y%9pef>R2rXTz;|r~bJL%o3pCR|ItzRu)*fS+QYRHcj z@YZXW``@^)cGRF(@2o-p0wJRivJFBM5E41$r;Hf#Yw~~V)#dlrD;GlluMqi&kV}Q$ zEztUbbkvgDfxQO?JS#c^?vZbr|5Z$BihftO(K#``{1r9`ymc}$210%Vd`3F!sC1iM846(>z=Um4NpQCsdOF=7!n=u|dF+8pBH)t!5dJxY zS3@{_t|Yi)GlV>V(@Z$M8eo8sQxLMoZT84Y2!qe&iUp(rg15co*Is~te86{r?;-FI z;77nUz+AW>bsMBr(&+<)&pcLbmte2K|8*LKK84d|aC$o22SSvjbow}ir9fC9gze2( zipfu3irKp3q1*BQupO}Tq1#7~Q{*nUQ{?f0>=30-c8DvYF>_=!cemrV#Mcttuu(oV z&Fl7G2&t%ul(!JwD_T#=7(yh7W|DG-P#vOGq^u!im8gsa3&2rPZ9SicxCou~)=AyE zf;}|bOk8oOUS&05#GGt(iz!hYez$JO?Ba;WokW728k&kMCmk(F)=v!$J1W3hWyFWcHy7&ozd3?7hQ? zxB8jv6T^hJhIPg|nYV}D%UJ(^?PfU6DU!kxw9V=zDH%Y83114vt)jV->=yJX(I!cF z+Z4%UKrEn)hNMFsjShhT9kEn zHlhFe5+Dk&vw3Ouc!0PMzxz%$ChtptD8$a=<=9gI>515wJHFWSkS#ec^0bHZWcJB` znOAUsK-MJSOMqyaJ(9P`J{2IU#+2T@hNvqcnV z&mY5m5Cz5a$FOM8^my?YUSmVV3kjGE8#_LifV*QO#itXnA-0{syG3Lg_F7mSq+N6a zC?cuxGkLgQY?OEb4^6ds#Ls9$z0sbRU+TvV(w|sp9q3&8G|OfMvnhPN=7j=8DDPB< z71Nu-h;=_X*-as7kVnaoUq~W6*M-QtU8ov%Hzr7bQm%4&)P(L)?S-nxXGuhcj_Lr8 zA&+s!OsVqa(U+JfR55tW-^?I|XrnQ~5)deMvFb@qiYz)-v(7oMy{rW3XWif^7)|Zx z)*_CA0R6HH`Y}xhm$9gRMkcX85I_d_0KzV4p3eVRm+B06@dzR9#RH%o31}}Lz~Zf` zm68Gc3Bxl>@F>Ecv9&J58SF|=L6z&jcC;!{-IT5B1`Xlx?>sCjX5*w@KYUbo}qB^-IRSqY)lc%U#4Fm*{74=Nl@7PSnkF%Yy z|d4{K}z_l|=U03NMpxGW$jaUZH)*K0WNF&^=&Z zZE|yJOEYA-iYeNNcXci(HSk|yFY2~9)^!AR1rzJGIoaaSG`!9hTrc#;&9K=&8yAJ- z%Z9cNo=N|?Rl0A{r=TeH5NA_Et8|u@<;bg|wb05{g{Ebv-~jC6c54f!E^EBPi4{l8 ztQ&KN_OP?N17q&aGtqju`@;2%u>E4(1Zb1UXrL^l?=8qQ?8{4m{)`jrL1{GAc0IAz zG(`DLu&Uvf@CtXMkXT$UEGZ@%+3QQAeG!#um?iGRZMQwV-fNL~qnz7kKL$xnlfSW_l+lwCU#p*ZyGf<$5;c+|us`1LXV1f6?(CBi9?hiRMy3EublP_$FlNSQ20l9z`O>vGt;>*pQ02g2zzzS#q zOq<_b_l?s{SzLoW4Q5D%kW7#0Mm@47#Fy59D*PAu=_KT0-G2GiBtD zq*M{_F@Lk*ohX;22F=hK93fTiHN05OcK8DzEfN_*bq`qSEMF)w`XM{h`NI_nlMwIUiB;Y@Y3T z<6c({hvYlKM2YrEUCNnD2}F_uUXc^bOE}^E(F)ZT&gA|$XC0aoo5Xdhz7y3{ibD}Q zMU+ZmD7G9nr#TJ&{;)YMcIH*)wt!%?(9tROnyF26w1_=t>h3wN^q?MS+Z=5@xCgpd zK$b_wYMX&9?^!~-ZV79^moPS3u7OmN^H%dlh#a}ab*m+92LeL8YX%KD-C%N@<>G3| zHb`AyTzQR{ABB;XrH!~LLZ8ZlM(j1=)XI5{_zgmAWpN?qy)dk@ybyQW5am#{dVC~( zZ_bbgq);ZA7f7iob7vpNO~e-D^Tkpf%1{O+j83k-P{tf z7loHY8&3UN>m{Ve@DqC}Lgslsq1V?+Tgm73GOUb0`2M|tR(b$GrI&04&JC*9rz`wM z-H)Q;ksZT0ivBgbVHim<9B1o>vHSFc?8C$8eTHuK_F?=W?SmjY0ntK13zj9gwU9MH z1jMvZGX$Fw2n(Tx3u34qZl*d{LF?gVYIq7l5BR37aIUN`-39pvWqm;|;<{B>KQ9-x z(K?NNv5D{&+!AUNuryMEKrkA}4Lz;;QjyH%EUq&t!nxs#VVgXe8~nU(pL2^O`yTd` zEk%-f55LHUH#WOSjZ9BaxRP7BX}AN{bjchZYPK~3Y9fTQx-jDnna48iBI9Wp=v(Q= zt1|bO+S$enGOw39uw{~Y`fAr1Ka+X;>b#5{E?Oecg$g>{6M-(YwgYhdIQ$3r_ZMcpCmGv`xPO|1ZAh7DjGOCsA`?8;ka%b+FBJaX$HHM8S%1aREBkFd|@;4j&)9aNi#acx-ouM z3F4%63Mfq7zS8E%+d2t@jA!a9rw1#p8%+iFoympF1^b5$)_nOTmroZkOd`RcW%jto zAoaFtsS`-K7c@RjAmy%7&v61Nca>(U6G*uO*tNFQc;J`(3GHLiqlg;rM}(&}W28Ze zNn`AV>=uRx^JKoYpk0=N&atj-FC51n)G_7PU4Ct(I7{}Zw=C=<=t{TBcPp_!=(#g= zeq?$Zv)7Cx2h>C<>UP5;7OGI)hewR#tUJ$%?GJaV{@|qb2UF^fIVZK}bP?AZrkDeP z0~}6K-QpzlN9?Qnz==#^?^9_wQ(Yx3h@IA>j&`y89!Ls#Hu%;6G36vD#sw?4uZ5dN zzUV>hFW(d0UmF~yf)kg;vY7JG#iM2zDm#~W|?qG&?QEg7(o2P<%Z0v7PX{xJ;zV#HJncA8aWL`Hk%3QjttPBCe6D)fMArt-)ijyBv|*3c z{iXnI$YV{X39XGtR=Z48F*{lFqmVgrW7uPm^pv088xTrK)9Qj0vEEg}b z5+sH9Fg7bsQgRO$X7!iM>hjLkT{m87!r#^YZESNP8o|=(E%Z-ir=jS!uZ{a;Zczpi zY>P34bc0}02d0pjBk<}V^wGYB0ws|Cq6GmR9)0w4&|4MP$6PKzcMub4zY9V-kco^F z>TpF!Mbu=NASZ$$3rvvF6_Hm}MT%(^G3)ClDX}spbjggr^k#`@X9)eAA42ylCPUV~yF9vHB z@bDvzhOnY)QFpB0$vZneGPUJqF_@`@)uK<@CdFr#;1}4uMy__cf2Erz@9Ojl*G`k0 zIz7X6n;ijFLAk)&++ah;i^h?s^*bG@qi(s>y_V`x%stXpXg3_$6n0$olXGfQ$Vb#0 z15RX;@37)UBDZ-Qpv~G}A?vsZv5S z(`La+Q8gjiYJ-W^8Jey6)HDZf}Q{iFs?q0u zvkURJb-s=xt?m~LbAg5HwMw51EL_i3hE4D=dcW4=9LFo%UW2{phF(?*lyiejkxK3n zRo47J5rI4Jqm`7&-j46uHzM-yJV&N@9>tHOtOzuh!`ZTJ_tCCtF!&bEe)?}P1qNIH zJG1?M#-*N#j^{`r{iz_a7cFF_3JATPR@yBAuNQA+Y!UePdRyrq1Sts!D-#WhGPka9 zoaRRx38cRs6#aa51B*y8kl8wx`#!yteVFC7&yc|0&hi|jt=2Ru{Ka8kY5Ei>aY(rO zih?4J7(~9XEvy%Gc@9zv_?Zdxg)fiHwI4Sviuz8kAmVGj_^Q+1gXa78x#E_p9-W z40%_3z}P0k-qn3??2@6Qv|kuaGJKToFXN?t#91IL&F&xl9I;g+xgR_tTAZVijdL>G@F?%^(TN&B}qylfc<*B)R(|qWOkD>c7c}{ zR~onryu4Ua@-Fc5dSaTuia1+0YKm%NpH=Bh$xWfl>NW`T;^*|C-sm68^{wdBAeu^* zdgp>@s=62Pzy89#Zucm=Eh~C4_kbAsugRw=`UvR3u}yJv)!x7h_zZXf+R4E6Vbt&* ztG1g`WueKST*+#eRiko*OWL71!MDQV_LM|?I|ncU5CupEgaal6VgYG@U?8C`tsu%5 z015zW0Xcx>fO&w;fGofgKrvtgAisj>xNP?~4+6RY9|0+Q$N(Pz9q`{VD!>oG1Oy#Hcan~vUGRY`69B-Lt(*!U zb|t)dHsB__*?V|{Ab5l6aPwNY`M5t^&tK_L7O9M>S|3&3B1-GKXm^MF49#{f?O zw*VgiZ{TKlz;r8dMDhx=*BUz_dxgul29BI@5mM-zEfYJuQ<(o+%0J6&k$<8t!?>pP zxsA-~LVhH>TW)j6uKZBkMd3bL!_R;G7|uQ`#+h_O>>FaFLOVr}+d#2WKIgA!@U@bc z@=F>RR_Y6WO+#QP$;Z4LHrh9O+y+U9`RNTlp_Dm%MFTyQ{1bmxLqI5XDt}D_wS{!u ze3Uaq9Qn4ckP|14d80`i51P3hzrr%Me8KOK7>e= zC&}$Y*+eTP>3zsBuq4dxgDlk+NoFEqktjnlGZFQxXn{nKh@^`OBr_7x2SjTnqAQ5m zq8v%y71Z~l<&ummU}ZQ@l5+*RA8(dqRU_7kvLyM{sJ}!@?EGq^m#Ek-u14<`ZLkZ+ z5P70}d+r$OzG$^QeGC~RDz|5kq0fu9+A|4=l{UV8CIMQC%k2UJGQcLW&mf?W*(&X# zU5HYf*q*lw_0(2j&)9`bwaM%`U0$0ERmQz6?|<~}#uH6$|3Js#IwhV!+iziy#w*X)1wIIVffW^B z#KZhz<;0iqa8xTLUdqGnw@!$k^MCc+*=?9dP|q!G!%ejM#uv0%_`ADR?k@shr(~`Oz9(S=xo0V)d&8`}=FwX_fQ3 z@Eff7%HkT#duv2xc@56n${dl@VE0<5jL630VvCu<<^nP$h58v(dwsh|^P%?3=%V~= zUQQ0|B9qPY$mA|+gLyOAr-mdoXOZbOlwR`^*d@S5yqFA}{SN2HL$5w=<-3vnw$ZBi z+|g9G7^ga(6X+K0)KqeiZgCW~8;7igoM3}cL7EealnQ5&W{bPM4*Cn~fw_GvNj z2MQh2;5lb3<@=9Pp>GzpN|a2>A162sV{BCqf&%3gP6K(IJwavVOcZl2)wOVn^Vr(ocfy26PK$s$f}zXAAkdC7zYlxp0K;B0aHp(NK{>yb3=XpIP$GQ z4{lCW8tni-Sn5M#%;uA&bQ=A8e!?h|3!Y^Z6OJ3X3>tJWxG~G13;JqF(K!09F4@@C z>KUco1UrtP@nGLNJ!lvT5>W?)3nX(Ak+X#blG%ySm}Bk8p$g<#!$IQ?7f}Ar^J98_ z`xq<1>M_QZbp^dnxLHzMjra>1ca&G7yo5{al4|5`VX<9S4PI&+?1f|CrIv3m83Ql1 z)%ICq$WLG%abOrf7tAAevk;HLJmMG&^BBw{G%Tn%eP-Ox!X-mx+rWb2cZ2aL3wr}9 z+dEm{8r)$##KLa^^N77oh=0I5;zSeXAHz3b5CNpW&%q!9hcmp8YnzZe^oQk5P1qe^ z9Jg7e=Kim%$ zq^I)j{pce7E%||de39XUe0LJ!2fapqED5YZ@5nVtsL7Dc-k*eZTj90;U6^viQ_%)1Q!}v9f17REp<3Jb(!uU9hkHh#ljE}>(48~CMsO+541k977gcuOyiC5$q#M50haDdxw&!&@s$HDqo=`>I zJx+KJM*&jPX(Q*f;256dm@=B$1D;6!oWLINL@MDx+jELKg+uO%u0{MURMeM;0*Pss zL<06|Ko4ja6ZKk}u~qC2Eia9b^UKyI8e3fMjg+N=r47VJs7PGmq;exB)V*~wC4z;R z+ptygZty7tlZ+E!lSIq)YV`+D0KNc5u9pjVu-}VfB^g7wNuoeW&JgwsQQFA09D7$y`OHz~Fc4x_nQ+6~A#bU0&(v+T zRP=iM|835My^w$kuq^C#&m|wT6!v0ssim-<_PR%UYRTlNvdWhOL~p-i-_O7MI|z6|YU z@UN(AEwu^W{-i%Gf)0c~#nUps!_A*;ut+;F{?r^xRR^J&^sQw^2dbG8ZCTpk(M&#P znbU!5rY^T^>>!qaU!bT1Swiu%Eb4GCAs@BO?!cB%=ULWucny%ASn@j11C(i&l^vb~ zk5tiju z9$n-!U?Ys{qAsy)t|HcuezRm%A!{g1%MwV7lMh*nt3V)A{L7~wr+&g8-}Ut&*$QRR z#3`b5HvC&WKR*7y*TjWMV2`uwDfY_uv!7hua${OcL2}Dv_3M}$S5f=+;q+RuueR0r zP<~A=;XGw?{`)uCq}UL#h|T$G!@VV&?!Eu;mGbt6nms@N`RbX(y7`YUE^I9OwB^CS z5B5jocc*N8TT?VC|9Dr-TVV~5Q{(uxqmnODHhO4(G8&uE9+2>9Z^G*#;nS*Q(WsvM zs!=y?FhjjhLFR@rG!GT-+>nFnO9~%u#6itl1-6x4q}D6wNi*9ZJL@Z%(}taGO_t1V z!+&o*X}r;oSf>3N_MPND%3jT51+_KgIAR?*yLBlkebjmUEu*vw_Eq%`=Tf_P1$wt_ zqEXjRJfdGBnAm|%WPYcjT4&nRQ@rQuON|GV$SaIE^<-yQeOMXT1x7oBqfr$RW#~U_ zt19;?1Dbj7>Q;>6ddREQ1=e{+O~2<%P>nu<^m7O}lRHx@ZzzMBgVPWJHegq{+^QKt znu)duqX9&u^b@L8FQ|kYXY%8RgS#=QwqgfwbkcBGH}aP4nsKj-I0io2wP4OrKJNB_ zF^lUDy(CEv!pdJ2+J=0_2MfHgddh92rZ_vPi%( zAG8TSgJnK=ooDK|nz^HKorOc6ACLHM5ho7(7tzJqarqT4;sT^xSW>r=Fb_?K$*prP z4f~^3;g~D+-$e~GE2Wf@h-}sGqNSB!Vim5vBsX#K;kvi-ORb30TD|;i5-}Id7OSN` z_eitNyG>D@GYZjDK-J8Uq6eaushv=J57Xa@6)>QrJh-c+td>`U>J=1J&%`i@HaTv842R++&`%+%b8{*q6*9rWhG_ zCdMF~)-JmOOXC^x&y#$3)Su+ndyvuANcpK@M3Jrl9FcrwArs{1d$7M-U&wDIp;Mxg z)m|p5GPfG%XFKeu>cy_0lv=1Jzkc2gO@zq1T{MQt*Ifq2y=O|yCe2Edw=#1K`$RN- zq;1%Hz4o$YthVxBxP8RDp<-K0)Dg2ZR9Eo+TNT5TklyT$2$pKak2OyZ8RA2CxWilJ&myd1hrA1 z;PFhO7Hht%fv#kgkeLYM1C9m}f%T$v@_-SjCSYr~A(YJx)0e)C?%1lNpQB7sv1xWH;j2%T(|e)=5r11X?AuB=8tF3Fa^q=~wSxHx-Zb)`0_kWx zH=F?m`eaEu4-;nNNV0jjQ#OhulZRbon;@CV!~bgYkOayvfdkdSdgKI z1#XExA7;HsAfTiFm+b}bY*M-UxHG!)pv!lR@~mzUtc zQx!o;#jo(cfi+iMlG_93PBYfIBwjL(iUnsQfpbbFFp+S;C$Kr)Se%cDL)w$_Ru>gK z@y(T^OjhtmT!?MDywSxhiF(`T@Q1SBuTb_&9|8g*vhoxc3ODJFC@E_fU1a{=#O=(a zp?}mn<;`5=SB8C#WT{6<9C#fIec}a;SgL4h{ESBYei1faRER0CRRJj#bJ}oMzM~cT zG80nK8uSHV4KDJW#9Tg($pas9FXsFsMfwr4bZ z9jE>VMWGV#8TWogL7P3DH6x}@L{}(IeaN=mL5KWmR4nSE&LY3o$?A&T0i+Mgf2me* zSv1f4KNZb2n|Ndo3p+?Zq<(K*1jXj5E`A9zjds$K<;))GV4>5XWmP`-FCOmnt%<#W zEY~gvBVd15_AY$6;dk>C@Blv#9^et-b#H9K5ls`O7E%}a3XlGX)9MePsbil8bq+2m zbQQ=@kDBAa9Q<4-c07C)cBgHnV?))nB+hHZR`CCpRxw=#1781`@|QcyMy_>wkB2N) zZ?(1g&S%{C1p7c_eSV7DTf_%R?@*&XN@I(7#&ONXps}bZfRm+J^cX61e*yfou4}sF&f->i;qxQPK*TetfS{ zR%_&B^;~C_WZnwYIxE$9p`WmanXlfY@NA7cj`Fe=*(E7nzPgph4kfgIdLk$og96xlPoFqf?Njx^r^Wl_Sd&4Qa_ud#nTy2)&A9K7Wi zg27KOo_el%2nY5J-ZExvdao|{@9e?BTkQRSDYrITUO>bH@f)E%;TBbJW^5+r*rRFW z=EH+JW6q`s^@SJ5+-{uOz!^Rc2eRP6aX9cG8^WU@{0)R}c{q(cmInvoZk^+Q8oPj6 za%KZPDdN^S^Ys^{*atapqT<;w_!|bXVi?53U^@(Or#8?#xiE0RHB4|CB#nA>3SS{J zpet09l1gB%R*@BPEFf8&v`%x(0?lx47e1ExMiXtt%dfadC6Q^U1=g<}QKRmx=rnAx z;i7qxb9v=?7nuA$Q;#~QRUQLp*|=K76m5_NGfHjZzEjNrniP*%7YQ_}C?b?!m&BKj zqZVsJ9GRo|&KdsLDbTny#>wiOJ&s*P-Ku$Fo9-aOyk0Q$xos-%Ep)IYmF_CLvQ|=ho$!!j$P(P)9|}M_MY-SGBy6#tP4?daC7-DWE_6v1SQO+&7ba zQlc+ehz;oD)H8L*!0WiI8T^4CnLRn^GTL=VSXHuePBXHM9AG&IGk0D!?k3FK>w2-T z$fXuPlb;egwXN0#$}bLsv~P)OgD`I7!m!sM{B8Kx6zAfPfoa=E;D$_g$(rHe{Y{;3 z+vothkDAyA_;RhM`4AY6WtX^hGc@quIi-fH#iHc zQ{zmNE4VM!nWn%B-b+om30V>4t6FSwuZTUOrV1z8uhuVdulTuqDRQp`|yXjY6Ww(KE|Qj$bngk){8`E%%UaYX60 z(7LKVx(t8Dkm?|e;z00;IR>lc%l)1YpqLd4<|>o6Gz+GT1`Z!jdt%(_LX71GRaX}M zV}y<70{gTrF9j#YKF4pdEisn+B8|6QsODqOkT{38%gqx)->R2C0`{`7Ha^V@ z9$v66&)@Go!1mC%FC~B)oZHm6n9~Hde347}>d#G~O$SehHN|FYe3n+L{X}yr3yz$0 zES3fi?AsC5IlB;Z?UcLcD&_%mz|sNrG!ebB_{c5CDyi4Neq2IlaUbISsm}?E^>gJ# zzP*lGDL$qC`6Q*p-#ak-j^DkRfEfU~m!&K58`u!DQkuU?meqfitPI_~t{u8%8?5f~ zsw1QF8GxmLIe?7-5nvHuHeekf53mwY3b@q+{i6Nb1jGL?@DAR}3 z_np-vElKDV@H7^fsQppNnlrY;@}tA3DiN$cWHhK2G1$D$5$7bg&ZQJ?3S1m@u}lVPE}U%s~=7RvdmDYl}KgG%35^d~?s z^%>dIPaK3b-+QR&bSsH9?DcAI+nQO>}(Q z=x;8LoLYCWU=89K1a$uwa0mj@ARq$*zJ`E&2-pJn06+sYfDFJ1xac-qR0|i4!(Ee_ z;lk7~d}SzHoCOzG0KS78bO6fXg4=MxC%E8a-Z!7+vC_iv-96FoMn!2IC*s~3&^1!N z|BylLB$d-B)BhCBAN4G!k#D~hNk{$4Y1Ey6n>t;`;M6k%DK3$;NRS~VCQ^PCERZ4-$#g-%|6}h>qnb>* zc40CzlYs3=Sc276m83v?A>Yj;M%<2Bno& zP+NJF))*&jZ3RbETF-SqXRY&n=RNQF@t*a4|BkL&ckOFmwX1Sxpzf+&dl$JB_y#DR z=>|s&(@DXiLG6>KD>8M#1;NSG`J&+)eeS7FnY{~j(F0C!{#A3nmE>2JrvFVxY31!# zx)cqJ7Ei|>EFN|D<572jhgXa;x5H{zNQ`QTaeK`#-Q<|EBRI%JzwY>_(5Zt`%pD<& zD&lpAELXVcqPqRR$d8Ni+YxB)Jhq9(?6Ui!S(Ff86w)1foia;HGPxARMQ7z&T<1^> z7Db-X`L<*ywN{@H?wl(Kp{tBcB$=k#;`nom)Y+N8S~cEi8J4~lTQP#L++4U+*aC{F&pCuGpkE}1J2!GwKPSDM9Nu~5MAiST{#WnA^Ae&(ch!gd z`tQ}<#0^~g+O*&C&*Rdo8#+b=*7iI9pH(aG5Ef<=7XGK|{mbRI3w*KHG+a3P`ARIFM$hh!=nFQnaSB(KJHQQa$B;xqv z1CFYhOa5nacql=BHUs3#O$52Jf*`*;2J*B#g4}iume(#LEdPH%u6#vUem8}%TuNAO zTS!=L5MUTZImDQguMxwTO^ms(#*2W933&Z=0`4H-=osRqjG2|3yVcy?R(5cb%kE*Y z@yifDg8a-#kazu?%pu5&%m*CZi=P0#-a)_&SAsoiD8a5H*!F)A@SYt6JDp&!nM0UP z`JFI*fFL`>{0P`@9s#c;;9d0u%!wpyTqJBHcM>+Nb`myzAZ+~SkTZ9K+;w0Gj89qY zi%}iCj#2$Di;qbP9$+B#t`FK6!AZ*Ha`${JgJKh z`3JQb&-=fYZ*PohaSh{qs%@mqG(T`$s!!_LX|}H{`zfu9G^A*uX1K)n^@Jh@LAF2l zzt{bj4E`m&$5H;RaNr=pH{ci3FKJGnaI-5H-wygOxtnUGZC-SG*4 zneW@`zW?h#;do6>p+l zXU-RnDdKlyVemR07}Iu9&#Jcwvo_hCEpus~x{JJAZ4Z}P?k=BX%6Sp}J?~7-hG^IK z+*$HcomY2tp6b@;k2@pp$&cTfYjJ(-QBri&dAh0T{XjYC#ZZgq`|n!hZp@=#*@kYq z2v7*nY2RH7+vKC9#_02ON#b%ly$`@qXug_IOgu_*_KZ<%(hYI;xlXOngqQ|*aC1Y> zDgs4I5unc^OH(CT8*P*8vs}@ji)zeyPr9paHsy3UtmXV;kQGHXChwvgaeA&CWLzdu zI$QW^-Wj}$NR>f!xXzCZ%do{E7O~{4v+Yc^*04@$**G?rya2P-U@6vZY#FD^Sf(Z6 z>@LsIis3E$Od&-B8mHvizh-60e`+ZxM2^8W$7%YYB5q^;TAG9Uph0CG*t4;b*T#FS zcL{fEmklkgxO8*scS?K?4_zJ#u1WV8l7T8UQ>2rD_~_MEmHf{ zu*FQO7spywu^kkLrIE6nHqw1#ZqM3E#wqP*(`4it_T1bJopHEPxi?gA;PH1}M(X#-L)7{T{Y3K&L^UIS)Mgn-y#u2KOH`xGi+hgu_1N+(8%}d< zR?3|EeIHQPw0t!!?IlHT{)X^=2x1lxM_-|ts1NV;?;Br2|Hi9UtuQ*7@_T3O-x#0! zC?T(RC=#lXK4XJU-e>RV_)s;{%t*=Zx74Vs6H*%-964WwMOdw2GK6D`EX&#Ps+-u{ zAKh<#Rr4@mSdnwN)0hNWkz=~!3gu&Cc*@v*T7dR~klX7}7w`>1QmU>8G5Mi&o^KU9 z`0;f<*2;T2W}TO{>a5N(I?s{zK@^^n@5rzdS+%E>Q`d?7+q28*IOSdQYa=g$b64>U zS-_Q*7CGv&nthejoHGBKlQN4FtmDFMvi*UNCcppt^3JUHl)ptQ+Vgf%lG)D{TUtJQ zOtGa7lQN^lwscoKTODw=PUc(^j$TVWW1duaw$Wvm=V;Y>scpCSW##o3s~EF1MObis zB)t3nqH4j5lk`7ZrniquqK#-NF5K40de4qhJuqjskLj}iq8ToXFN*38eNCIC-HwO4 z_~@)y%j@GG&JRmyqdmvRhumOci||paq~Xrq+mu=aq0K%-b%HyNI@>0M_0o{iK(fWb z@4^PFwK({l*g#v$o?_T19n_dKk5Qp@HCZ=s*7DBjx0=Oaqnm7xu#YMmr1+k`!uK@F za1v{>6>&2qO)~dfzc}h$99*?Cr-gaC(w@JiCygOhmaiv=4V$nc%m-yCN-)X@6t4*@ z3a9P(W~z<&$ME~?FRCdw-y@;iL&N+R0*lkyc)jb3T#Kc(i%4BtuhR;b#yIIm0Qf`z z71wEDLw4IdXI0%gWAS?8bF$21w++@?En>^ zuc2mdw72R>gyJF;VkorY0rjGC+IaD>`lNEkH8HJzWI8nvdpKL`sF~QqSyxBCqd6ei zU55;8f0ciMY{M#IE&YZ__5K9~)+-I>aKGRj`gE8y7*HBKGP*hM-er zzNP^kw)32RH+09uZKq^ug2G0hKnD4FVVT7iTTAk`+8_Wy!t4%Kx#Lv*@o$5QX~RWJ zdvju6T2+(JnM`;Azv9hy|BkZo3@ZS#kMqi@XOB z3k(Vr1Iiwh8?*U}{F@^3h1xP|>3EKnOESl*zsi>#z1f%D=QY8*Fk*st&#WDZrfig> z5u5Z6(O?A{e6KBw@Mt6Xv0DQN%1d;ft)UOxnBT`cnx75)W@p?ySC-m;f16SXrv>kA zh{Zw^@H3S<(yB|E!q8b8D=jrz|C*JR*5fo|KNZo-e#40u`c?Idnt5M_4jHDpd6e|@ z)=?4{SMIF~hM?xhFCJQ?vxg+iDDtY~$DOHu)7VE&#}>k0x-p8TWpfbAt2Wx^Hv4?S z{Ek7lSwAOC?PyMKj=iGYk}zTu1YR1)U>N`BHId)yk5AC9+yb<|T zwNi%y-v{L1Tk;A=>Q}6I;!u5R`8drQbPWgOz!Q&hEKOgtG&=c})#li2TcfWra|Bl!9X5sgT-wS?!xa8yaf0hpT zyrOUFYk|v(ipcNZODlTDRDb{aW^jOQWnpK}#Pj=lzJFeN?aRXzr~6h|f63?@^YGfk zMeZxEKe>^-?pyq~k=pNn-%stnaN%40xmJj^e8Zh15Wue9z>vgAsC>YKe$7U^x<;8h|wE_y`q zUb5O@qbv@>k?-FwwcL7n;Z0KP`HQ!xy_2f?s^hEjzMmiP_{CPg=2_+!T(@BL-HQ`d z#@EchTJ}F`WmT_QV{d*3^S$D}Xm#i%sIndvt?Y~Gk4P-xR83GXB%q~_gsb}E0QEDg za@6hwG|{uR?B_?Ld_S(ap^RoeIA)fpXPZ+{o-=P5L!rzcmBhKQs+wMQ>Cpgn-v~5z zv2qX@-5>22nhRtx{BO1!_8A*`>O}p-%lu}4V}dcB&^_#7idQ=5S4zL%zp_}@3iphD z$uSB4`F6fFmZ6!IzSgQ2I?GB=4OXGU3%3aD7vKa$u+F{h@R9PJ@fw~TN$~7oXXyXY1;`T8DGr*yOrEKfHr`N!OR7y9_J?9q8Ex1f zx@4BsC)}oNP=Ar^DX`$dv7@1Yx=npq@@oOosn<%*6wou(&m{YzNr%|M`Z*o!L#)I4 zoQ{EqIP>+(v2)CwZ=e_PWl1L}gTy}}tF+ZTwx7yR6xkW{qkfCov0hwkF%ga(nMDI- zIn(u#n{29B`&ECNZQ-9`xkR088rCu3mE$bsbFowX_+nb9dVxvY;o9WATwZ~IUYcl| zrhw&&yP}2lQ{Iz~YMUh+aN-m$WIJEDUhMsvxqOZM{0mp|gXD*!dy>CIDwp0m&1&)s zv3R2unkYrAz7$)!dzsd7MQYh6%Ee*fQHEJk=e}H9Y$UNv3!SO7b_yG(&i}UB6GQ>OzZc&xS@;f8YY^Jx3Zhgd$Y0O{?Dm5po(x5!?+pS?5&{;>Pe(Pk@gG`Gn(6VPLO! zLGUSgq}hL?&ne|8^Xx)hC;eX8tc0H$Tz7d_(fqWo9#di&KN^z@H8QtiB+32NOvAPj zYdX9-vYKp@osPKEUzV;c%e} z>GpX*Ip6XXE>srpx$eqRvsZh5iS2bBkt}z=DYth(^u!W-x;j+<**v}w;U;Vy^Hnx2 zQP?oz<$5<$R4t!O(q4))zm!^`uGXhCIM{N&8VZXn)-oA}#3Ce{idT(q2{jGw4Q$Nq zrypUiF%pnr3vz+Op$X93(&35?;8j&n7qU8~w2{9tq-{a{VqIU-MEdTV15W zw-a7hI)R06nNo60K&@3@lwi-UwoE5EE1=I*n@yur$z9&#lsm=#g<65#0`3g`d|goE z)N;yF^;=VNDya*>>(8|mncPyXx&i}KUq#%ov~(OKuqeC`?H=n9V&Q<~EuKZ5l{mRM z%*+qVvZcQ>wn&gZ8Dtw}>JenPjmOJ`Z~< zsi*MK^@l$tH_dQMIqfLBJ*E0tmjATMW(He&-4dDybIzv5RdMEPyM*}J@rB23#+E>H#xHLF8Lcd6K*3O z-WQW=2eS#g(4TJZB;S6kcrvtRb)#ETb@Mut>ivAGd+kE6KEcm}3|C&8MWa4hQ<|)jkOEV&actP5I5?+ zaAJnTgKN^$p+lUH;fLysgx%Az_LH# z)8QJy`Y9o;myUS>_4k%3CTfGz3Fbo8Hf+_7YqH7FenNJ)xK_5i{1luSbba&_n2CJk zJCc);P-=^~+itqnUAW%z1Dqn`+J!557k@QdL{C_GY~*Mc@)>^dz+|Tj@*$$SxMIf( zie!F-cMSZZw(}kR&#L1SvWgswIV+T}akAa>8+k;_Lwu(lx^YhYytwaCwmXjZaX%-- zv%ZA=gKx(v%ahv|A=tqYfz5T!Q@sUidDL^QwTYa{vUOZ%Sf#Ua_THh?iiU(`M=+e= zP{`~c=kjxudvsi9-yGE=ot?AiF?}NI+ZBt^WgNpkooi##2|Qgin5>KJoP&?hvNT1K zwayMl*mqS8QgUNX67?=V-#OtnYdIU_y;4?VauDh6{!!$vjWa_lH~I!uR-%-n>_XX$ zvIAusN)^f`-=N0u3E9{Kt~Wi4_`wi7`=WBnt(CNYZvs!`@VW@X5D>CJcn!h`5PkyT z6LyJb)}rA08pK%iBnz96-IKDTUa|xw8D#-V9!e=1KSE=2ucu5@Lgi^T82G(b*+Fqg z(=ki(YoALHCm+iK^5PD+q}dfZn4{D#&%ZD zjFrXyF01$dUDhMQHu0hzy{6wH9vilyq|LspI*hXa)>_8>n`;?2VCWPKRKdV2!axNK zY=?n37zq4bc1Ps|Rw%m4V}CYW(^<&Gj?|-?k*1-kaGezEU+M&%UK&M|sHmq_KzcB4 zzisEvO4vMuIz=K4CE>Uh~@I*Fky38DS{xuyJ9YHwZhN zT-c+K;g;Q_bw)7bOZtV<=x9V%dDxOzh~LQ->hW;U8qk-PYrnoMP@fOq%_+H7-&oTS z?EgSLv*LXxZCT4nk7{SeTO3}IH)56GE>;QXDcMO#2q#KOPa?M%r>Ep5!9goMWl|Dl zpRqV4rw!4#*VP~|r(x-!#UglDS9Rh<0mW2OWSQ$xN}{wJHI3{YG^?tx$UEvRSof z1oo>nY)PfSYPEKfWTgO(&Vwc80-F%-Q~eBGY-4V^MV5M;V%i@WL_@N&kt`J_RX%E;*F;ZsNMe34h&n8i*mgLSV3~yQC+Qv3B+djJ zO0aEX{%%-jrWS4~AT8hp8-jJ*#*7p6(`AvSC7Woknez?1&7#7J0>*3J--Z#`Mn4)o zpv&)=A!!q3CbrT4ftORlE^9Z({qUotxAD$M)JxTZxv{3| zM|N<7*njG!9j-yF5&B6ToFL9D#Z#SAw&!xyRvm(8d{O?PbIHo*%wP12%#-TVa_Lo=2~0Ix_l&e2Y-(|wt{?AzPF|6gOFFu@k(@W7h}N{t zY~8t=Z7mz&<5=&virq6BPEb2vh!_j^aa>T}aow17>4*E0?#GE!5pcn;zt9b2QL#WiDFQbW6iWIe%a7g!Dr_FlxX@k4jwJ^QO<{N^fPDAF7 ziav|};5h1k&(-mm|ETAqzkMtG^gbJB!8Oapk(m$ItnqNoqFHN?!Zpk8zq@8Fg=>~u zIWr!iW5%S6>!*&>{#>)R)8VRyf*_8TSGA*RlzlkKb3y*tc(i77pItg~Z*Y5u*9EMX z&p`}G1pZ z_D72^{TW(qmTwXJYzz)?vO9gTZJYSg;jrUA-8jykCSF{)qmDFK=iACXsehr1j2?BH z4t0mcFL?t z1F1)ABP{dA&t|?#p3Q8ky6Mrm<)(+s3&fcq9tQCN%6*WRg8T;L2Ou|9-}LD70O<#i zegWYM2opi51|bfF5?JcvgD?YxgCN`mVIc?)L1-ojgayxi25HksXsV(4N|dyLR0ggL zT-V?lzdypVbnI+q{|>N&_X!K5Ve%)KOhnlLvmGeaFfa|}0vfD9gKtEGoi`IQYBtu9thGC8)O9qC=3Y%@9Yv!(TeC9St=#cLf`2L= zkL?l$bTG@g>k@`_V5NRS0<8lp^}p+jbi?YrlP$K2ZE#SYnjsFvL3#Qg;z22+rYm;n zg6n*esVBw5Q;=?Ram!eftY~nZ-!XiHDFfAM38Okl5$s1t7ENQlj)`KSl15ZGs4POI z+T-HX=RAJb;sySwWD=ENR3cHiPPCdra0Eb>5UNmAwul;EmK?KOy8F1e@#QWrAZb9l zge%Wo->)gW`#AzFW&zn12-PicN>+nB9I7*0h>8}RByg4zW62^a#8{T1@`;JcL{zGM z&@>Mnz9z;JM^p%7r9_1o0?~8HdKkOGeRSmAGQe)c%Xmk?3cw6v93x@K0Waa5n9v77 zuZ2EVgmG4=3-2zg1943mDvg#|KaEiro?N<@sFW3+{4|Mm!ksA0+hapg+n598Kt*P+1K9`Mi|}&qonvmC*ZXP}xjWU}&iZ z72K;Wi+5N?P2R6XWi=`b9Uu9=oWSpzetz=Gorg}V3%7j8WGy)M!1v;WJNLznzb#(_ z(i?&_l^{(4=@UW9BS?NAZ3n#D2bHg2f02XjPOwK2a4_Iu_{+EmfA^l@uVdRp{4tE) z-7OKu%rwEF{8|(O8ttGiD2W{UeYTWdBrDfFMC~cwF zyRobV(-*KFjeeE}eOJK6b#42_jhoI)0P%*p&}-2-5UaV++p~@gi1WKR^wMsA?vRqa zm2+306E)84Q(E4&&3AmXm@yoxkvZU9?Qe8Hi!h$6+t6E zec`bFx>K!bum6^`a`lJj{#J_6n^u<1*hA0IX=2jwQMeQc~`hA<|-^O{__3uUlzhHmU!xMW~x>L6njU_F^jQc5P zk8*4NO6yD#Du$5rs02lyZ1_2O^JODHRTmYEj z(+>W7iqB84KcG%A90&lg19Ser0L&o(e*oJ6+yn3z0R)buy_BK-2N50voc1XHNPxjn z0M-E*$^#$*kOts)0sv#N676|tpQA}J%<+N2lK>(C90TJd7YrSMaSs;Sat~<$U)81< z0?^OF6M#1Z&=3GIw0Zza0B-?YB}S6}eW!F3?d3vrcLl~=31jI0&JO@Cpt~=VAqXLS z#moK28!Z?R9+p8f8k#KFYG&?He%KDf6Jg+If?8GJtmgeus^rQ*bAT$0y;Q;$6El7K2R&Z)@;KS|Bkre*iIfPhv74%!V)+I^r2ETxtS{Nm)$LbevR203S;l|gKqrKJ2sA>_v|l-!0>J|`r?U1d1B1{; z3SpF}d)id~NcXvQmOmf*0o2u6XgvY!1&|6Lgu7?M;_VQwi9sbaCm;kuSjU29D})Bn zj_@F?gD{uSaQ18%nuq=t6B;LIRM1#K@Wn`Wc*Dps0R8}K3BVV?SpWk7EN}#U3xu68 z^e2Y)G85xGjX|HC3X86wye23WpqwKpUZCWG@(Gk3{;;$Sny=7|@PI}LjRTT#B00* zV0k)*M~A@;uzpVf>qQWD64r^f$3gYOsOICYeJF=;811TX*G^7AyCD!x5k@j0tUqC^ zcz$y*bxG|E%h>8|p7nvjqfDy+I^7yf%^P=(DH{f0D}XEl7=Mk~xqF*u&+?qr`YM1? zX!;-tEHslW1bBb;0P>r?Gc22Sf|Up0D*$%@C6xeD0bBu4O#nLpOa|~AKt2I%2ao~a zE`WywK-icH;1hs&0R4oGGyu)$`X7J{bZ?K5yI`Pw#6T;c$j3lSG0^B;VE+X6CV=s{ zH~3N5@L@l0$28o5Z)^ysEoaoO5DA9-6Zma^Tl$>|5y z%Z#n#_~{t|+LVl6`6Dy-9RM%~zySjA1W*eg2f!Qx@B(lIz+-XUf!iDy%!fe=4B7#R zVDC9_GaCR0zytyS<7V#416QrkK1ZFBG20*Qx1#+W0QUg=Ml{I;ql^H`zz7|g@zfjb z{m|WSygdgtqsym`09-NTT>%gxAPhh`gC@yNcnzyza6N<=w0{u;BIMg}K> zCWb&qn=^RLSDEOd0cCIGzV8RY=*J9;437sLAz)GR_13}GCEX&73r z4`_!#J4n!o7o7vmV`$bAo$rR`B{W?gpeZ2`H_R6=cspM33=M!^0n`#e2ms=i3?k^> zFfvCigu!VLA~BF<#AnDC)+d7cFX9~@3tEq;cv97 zB8-eeyG0O|5JvJKgrHq0j4VrqFdmvbe8k=ow-0|d4>aN3nQ*yJ^G)sv~L0B`&e_FRTZ&uOI!jw77Vw{N_NX`JujG#;u{4%60FLZE>Xd8%EC6Bx03#(A zfEBBBX6B@o)!VnSA8lVTn^pC>f`!UtqQXIC87f&!RK%#b`&}IJeH=gb-O(Ua0*Fcg zDszZR2r2`Kia#njL?sxN7=CQu;lPWkhmXawo+%SoGA?{T<$eMxc0?s{<oybbbs4a_HMvB|N)xtKX#|EttLdO{FoK!eK@4cAoMz~1GVi2%bx zyS?wouSuoY)&3J$@efJP!Sy?zH`)_7mne(B5+< zD!xerqo>5$U1#0bpD_=>`m8;DZyELaM2=PNMqjpbB~Hl5OX~v&$ zVm1yZW-YR`4u+v-FPxZlUdySL_nOmiV%9b{G>#F4EnI4^Po;5SWZ4x`N?*EnyX9+~%s+QiPFJHEZxswtX-|#7!i`Nc%uBUwW34jerc&EDVna!) zZ7k0D(R5UqsGMpe_ODMYr~eP{t*H8>Vt8*k)Q@}*@2$y_t=)(^{s0Ld5p}#-vb!5m z$G@3Uiy}Kcu9G&DEy7Z*8zP!M&<|M94tK;4@;%6A zC)xF-_fz6H-<3DSRijI$++?_xY>(?U9egijGS=$-EjJa%D#ELdvVXtILYY_ zN`ECN``>p^zlw&{=a(}W2uz-mPF;g@$Fowc`uPE>d@;9YXPvdZb`Ii{AyDj41Y`A$ z4w$2ePg7E_Oj1%;z=dsLhhx9j4#f)byU~y0kKEXF@%!Zi1*ErS+dM85(5%&WYHA7) zQ6?xQ`vgsFEUT$2zz*ui8u*ixGyPPX`Gd;Y!)i`M)5=+{36qNg1b)esr($|K6TT49 zt}cGpEw&=O+2obq=idv^4XF#bj*~A=DN~DSLq$<3IB$GX^02`XFq|Lon!dGVrG^yt;M-;N2B$0WA?_t zBlP{+x%vU-oOUE@-EEY$PtIjr#|~47nckj}Wbvm_)IK$dJi<7=JuQhetL)dBic0!& z?F&RvBYn{ff=ec+J9GhkmUfDMm^riXNTb~@zXc2m)=^7}{2CLwXmo@HS!H$$OYE`< zVSiE>q`r;WZHOrTkBQxD;T+(GgLb1Njg@wz)x#uvD{V%XEi+9DchB{8qgQBYChJ~H z=U|>Pu!SEsI*6WC<|8?jZGD7yO+QpRtTFSD#be{Ruy5aAoQeBoHM1sqZQ5A>;0Y(5 ze~m0>j=T30OLutisLT_e9{lvC9c4sBguu)HmywqQnl+26zV?3lQ1w&QqHoyH3Vo)q zm&SDqc=BYaXLk@!5h4xm4%jANAocG?0y8)1ux|fMd7jj#J2+Djho8H^JMvO#aChh( z1xY%>8AnltQZHw$(|SsWI0u}P{~!%?4mpK${KK97m&jA4e$K&56yee+XXF+tf+K0@ zN318uR|@RqV{s%O8>wkV&#(*^`YmikVJUwIwpFo|AIH98xMHUEiFQ$s8=p%~^^x0H z`}Mg+1KT+H%FpJ&`h*fYo@Sw*UqlZ=^mQL|PmwaP5cWUJ ze)XesE#|g}>yvY_B78%l>Z8rWFVzI|;Le~U@?dj7XTTA~d~;O&)PDOV>Ue!}uiw8j znV9LF2n798%R>Z{v-+r=Vv_eUTpUmP^vKOikd=V6Mmz~w2`FP)Tun&L>%v*8e9Wgs zdrnerF^BY=Nu)J1e>M0QMMVeSl!uCQdbTDqnt3k_QG80Y*K5*4&05o;R_vW_Hw@cE z+vPOb@QFXDPuOKs>i9`fjn9X&)jmYyV%pqlRmwY~59LSoWjrBOi1P}?h%zKDEu7$p znCMD;tu6aK*$8pQ%5_?0uuDZ+SB>(*v}^L64KwtII|rMpI|o~RpZYMEvcSnl$nIq( z2Ds6GGkTfqQ?0$7HYkU;O!HVJbJ^>-&oEVIo9I(zfwYi?r)BKDtfvV%scw5Y+=S(+ ztm(|H@L(I{$mi*Nak85Hsq7wcqI$a1VxfJi!xOkpSAjP^|~4V0szSNekxb0E4kP!4-7F|AX( z%7#c84>X;MNNAQo!)c(r8T|@zIEVL?!o<2xg#&+y>5|&Dz^Q@qHw=$5+84ucGHZDI zFe&4#=vBg9wQD!8f$}GmV~qCiq0EDFxRmh)%7;**SJ zJ1B~;&v}pIwifl7wurduQJ-gvh^x%{R9i$`4XdAQ%a~%ctj}1Bh^vBnM3fzFbgoB4 z*>lF|dPJ1{$w;k7MA@%KZv<;X#8t;aM3k-8%rqR(=JVgoJiPRK$n}<44R?mk zn)&Vcg&U?--@iWmzHL_j!|&@?yua}MpHTky$)sfUR@2^W+BalLPD6mBoD#e1>OV|} z`iR(d`eh9~#IDne8{81PPCui8h1hlajD`V-U8i5%!0lo_RPIColkp`E3ozSq#cV6E zl>EsUS(x8%>xMY@qk02n-ldccNDhGjYgL+fJp!yDi?i~%)Jf*KL{)(u+9^mOWQ^Ss4_m=M~Txcv~I5X9SvB_w~oV<&gYOFSmD6$rD_X{J6 z=pv_CLfayHqvLbKS2J1d6QXo5`tBMisv7O%*ii{3MVykL1q!M; zvQN}SvTB*SF|mtM*79@DuQH3xNV5K`jJmn(ipLold6Ig+$37W-61HZsJGYk=igeHH zy$H1O-ppw2T|6Z0L z*o>&H(^4|MEuJFdNK>L^fLc_eOSBC@#`qbVsOhY+!i-I}>73t$i#L&PGyR1VHd)_h z|0ZqR~e%rra~-+$hpe6 z46z7eiNL)tw%j4f@+pNg>a%`tN?h15h*nQ2X%J7OG`HbH{2nNV(1sx#ANg7T3L=Kk zh9SIz*q;(t?@{g$1a1Dxw+b<=775(5F@$aCWCp}#5HW;Ih#7lIOxIH4dNR;&Ou54$ z`=^wLXfzb8`CvI+W!zcwR$&Kmn!vr&@hT${Vlc$HXb@TMPy$A?!&6E;8o6VH6QP;{ z6$agp9!oK%3%D&0q56n!*0fqJQRhnbx7sZ!ikqquSWpBIsu%F*rrB-RkC`LX|KTUo8t_ z8+W&UP{)9Nzq9hwy1CDm_I_SbOks(ZGE?7MY!XdmPJT~L6)o(k>Za&4%^o}YY(-B0 zB-nPi8yzY7uTl@S4_)D4l=SRzCQG$@Jk~qY&6-CZRnDY1wwJIZ+`0tmeW=J|FIr2p zYKh*MxE4vpo}1FbN!~1ua8kIHH)o6R$8g$SnZ2}DU!LNXG`!Vwn|znlztv}(qDwlg)hkopB=u?a z%T)Bk)gu9}9-5;<&xV1HyoYkWZVvA%CJ$0wj38u=oUQXkY-PnHU8ErRFZp0yh#>GU z#gDo;LFhoawa&9nFiuM60rn1j7z#6lXV^QrUCQRL``)&<{_$6(Vq z@SbA1ZbV&ZjGV6XDiFjdM(c(Y1f7-p>H-S}2GDn`(kPzok=BUooC^k2MYRXSF05HB zdyufRr%7?@(K5>47vItEt$A)&Yv zp$%5=c{_#fc#h{zG0Z~vx`_y1r+s6vfSACUzmGwfqn^!G(0I zB{gi63uQ=4QP}uh7ROu0hK=dBdZtN}R7Kl8(+-g+qHTDZMTl+a7Q}YaON&@RtP1_4 zB7|_v(*Ib*J;c1LA6Mjhh#jS`KwiFaT{zftUvjdM(#%?F7+BjKof^T}qD_rzn`E`jw71W)9|3{W%+m^Uye(!K{Y-}vEw7h}OihWl zA?k?+@g{06d#qu`Cfi(=zi|Dh{=aRHeDRxY7@F#m>*S-iOJ#RC?$ZaS4(#I1(7UGc zy5P4q0wIE&xOz$|E7ozV-Ya!LEH6X9C9G0^3*|UU{tsb_=O|7n6_1)!7cMPh9KE=V z@qOhx`@A*p?7!K?Ptxz~@uKxRmF|_Fel%v>ouv+{cvb>6oGfzm5_*SQi+H~X9mDBO zewUSXQWv%FWmUI%5pz_MML0aUn=7f(vfoUUa9W9=R@o^XsP?K=HA;ikes>fbjLVt% z^DNx8>pT>l)Hv2o;m8J>H+zau+Q2CZSb_}FQHcSQW;~wjHA(e^Zjo5f?@(RV(F))~T&v?2z=imk&aS}o zIvk_P1(h^L|HJh#al45E97>6L}D~+yxE~$}`=w%g>`o2N7tfTsrUI%C2 zJk=v}ei;8m!0;%+3G21ihsnQ;I;gK~4+x8dXmgnS7~=Ci8Iq9&+)C#hn(wMi#cvSD zK^&MvtA+Ri;%JBia%eLl{tIF<#6dZ_!Y z`5MHx5cwyp57{0jAB0%3C&P5GJ)mbX8o8p8)nW2n!1urk0n6bqc@V_Q5dBYB&$B;F zo&xa>8q6=?_JL92o%hkLpJBO(?dwpj|IH*G>J#+-gC;97xe7Oq*i-o^DUu2Hx~;d&a^ z)3`3hb!m%j;lwuTr%joF|mc*lN5v%t5E%-2FoU<)-b+- z+8?}4nI#?2HztU2w8gsTXtvb@b%rUv*GCqZsj~g%J$2_le{T3;1y^n$P6vqn{l6#~2L``I?mUxd%GCQ_*g<-$hE|LF@GFJTFrQewyp70|JiAvt6 z%K5xRpE!$OTh<^Sr`=6Ww8w6>%}uS$L)B+F-LY5b+Z)~*#Fht{IU6&)ss9r3H%@&* z8YarynD&HnMpU|SilfCck#J*{Bl)xFhmHA;2#L;3NlB-z5)DnsK13HAqi~{uqA5FB zBkTJnXG=#2{3GR)q+WvHNX2035JBK6`H#{-K`0`}8<*DT`rOjl@$#$ivK04I55S*z z2mC5=cwnG8D!&16PD&y3!>@LbEXp%gb@6#DDNwH8or)PH}rP*n=!GZ7Em%0~0^lc?OTP;g@+x4ORA$=LsNf*iv znU-#{o9FZ|gFBzm>G`8_H{Yq#=SS6_kJ42mP|l6ndvcu7y)>)0T=x6lUFKd=gO#^CPGZBCnp52>J#QrzbmtHc>RB zCq063Lu7;07gkl;Ow+0YyFA3KSlEFKB!45>-UwN6jC_T7OwZ_I^7pdqro-Kv-%U;I zKWD+j2?CaQDRV4lz2b>X@q6-{vIiaqFzYRA_Bh*TYh?bDkksMc6iSz8OFjA$Y{~8# zgU2anvRS>y;|ONGWsgi=;bfze7tR$rCVGrkO*A@hOj%1SGe&QOlWeu-hLDX}FP9@6 zj9IVK7NIp}y^d+Z0L*%Mw}b;R>*Wp+#$eX#bX-Wstk-do&=<2_-a8==vtF(Z9!+Vj zAv}c(X1)H~KG)m4`$E@VMqS_%`EsdWUFZ@89SQaXALXN^p#?!76~5B=f&hE@ zOtVKph`oYm4lD3qFV8d!3WC=wBF)hSfyweEX77T~Z&X*Ke|zdK`flTZ_Q|_wQ;oyg zGj<_kEve5S)3l z%+43ToQ}QpB<^>`Y;$mB$hQiuv({2ltG1k3mMA%;wtc1+NRG%H+Bm6(5|&*Mv%@fw zMJe%=D#hlX>$8pI@dyk1YacU+(_r|K#W=()GK^z69AftxD$LWc;%d>%tCs)4_v{<( zO`a=~)@PPj-zoD(7C!4p^>oQEiT06Yj*{bvR;SeIl7oqM&D`1grTk#zsiDNH-; zo*E{nl6G;phGnT%xy-EwaVjmBone@fYM0CU!;q0m$>j_+EKarQVxBbo|MvL#$Zz72 zrJlWh4r-6HDz%QG_Fk@R)j6s8%T=F5?#zt$v<~?4pY28}>u(;l&K3`lY%eI>vc!Xq z5FT^F)J*o+U1@hyJIq5>X){=}%mY5=gS9jd zZMNkxO=v=7gXMH5tlu3GbTFtpR>7fx@^3>nBe6O0qc+Uq-us9{}v5 zJF?~?tO(DM^C_WdlOSpauS__$*I(eXS$>o6FYwx|IL03)@S7xm%lE1Cn559~ht_%D zlwais*YR&E4)90R`3{!<#rLZ79E^w}L+X5v$?x$4>%5LB&hm%X`TZ#W!uKoi$WyK6 z=eS)(Uh>O)w*vNqfcA){jGD=^g9-0?Udwrp{v-vDy7@Nk*~Ws1thv=n`C1Fjjp{TQ5R5FYfecj3mB`lA0;chEkcqqWy1YrDyp zR|irquR>W9NQraEq9<9R*rHgwhgG`7Ny2I#~?646cZkuH)ZOEu#!=aS% zVZ(2;+pJDf7eQ=-7=N1`WO0mft@`}X`d=2;Mn&p1 z8|~@`my-`!k3b$gH~iJyZ`W*J9hvPKH@{~>-wDHxp4qCCy1;CwOuKCNOuJ4diZk0H zaurqnyC+F9KGC9cAlstZ&dTE9s^@kk?p0Aeqht^C8}JAp58%JC_oiV@Ug7&-_OJx9 zFYF=gJ5dBwghUcRTu1;RAVL~9+(A*JqGoZ)f=ZMs?lEqtR6$XrA|^Gl)Y=M4E4HX< zw3S+_Nu@Pysm{~i{I8i0GxK4t`8pr&>*T!edqVWe$vNkF?&rRj`928pOw3y8*bnFW znmg}soBz8o1%q7%c8puL8WkbovC>6eK7(`>YV8iAV#+7qDsBNb0saM`0ct=l;0_=H zZ~`z7@B%;u?EO)r&bdRzpZOeMpH!FEj$dTQ)y-Old1a5T<1fQ8?Tor<%dq?H*Bm;J ze-*e+ECmVOxno`{p!|7er{541JpbTi*5!}+ywN?kOo3wOl&fmIljstSAa>hkxg;Z~ zBHIdA=?L zTKev75+76VBcPq(N7my6j75A}y?=MiH|jPB=SC;1hMg2mc(S_H=_9YH!gkswxRemi zrDgDz)l+TcYrLxZARBd^CEuB>NqM8(ZQs<)%R(H3aPDzAh63T-&i{P9iy)0eh=kC< zeCNc1;NXNnOd?;126$KT|b1w+PL(98_;2N0rE- z^u}}4%Q!y0$!6isK!dvPb2^322Mmqb}rN+Y*aa z@f>CQ(iZnnUCBYWC5=-};!xWX#;G@OK!p903daemjlHDK>V+I)ZSwCb1}CgG?ss*W2~nHmqe?fCY7=~*EXb=iMW^yNv4&%H>RBet zaAKh<*+d(TF9d%d!f^6E@b?KGj=QI>Hlc=-;#Juu$}p6dKM>ISib}En3MZuUO7Uo+ zUuwYsMlDQA6%62Vg(0cnQG7=@C3WfmK0=621-Y3M;24mXhMOm(rp`*kz7URgU9a_z z)-a@|TJLCWneD1j7=6wKQj^BQx-t!Tk_v(x(p$S!>MM=NSt_C;~OS!am z#vMzBEK?GZr??`El*HsI|B$5(vhON($`S`7?<&8RWesw&@pJ4L*JrI>J=DFH_u!<# zpMlKLodqcxzh%@%)(0}2M|dA|TUX)8;0BE)|E%~;mh8#B4>dN89VLl~o3^PPa~hF< zYJ}2@62hO5?7l7W@z*SH7$yGxTDqe{0=Cn$9c>;;FttYc0t%(4w&P;#8IB^b2BItl zqaavAf3;S0!WtT6UEc|7=pn1H6V}i<)&=e)Gp7e#4q5~UJ;*9%jw;9*Q&+MKea-g3 zan*wzA_H>;JSB?8{NLz*b0|INEZSsCL?<;1B$23{LEv}^$rk2V)St>%vK)E1zhb|W z?U@sdx@h~vwL244tv>vHn`u*uQ9wri5+E^y6s9voB@(nl!5+ z*%B(CGkIzCWC3$Ok5C_Aqm^6!amH(+Es#-bV3~SEo z#x1trW*?EGAL>8yaBjwY+Q)=@ZFDkkA(=d%Y~YoV1Ljl3ytVGo-f$CyhXQ+}OzI&H zt~Wxa>UM5wF0!Hi0t0u2heV@w^25n^8l#?1CKGA&Fr|!>9h;nuixQwj#f_*!?N(z~ z0p^~@W^DJ+TBy@_>kYJ0(w97;A-I$h$6H{al#i8KoBo}IOUuYX_~}}U83NdqFIScl;NgyR{U$lC#Gap@@pm8 zl+B6@RESOCtfW9C*TiKd98}bs;#esMl@ElgQ~6y8s&IPhv@XQ`DfXpXw zMw@4i20t#w3y9rL9E^`vtc1wpkycC(bFY;s^YMTHbB=bg^iZq+X2y6+iY(eQ`#$Qn z_K9O17r$)Fj<&ad26=K1BPZL|ca&7Ye0(Bns;u`PAv3Mj_1+`CdfySE-Z$6_Kmhmv z`~ltoKLFehhWm%%{$aR37QkR;(g7g=Dj*2J1cWh|W)gq}paFsb6hI(=0SIN3*XJ#l zR5=y`$^dHtvj8gq)qu?aKHw987*G$G23QQJ09@=aTiyZmfUf}0KdD!i1GaqbL|we& zM19E1{Y1mVO@uJE!`~rcmsH_X~lORD< z-G!qIf<)pj?5BdsqKYp3Yyn<0y8(DwF~HLTg<>Y~v_PRaLo}xWzeYe5m5*Zn5d05S z!cP#^CmO}>v@J4r4&x7MJ{Zrk5C^qN<8>CMQe!oqVxcObv=zRw-)nv}e#Sz652dZQ zSlCILe&Y!idXl!&c$tO2p>Z3}dx9INRNgu+d6cx5SHuk%1>fzJT>2>a5wC_D(g@m% zn`P0%DGPyfl+KF%88}DaVf!<1jTasgu|amdUt!#4;KlWL}_xe20}$k6A{~w_bCy zJhOuF&-GLXz8)lwWcwURjJN9L9EPW&5wV#Zrm7Jxa~;y4H-o$US~77gJR3Dx0PAKW zVXYP;z2?D;fbnv*!E1!7wSGvAu_^&pz;VEj00W>O& ztOmRT_yY8R>42{Q34rr}rGQ@nL4ZSmIe;GklL0qBDO7F*`~$!Pb^!7LUjSkNX8?-; zw?A(|J-E|?N`W>7+ATZcu+`h*uphije7gNhe8z%K)8y3CG*3!!y(@j_H_F@4{I}^E z_Fbh$mZgcz#vAMyl5hs*t#%swTr0t(jbq(5RR6;y>~_QxTVeCucGN`Me)buU&r3>; zdPp{*H=+l*-X7Ro)DBWplSI6BphMwA1_mv(-d~KW7S2YGMLnX#j znSPbp@y08e`0q9EjGvhi-)r^8TV~88%~!?~W^f`nZ@g^A-O&7MJa0zc&>k}0G-Eet zelVUfqc>=88n2o0e{23RUX&xUsYGz|@)~9Cwj$gZ0Yze8wV?bSg4DLS6CP*hr++nPkXDYXI%Yy$74XtdBO3R_NMsn0&a(r4j&(I~p z($tv(SXvuWb8WD+&Pbi!Or{bH59LeCW6nfLQn6yCps*wFBx-`4evP$65 zL0lH3i%`-7OM|OvzcugCUUe$_9d2=NyB$c;j#quTwWTNCScy-5xBuNS_Ci^ zA~m4uMzqxeQvog0cLGxZ@6@^3M?Jxfk#AQJ-w+Z61k^7YQAuh`) zQ?x@|Ho8nX;+)=`+lXBSY=q>_z?Z;A$ddW>CVZ!Al!f%Be5Xc1TsAgcH5KBriRo$% z#AV~Js$>wCt!l&f=}8LhSUuX;s)G z_6dE$D*Rl#U*F6j%u{<(U+xg-wuSUfAHv?UPwC4a0-GPS>ww(rZ*9Kuww!>|#6S|% z2d7;GNl;$``2jE#Py}j+tMaE`mXMyCDYAlVt!2c3QHne zFl6!!n!yrD4w%6ZTWIdk)_9X@F(J`D!;xnM8rZCz#XYA0!}g^($MKwOHj7~;0L9>$l}B?eSu zM6qfDhb~DdR_Vm5l$IHJPjSbTn8~@PJYmYpjE+~lFmW=&9Mym0*fxx+)?I)c zieES7sWk^&fs!_;wg^*Pd84?G+HA<7%=vS!?0-(2|NmV4|2h{jFc(#)omiNQxUYpT zS5+a%L0zjCFzchfQK#5vyFNAh_b@UnOX{hO5i8Uaoc@x8H>x$xP)W)gwVx9?7#j+# zdh%dms5;5%Js5vfwbDKw$V2}BLmoO_;K+CTj73(fmplD{JcI}GkoBT-%co`p2j!V7 z!2BSLbmjNL)HErMaN-9c5b=}~Js2TV-g4%4q%_b*h#^?~)O;(S92QLRwtQkB1(UT_ zu>nk@zqHgFP=`rpEz=CRvABoI5+K2_+}vGJ;W6GfgF zuZ}VK$m7qc7MTJ)(@XIWgnq861DF*1F~?gG(^Xi7`l$KJam9@K;KxUF!jCy+BFEx;suO#5Sk@+$Gm~iEK(C43ZYrDCOi~Ev)=NWEC|hxcPTx- zjj>17gHEg_qFQBkt|Wm1dzf|$uhAXYnD_zfZ3}W~J;X@bN? zu+M4;d$h6a>jjnD9u!8R1=f6a)7p`b7dWrl?SS{kcL}P`UMFlj( zHX&rM4qPee0lNV`xhno2`Q3B5&yrHE6)C{bQ1a)EGyXx0GYCt;F^KY*kQr--Jd zf&5E^NSKD4EgUbJ*@gI4m>|mSLQVkPm+1|NE5aBN#7Wi&GekwBh(ClWeY{bmAK29y zhCvxsY1Fa^2X)7d2Uz|G^#&+#9R5%_ntcYMK{Vn$Y9B2Kju4ny}dmA27zcf!^$c4_M|4uY>)^d7@r=j|D=T6g=Ox(Th143a=xA z=Xd;v@uPkt@a%{`09eTz;r;#*)OX}mEvnu)#;2WgIT zurc1IL|)KucjQTe9H(JtyzLj{3jJxvtif={1=t$H|HT_URGvs;9mipByzM$F6kHjn z4JJFz!sd8eIQj#8)+{0(w#G-SU!~Sto|_Wn^myC{U}#;mPjp>0dp*-kcjVLubkoZN zdxGA5Fr&$~2_$h9(Cz(56+=L`PXecEKa*}U`=Hs^q~FNiZYIifc*iC;$wt|2!8^Gg zQ5Le(UP8*xLe~pl=8cJ{V#vo#A=L=5VftFOQsbXZ=JKYJsgaboY8%7{N*jT2PvjSq zgCeQ*z&m1|B)NHoZgN4CQ$66E*PIuLSZt4BA1Uy9s28x+1%z?BB7U?1GoLYy&oB_? z)4$}W8&LC^aeRM+&r8}_ezF1glChW{YVdza|An7zK)z%K@R0^@8tni-+<>JqD)?lB zAC3Nm&o!WF%!zz&gKrD%IzP^UZ(*$G2N;Mg^uPEM#fTOrmX8&Cl|toAlo(SAl{0iP zp_FdtPY|O@p&H3g>@z?+#ZMCB1{e$YA!7dl`cM2RV&njm4uv<~X|zxI5n^l_V>X{E z_DiFG%O5WWL-`4OUs!-?SNI9A05jI`gT%xx`XBts{fI86A0H13Fl{F+$Cw7jOjwQy z4fL;JIYu>rr4|tuVA^M}9D^Ooa#)VR68<+>j?u$0lVCZfve=VgIre2m-hkyeh{d^~ zJ}!%6MekGoD4WQFN?(I)0xRn3E#LCekKW0w-;Ryp?rNS}C7t*t>PD-)lh~O2r`p?z zfWkJ_G$#oxXVq~|uh#fos>M!LYw|92fD_XiHw$*iMX7THOYZMJUiYI> z1DLSD3juaO6yOx#|0U7>HR`(^Hxz!ylcn?8d8nxMP4f6K38;a*}>rYtL^5IR$uTg8a-%!kjC)Yuj z`Wp2obT>hFOPg;d={3q9y1B=b9d*!!_t*v9dC-+ZH++L)uPMe;GKxAQSXl?&FN*{< zb!CmXZ?u~o-NVFW4bov^c_nN4j!P`U1x>gEd^0X+KXKe(`L6&u@Y5{s6V%@_nPNcl5A`p_&KQTrM(;LbcB0dS{XMT1&XtENY3hMiwYfKCNcL4{F>()ooxC z7T(8w)J$|-Y4!a;e`g8ehK*yjVAR;C3X;QVvO+h%?wIq>4nW*f!2}RN`;a z(b)$j-X{HQ_I3}&264mp_Q&jf62ENHa|O{JNSf0QWQR$l@^&CQ;9Q15FQ=FDE8?t$BFlM4HytyP8cil#Ao)e5+ zZ{s$Ds{CIz@8+7r7%ZqD8Z&`<^vKwe33A7mjcu7wtozPrHX{l(dgDnmrcnEpvCE9Q zr#TPusJMIDUya>nWW45((PYNPYkx3aGNaFFZW_;-@#nM!GtKWgd;=a>tmVP!&{7#FM-eP&BYxvR= zEJC_ATzZ-1a~1Xn=UM(e^a#s3S)`}B36%p{kNI5OSma&Ra#^saq6vQ+zUvodD9_9p znA>`AdTu3rAeC7Bx%3g*BTF(jWCSugp}3Lpbu zycx!uVZ0f}n_;{e#+zZh9LCFGyd1{MVZ0p1%VE46#(NSHXS=ch9Kg8ll{5e1(w=3w z;=5Nmj=6f2kAK{R{Oexol3XmXKmv<7H$Vq1}8 zihH~!elxP)c9DI<<7XrNz@O2H?oL^&lE^YVyb)}eZWH@zCc>%7WnYl{I<@w%Tk|gL zT;PcKIDeuhrA)Qk9^VXgD%s>3^;Tz4b3r>&YoFvgD|~!EghI9x?CY7xV$Egt zDKny2`vd!mnfOri1^Y8O?xFS-`ME+jIV+!Sc#W zH&Es?7xVlLp)X+xO*VwQWL$^kHS8sQ8!uaodC9c%kYW^#c8V7+Cej#Fc;tR6jed*A z?T1>nV@iq)5gWH%SuBf;P0GeEutkc>5-~s7n8t1iCVuM~W+^QJ0>M#j!AGk_QCYW) zOXP|CE3W03Z|__q50Ef6@Hx06hTKK^l0V&m>hgI2+`(dHI%h&{(9!C?Stqf-2`BZ1XFWEa z=tWG@HW@GX0<-rEn8Fpj3g-bVBf*-~xdVvtf|;o$1E|Y_<*9Q9 zkgElAQ!}>u-lzTnp3aCwlAm>IzgJ^;pjv1G74L(pC{utW{-An+2`fpiRMAazNnE9R zw#m;^+=Z>tu5~Q!4{c|JSTalzl88ylE2i<1m>Y^eObLVR8_J!g$*{N*(|7!>PCEVp z7S|k4*(mb4w#V^L9n(TIg?YrI_?&He?GGAP{Jn0;FmG)Si@wP zLGmv0U|gPZE>J3x?kc7Lr2_19Hvy$0Y*tVvJ6fTZaWZMULc!2N9U=3{j6bcKCkxDk z1&%7iWx@iNV^6Gu1@4SJxGwKLc9A`+Zq|MLD?6$#{P2=GE+7stafYZ)n20R57uSJ? z$z!N*$$5omGe;SmV;#=eQC9 z`v(a}cO2t5whj7JZ+{-;Sm_Rt)1feC%jdgku1RAB$dB8@;v72%aUXndODsRQ^r!4M zr@u*IkoaRbj_x@>vNJ@$*rJG);pIgmK*LWa%^U$5ekdt-1Zeo#uAV`nOp68@KB+O* zUpeSZ)xi12?2OgG`DVARo>X%f%4PPll^$v%XO?oSGp!)<8->l8=qWvno@QI$Tv~rU1!}rlCw;{j%oX2-K*Ro`ML$Ruh)H1FtlOaW!rD-&deNI zzYb}Cx^74D(8hH|_J7wcD$kOyJ7T}HF25>k!@6JXFV^klWv#y!Yf_Pk~^g!<(Y!u%xM;PV-MIqR$iJX z#54;9mP~+BNFe0fowha3f>a&ea!@l=)4|o&%i7m{3`B*NZl!oR< zalJ|zYCfHdDW&J~CvXX+%sYHPE@}V@mXf$W15mIO!o>~H=kcd-{RfyY_-OaU+Sq6y z5_4)3qk%{~t~UO(VxcU)Hu^&ts7#lo*Cza|So?pKkLW?nwQ>4p zC*q#kD1CDiu~T3(wPnhaMV{Sj!gjcuMy6a|;CsAztFAfe$q7y*ZR#HK9)TfJH~&G? zNPAl3oIOb!7wV_hAA9~H`GR6KSbshb+lc(2kCHaT;;Lc^VP+#Y|)#AX|F~)dXk^%)qjOGO(V+ z6+WrSfb11MuJuDShu$1#*$S`$_ScsvrU5R(8|;NQDuK2H+DFhnf_6N#@$%)@P`u_F&0WaC6Ru{GOj)_k-5m?Q_pCpy|P?$W=Wzx zV9wjIAZ~$KYL5bO3*2*Ug7lUd!r+fBMCT-rcnnJQGCog9SfO*Fn+|ctX1zG=m9wZm zVeGa2H<3?$21YpK`;`3t){LV9RNu@<%tgJxc)7r*n*OCFfitl-r5K!k3i}{pl%i=c zep*1R)DVmZdc6*6W*7~cutPIkOmPRzDP_% z(FLElT4Nz!;&h0`C@{@Y?=eNjhC^mp5FmnM{Wifw5ibi2JAP+hln1}0e9H3|11G6S z)einP6mFm?dzs!j5p(cMp-PA7h7lMs-f(FD6K};R50Rj%p zj{I)iTK!|Ff})R*rdZPZsmsW>EYa@h!PpHD*i3S%|3_d*dW41ePP5Ju50o65+A_`^ zD&cHbt+f3kJ+?jzK;VArlz-@oksC6xOxz-rVrG#qf>Nmc}qL92WrM>uT#>u{;fX-ck}v0 zW2ivQ%;O(qbJ}hXhQ3Z&3wMq{-4DH#esk)-_MmJ(nf*}pjuVoZ@K9aC8J`(`NimO;kjV)}>=35ciRMGLbVW!0OXN*qb;tB9FsW*U zBo8rN`?>T)EGk`Nf&eqgQ%yrZ*E*#;W3gr0htgAWOd`mfoH7w4iT707ob{L~y+rU0Ef zBMp@+oR=y{L#l-(siHJATClpWybHI<=4-qr2gAk`WhjLAlfPFz7UKJa-H^_Vrl8uS8|r;dzrLzb#7tpPUqLsVp|XuidC&lL6F;P%I6(Oqex|)j zscQagce1>afGpCz=S6XWPIrgDo{MUshN;FmBU~DmccE5n+{%Iiup+*g%b+oiDOIxI z-juuQZW*UHsRuLB9@#v%5gSU{%V%)0^J(LG8@SYy^vk>@+@O=R)x1?)W+d5{C+j3d z()8c|^{UoG!oG>FyQ$b>-$QEbVPjD4GgIaE- z)h7+q{Pz{xvg2?47Pyn-I$-w8rmusSx8R|gjhmlyz>JonA__RJo&v&lDD~(l@W<(B zQbmFH-`Y~gazo%K;}?F40XYhq4(klw4fF%nr5xX3sGHrz!4I=nsn!DjH(`}}zaX@) z@+Ce=i0rGPVP*=$`y@2n13ky6jP-x5r5Ja~Sp$?P>tz$IEx8bCU=s%&Uzk@JO-1pF zW1EV-mq7??ea(5)X7{uS9n(tj3mQLT436x*A=S6x3;(Lj?f5okr!~DFLwkRPA-!2t zsSa^sMYhM^tBzzZCT^yO@Q^jXV%FO41bLD|pYcL#Hljy%Ei(DPjNZH)2n5^8dv~^Z z{|OA>Eo0?sv?(H!^!9!}CL$S5Ekl}BJA3m+Ev{^C({a*hI6oMf4=ng<32zC#cmu>UVPtrmrQOo*2^Zf+mc>?>P zF6$O=Qh(4%=1L{OMC^_ITQ$QJ)|>dZI#Gt`jeoOc=cmzo6w5eqy~%r&!JLV`am9)% zPE;=(Te3J4dJ`Th@R02&I*I;ExIx65kH8A^L&fEAj=^t~NQTh5Q$M_gw}R>;R1bv$qZ0zd8rQ-NgB%rV?K{Zr$1Pe>MMVf{bz z0zM!fXd{frGkuOSzu-mG2d6P&c+`4I8vP7!e0^XVa}m$Cp3y~n#XJA;#g^uhRUiIu zC2l@RO#_LWp*1bv&c3t#lN&cnrcT1l&y#J>%$*i+vZV`!+sS#jHX-)uQ@>(Pe48}9 zO{1Et&~8Qj{dDs`x88?cSaadj{lLwaA6!26>i*4V4ZqAHPTzl_{8Ym)4;MI&jd!fA zXN)p()Y(pEU-_8N-`eNAE$+!I_6G#oKCy0xhdLDZjhgR7u@aM2;ZBNq`WW^NV~cvD zZ9&~dGitl;ytLJWCon&%$egFHhF|h@qtcdMM4rA$+SH4A1F~5Ea(r0{msEH`dg`qC zc%m*?+TH6N4SFA@UcYG2`?%EWdm0vibG<|m6SUkhvE`75+70zqTrnmK(kC%CitD5V zf0XhoIH#fIdCM>lbYD9rc4D)j+=6NIPo3FKIITb85O(@@N3K-|$cU|Re?oMYo(im~ z)wc6M|0Dhkl2eWDNfP!mgs&ahQ4oyL+Yd>#a^FPyO7#LKNs{cZraMDAio1QwwC^oT z+}VvqFdf;$LXfjPGNj@gYqSG82>O%Gv&bWFY9KCPqV zFzTj_2^>Dk2)PE5ol9Lh4{ih;z{>6Gq_;hx-O-y77xiJ*|0wIc!#MoBHdO}TnhXGK zF1OVBBkP>w2;eE;7T{li8c;x(?fQf;yDn{ed?q88-be!k11P!l;N8c4vUeW$nPn4U zkJ&{b)&~>dp@3ZaVcl_`9u1%g@ZalQ04)F>?$HBa;AXhL8SZa}`?J0fVHd$?xeTA< z0U!l%5wH^Q77%8N&5Y4O-D!PYbqj(im{uolLG2eTcI`Lg#**$SzA`16fn#wV4)*y~ z5T2#;ZruBrD{QVVMFj{JG>c1-2L!X5D@xH7f;G*v2M|vLGn?mD;a6!Y*=O4PR%s`( zubD$%lGpI74Vd4xLA=cnLm~O``28dr<*c!z7NgTdgJ_|TPMapGN<&8h@wK=Ma|-;S zx@!F{keBlV`u$tLOmCHQp{RPu=K}odV*9;IK_o1ypI*wiri?d%)653N5)-p6c?Eug zAWT#;ihZp8VBE(-ECKh%T@t?w%%!}!?x+GvjdH$wf|=ileyx4W+vG;qvI}>;jDe+r zR_|9pU%)#7nj$X%djTZ|0>$l8risJSs5|+q4TvNsAC>fikNOO#A@j0k8`l7K)?&ZE z8-e@Y4$!ANPD8cR*Y0lR9N5Ax&1y8}0#2B*4Z0X|!!7_hg#TEcXkG4>s8*PKheIF4 z4wC_KHWhIeP6nW86!-57F%Inn2y^Q4vmQIXkzcT~xg-K(og&;h zt8PCF^M;|ePLX+;%ZISrLCr9=p2$jmgN?9>Qi}=b6Slh4$|l4-TS4717WNGg(Is45 z7JH$h9?oc@`?weO;8gy7EY+TsI_*AwuN{>tOax&QimO?I3uPR#M99X=OP7IU=Vy-k zPQR@1tLhlLzpG^sdz5k0I$lPUmyKW_Xv;0{WMOiCx6f(qztS6WzwX#-dj1bDGHMa3>;%Hl9+7tul83kXT8%wUS+QbwQN_p{~+aJaL0t|iPAaUt1N5X zNrU9KVXjM_(2=J7qEPvk`>qN!n%Sg)DC-%BgCB{FR#MA)h0ML)zce64lCbw+mUNnLEACyBoF{0 ztiV?Tw}r9qR^`|z_8MdRsKbP0*WOs54$2%&u|OT9I9?4JEGZ_MXTsV@*PM|82h>N5h2K-I zhDlgb<@*hI{!Oh4bI1V2fQ#mA%k%n8imw0(oh6F%fGx6}h>v%FPJMklW$|jnFT$c` z{wOLyxUzZLDDr?Xr&&lqRM<hTn?_Nxwl6xc1eO`GN z5DhpD5R5Hh&uqthZHw;h?>JZx{(Mnd#>8 zc3iGKFm*;dNE1y;o!X9%u;Wq-mtjuWqf_&i;ofVL9Gg4oP4piuXzm%Sx%H95+WJV^ zczo^+qb>pmk) zJ<&-`h3wWl+Zkz3CX%6fV43QkAc^cj>h0I0T91Ds6jv^A;ybFwyvm@svamj|ow5jK zG^3sT%2Mf$kwE3+A5PRDJ6W~UNg0g%fI0+fo_aIksxCo#$n0}fPr$DC)igO47`#Vl z2dv2k>|s3@#=?E^=Vp5Wt|L6q4HATq!&6iL2VKHoFc*$?-7-v^Mz~bW(*+0z&LSUeTYXefciqX z+;z4MJxlW|WT2BhRgucQoPfiW3`L4yitAJxv4`Skd2E8h^^=N~!g$ZDG}LqbT?f7& zGZuSLbxeRd%vkJbs>OYy``NLmA2r6QgaUyC*CDVp29dEGK~UYSF6i}v~#S_O=xrCBvpcmYL1_z-XdTEr?=8|`@~OgfD)9^%x}O42#GL@F$aVx z&B7u7OS)(5L&NAx`X2U@(kaV~4bM~Tf%FBie;>lPJg*74z_tnUkFb}X2a25tb6FFbZc`gg zEMmOY+jyzf>zrnq5orDAv~fnD^)J!v;LUWWnrCI9mT2?&%iXNDh*11veGDwRkp+|@ zSaj3O{47{>7x6c_gKI%$)X(nA7S2GQg{Wm@HD)LHvcehTAe=G67b?@ZP)oL&x0(yJ zWbb&>xll{yYuPCauT5e=U=uWi_d#GYt~O<#@(|3L%M#=Ya)R|U8GV>trrss<8;<-= z^^GiKnDd?bf(&h*xeW8v7Tq^@8E%S=(O0qzd&`zycXQBxgFeK#TOKe(tUVIh8%<&J5E+4YwbC4t$s z%}J5K?9#*R(wYhTV0Ila`|Q(ivG}-CBykVvoE3r%9hLJDO9goyW%E(L3Kn)$&qoFc z$~wgJ(T4`x)t!1t|^;rae|<2m@eN`aT0mzi@GAFypamodD~)(B}1w z`l;m~pziWYk@<*1I-Vu$M+_@!Te=oH`fqwSQ|+s8UjYZP5vifV$OMk71~9j83eT5UMHH` zgov^ihzgrfr|h+LJ6Y&^ntyl|^*OOIcR`Q@S@#(WAFsjlg$K>f+amDrqhi6@gTyz>}u1vj;S_~Wqb1iGB}fIFXyniq3{`ZGGz0MxQ(#RodbqG%=2;xz0#) z(P7kkeF_w>M8T}Y-ql{Ts+~cp)s4Q!N(*h?xQCrFZbNgc((OX63l z7I2u79MqjW6268$jm^Ho1=1B6jJv zJ6a2nVcJqxXDz7V?X=eSV;bWRs;C@fHg&OMHB2ws*VedxRAYFhDg_q3PtD}Uq)PQl zL6GaSTHhY(ZivjUIDh;btAs{!i`9_0pNAA-HrI5dx0Da`2uYY22cQjU!XlPyYQ}+!NhGJHxe^L zA)M+ppQPsJaWV5L>y-DMD`3e)U(#*lr*moZq4vd}8~l0{nb6ytVo+clJ zu47|kLeW3jUpOk=m^SuN4BcL0+#?SxWjs*CJ1a!9vw*krioeE)$O%J zyPvn5iyk14xBekxv?VN5M{@Cz`s)rHmpDLu$KTY6NF(|3b346GYV7RUiBKL^+y{Hc znUH5FZAW-_Qch}T8xKhQ4g$e;o5Z&g2)3U}h%0D8kZ>S(kq`0abOv-$=kPWf&U$I% z#;Fep8Fl(zpB3~cJiH;Kfi@9{KGX)rbs+i#HPF{vmYdRsqc0$z3IqCbTd-4v6Z@vO z;IHYI@>hzHqhvb2M(jOG{S;_ESOQRacw)aH_$p2QUqT7?HB#`Ld_%cneQNSI=T{Nm z(AQW+2F!Nt{M4!;M4o1Y^kf?`Pm7aw)q364(CUsAVBhQWr0unYP|{3GWGwU6bpIp#|-3{9(ZhvT2@(NUu<`B$GhO5O) z&jRtPMNpX04uu)iBi45&Upc=4`^dIjdSe(@O?zscZo;>QZ%`dDF|(J0h>Z|UF>AeO}IC>TOAzW5oj&jz>)umhl92K_SVmqEV_`rkqSJLrE0{qLZk z4*hiKr$av-`d6WU75Z19e--*`p(VZgsI01ppF0EqB# zKHv-4YD5?e%7%v<;jd(d9zuK4((!d17*qj+-oc}Uz3^kV%tY&jAG=^@U~@?e^17g+c}@#@ycb=qtk2qWmtzWBoqk zg<9+$+fH^x7cN7SZaiA+`&z%&sH}xG^$<^I4mhcs1EJ!C+Qdm9dK*z2KS{ZDnD9`* z>;rPz*Y@ScZNs=q-5cYl!^jo1Dg34VzFp*N>Z_)V+L*r;f0|Ov(?-!5`VS5U7cmr% zQ~5jn`@}=uleB!}WftLvCfs=5>^l_w2D187j5p29QBp3yh)Woy+_C;9!we_osj_9X z;e^dnrDCHK)MO6n4?&t?v+LE&T3^>BdGSY z)M+yyq@|3CuNiYo0j7Tzppa&#=|aa&2uT9;NwON`Mmp8;J)RyQ88L zlNGZMcDmzSDvAGTEnRv;;$2NUZ<#Ke&>H@o;(#ovHR?O%6WNs3h;+quSww40y7DjC zcnSNeVw)^M5_wf=mra&Ho!=?vf{qy@n6K=~9aBee3HH#A!V&CwdxCW5ApSdDxph)! zSUdBg($Bf3L)?u_*P^ADGrg{AGOT58#$fa_+zKXc`{U7OW z4`KvzVhK?7QWT862*=^u90e-=A-E_xfH`7x+=C_%&-@4oBuunU8)YTwBL~iC_w6)lGYe z+M*qDtTf=O80)Qc1F?$!7nHLhJms{+KWi4O`=}T-rS`IQiY8NRZ=_Cn-;~+QDO9wZ zB736?l_RFK-tY^^iNb*9=`Gmn!imlKE%^2JE9_%!h~M=(qoNJtqq_&r#3&!|Db}>% zbl_7AJA57Z6mK;{IrSA@oH(e3vBomNxj~dSfL$O2CEGpx_4R0T*#Md@*d!7|X^J3M zRB=)P%0Z=IUOb_nRSM?Ce*Lrons*)OHC_P$g*j;;gy36utJeFqu8cix$ZL;qX`Q+j z4xm?!J+=5i&7a2OX77`lL;Q1QY#MCu@0!rHoJpW^OD)iR!=BlI{8~`nS2cvI)T}U` z8uqW$hC1^4sa=d)yrBBYwFw(QT!>hk^0(@cAgAu~FmVMLZTU>b9%jEmgxHT5_p&gP z^j4#ug}R};X<6=E0o9-A8~TlWB9}HwyTeQ21`j3YDW1t9ha>WoJ+idnn7fJ(&g@j) z5D};Aboj%OyQB%NwyC8ZEaG;JwyVwfZnX~_C`4N~zHQ7l{{omMo z^Qfk>Z(TGENoYg*gdRc{dZK_)5fe?2PCDsC#28VrLt+~hF^x?c6tG1_i76ZG!4?%I zDHFw#Qm87GDk)4wOR=Ohv9ydz>6`hT`|i8vj`PMD=ZyQZpc>nm*>M({zz2UWP?A4^1hQL=|W;l5OCnQqle@OAY2Kz_%>=VhUH7+1tk z?{q$@+@mjGqmx7C$+rLlTiN8{PhGB`BZ`%j^}wUe?Xbmx)S$;)J*+y zQG^7Z@8gue3-^^Ek7{;7aK^PWqzHmD9!(Js<rd8>YOG&xA$f8J(VgD?Rn)Vy8}K ziqmIfp7jI=`?scEzX)UouiBkDgSIKqP)aMe8L?D-Uq8dL+%v5x7ksh!J{ZI z&c=?Cf8d8%Z*;q-5T@&ijno>}IoUVN@tm+_(t3WjwY?h%s8laq+cb|_a2R2LL&T-Z z>TzIUU`gP-9Gv%n^I33y0?u9F`~{qwH822X;0_8*5Cwx)!=Png8DI;+ zT3~{%b^-nCn^%u@ytR3r6@>S^)ILN7$%8k~7Sj#tO4Cgr0{7dI6`-!@e7>SU-kf@ze z=iNIY)nkbG4fY)+Lc1pob6JzBy<7=};li|MDPG!*xP9?|FS4u5j|fW$QIf|CLs zO-lxxH!B~SWBafJF~7*y@yA!6tpv@@{rY(EXhv)mGT3-pco+o!RNI6XhMaCG@0nBj zFavQ{qFPkCm5Xi+_ET_f&M%gg+4t z|48xHrVes4s62;5Re{3{)Is=bbIkOs*-H!onE|(h@eC2_^XDYL*n{a=tKAH>L5yNyh!y*w;GBX;x zR2gcPf~uH$u6b&^Z)a!`#9}h4(@sGwW}$Uk33`ya$goc2Cz*8$7ohYoEBl>ODEsw! z?Owi6qh*RH%3iV<-J<-{+|iFrp~eCKHr$>yjB3&RYKHoI*{bViH=Cp3_=5=DkNFZJwVA^jEx6wE;gV_1Tpz0!D>_oWx$601tPf9#T!Ul0& z5UdKYICH{NoHnSxF?VvXvC4YAz{c(fNrCm-r@*z1=!njiMp;Hz7uMjv5W@{!mIBq+ zwc8Yahv~EA?v|*Ayq@Uv9klb@d2F8w;&N^gn_fZwi@S;)@QmQb&4!wWBn`K!o$-vA z$t`cEJR{%b*0%dn2vJ;KJBdO%$^DmZ?SGTXe8m;F`|l+9aMRm~J4ySw3!q?4@FnRe zP?ZLf&oa??R@^G-BGX;1yx%2KJyW~A-y>2pL96a}JE1P-CboMP(9g=fLHi)09Q{c3 zh!p7mE)NUYr>-b4 zU*liRPgv0CKM*`hx=xT9Ur>R%$)6WrR)GxW7scmSpugd-iZ6YJn8VMmZs~?t=@mms zBQ81ctz66ukmNqYJryA1c@!tIASgbag54n?#tSHzX#%#jfdy;0q55E5h@@aAs$TVj zSuFODOxg*wuyf{!JbZG@cR(+>Xa@P%4ezBKk(mq>I%$R&11cf4w&v& z6$%|rGVPtyQdPNmiFiCGWUb<+&Qmhw9p;U?);yu_U((N>Ms78f97>_AmVYB6aKf;P zdLzNw-T{JJud%mH6U@`Zah#yd^83bk>lFq*TTL|YWPsk`H~KxI1bapuXsCVGkFnHR zPcvY#$W!{p#IY(Qgb~&)SS)g?F3ob9Zw#p}%7QOugqhB!jzs!{NZJ;|8w=68MM7yN z*UKit`b(z-RYu5>Yb+7f>B$~nNcW{(rt{`=5_}o)zT%_>W36w(exd%D`47FWR*w7u zmO8O)G!XE3VQjYw+IgVk;VP)hVVTIYg8ml|-;Q`japT3dJ3pgoK*QGQ88s6$Y~7#H z@A8oCkQ)*OB~)A~w39qyJC;IS#ACPPDNvEbr5(8wDw0IByX>UxHza~+N$@54Igt-5 z{F36W2-i{6=^m*(pw;z5a@VigbN!IqbzOTxf+-+>=B~6wf;@?0pCu8NaQ%KFEtURa zL4ZVw{FQ0H77}GbRF`?@I8IfBEs!pPZ;czoco^4d4@m+JD?Pa|^m+j zhjAa2?&k7FqC0i3KEBb*ojzUf+(@mX{H$j+`qk0K>KTpXf$(Lr&w{G>qGw1?Wv8&Y z8|P2?XprO~vIrOhKhHUfw8>DB=afYh7z*;-lc89~7J-XZ$#U_h9?{RyB}mH3&<6=~ z^*eOYl8l|`9L-y}W5!5|3V_a~HiN2jXJ91cnYlK_o{-Z8iPr6{_<2fi;n7x?d8$|X z#d&T$#EXWqJX{a?k>aB+wj^-7eo~`5P35B(<#|R!nW210U?F>eVhXBAS|**7DEENxjQ&M& zz!KJ$IpDqu>Q?%Tfvq`CTFjr)ma_tNR((&{1zIDpIQj}695xSO@ygd`?GSR1GQluI zN0-bP05Q%%bK4MlkhtD(O&1`^d53$WL7LHQkYiX4d65UqtqiAaDuX$g?F6cos21fl zgIMfoFBpLGE{Dvc+3q#5n-x1mPMpwGd7P1DJ;Ly~L7Jm~WJ$4Z?Fguex+Q;OM4Q*K z@gsr$P7~EOb2uB>Od}h-*e=b~9iSuXY8PZ-y3||rOD(gl*Cb9OLH?K*#yMt3sol7P z2)c2HStoHVBjqT%jHBUx8Ql?m5M6Bi%X~tD90@)u+hBV4!`HcoKI0D4<7Dn4Y&UD3 zJWE9Dj-Cf}LVS1RbNOPCe|PM2g|7(N9YF)q0n}d9WfM%0(dY9{W&10x6-0BzeAw%!)};ECNzs>SD}XQ&MjBV%+a0eD!IG zR{>!>513~Kq-(sn?YIKsI$oj8wKeQ-*&mj|+{weZMAIVkINP|^&_TpS6Eb&-FR&5D z@MhX*X`~;|{N_*l(cocwCtnNp2@DIi8EmIyEpH*DfaQUS!P3DNBwr6C=ES9Gj?ohw zRu7q7O0YUGpbZT$a+sBVU}P{KFgjR34znZTAisKfvdX+$LNc7Tg~;(>+rYAI1+sf! zv0!Jw9$Sv1es$Q>3%ArP>Pu0>CSfaM7ga}9}w|Dd5( zrQIz#@(Z?JbrV~%bLJ12d~ z4433RL&d2Egqyn|-qH!BjB)ccBf@Pgc)FDf53-z|YrYlASSXrWCv0S4X&Q@A#X{~@ zj}q=>x$V|06K-O87O58*BJ+@^XjFrDp35ofF2lGy%qe=I0h{NsnDW37mWN(UO9VAQ zzkaCByF{1NANz;Y&$8BfuGMXoI;1T#MDeQy+cxDV^g6+MtGW{Lw`#Mwuo3mQrog(d z(y1JxkUlyW4wThVFyXtpz#P-(-WjtGqAeIsbSZ>DqpW8-h@Ii3iVp%GtE|#(n{t(T za-Y915Z~rl=2;s%Fi_49)1t(iJBFN7s0Z{eeISl{ReE1gT%A9F%~n;J-TM4$BGyXJ z@^h>ghcGu_`EaRVsrA4RRIpeNG0=ZYc2gnE7Z}d>l;ic&#o_kp?x-%M!CcIC8lkJSTU-C(jv>Ugmgz|%c4QptFXr7oN6g=m2EIa=wiZR&PXYofIq zyRjK+U+vCrOok@e?A_?OlZfF?&vORGFLzCzds$QlR3)Rdvd$oP@u%m4FlQkjlMBL} z5BQ~yx>pg# zNZ0E8TjTyvV0Fk!mbaW@n{QeEz@S0Q0?UNntWM8;8c!ho zI$eOT4AgSy$n!;?hBVWuHRBbRAcr&d#%16VMRY{m=req8+lsFuwkT#iz2?6{`DSfz zUJY`b$r*9LRIJVHLH8EcpsO^$A+7>J@k0|uyTy)tSJ#eQt7_5z$)3zzD#pH3$xxp_ z-mI%BRtr2fcV!+LtA1keW3Sd9u=p}4lbzjZFANP$Y35ilalr4X%RulK)Q4NAP?Jq_ z3M(i0mk!{ka+2R<~;M1fzX@_xhL^oug`Ki?#+{eckRbV}h&(Kf#MOI-QFTT72 zM;3*F$X|F91x?DLT`}xz+6}Z`H-B}Xi$Cq7A(%bI@D0#=qc=xNKsPnVxDg1}I`eVH zW%GhO-(>Q6dU8|-6u~4YUI-RgCuPlzpSlzI;~c(%E*NXg?^zT-xy)_eEi3lCNTT?e z-D&X6^Xl1}j(@H?A*`)i*%o+fTnS^2Uo@})L}I8^I@&*atC#0)QyuQ^oLdSi`>El( zCY!LZN76QKUUCU}wErpSehA&Kpxc89wSk(hCVa2I z3s1-qrP7)3gd9^Un*dM9kSuZ*w7Od=-O8zd>TCOe-CKn^d;96EJZV&K<73Un&EAvJPx+V-Sy>H@GkAg@{Bm`Il5Ma3r0hVG2u$+Ye%M%E!+}9BzX{4mkV>HX7_aSPM9JfpaZ5kI=w*0ys|r=Q6PSFyJHDCNLA&5wKY> zs0#+|0V{^!P%&)3!USKoet+oohTrBaHw)H^chkL$_dB~;wZ93%Y*BHeG*2Xrf{}Zg zQx?zi3i1m{}VYn-d{WG9RD z<+#tNpq-d<3hm|g4ieD(3n~yMerj%61uB$3FE_sed4yk-TUvpBq#V}PbtAu1H*1@_ zG2dwh%%zRE0>T=epwY8{^pQ6=4^cqG@Cx%FBD0CNG7lm$0^U^k`iH9(rMlSGnC)D@ zM)xxi$+@cYPb0nOovTDt5j=Pk8c}~}3VOK-C=bD$2If9#K_4Z7O=JjR|pnlQJFiZuW7BE6C zE3?f-eO@aFGr3dxs4Ga9W%qPGL(Hp+Gdf(y)OSw5^5c4E4&c`+2erqBK!o##c~zh1 zJK8_I05;+s6%8fIaS)JkLK_3)bKSA4L0ltSOlO3=#wtt`gvt*3K)B>8>U&t zS}#calY`3<_n~I*ShinsT)9&mEE*@U(OLA-291a&N$%p8iCdbd{!7VqU z;)|o5{xUM-XGLS(jHI^QXpF`&tJ*BIGWb_$l1yxa0mVNM#ywUwU2 zEiz);iWWnS;iHFhhbPa-aW$n}c>jNQ|Et%b=H4EPdVTBb^siBWf1UN~j!EsF5B!={ z4<dUxBpg0ylfC;tI_|N-~UyS{qW=C!k6-~@KlH2pgmg5xl^nc=a zO=6!6IGWGe7Xf{`y`=xzZtEJyuzh=A*#Cju%2ke|ec2618(R9`cUuLH-3wVR(;^Pf z&1lL9ikbFpfos5u!yAuNbfZJFjt~ww9EKbY{P_-tano)Vxc2S!KANw*_Y+)!6-PHa zToWCx$N%N(;c#8pl<}Klo5Nw7!y#oT4d9In0S+o~%y4KQ%;5aM;n3=En3U+4;e=y` z+^OJN)7uCRY5fj|ZygS#ByebGb2w~tID{;A%r)CFm&^YDU)Lw=w(sOd1rNKuI6sC|w}mDvCom;C!lOCG4=cYS*z8?HvtN@muPwi9GNGHA!gM5d6p2F~Wa8;(~X>)RA4znn>80T$a6Y4YhPC zv*%>*LelK=O+`DL|BAe@kKa^$=)vJlj;_-GzU#qajXJXFv;*reb#(tP?MlxvftTtk zeXA3eHdP#Y0RP0|A+h7|rgj=k%vj`@_ndw+dfrDZ&`?fX2JI6|AaoxUtj%AC8AWbSqr@3C4$JxaBtb)I(A2T z0&i00`U=&3#EPx=5d*XqGG~-?O{x1N%tsw9|TmIJhJ80(LcI zlKJ=12BLnE9nwu;z(0_irtgItJ1}*W%aHDlX2gt>vmrkqX9f0yCRTWA$km^4Sti!` zNOD&|n8Guj_YT4oaq;N`_%O|&P(6e`s=i?k>hny7jNB`z`Ty$y?jE^O!I z2(025tkGZSa7zw;jlHj4r(bR>^TzeU zOC13{#5smC8=@)v7RXxi+PHN%Hb4PAqQ zkq;;Kk|GC|0w;C4r;x`RUWn+Nh*gSdB2W9IVazObvA$RoU@z@-y+Qm8#UTigQdTfq72; zmg&<`RDq-N@ws`=oObbJbEiMU7V`abLA?8cu`+jN9WoIJv%p(bQ9x zUNyBO4aGi}`iuOBB50shL+qFt zjl6Ce)jQ=BV!bJ{H|G@cAIQ1lE=HhDVbxpuVHxzXKCm6_P8)9^wEGN%{tf@fQmX6w zf!KOhama#Tgbg~~G(8q>#sLcfO8|=oD=Pzar;~`}h*;S% z%XP%TZ68pQut7KT&yqOl3kOgSK+vF@u(XqYHSgfl>xl9?Kq3Iy-0d{)Rwk-Py~nA^ zFU}=CyqqMg>I~6$O46ktZQBuUmQYZ%$wWniLy2#kOB??gI#W>FmPJ9_74X_7QBYBW z*=-pV2#CcGu>RZ~@mf|Ba zxeiLTmP!Y7iIN9zv>yF&zsMee*!tQ|AA=7B6A5t{)w%A-rMG5~J40V%m)?$|_>w-z zZ`x8YZaD|43d!8G~SRS|`%5#lo&mDBl8$FsPc4qYBO5>o4?cY$Q(j zJn0itmi1&4h|r&h*adDRSuvH0s9@6^D?qRsuRII9BfQj{)ey2^nBDyL1+W70PAIHfBoB6eo*wIuQ$-`D-t|S zUl)6IgD!Ej2-6)|1Q8Qz_m_ZkU&as*zQB{7^0L`3&qzCXRqWp|uLIe{XVhuj6gKr) z{f2qot8+(2YlUErZS@HL=wIaeCrB2ASxv^9+78Y~=0vfL%8IywJHr2*_43DEw6BkD z&crS$Ts51qdC%+Y#@DG0xx=yF;&tnu4hjrdR&v6$3kDS5+#GXe(_iuq|A$M&!8I=kP?kNW$^rP% z#Nu!`nP1y>X{`7aG!>8Dh`0(8f&0zWoS$ZfpeOK`^-epCxX#b$opBiIkuK~lJ`8-) z3)+@6+$YUDp|l%;Ro4j{x}C6^9-*=usD-D5P2JednqlF_Ze$95tRb!O-x8Q1avufc zx0u(MC(2Iqj7HCz@VAOL0;E;Ja;~Lp(&y;n8KG)viy$w)l!ES3T7-2hWGyw+P?G0b zM?L~^T5J}Gg7Wk5Ss)5pk%!D8evlp4F`L37WiKtY@skU%qXpFX%mUz!FEp=)r-~SV zr{(;W@x{rIGrq-8V{X8KNv-51O{mgRA4AUavjG9SJ=N>;LqgENi zorde?I$aZa8D5v9LL3LVPB61A=O^?ADqs}xW_IZ@ie>HgYp$8zC8`I}DK)Y`6>%$FD*H2!R`836y zq^S+$y~Cv&5e)_IPIn-Ktjrx7VI(xZGsFKmA0)3gc|N>Tbod7)p2b{6E;KnQhT@wnW5UNz0idD4hR6#ZR1(t z^MKcYZw-7d|7=Xo&FjJKGNP)xN}MA#0}C1tH1wby^A>CO_aX5vS;{ zSy+-BU-U|oI5(#m@!pi)%WX!z(fnve+dL~-_uz@JOWVkC^9Ck^isQKt$VGcQocC$I zLWIZV0%Z>`N{qQcD>h8Ac-u?La7&CHy+s2~e;Q+Y%LlN2Mn7QYL!^$MzPFOewikp* z?=f9~V<GAkq4|nIrpHk55AqvKKYP|;+&_G5@2m0o$bv6V_F_)>6OMm-PA8kz@3dK2jFp zw4UGRoka?O<>5K;|8aT58py~xpnqeT7C&PJb~&FOU%UeI7k^CrtQ9ynzGqwRJ0}f4 zuC3%9Hj^LFR`3pUSJZ^QJEsX91=}dto`mg5*e-(YBG|ry?JL;&z}5%0`(e9(&RWzA z5g2SA!}hV@P(@Zk9%Gmy(8uPv57SJBgZ5l$O>M(s+-rz|4#HP8ZZ~m+c-Q$0e0w*T zM#;P8cp1Kwq*e#+Ci()j1-{U~K{EuJTjx66hNcag%-zIh=sgC_mnEszoakT<8Rh_w z`7r)U(;dS{uuWhlunp`TicGM(U{PQv!4`qN0`svQM~!(p4O3-0<~pz~huT3NJ+{MV z^cv2MBZ)f{Mp1Mc;VGBI_J^YO+)->I6t(BNvb~_FJvWj~g`)OcZ?+#4wdall*)RHe zF4pD)@fO@(?CvWCJQ_`=pS%#22iHBM@n7LB@M6gA9^U_Ti`lC3bYQm4q-`9+@8z|N>XeG22$uH`47NA3cjQ` zC&ID9SIOUs=&gaP6rYX$xp~8wUB;B^E{=zsYRA7(kqF_=Z{56+nJrc*^v>(?Xydb}$EiXd&&<|Hy0}XdxEs&oj%Ow~!y{ z|FVpe@CFcZ>iy~1yw45WAxKh>M+KWSo6q29ewvJpGJPxvK+$zJb z%KipAeqgF>8?ey$b;tvj21LuPLAP=5QXhY4tu=~NMOE!uCzCqKY6u@?KWGe7!Rlma?zzdYyVTlRuo=*nmeO1q1=5eQ?f~N9%e#Ki^!+xixt6 z#g!LpX8u)s@8Z|X6Mz2tKgfca6YND5 zh$({9>Z}UXZPRu0#tzSB$_K?XNTDvRz|QB>s*5TxFZfANqY8gd<7<|8yTq!?%#JCsm_>p z4`FNJMjikGK&S#WQ4m)>JAwXj@CLYSr)BjuK>sa+mgS z2_{1|25!}fteA(=+s0CBM+xpb<$JheBjJt>+(t4hJPDow+$0%`q4Zi(Zr);Cj>gaI z)lLR76?bmCPXTeM?1ad(6%wo-n96e}ccK@n*K2o6=s(fk$i`XL=N8u>{s8XcdKu)HR0qMo)M8 zM}u=7qLb+=Z`2Vag%s>r6;rsA<(jGy!80)amYO7NX1Uzbw*&5xbrnnPQj4rZ- zbwVc4jVp;>C=Jzxl>i%Qt}dx0_75o)7>N;o$O?5Sl4%KulY+@@sR>XeaZX!y0`isV zyiif;nxviwR0w>Mh6Yp!mrLp*;r>dGOPVC%mP%kTtrG45La+u8+$7>K@qqrZZghXt zRq1MeF)$C&Z`7~N6Z$~5eJ$uV##s*yd8Clm>u-x2ku636w#Iuk-8#LjSpPyi6FJMc z5>w;#h}t%>ie*08aY%ahX+8>XRN-%^$bRt9;peLc+Xe>h8lUiS9B(ihm_Ha1%~fEtUc7BZoa5 zz)rk<#iPo2#iN!uOE$~&$b6K8s3kv<&VVA}kW92edDDD}gZe^wA|G#I*)x678#GVN z0bNkLfL0ft$+rgbZw# zeV~B7cL?&*Q?#2vQHipi7uDx^0mu(NY{UiXeZ?wKU}YRuhPQeHgSP_D-oo-BRbe!vpdmRaMm3gnw&Y##kU2)_(@s>Qz8H_BjPi=EaPwN3uP$hO|#;8O_U z`Yo0ads;Ip;Pw(i4e2t^x?linm@oEmYHxjT`bs&M^H zOFFR6-QFsf1)gPjzEvIL*0LEC!elOwO`(u(a%Z!BDa2rIp3RjNmMXUZv3OD^FdXx= zI~kCRIfuJ|O-l~DCA)2s%`i>m-3m#PRFjW+0nl@T z=Sed}VXe{gWRaqz*2w45g`(Kj*yl2DQA#VUbeD>PT0uZ*oQT~D0!nK|5g?K#!-_yr zz6ccMLo1_;;Ql(*dJ1GD)QQ@?C2spPD?v@dvsCS&-PP}0s+p?Y+VB30I!1e--}M*G z5-8q=AE)-y?&xNikUimKWJB9=1+jdCdd)T3eQ$L4-&WPQ~DU4QTwDH`0xZggiaycmw5_i zSsRCNDYSneFom!eBzvZi;}bT z+j;Dkr}I6w8SCjaJ`?k*6Ka*_l92(uxR26I_}-A-M`{K+jRk$QX5ycQ>3zs%&3=MC zHk(_W0u}_u&US};ECcSb47kTK;2z6>dn{XDFBVBpg0)zTh)1IBidQd5pBugwZ~Q!c zBXeLYW0lLm2GL>JtT~5e``JI}mx7&UEHHlns|QTQn#8xQ8#A5)&M z-(cHLs&&qI6N(8T9+K}W*y#FjCbOXJHSLgmWxX@?R0TA)YjO=4L6b01M*t`QAm0H5 z1HjwpT2xAmEP2Uq6&|6(cn6RQz+M1;jhcjcl>&_q;J%g%J@cS39eUcMp;ZXjLvzh`u<+^EReDcBE_@>DFQ&rzm%{nKf>(DM4tbFWKq>(H0e}xt{Y3!)MF2c@01l7I0AvGj4S+wv z8tZJMoCfRn1X+2V?lRA(bDe7_ag)tYC0?i&<6VM1 zp?tG`KDeYfTm;|}2QI^oMj=H4N$w5DZmYHx=Y~r!USmmEOqp5-4j$D4U^bl z#&FAA=jJ*9A^~^_zNL zM*|MkK?B#d;MxMN1CA5PgvKmK0}f-I0gV|jYpvsaVQE747+(1o_<*gP0*}A)D9pPfQFP61OO)-fa5Tm0oVt?LI)57z%~G`0`P|e zaGa_PfXx7S1F*m`hYEoC@X8XXhfe&E1;<|w$B%*IUx3&BLk0kg09fJx93I&KtOLLg zfE5mpbO7c9Fw+4zJf;G$8h{A^ymNSD0C6W0DwE@odp2Q zcK};8qdDP|?pnqZOKC3zmD9@zhvtg&HtsfkU|xuhRrQ|hh=$&bfhvQi_!?&7tv_jH zTOY^RBdRW&O96b}@vFS$=_+PKpI%BF{CJG~+kp*hVP`%8c2XTXq~8vFz0U0Xt1D;Y>MtKO z)_Y%(4wwf0G{+7Vc9I-BblAbe&I=0c#5#7s=c|I*X2I0v06S5iRB~DiNBea zmOFOHuv1GsW5e(}uFxsJohwh4CC3!W z<{S5Gx0is3Lm~uJLBwGt1XMjwXgsu=`<)l6r)nWFX`v=YyRF~#5A_o5!G8Q7AapD1 zcku@ChsJ&nZ_Q;cxgD1rH3%7O<&e6D-ltiw6=a3|pL?OGZfbOfxI9_pkzzXs#*=x(9-pRg*38pdHJsjt?n#mx?0Hvp< zaA(!XTLzW^wnfrwsJCeq zCvBCWYMoj=wF31BA}I640UbfFq39`2f0jAABjTL&3BRa1vjW|v88&-1q6cVW4J}N1 z2YF1IwRj-V@I)7ApF%->1%2R&c_AC@kKRupr7_jA!#Yk!=1#0$@5yP%l}w}qSeix@?Jj~md8sH5cSHZ)Ry4#$c+h|W>n(8`B!k?Jq7EQ3f6J_sBLH|;qI$n&Ckg=|P$ zi-Rokxw(_wv56)^Zl*itqA5OiiaTzl$vHQt6SCAAwK54cfpS)sDxy?Irz*lk?vkQ9 z%v2MnH>(c!y~(L}QkGMUDWo?e3wzo`=}pbTEHNeYW_RL$(Tw5Z*dXaSnj6jrNze0K zIvXTCmvhIk=_}}eaXr}q?1c%kU7b^P^o7G!|P5n-rHNZ&jN0k$27}DB3IwLPB9zo5P zjA5s5Ot305jGbeeA#CnP-_l$*IJMKWC~u_cqJXC8LCiGcI^hXPKo&Vb`pC4!>|rA| z#in3K8^eW1?UWu;i|l91^7tt=$mi-fSWXS-q0f?GEQ{K5eGwYt7-2iddAD-1@HoeP zw<=h8#ZEg#m}1BfyPqQ62BL>kNlZ2@r&3CyvK1>W?j>>e1Bu+ zMggp*dR|gx3a@pzuTn+{kJ*vKq>1uhEs>JU5vRYEi$K}LZQo3vdej6~$vjPO%)vIC9hN{_loJidEO>j?2quNTK@n%1XwJ07^~Zeyd7-aVGm?_7 zM>bMwf?uO>pv~0Kf%B!^0bw!Uny7r~H_T8@SibBjGm#VYgLDTI*-TnsSkQ=XCcZRG zZ$vhey$q|FWKP^`4BfcitOMDFIDf<*{=Dkx706;p%vE$_Ybie)3LDWQAMuc|VO`}}qiC+Nw# za7q3<)Lg;R-0XMA-%ZQS5qndB=B{Ux5(sDIn;{C3R)^YZs_f-Ii|IzQ-pY z&vqZ*2Io}*vqVGpZs@)X-M>S(8oK8~H=KiHG`PSFr@-YQxV!?F_u#S_T;Mvj!Flw< z42z-r5_CU??mwX$&&Cc@<``nx_+i>p!weCsKR66RAR%qVSr7t=5VkbA4pM&v4#7(6 z4al($JgV?Cxmz2XTvBM~f!Uj6FX#aZZ#`D ze)k(7Lbj%zT1I%R7qmkz3vEgE^kK{+i2hvd$JIjg z$Hy|ks*wbYM5Z9d!0+K08?hra1=|H$q5+^-IHLyph0>xJZ*jBkv6Dt({#I--7Mo|; z674y@EJkeejbUWEk=Ib*i(0L^X|57G4Fuo7+%e`gl=-3~jN*p;X2fx0dP8Y5YJqV< zL*PB>1xs{V_&wP>%lHOfGtSF|X-F@F_{JNCWU z|3llGM>TzQ@1vOmB#?nj%ppu+CWwN9m_Pzy4wFVih;hOh6g5@E3=SDkK~bqvjd4Qj z0BRK#HE9z?YZa_-ODokhrApOmVy(uO(%<&`-uqqmu66&tUF%sPXYX?YT{(Wv*~9bP z(We+Y#fV=;RnB%XP~5*Yn)(q37=D%s9N(_+SJ>T9;-l{ksi2dTi-BVhWOw|bHYoa> zstPy;<5w!D0LNhLO4T|@VI~G+ysgZ!dmF#*<_P*4m>+}rU`LbvGPtY&mqc(0*+n9P%YhxY@ip*T({dY+19uI$@BN6m zZ&ViE2XkvjldP^VGbt`Igaxa%e00^_#^-?R*^jV|pbvxlAh>pb>r!w{09RPO5L_d{ zWfz!lgSj2di@_WpSvzOV1Nd#W!N58g2#XBq@Y_YYtG|t3aBBp;3j8mFe;=5e3p2af zks(snE>awr8DK5|^HDIL1M??f=71Syp91q^_#38!%Mx(;0$i?u%LZ@>jSLxr6_$d_ zBrwkc^Jy?&1oL_@!{-md3NOLD2FxqqPg)Nym*D@vHE>G@T!&xxC%`qpKLB(owTYV# zYSM@8K>FCk2}U2(-vTWbqPsc+c^#O&5=Aq+Yun*j`^LV+MN5N2;R>OsUXtPM145y5 zN<9N9yDgVYAjf@DJ=X+s+$S}@Kz1L!SY2)cdcVb*2|#oo`&_-s6znd3h<_$RL&hT# zG9HnT@hG(KtV1Oj7D8z*ZH&E76(U+yUwHvBO}EFsuMT_0@ST0(Aa0nv%#vsda#vo! zr;6~-{i7bsp{953AW-XGQ9d#OwQeJNt#GY#?}xEa zWG#h#Sb?2K4YOQxR00dL_b^lg;jMM8Gh3i!P}*AA0wsfr*4c$nGAL{IdnnI4Bd!L&Lz@a@_s|$L0m}Y;N1*Z$$qS&-R`El5Od8`4K7|)TqZ9bN9x{z- z;CuG4+Gz#+=pI@|%pTPP`D7^51^J-e#uh2|0C@$l^emQ4KZX3&S_Pfd@ma}+bdTZo5h0ua8Bf@%U>5s2VGliNN}*Zy?WXCJ6*Zyxomg4%-Jquy0eTToQU8<=#A zRmUtRUf2n{9{sB_d;mDpXEl z#XQD+Wvxl72JIK^20PuAz>0p1KC6FkS>iw^YhD@Tce!?2jQTX)Q!rY9FyQ6 z?Dq{u_HIkCJD`bE4g#TapR?jPHQP0N*xZQ_N1# zWbpz`7U1$bVcyG`IF$GR$cRwxsw0qT_fS_L+mAhI*PmVGz_%m+=XMpoaQ8KNdJt z7(x7iLCOXCfAyA`$NBgM|3=zEepCa{mPc+CibTF6l(6=>8b|a=Vo_D zr7Y`iOcHMay@Ph%s}Rh`z+4XIMPPmb2mrhVw;XVr0B*3#U2uB}ZmYoU6EKf~S#UR| zJ81!33ai9{c@LNiz&sz!Z@?@9b26A=cAwvQuT$Xi9k?t3m(}3%7r11D3;Z5Ku) zUj*|kFfRl1J22;h89sjqR(J{K$NHJrci^(()+y9_5!1PS)axBwiDnN5^+l~yz894^ zRioHU+BHkAiR6}M;4>*3EXPeiW&IYYtdVwtD+Bu45buDucZQtpt|FrF)&hHgD>;pe z(`3s%(&DzL!{jXYbRs_2=20K_>Y80KyxyYgeXrit@EUvE@t9D2>l(9Dw>~i~;C)Sf z0yta+;~!vTf-&LdHD*%?7^1W?jpXo7S_+ci<)Nm!M>#f z-Aq|!IV%tDjee?m0*xdEahMwhk)71T8fFxj56Yu^!}h3dK-1nODRLY{z;4LtZs^~{ z_U$~A7@Y9cV=q3{6)ld*1g)U^aGNF6?{HJYeg77$bkW73*KyG{WNUsCM42?~ZE3J^ z=d?}_gpGTNeJ#x^Sv!|~IL#+n=WDNNpbkehaa2wQGIfgx_y8)DD zq<-j|oh-UTt==MxX`OWhN7AR*L)#F+j763(PEbEc|MYRt{ZYy4F^(^n{R)eP^J{Bw z2o2-|Ih+aHm~%=wCzcD67F3XED$DT6*CpFaT^Mfs0jSzLXKyI=X{Y>Z@iqA<%05!! zPn8tIv(^TOV<{Yt|1`=orr8(uP%^?Msq*DOxqVR?BL~Xui>k$Ppxj=g^p^wW_8L`{ zJhcl{I9EfJ|BOEG=elb~P~l7=|IN>KB>=4%dYkQUqt1=Uh|R@ z{I6MA#!6!7z?3cII^S%M3)@05KibHs-{*R$e87AQ%;jL7yoEeU&Gu*n^A#}v1m<8c z-v{#yFsFk#tXTETx@cfVC-NWb%z@fY*uiV+)Z}w;i>fOSAREQzh64g+lDfy)fchFYqow?(%e-kBlqC0u} z;_hkN7dtBh|6_lVcG7}$(cC!|2)qdNOyOJ%k4#FbXH#s9<}jR#BTuS-fpak^_w0gm zal~Tvw{R{FTdXoH)wcj+=UDSxU1Y$f_M=|5%&n(LZv18F! z)aj-GcR?fc(*@f@27Sk3*Qx#$zTO!sZek*KYM=4T27&bIFV$7iMj*XH>;$=_l`fPR zSOB7J{MLLT>V&O;y-nd44h`a`S*QoPLvSdDBHN$YhgryE-3;?JIp}@$dA(wug+nnH z4#l{cRu&wJu|HVTT4%T5CtERaD8^j2hQpy4HfuB-is>0~$KWpeg1tilqKV%MGI{6` z<^%zfN1)Np3qpB#8e^4!!Xwe>e+YOzpmRzPc=mX-(+q;>9t<3cp`*r6Q5c8cVW7jI z*z*ASbMr1o5gdwfzgqnzHK(A$cC}G0g)_1D|BrjsQ9e-Cgsals7c6mM>%y*Mmf4#B zFG61d9t!hlPn5+_m>1`xN`S(=nC;5tj#&e<1F=)Byn*sS{57lRz^qQp25U4_>v{jB zp8(Z*gue`{WJiYojMg#}HezAr=Wx`ua{&{p|2( zg`UyOfF#Y4UStjZhVpysSy_9pXO7{CnbkmOq2JInI7ms*Dd%fFC^PkD|Ti&@V)5 zx6IHyT*V9;`nAqs-%yHbV&|(#qG)HEg4Pt5uPFhh+j3W$yRaR3&=3V`EqTtP zT*5oUN_%W+a8vvN6kdpRZtL>!(I2;jx`=6UF{&_-J)91*hoZ{*!V2^)5F^cch=>vu z)#pD%9TzRD&v}SkD4Jbg{1E*F`cC@l5DD5XcGG{w4I>6I)r|Y zA)Bf3&`#=A%{&b{hu*!RKdNhi@B8yQ(sI3zJ#~;WOodiH(*$>RBl41HhV%F+?iJ7o zCk~>=pkZYrl=q(<^&U6OI8YWU?t7yQHzkTeg5aufWBnx-;uY{`&vRf~tNT34v?}OO z!IXi1*N=!-aPJFXh2p&QoY{LvkY-(BeknhBBbV&669kN1ba+mQ=xp)Y0t?J=Au}=Vo81 zZapG}=+Z<;tP<`(muYlQa0Ph=5DWfUx^w0{^nG9++}V$eF?1UB{n(TGVdFjpxq?Yj z`8(!F%0FtGW*9H_6P|0EjA!~u&vhu{b*_iMHp_U3OZ3-qj6GbheOkGFW@%swJ%T?0 z6f2k;RSb@3(axDiKr}pZU`lg^v1qJ||HxgcTI2c_`TH-&(NnDA*zDw6V)SQFF?o;o zfWMcu$hf2>Wgs4&m%-T{Z?&PAbvMbVYjO_=GHv1?WM$be!y|PCJW>dxpCoGq0!!-e=C4gtS)e!-Y6@e4;?x`yXi;2IQcST5Q6oA`wAOiY z6j|dt)xsSlv_P|QN7{Vz5TF;}25bUY0Y}_e&21L}SMFdEkKIgU6&gGVH6Szp7-Z&; z-MWC8Ag@w?%8R$00%FiLg#}4bkcbWVmU`E)$nzhv)c6t_d*oX9lCs?Z0_)4 z^D#(ee#1kV_pp$%B`t{V_#DJga2VpHmV#Jw^O^Tc{r!NUpo6K-=}nK#2jI?s3GVyv zz|iM=Fts}|bA|mU7vYkg!tH$*t2U27)EfvC5S za7O>$T;kYJU(|#+V<1`X1OIY%6S0|cP+4m`ZUmcp9X#1mGw8=o*C>j zAg4H`AC6-)ZQiX#W2ke6T7gf4?+ERNs@lQmk8j44^wZ4Q5KoYfc?MI~@`GK>euyW0 zuufy&a5HJ-e^qM7#$TaJ+LIf%6NF|GBo)Kndfj?{LmRQ3d|Qy$hH8hdzQY_kH@-}B zOX%s|Q^*vME zDCdsqj45L@@&M{55q2QE0yEY2t#Pjc_m;7nA5!X<5p_~|-4rt#by78Mn!;i)Rz4FI zfg}XvT)zM{MLdzZ+EQQ&R?K{e*ND(b!W2w4bZ-}?;Jy<1CS_$1YA8h(@gT7e4qxAc zIAZ<<%wbV%QqBe3Tv0$0=t}=0N>378!262uNqLQ!U81NYQ6sKg#7z1hF^A=L@4=u# z=2SCr(DyFwnmKMT;4Wi>InWi@5&M_=6H`b>;$I-zgphI%;0;!StVcnK1J#)_o<|%c zu73qdwJaiNsgb+$iP#nTIkGQUKHq66Al!sK5!@^D8ya0(VTlayxwUSL7-{MQ0!yGYwd54yg@#uPq3^9a?#qm zxDf4Rdp3{R6#f+0bDNwxDI$|juq>2Q+|_ZoT5W{ApojL7`8AL+O?FqELg20W&TUex zk3Q8B+T$O{SYoF1Fq&e&QyrF1O^ckQ{6!v?7CK3_OFkhj;-d0fd2CwPMb&wEdRo*P zw$`CQg7Tlbu4t*YamqvQd6Hps%DoWq#9D!FC5v<^KOq} zU~sCnM%ib4BzMk4-+*goybJ4|-Q+nA%md3@ba#1^_bVWUN_2U)u5qy5U!{G{c&eBagK$pmBWZ1tcgJMKp!Ee z3W}kx9J+0Xq1)E@k#BvuW-E{+P#W+fl#QxB$BNdHG4vb5Mgh_l0?C{Aw1U%O&}chi z)b14_Ccy9A{g_9 zE!((V!FovFp;p0V~ z1NrTk)1v5soD8oY^$(1nDTo)CKmlbUj&ctmKC|vJp63#-Xjd9P=aQ~K7tUHxF(uOl zC9agwhy%!LqKJXq3fz5Y5!#^4k8PwL6&)go`sapEER*;LSK+_Fa;>G8}Z+YNJ-gmF%y8ft>7*0yeK3o zHv|7ve_eK96#vvPE>p1(4E-|;$Ayx{)nE#&{O$@O>T7F(?6Sh|6j@`*=V4A!vn~7O zENT1}%|tmy8e4;WVHJ1R^m$Fves9jqv1+ zJG;zf&?i}9^K*WhM#$U|z;tt#SKGhtZBYHw@C&NewvEsfl$ub_hQa+XsDZ()j+*xi*Iftbm{|`X&+}sg2Pg9xC{=j!FUg5R%-*8y{yzT z`nWG(%3c^v_&EA;y-;w!1+JfiVH$jTwEoZ zCJgfmI-G^MnbjUZ15Yg(&D(2Io4t!yvl1ru8w<)!+6izluZHPj#EB405hENBz0 zKQ0(MQ@E(LG6Q!`cT2FQ6gNUHQFek<_kXn%oklJxm|CLRD#ALoT^?_=#|1SmVn4__ zkC~FW?2}5hDTW(23YW83X);A}BNwY2K&ce^T)ESfz>RpW$`x&pR6+HVZVQx2 zc(j9fv#-fhQIy|af_l^?Zc+QlS)<%7nqp8bjjKV< zwu%QT`@F8`zUQyydA%hc7L@RCZ>e(yD|kL{DZf}&$x)-RksuXL9ZihXAmv_)nnG+M zJW@o^`N@5Z&{!Lx7Zh2;m|&6d2t*(bl<@FG-&K}+9;t==hb0y|KS4ochK&RKfy5kL zgzSRU>!xu1cq*dtY?U{M~jB~DUUt6Y}w^B;&d%b^of-dDUFb;{==Ch4Ra{J;3P<+9I8J# znL})w^ibqZ)$g3iL!o8L&qAXtXIgj3*7oOhM~#FJ1+1jL zBuw^vx3v?4&ni}HzbOAOp|l9v0GFMd73sc zM~RV#i@7-}p*%$#cT*WYr`q$n=bS(>RM-_%f|^N((wjlR}L?bUNW%o=Rjg7+m+ZK8L50J=eWg%5CFUl7iQE-{Ry}* z<5n7ev-?84iZVe^!-MAV^MYoGSCLl<1W@1-^Hg)+ijs)qFq`2!epyP?Ya@Wt!Aq~3 z50nl*`C2+qI(X*mDvcN5YOI}TJOx){h*kALtV-(*!c-nHx*|KF$FG7hi%;(X$<;^v zi9JCT%yhnYkMBd;RepR=z(dA*5bsLt3VWwIYi)LZk%qWV!2>NOxhvL3^V&+0fKKk8 zHr@a~5bq6SOVEwH&9=ySEe+jFUMTQw@EPOY(;Rc4>LL@=PaV{{&;-pvE8Tg%*Q-ju z#JIcHr-UFK)58;xK%+|r(c`Da!`mFDCi@sGiZ%Fw3xs2{l#-gE-9v*&Z>7)4Y{!?+0<3 z7TJM*5U05-Q}u&5%_$iO>BTXAFjL!t;^-l&`Hs2W)gw@dT-;qY0!mWLyQ`W&A~i#H zx}Wf!u1R*SpEOB}k{#xHOwyGAtC`;r$4hnElr#hv!$%@YcQy^1F5-0;(C}A9p53|a znDwIQ?vi%g-y*+yemfQ~np`h#$HUX4URZ(26-Cq+HX*kg?$|eVp^EhzEPqH@-gd81a0UQ zb%!ZH8!?XQ0qTV9qdpG(4MD~r+RB_NK)Fa_?mCswlq!z<>;Dd9%`;6CC*YJcIa^Un zL`B6Bz=R%X*ki(eI$odzw2x5K(K;*aI3onqVVd zad^0kVIx|3l3Lh^5bTw7VzybM2c(^lPM=`MH!xBt&&)d<8Fe7|y1>Dy1Ho5@BiWr- zjhtrq+<3GXLd!4g%m(yW%yRX24n|$ra>&XB4NM=#{%)N*P&16bVZa+T3XduJT;nbU zaf%_zPIA#2Dfjs^T!D?giROcj2zNmr>L=S0=OqPto%WLPxZ*gC$rmE7SvQuW1|t7I z$&e<^o22-$@u&F@D=S0JG+ep*zyFD@6!52D!jA~~A{s@45n8QAK{!TOaqo-!inEh% zey9)pigaV`ic6#=_gDY>`tsBCCewfP)cG|}xuquIFRw>s*R@E7wnKJEi)HABWIbB+ z9&NAegciR?=ayX-Bc^LN$&QLK({)z6cN^vuBhTXBMm$BoZQ0MkN~6lue{$$Uz&Esw z<2@Aq05Uv5L!1Yi(;W0rWU~5qj<2F%9xh$T=q{fJq2x)56$@oc6D=(? zU$aB#S6|kS4S|@lZ)tERm2N(6;-tmLsO}5r*XL9~u(-0mxB`6;_Aj9n-N~G2*=u6- zvKMO%CZFE$=jyYzzCODVkt)iqFK9$v0@|b8M&w%2jQWyB^m_;?UL8dSYY*5- zgMyIrb1<;8<*q@?Wdi zncs4fuIu=BPKq|>rm{{Rr%kx2V#zbK(UD52oTCL5>@<0@HujiOA&=1}9#diDQ^ec_ zN}*$7tMo1exn8hObYOSteqdkjK$iix(a{ck8E_kYAw@jUZf2jBVjk#h>}yg~vi2zZ zuoRc9`;~oOiae*?&Hh{p8QoO=njZfV@)CY=4`YP7LOm>p4DNRje})Y1B#1vl26qy~ zp9$RXix7Vf;Bqc%OpbU-;Y)6B}=x3^^YVC{N@sX#k0uTJ=SEkVYk_-#MtG>;%nr z=+DW^K!0YdH5#;~`#sq^mCHHNqX|1z!JNsX(fc$tChTZ@l{(WzAC0ZjG@HCf6Ms}A zO+hT~j~amq4bfwDsL2QOTB>LaanVp~ndJat@#RVoS{#a4uDN6j>Yg=>U2mP*J!2UExAnC> z$OXE@8re zoEW!Qh>j99IvcbCr%vlxtQ)eIQ<$9$mt`R*G%bv(IUky9x!ii#{s(~2M(t#tuo44kmu@MO(d=%txC54LBgUElA0o$?9P`GfAFm-@AEj`JGVtC?(r?HTUQYsY;r zoasEzB{fs%ma`_RyQl*9y@+0)U4c!7YN&z={3VfheeOfdT2Xv`$wS3dE6AOh}@@o=J0aI9w8_~&!}zyGw4w_cFY%r9gA&7 z|GT*nc{c0H!yR$#Z?Qu9C4NdnfRMSCPi$aXY47=Q4Ix&>4NaN@sf`^+xUAXa+6TWZQJG*cpIN7F^0Nb)KWyG0_2r~*|fnlCA;!2U-xTax_{KT(t|DM-P6Au5;Troe@_ zKvHr6c+6)F@S$Q+lsh19#BBpsb0P2_iAn|v-{QUz)edC6#mC$D1NlT~)sYP35b?`w z;(_88-0wE&fS?5*WD^eL72-a#RSbv<@w079jQbTqjm+}`l55f^P|nxLNu%-a)S2>- zQJ|Rq$C@`#yL6Ft0srk1+pk_s3ejuH@pv8W>&f_?`YQJIF7F)o{fEe~uc+w5R&vsm zCW5Smg}b@sET+Xb%(79P`2) z*b{u09$?Psq1o&NW>W z*;+seUyw5@sGx%6in``pN@~2WI{T_p?;>o5^pELR#`=g{m%UYACJF{i2 zQv*+3;`!jQ@G9k!IZn+%sHP~WL)vEy;>H*;$lin>RRM=Wl>0$*jD82z8$U&rerU4t zs)^9cT#V^WyrO!NeH=jo(yd%B%YJAS8@%}wWuf_tDu$=3V^_Rcc$o-q-76G6b-<^m@wmv)Frjz2$~<_V!+a zL%*JVpqJz@Jb_a+BAm7n9=W07xL{SdgC~wTpq$6aSwBg_i4=#*RqdQf;s}TGAtyl` z=1^VWWQwDx%D0@*el}Ir!r}FY?^NF9ME7%cs!nkx_eYi~UveV)L(5d1oQeGr50t~4 zc&M6E^>L;`)s%9K6UJpHtJItcT!Jby5Dxcc#!$w!dn-i8mU)PkGN2J<8b!d7#OokyXl9rijtdD%Ang#LiCAlRCn;C~wQdIyjS5C*+`tdQtV8JgOsfd#*b6V$|pM ziM5lF3-mk8FF>xfpc8o>*p_lT(W`9FWCz@+yUb@6lq*8YO;Cy)9BEvFDgw4+MK$hg z>-VzDy`W?~8Z`7~(<0pT&5Ll4J-#KL@&R}PyuT&(`5p6=Qq@2@83|{!R<9XeR9EdF1WeC?H_;!@D)HPW;#n@TJjNs zI}H#H)9DYeP5uwCov=hE;WyMhSYjbeUj@^j0hoXfF!gId7EI+GA@ss0Ho>%NMz-a9 zIjfhmMYF={QD51Dd7>}1r}O-q5^pL)tWxJ;7QUJKi)A7YITjbGuC<1PkN~mSx7@s% zM;|7CYcApi4O1tWmqEF3*aG!c$Lji$yQufJrOvAz=wR(-JBdfifE!_04u=w_P zj72lxbnK~!+ykd$kN}CpCtAIrtkJVZUuh3<;oLJ$LF>Ro`)=u@&dAz&(CR~&7|)&$KD1{(q@?m^H~2pEy~N+p5RgJ%%g=6LrcmGW=TfeP z{ZV5@6p0E43azMbL`w&CZ z&!8npG=iTkLJkzsFh7Yx2eN6nsgP$Xpkc3xcmugK{05QdKuJ61A5nA?za57b`6Y?l zu^&$V{i|748%^4x^^$dUd2G?;%X+(rIocT6kuI+s-D25=E}xrPf7z)n&zrg`SzniT zq;`tT)J2HYt&^SWf*>wVcCrqFxH+;bbr8gjgzORRF6|rh3)AF|h$`g|rihNPD%EAv z#Ez&Rl^;y;QudFk&8Df+@F_~0DNM?lqB?4tAdS4D{M8gI4ZWh;ZAzC$tW%~6OS-Gx zqLv6(bWeYaTmea>&qndzK@v&BLQK-DWV=|Ph-#4;SfGe{NVcDayJ+~?9?wI)B!@vR z$m=EKi5|&`L-98u7Zf-YdsDMPj#A_nV*a*;*YgW;cpI%=T!`IjOL3m*@Y$~Qvrl#T zr^PH#&xNmg^<5xS{{a-o@lD*PYF~M*N*6KjahLWv7r#YgIijrLsOcrP5hhchbHR@M56CvKx?k=!E)n zz>4g0?32yMysndq=wsx4F>aXPEC`&aP( z6}*21?_a_DW>_f00~Vv~i&3xuG(aGL3X4yG#Zv%rfQ;%(#Y?w*xf-1a*tqotu4c;% z+_>lW-eUo00^Et!sm>ViXnW?YNhMbX;s&i0^6WmmTzi;(EDa~uy%#KXA+=$dmCXhoF0XLri}dnsO9S@vy=s3Tmg!HkcMsvp zU~~KTppVe6@~5~ai4)%`iSopL?qf&^H@D8Lh7#rZt(8sqbGi`08feTTzlR(!d4yU5 z1ckH_%6-Tkp+9w84u70#0sv&y0(6vW3 z9^s<%b#IIpx;*Y^?-);YdEL=HH}=(e$7l~3O)OlD?q}mU7V@Na(0G!CKdFm0Ug_{z ztetE;)FQ)>_g@wfd+p33UIhPPVh^8syg!L<(MMT&NJ;r zZBTG=n{VjO;(rZ@ZG(!76a0WS{|od*{PZ@)1?F#jd|PlM@r8FI)laan&9l)nmeNR{ z$xm&gHrEW?`3-iBQr< zE@ctD*Lt!d_3EEZELNyq)AsWM)L~&>QdK)@u5eM(q~TRS-%Ge@SSicu4FLTLWTDrk z$POsmi~cziTP~s}#SdSQUFpIt*p$xdgEPXXrbxx?hp6+yWl6IhB3B7#C(U?>{zJGS zsWt^c5N3BvQcwor+-_+KvOrkgU6BHm6RWzbFF>TXpc~?#pJ&ypQiTECRTr>Jgz4SW zFW^_`ePH(j?L@ImGYYg539?m_fhpGBhEwoLa~_Z01VyqXUnZfBTZzsS3h2|BU|9(JJ?AcJ zp>1h>LdzuQM)rFh3xzzg%pxK8`8v}8!T3e2D{}ATPR%q4P1o+>rYazYb z1lR)5eP(YS?Y6%km}5cr1dLI<;$i2a72)qxw+{WJjsi@xA)P5pMdk?&9vP&+sm{F$ zW}nA?nse>Y5#`=FyHMkv@aAlq&p08S;f#4Hy^<4oIh{eS>_iM&bJZ56dvSEHh>GuTIa ziDkO80%*xPLU{s(RWV|qS^v$pmaTCI&!bIK7CLfUXO3acX_r8F*>9fj4dqM6_hS1k zXLo2a)B0z>o1Dri&lzqZhBd?{pSS+rU*`+t0FvQt#QcWDOhCT)FN-ImU?h=zI8*`rP75`n49zKqm+*gsvFLtH&N7Sf? z9U;)fOL(LIm!IkK8ex`R8JsJskVu5kVALp)TA{(HP*Pz<*@R0a)mCJLuv$`PMIROV zLeD*VzOYs@{Vn=e;YLXf5ka@|C8b2vZmUc(lZdReN+gv;^na}NlG!bYiB>VlgQ32# z)=6fyAXi(Z65uWW)2fiv79zYs#Y<9%+Gd?MAT2}|St|xA3L(bTI8c2T5pP{$Jlf$| zrTbp6gh#kQIWL&S!(Z@SC0NfRHIn}j zV#92clJb}04@2g*We9mw|Cy|F2>V|$i-1Dt;|>Q-3&!7On#-pn=H15@zK#SRwV#8m zC<=sB@KyWjk1c$!RsYWxzQ_1KAP*!MrOD<1N&yXkJisErOu#1q5nw)`a+GG@HV|!o z4%i3y5wQPIxpFn&Pk=XI8=wgA4ImzH8n6uTJK$2*aYXt@z{T(?ysp?iyv%*c9an7XCScmd4Ct)<&p?by19F3tWi#eX7?49a09KX7-oto(! zY#pei9OJ~-#XV4M;Y{sHOjcgugmuLytNb|uU6JQBF&umsG*)R8v%63zXIN_u! z+{6%vFVNHcZHsZNv)Wp-q>{HGd+^`Qn4w5MagMocy$XmgsJo-oVEmM#J zf~0u3lP6A5r<-DXBd(|dOzG~Tw}=}M0pB-@Z6^O?UTd1x8-r8E%GtefI8}i>$z4Xo z*J>&DPL}6AZK?eN%ez>|vmfa|6a&LAn&%nF++``{VFM}UP#^A}#$KRaYkeU*+kstU z_(8U}1O1pvFi+|sFNKp-WDjd85Ee}7p`C*6rvN!!8vPjYwQX^C#VMr0R@Dt6c7IrN zpdbNt-nt;Ed?|8*b$U|eOT;c)aZ>3^)Gwe~cWTHx$1qj4X(%LbV*`8VxI-5Hk1aHb zABg+MdbRB>^A_i~#O=8cYWu+MLXO5|VuEZs@n41#7%vt*sBQ9xdHyNBAKCc}VJZ{m zJPZ3R@p{XV8@(WzY%pHwrGZNXEWID*eGc{~>SRZ{B){q7N5;eWGZ?Rh^_sCCAOLZ; zHyFm#VZ0dD`w498*}Hm4$=WBdY%qLe#`YsO6jb|wmN2l+Y?we5$xl-BT!pv zh1$|OM`idwhiB%mb55tA`)E81fkMb&_E^d(kdg2-XSb0v$U5_eHr6mb&%CUSHcXDT ztl-eyq9YJh^>-c?6RtCVGgr2GR#QH;%x}Y1Q)fb)Ho%=V55G{jyuNA#d0bfI?CHm6 zQa?aq29cJaR~|NT(;&$3l_@Qao3H%G6h0JtNBxs2a46!ACdh;uN{CUPHc^M5O2->0 z{G%2tzc58g;}-*I|3?ac%~2Cl8t1RxY@&1|`fDzmJU`w5K7|_qgbo(L4S@5bGS3vx zf^S<7gyiF=Xxjc8l0O6?`S5irq$zbY>Mv!cDRC6~XM#=BMj`rsPwoNrH0pP9R$c5C z&3AH4UFc2a0(o2==cX!0o>9k+1TJV!SNt*cKDmEa!ZFQBIj$?N22HmWN{a6y=Ub~K z`FGI~)+R||971Hxl7OK52U~LMj5z#dn^$Z3Da;SHm{!p#?5EcKY>gEEK=&tm+Yq8m zw~c*y$ZIFuM0}!|*KnP+6;}!F+(0enx+0b8 zc8J5Bpk<^>^9t6@wUZh$U`PleU>rKc+-q4rB+l3re;=LRcbdf#L-?yX! zL&g_rA)ZYQp4H@9)gO?ztZwqYr;oKya23H9rrU@U^jQcE?(`z~Y9@`8iw2z4kIaS}Q>4pkl}vjd808aSb>_?_xDjvp&w zr{)j`%Ze*gt2p%0s0XS{&eYL}WMwcXY&0@imB5)W$~~uf$HCXdu2g@=Vb(>j)I9x2 zVBw|A0iqd*Y^{UH7IdRjL1ZgDUtONr5{r#-=TCN?I9q`^E{c%cyi|!k_#wXt`bSU^ zO|z!fe>cM)_zoys$D@6!`x}l%xU(*xuuZel>mSdU0(=Tlt+y_%Ml+j^M)g9@Jn;JI zkY1Y4WAluk?cVd=E&Iy+YklEEL$7DaEDOE#Olm{ebM@yYw0qLnLwoulzA0oo!9x0( z<}=2+civp`^HQ&B!onQ;Gp9%J7&X|Usr@QU;hd$wkj`w)b=q^_hvi$~hv^@G*!oL# zeN^Bx_0^x#n8Soym+j4I_pmo$)X5Hf_Ad07FB=jRtnI5ThwD2D!5@di!Fk$OFzOGZ z=VA1~$I%&SrEnY50ikqfmum0NLhmu(;)muNAAexN51-eYzJmwFtf~Bp9;DDWK+xFZ zX(jI$6!u`P)LDY1J>FKzBSEz*LJR3Lw`s;kbtTb{->pByZe#gS4Q*^4%X_Du$NrQ> z*lBRFH+LY)^g3w#29|~n^rA%^hB#KPY2^5b6CG+IC%B*M&=hiz{c%)v9EZ{$L)9$h zc=jjkR0neW`lEMhsyW#H_%d|{hu$AsrfK4M_a{D3qc}la?gLF02hEL3R&zMM+?ZsI zoI~IyoKsUd0o>?wnlcD-#*ZWBTR*qFTq0@@6&}(8OW;JKI*kycUuE3s4y<6*0@XrB zm;ZMYyCM^m&*!v3{Dj&SeI1To^Ch#Iyq{}hjc44rhamg9!4+S}zK-^`y*IYGktvL6 zkY9`%sHlds*L~w$JBZxsO_>nM0fLd4JTi=bUaR-*g9><|=1xeyD zG|sTmLUx6){27y348{k^N?zQ`q%0vcr!*$zTM>t|ud8^WPs~HDD`eIEsY#h50UhKw z-i5>uxX8^-=v`or2J=HOSAlsdm?>|(`xtM$?}AGW=>u*7xSjth1-%9+i-A?lHm6(IN7$nelYOcn#W8a&UJb-kv|E-KSEeGn2{9eoFZ>%&r=TP! z)t1*?+(i5ixOs35UQ1~Q)kTh!p}0)+EURDl#7!|nj4b+6678ycNLCU6>G*~h4 zCyS20Wp*(||E!L%KKlB_OuIZQte_zk9?2Nc5eNiZEgsVNvh$)b0{64zmR z0!(MZv;uIS0r(Z%UjXvqQ;T34{2gwX>P`S;ZhD?{{d+Lp0vrcafzv8L0bmJW7EGAB zDW(_JABMG;!>@G-)=r0YKmH{j|B7j_4!9`DSrAmoqGy0xFu3i=UW6&hT7=oS<$=e6 zkJt>@`oLq{>nNqq<0xe;C_hZ$mmlViYR_@5;BK?ukoa3-+vZ9~KHX9RTeyuN^t~)- zY=fuCCxXH@l9l>Fu(T9mrC--&{CKvhOw&Ce-i&ZGV*STKl~N7=@oKFFHq zTO?RBNE{)@^NRCyhLtFBqiLs6Pqj45De2bbe`% zFU|KVzri(u8`_MFu$_hAr*}IemG9R;Xs7?S^^a|a7GqyOCPsVLD3`7ejne@ayO7T}RbroS0qA}-HcRD30pL&<-hcC-IRCAG;`V8` z7#B&Kj57h3>rhpjc6(H90qC9@S4;O8ivXwVus?2|==I~)iC$B*7mahJ-Ntgj`BB7` zP5&WW*#gkrGp?83G3ElGKXBdV)!ys2uJ-;*d%$>h6#JL%vGK+z8mGN3GmheMx^Y=I z3)(LX(6bpM(w;O6|v60&U$V^gb{rp09 z4oYh&FU%D^!G*p7mc|~xyX0AxC61t^@|XCt2DEHX8t5Qp%eJQxpMtn@Mg#3G^OhOa z5Ew^$tr0oU-O?r?1oV}ia6@}alx3xhCk@R+#@eF0^P9XLlaE^#yZoeK_kai`PEp>8 zegQJfAdI<`{LGRAYAWFg%5NN;Ax?toG$cKWs&S7X2N~aheMx=-r2#QR5!bOR46n`0 zT~mj`ni1Yq6yN;E(N$Oe4nn4(C%8v^$x%>a)6?S`xN z4Gp9w_A8(udu`vO0M)sT%3Y37;9fu-)ZVhUD^QvAjp}b55DCqINa(8i>0{V?I;MS< zE7hIVhk0iEA5Otu_Bfhpi>=QS`dQ@EQpDc6U)K5bF7#dqB!Sit@m}`2J?GP zf?HsPE>O2Ustr-?>uI^qp}0lizy}y^Pi>=BGjE%{+X9Da(dPIz>M-MkIjGHln7+t7 zwT&?hr`^|w!c=$;+8x#y?o0xR=OK59raOi6&>QtH?I-$?2R8qNR|KyKv7hb!8Y=Y5b3h5hY*SvRX-O(pYWi4FQB@RK-z%0ZS`!eZo-slOAosRVQZx$ z(_WWmPAgOGwt8 zXP-}l!o8I`ljwHL9e4zb9@LedGqnF7_TD_Isq^m_%^ZdRnZrDUDa=F>5D^F_fQ$(u zL_~;jLPa5p8WlBzLk3iEq)0W!2^AGADk^GH6Q!0as8y<{Y3e|gpMtd-74>}Sd(V0A zeeXHzUGG`z-oMUT{Vev*{_ZD{bhDrReLjQlrVR8FO}p=IX;Wx z>@wA0UfxHzp^DJ8^g(NMk*>4PIdpyAbb3Uld1$^a-xk9kU*q0PPI4-6+J`%)J_oct z^j(!@-MWwK+GiMOCDuf@AlIV-!5+i zaoc>|Wg*60HAlB!=Qc5e>Rp& z5VgP-7E0`D9hc&2U6$gq?EnOT1Hc(z4{!p&@oYHW4aYm_&j}47xr+Ocl(fr+>82k6 z1zSi1_|q@!tCE58Y?g$usTh6*RWFy_N5CrpQ)!73+^4tBx?pIL&dRGs=a zucRmNCn8ijr3SdQZw#y8g7;mE^)Oe8ws$~YnuiGHy=OJkMSD6RFKq(+iC_#8KNxZ) zK7-^u!$SxzoSq2mp|Pz+iNGEz1NIQ~Rn{rd*1&kySL7zs6-%pXSJU(qEU%S|X3)Ew17`MKXA$Rg<5h%hV&5(=5bgIu<7Bb~XME%Hw)F zTOk$uL@lMX3BhclZUpmuqV~G!6FGa0xgj;-uNL%Za=AYuxxC$Tdc{n>}n<~ z)`nWPG&{W3EU@ftc7CmOx2zCQ5{YLFKKfWG>!mDRA1MugDG$~s*&uK(k2h&3I|g-& zH*08jIjU53*is1+Md~l$dxo_YwPU`i3oSd#zfU~f-hWQstdCFyJ&+IRC#w7sWDRA5OMI z?3)2(hP&eE4F8R?b}=g>c%%HCIKIm_O;#%o=n6=acZ*}XnAc=2;_$AZYw|&HVwYc# ztO>}wfkE2Esyy)Db|8@VDU8+_S7s7=lG!afy9s;M zJU%+L#yL$BWnf9XYw2YQwiV?Hazlxg>>70$MKR5Z7SuQesl!C4Z6JavG8PO+Gz48n z-vP_9jW#4$v0%oU^PuhN)J;xS{$^!Fr;j*1*E}&KO1!!mad5|`gj3HMm=ce0`U(SC z0xFmfhA|Rg16@(ltVm(VSHyACq@j&cOriF=XtNY`Pm>IWz9GWkz4E`U*NuqSnY0+)`qX3eN!y5Cb!P$L{+L;Au10NZIIe)h$MM+Mu=sPm_dF1V)-RzY+tYy zA`DAf%S>oIw+3=S5u3QFRl)$&8;!{VnR<^YlZ}FUf+x68O6pOaQTY&W7&-*D;JK<8 zi+?@6iTbDEI&-3pI~#F?n>KW`3zMtuwv4UEHj$2naP%8l?}{e&_(pel7Ws zVVuByB>aY~3#x(-;2!u)D z^>o{$yEqr%^<~_}ZUu@F_bz@N`0gDox7({uvz#v{d?n`?9KppfqEWtEKi-z!j`*h8 zWl8RJ=p>(*!Y$nX*@rl_9ox&DK%CKzXLIqyDb<)ZZZHv2o+Vrc5mKIqxp72DdA8@e z)IrL#nj2LIDbJI+K6Q}t{1Z31uH+p)1R}}v37DfM-@0M~Zazek7ZR|4nxgAU33xY? zU7es7^PTBGwPIOmqSh5dLb5SQCNI@5DJkzIdzDi%R+-MZor;QkJGj*o+;- zzfvZ%eRhx?Q|7l3caXFx)4-yTl9jTujaoqbIfVy~`Q$L5$&(96$5W;bF6_yu04t>BJ={)gBcz*s*Js}d z>1G?G9_`eNoUk^0JAF0Bqs^tA_C~f`oLnB|EOWN{4zQf%xz^|bu(zIJB7tHJe?fgf zcQGEfO7#ak1^c`s`^X+!C-tN^A=a4Fb^GG&KWVeTR>grp-EH(89_I>fM}Ah1=z

m8WV>x-Hde#EL>Nty>gy)yfFML zD$C^9TJTRFOLX3bZy|nD?1bwo6Rs;2D?|;~RST)tPz={qh*-9a86=Dn%bno5Vp(N5 z%*eiQt2_dPV$i@}1VXV$G8h?&TPFn|C-CxHvjWhS+NYv(8OZml9imei&|bT1@v6rS zGUgkR_0FEuKaGC%NKbHfy~w1*Gsh@DKo)PoZ0vPzY*oo@{2R#PMb}emSWDy-W}GeK zDKeP%Zyi_CM`MTpQ4{I_rbR?sHWfFIOR9pK+zaqZI?r;x3|>hq1>~BLOu3^zrh|1u zmZcB3fp7J0-pZjf&4`mKjm1M?UqbuGA=H*zfPJD}V8IK#Mpz4E`?xt(Id{Q;?16kE!R6GH?{EWN!I4-(sN(|;4*S~6d3~mLNQdJa#{lFbtRTcxn2Rdk6K=ggWFsau) z(P0mMD89nmu)TF9V%^4H&{FqU7t6H1MA?g(K%5*s%7mj&V5bz0+Ja%{%IFbCI1*an zouBp^8Rr6%XS7~@879v_8~Eyb*cBmG%YDzfVyxhNbkY@N1?Qv7t~fF%R`$Ch$)H$i zbH#20#mZ4v^fpkeoOi|NgJR_n^r_Tp-!l!E+p3>@Pc(pI(ox?_4d9scr|*FVa7?55J#=NOcUDJ-8tC zOJMdO533qQ$C|N+)enFifS#+mU>PTH>8Ab-YHwsipu6HX=<@sjTbDn$QSnjlCiHDo zZqQ?e0mTZlo+e}#!+L;|FzA8enchq2_dvN*j}`_dDB`%mt;N;2g>ZvEwgDPba|WPnTbioj#3dn{Nz$XePV?7jOUu z{t0j$2Frt!j{x?;$+?@JBa+~xCVK!3&;;8x-f%KA249r~1I&SwQvqT?KHxYE@(gev z1_}dI!azmvNuI!9mq$+?eP=&7aTXLGfxKICl_d?@B7b3xw}stR-5p$) zx*4%j-|-}Myd8*XyvcPrJ5ayz=GRTyfgI19 z24Zpad2r`XFF>s1@#^vlP#<}7_$dWQEN?15qX4~uw~Wudi{SBc_}O<+X5J!x>Rn_Y zZw5c}F8UCt!_#6Ab9j^ZxiP3`yjgrs43fs1!k-+2-pO0a=d~lI0_Q!a9rY`3A%9Xk zavX0uKdT*mhPRrZUX57BOXufRqyFa2>q)6bI`N8nGOE#=c`JIj?|_gu%7+I+UM2A1 zfsj|$llcw^dFy}=k62_*>B%La{$&>Ra0o~*AjwZApuaa)_3&yDGk`0fQwxHz@}5bx z$caFi&#FaVGD~~Xv*Aw4?a9kVeKF6DPRT~1%>~gJ*=VJ?I-1*!m}FikYG|{MTe=xGx_*(i>qbumXX@42x+Yq%3M@Xiy%cg3L0d1IUdX|yeM#!f9Y>a6`Wi!Hz zgf@px_^US7ykO{p5Iq-KRX}0thE`Px5Zx&rhMG1~IB}056^QQS=>~BdEu8ewkl*GN zPN|eX6XS(`%N0At3}N77c*cArI@5=~r@ACM(T9HwQ5eg_$wHVpI9YxBVCIlxjqZb) z!*mm=6=n`+)dxf{b9fAa7S6*-eIZGRi>6JMMH18?-A4`vA$?&A*xBzhjF&jofHt#CRc2T@9A?WO!GRFKqVGUP zs`psJB#tHYbH@8t=MLs;MWtzC)zp6c6U`Ij3M&?9wK17#Zz~GGepAo3 z?9A93l>ghKZ?a})peiU|KENEOf|QL0W`rv6w!DuyQRP2I*1_~w1&@*2m}4`1Ps`Rb z!!iO+%T3G)8O$ZJgUsNJpe6FB%(x7{x3V3~sEok3^1ICB4FCUylS8*YzKfYDYtaXE z1!c+y^)X$3H)Kuv@UFlc@_v0{mw%|NQ_t)Q{;zm7+}0%Y$+9 z_u}XQ-;J^@V%9*wM)^%~`~Wjec2XQL5R@i=Eshz0MdZEW@PWW<^2g%D0skPN)-VTx zgXCYuV_ba?%jDuvS1@ohiXne{u57<`Ty%Cf=BoLXXng}VP4k;*M*})ddtOxAfWM~s zC~6WSu4y-j6hcgp#w^+q%&HOKbVT6 zr+3;vS1l8r6uLZDJBcp$+0j)wqWygYx;g?}4jgu=7Kx7bIqy=RGc0LCw-6^7Gus?n z$d$@C6DX+%kqb?wt%ZZ=O3ezOPx!QeCvvPJ z!aTl;TjTtgQYJfKO^gq^40ZrNd@ z*Mwe1A2EM`b!&9I|60sEc&u*fz$GzyZ@*1v^cq)^&@~}qg(H*R!4fO8n9jCL6LvQ* zap;W7<)jK@@u(-3(A0)=OtvjI0Qp3F(1NcgjIg#T_oZra_W{Y9=uT_xBiu*ai(_mN z?d4Sy0serofH1%WKrkQ<5CuqXFCSW6-fQ6iw)W_aR{;kAe*m@v_U-2>j{xQYUI4DZ zn?wQD!5fusq&s~beKQYuGZ<_rw0)qx{?<93vRNOa3c4X1(1)x1Zpa&~397)wvOa5& z%73xE!y2m!el4?EBQtzo%hy|zG6L4()n;@ZcLZElHu-)h#cb1F_uU{x*Uo|Y(~^qoSP}DPB4NVqh80EJM7cNES0w~GZW}1R1T-L<-VI#&Pz0| zzPnZSOSD4YttzLtnt0!RD#y24sZOSHanN9Nbs2UJTCPr&LC|OdbPX8}8trV|`V8kx z4OO=z1Ln5{y4wGqRRL~-)jCB6*n1Mdc+4(Tn+?Wegkzd;FdlO_rmXjAmiBi^{4Nd@?c zJg1(lyO>M7h@SMjxHUYlp1ix*FT9D-DR=Q`9y&TB2BQRHF>VZQ5*UkR$6&AW#zv>c z;Qe|3MN_dmytruaFFK|679Ae2FJ=6@saP+goA$+!*b6aEXakB(45um$Otxz{eUgF9 zriRn58pg0a!x{bt2R6BddcY9Mb|2a2JviTyh*s;%ADmtE5dO=2cy@F9KU-(n!3%%+ z>5s3n>C28ERxcJ^7;v7e`sYpOs!K&@2b`X(l0+v59G|P#iY^bh&{as$epfrXnj>m+ zCG1l9iH^ED>{8DZop*IERFOo7T)r+X^4=!7fuekGzyuHdU+FFMcwz6z5? zKR~C6v>nWnuoaXkV3y=GNW23CdGsK8ESM!Z4uYdhDH}fsjxuZ6E}q~h!)Zf!k{yjR z+w43^TBD#1<4MUfO4zKS*tk&Q&`COCoG!t2Qsx;~OPp(9`72$5 ztRXXu^Cb2)q}|3M_zOfSGOmy~jS%k}^CajIa-6YJ;y6M&H|y)erHOqUgoJ?siKj1n z96hHv8_h9Q6AQW#MpHHs=>C4D3SxdYa=)pBSlo@CX{sR>h9iDAr4mcSQ6!U?C22t$fG9kSQ-S!!nJjUo`^q9oVrp^a7LS1C-4Ls zyr6EHCwiJmQU^5N-%OM1W;CJ3o95R|X+oYiO{<&UgkEV{Syxno_-NwQm6f2dz>VOS zAUBw%@`WX6o@p7spdVp2rW?C+*1sUj-hwC!LF0!<*fc z$3=eO6-1|S(P$u7WS9_2UUoFsgqp;wh|V@4ukuQwQ%yj;sEN)DKpfzuMyCa!X7R+) za2NT5mmkduKvQ^2q9-3je1m4r=?v^))m_oC4D?|&u;0PeMD*wiXcu~yx>Iz%3ty-j5glS73e^hH85ZWA z>POKD7V4f_FS^9S#j32}Z-R_fZxj8%!k$OD4y&#F(0F0$~;Rc}Q{ni0#@8qv9C z%zM=h(Wz$Cd-XBVm1dl?>ZL{3jC58vT3Va2TT~A$&CTd7>I;^RW_+&dljUGJB3Hf9 z(q4|ask&xqDM#H@AGUOs!xZnFPBI%N0O0P#EWsPBJFQXGEpjo{> zon*9OA)DAqQW~bST{|h046E7H8sb$$I@_~`>~EOICfAS-7>Yn*Mww+;!KRN8|1jjS zfjdPpRI*7Ur0wEw6I{QDWC-yXJJw-Yia68`*!aHU1iR3=GLbmQE^@A%D2}xYc`oCN zBkdxd%cI3fc42f`mDtZNiY~VkvsJ8JvJ7#EDtwomB_6K|Ed+aoKviU++*LeI6><;k z6(Up-_rP9ZqADyF>=pb~QL$jJFgAmAR>l>FWrUxV2Z$$Rgf0gGS#U<=ayeBTml5(_ zRv?bbh38Y{CaDpHQJj$yG*%2KVNtnia^rZs^Tx>zQ*2C*U+%gNSQ zR>*5vKCH(^yq1r#Cb7c2K|bckit?5_SlP|2y|PSeNOSmJd8l=Kb7(2JD+D%2mdf3& z@QIa-4N+IqR}4%^Fr7ei=Bd6Usx^g84~sdE{C! zKZz?3`6?^4o{ArwNkX*KksJ?_T{}(AnLxs{GdLVPiBL^7a)L>yYPuhXL2`f$WlkIk zSIwBoaUnUsqyEl`A|c<=NgN-N{X1GcCz*tO#}F71Bqsv3*XT<^gUOJQNOA;|A!9TN z4<jJV2rwBky4Kr)$&fL=9s?#rMoc{+oBES6pdK~ipRf3dNo!!{D~~dr z8iH;s{$zSJ_}x~1$3!;-j#2!^q%`=CQJ!ZyHUytmd}MkE!HRwZ6E6hDw3*2ef))KC zri(D>t>PKeN9gw!x=RRQpo8L9Jy8gYhG+D4!eEW!Z@p`uuSU69kLd%3;V*h>A2U;V zLQm*}K#_j|t<~>_@_V4Q28II3mE7kas=TCk=nFok_@Z}@_dTXm>T&S_3lvxN^myh1 z~A8SfBVA?Jeo z4wc8N9Lj!&yu~XUN_~fp;;kFXBp^APCE2}_YO=*071!T0%+>6vLQztj`d9UQ*E6Vx3u`O`c>-67yl^#pZ?gg>JR)E$564#(RsP*2gFjR%S$ zBV}8|)RD%8+sqaGqD04+>hZeEQs)@rQqCl{%R$vg->Kn<&8E~IVK^$sB?go}0_-Qiegf=Yfc*=we*yL{ zT%UqnWdd9Sn5SSD*B)_rw*{aEY(C=9PtBtZFaSPzG*=?P6#%cfg01ExNIaPf=7FJ) z5$9BK#uHY8YtT)_@4#h9Z9@B-A6jCEojYbU;a8|u7{9loIl4qWFU zV-)kaLD66{^aC$;sHF>R|2!?@>nYu|^RVtZu_0=S5(^hOP*`|YT&ob0-MDL83#f>n zxt!J#Dk_XSvo(JQ;y8D5D`?#oa_6@e?m)icPHQdQf%f38Y!wtB_HcQv(+W`2xpP{l z79byTr?$>0Ku_Qoquxy_h`~px>6SUY9Io}D#&UEi zW0BDVJiq;=%D?1#d;ws8^qQuVqcIBV@B;d^C?O z;5Sqg{z;K%%CSu8b*TyZq`YND3~g|=y9`erMIgQ?CLo`v_88BJS+?AMRHSCQ@dG5< za0vKh4assu7@Sq#J$w>>#dOho#QoHYq3NBEjcoH8gO26630!y3c%1P9 z&mD|F4p!h^K%K)06gU^qpK)jcQUPrzXPf|Az?jNeGWQLcfAz^xK_x7(*5!*O31dO|szf;x-d}4@BDU*7M2UT~Tb)-U=alMojLyv;H<(!^^P88WX zwJxX>7{jl0=cVX&Fa+6UC6ou=1dp(Yp0pfqgYK7T?TA}^fvbRAj+R=e}y}7<{FFW8iWGq5RMLn{1GX$fmvn^jC zzOb`{o~>fr1!-Ox4no}c=`P%16U2@4oTDQS2TD_(qFk;GU|iJwmfsr=SV;AZ?5+D9 z=BjEey$!7vwg8dn{RdbhJII4)y;mIJl7^}Y;^-+<^es~X?gy@Y^n|n+Y2gmkQgNyB z1=lS)Zev^n!hRHBeJ z*1Khko69{@Eq>vwYszKpKa8Imh9Nad3aL?veblku6>#{hirPB$pkk4p)EDUvLKOSH zkVeG}CiJjkTGM0Dt9eUX^V$)gd0AB{?I=9lZZq1Eo8WfKZAYiW?KZm_ah;c5#q|D` z5R=#D)|`!i7MZ<=8v7he8J`Uv;$-O*PsBVE zr>f8sNE%lyP4TG5^mO9{t80AJ7Uc@FeHACsY=K3G0#gXJSJ zEFE2gWh3qAQqdk*DuRMJP;eXy?n6N(6g+`~%TQ2KJGvwUMSf5;3yO|F(Jd${gQ6=? zv=)j+Mo007f_YGI3JRV;!8$0|4fqB{k=LIizK)Kj{$>BoUx(Vp5BYxI(WQK6UF|)a zm^|crz*dj{&Xkwf6~6X4T+9sb*`zCPEeXROE~hn5R@UW=7?p$8JnyH(b;G_#Yx=jl? z?0PJfabHemh5_SWp3j`XidZ5W!whCYtmzW#Dt_rx9F6PE7d*x8G&$)`cj1qzMs&wm zh+}Gn?g9(w!U?*=8K^VdG0hP#6$yIp3?MR}E=Ro7Y}D<|K>p1OE%$W?gA;ZJDJSLF zXmA?_RCXzpUP27*7fxzBV!l4G92(2d_2bH+vAjzkQ4Wped-{px&{#gJ_b-RW@_YT* z0cb35(T5E{WBI0j!T>auPwImQpt1bgn$|Pv9r!2s^klum#%cDXRQ9^OBYokNu@U_N zI3NfT2cmFb*K6m>T8vYg_|d|C)JD~G<1b=Y*U*j1=_b3X3BXewIlZU6_sd!^Ew+ z(tx?9{Yj)~Km}=zincZ2g20HJI_%pJ>W#W%x@tiV+e!J^co(&sW#%wT%1y)_)?POf zhB4BDM0;;ap8UG`j>Vo$NetPG%u`RZ%x8OaQ*SBenLMMj62Zvxyk#2OrJM8@_z)u- z!sv>XVo+|;!9Cwz$l9ggiQRhBRsU!6zxsQ4{36T2oK*1P;5XXmZ&My^ zPi=Gg)vJbae06^L$Nd+AH|+8`_P@6YUj~c--^;Gi@8#b&m;AH2Y4p|qWYgiFg6+Xj zo3L`U_CMX+I9j_vI$HZb-TZyx#iu=QudZJH)Bb0hJ`8S~^s~#G-vZ&B$3J8_M6KZG`k$r;B<}UcE_|-{-lG7Mm?!H@Y=l>pDBO5+;o(x znf4`mXDa)+&*6O5+`q3DIG9`3He~KEKfE4}&CUETZazN*r!6QQJ?;O8&EH1f?bGzp zcf0cMoAlAm+hYQ=57q4onHjpFJ==atNM~<7`Eb7K<_`GBR*(MM^kbob`2A?XK(^DA z5bnGM4ViukQ{Xqy`D_-yH;$H$8!bHwrEv-QP%yp`3Zw`8M_)cR`Z7NUMp(aK zbcBM@5%!N3*!?;>Li1r5A$1&-4yC1!7R($iu-^j(8GWM#KaLiVW1*m~b#$!tqhkeB z{4Yzt76yzCc8)n(e1$d{sxcC%{=Jen`q|cnZ5#dc1EWK8kHFB@|1fmROKwBv%&EaY z7C0PFARW#Z{;H1N`Qx!%_?;9kV`d*}Jw}0nr+#lYCFDPr+FgWG{RYW!yfq8ze~g6l z|Fai|Mo0c}!f552(bGFehyV8%|Kr=&wZg|q-4X;JZ+s(shNtE5nWF7RPvY2RemoTt zp0)lFHCDtNJlu+;%#7RM)L?fpq{6`M%bAG|`f;&?M>J{qls=idb+O8@iUfMr`pgPngUd;zY4(Jw$X`URvFz!wm$AN>MIG#I0{dGx#D!gpnRqWYBl zA1jKTx|m;c*Yjww5gHlzW2eK3Aky=x<>S=Rr&5nkUcZu7oWtxs-m~ELKZhdz$0qJ6 z&F#*Q_n76T|EqVdE&gwtJ?lr`?Vnfq+LT!WbPQ=6XNtfvfV-$J=%s8f(;jSgbQ_u-i#4ls zJDXjKwK(18a=Ql_YESM##d|$zz}H>5MQ=Y4(5Se{1Oi=5&(s+7Bkt0k8SRLP)}=kQ z$!i~`dG(ArEpdc9Gq@lidYP1xCRx23lGiJuNb85P8~Vz*mK(D7H4R?ha|`@a?`8M z4PaiAzBjUZvHf8e6rZ_q(Z$vHSzMRs!grWIxKUMCI!LvQOGZpDKAXD67$BiEgnyEU z=##;RRyJSkD@`GwTr@_D9~+Yxz8JR^Bx)Bru-(LSiga`IP=l+pH!MX`!~xR$e%xO2 zIpqIiMjn>Y#G%5F!}6(CWNUGv-96PNL-BC5FxVUU%3R&b=|*^%4~W1l zyMn$|8DX_=otkKWR(-^0g4ITv*2sp{Mn;y=zKxI=wM)5OjExU0RNN8Mq?{I9lxCbIu#MgseotOx zS~Ya64^awwyH{cgWLV%TH4&EDK8Kr{MV5#*uO`X_!$WbfG<^{D!n}CsWFIm9NHgmmqgxVxNeQMaXpdyGzTnWC4`#DEy^g9{m>z)cTHff;*>dLXmh;N zWm*(OD`_oyseMgUDK1%61P0?%Aw|h)Bs?-^UW)362sfg25fFp$Bat_i$)<~zTee>f zWstOVPUxAI2drV;g$Bd|l^PtdFblvB>udx1rD~<`%A#FJL2L$jX#iY)lMk5@(7eECcAhIklKyO#jlI@1V33 zS15OhspYhN(w^d4 z8_0KjvN!+fa@hP1?_vU61B`B8e}~@+`*&u2Qo31PhRQn~4703JL()!$fihf*$h)>0 znMiws9jb;Gx}{OFdDcsax#rdT}dH7UKtN%w_sgzac6*`Q#x7pad;cT(He-P zU&}&;Yj$IVo>BI#0i|Tod?+{#1<#-$%=EVi#2kg{M9~=tTu^x!itAlkC?Ach1c(YE z)|e)+s~~SM&J|!PNIYYqfKWj(8Wm%2yg{Z0iI(qBV>R(fDZ5mkN|D~ z8o&!c8H*{=xS_soc0)y8Cm_F>3CJSx#&_pGEpK%L0{dIvOU;Nes+}K(4``?QZWlU@ z(T=kex6x+LO3ID(8J%O4}!6TC?~C)m;s*8^wBmR%C*l ztRKq?xgg8ehp{4FzQ|se zutw@tK${0{mh^%vnr~ljh%U_e{nn?KuU@@O?p#&*F04DbyXKc~*H3NBIraI;f%<7* zKRA4Oa_Z@~HNS@yoy^X?AF>Z);VA5R|si>=(6{!v=H_4-HY zwyhVweO~qF*Eip0zwP}sYU1{9x5n0VTMwjN`nK;$`LyA0Yn9)9D@z{!)ra}&+p7t$ zP#5Mol?6O{*7R6>{(MqcLOw`7o!TjR%J<^f0pGn?FLQIECsPtN5dIoH!&KfgwHEov zB1K!M>=s}HhDN8h;QY<*ReOYviy5yBUgC)zQz{TE)SE4f z+wA-OW0eB2V@FX1_JewnsJ#z-G`+yC2z)eWSk|_A)Ivlbrj3zJ{S0PYKG}3=>_~{& zv`vN>iEE?Uok>{d>_bBzqgjPW|iPB@;-HTVz{{0qS=_w+@RL7**LuEj78Ula#p`G%&dn$ z8owC?^|H+q@BiT0&HjCF~w3n1icmD->BRzoz3=-X=e;rfk$WXOW6k=*_INZ zbm7eys&B?8(f>9E>yfsC7|cF3b?AHswoH{~$?A2j32c;|;!PRaoFS{^x!ZDM&!pZa0GbN(;z|um#qCCk>F3bt05l(@s;Rh+^45N2smW&L|S}$X(i-GhAa9B9pS5F z-?({IymvQN|6Ts^RIaQVgr8BLWCT5UTP{X@$4#!A_6~nvgM?rtyOR`UN+BD3878GH zmyGT5+o))=((AJN9ZoW?rYvTAJ|+35l(NbFzKdbq^IyJKI?z<&3WdTN$ujZ>j@xk1s-r+>B(Rb-v6$w^$U4S?KC#yXyd)mK*yumfYG3DUm zwbANU$D9jyc#jzJEyXplb2)P_I>%g62V%Cy2A4)PR(D=#SFGac4hadx>OkEYp~C~v zZJ!W2KTy+jmxT5Ss;RmILZ<}vINc9INHkogJ1&GoLnqzEKD$+_9Nm#V!YXxyC9RG2 zj{Y#kr_JjfZGs_396S)b9EiDbuDcC9@E(Y{$*xRi*&Z_|y1)~4PIc8%D8Ueb zIaVbh)Bc@=8gE(+>zql_j2eVDh*Q%| z>7olZ*KXoIS-Sa%g+1&hEva$dODvNGn|E76hH28`8mGCMO2b&|^ysV_Xt-)E(_rz` ze=Xe4eT*f;l!oB7xWCL%BDswg4!N^D>xx$42y&My*_c1f5C(n4{cKJaZRo%hYStM; zC2lSBZ}M?g2VvOB^YRYTpN3WS-W5bQLs~tlg8ZFfZoOLt>AvCw)3Gn;B>Ew*uyxXG z^aS4WR>&B*$jfbojDgkWzekf>-fI&rvMxtwjfcUn-o=yt(MS>?JZV@XTVUtO*kH65 zU=pJ=itT#W_&|;FjvgcB?7&26@-2Y^_fAlG7ICKy9b@53VOsD?kCH+{Y^5gCQrk?p zsR^}22xv`|U%|jLL7ILSF&YhfvKbo*B&LZ1d}q`)1D*-@BfqImTDA?~zS15U=k%gSf>$ZR^!J^L z^0t4*AEaF3tm?(jCa&S6NhnlDVwx$X>U&qrX^qi$k1Hes@8LMJsZXir zfa_COb;%X7%6|9V>WX};skh)H9$mqU@gL1zI#~yWN?idr-Nk%iKU$*_=$bniRLTdr zRUE?trujB;I18BOx2uFUC5C!SMrAu51f_Sq zlpaH0nnD@&EDwFI0KxZdQ%z5HIN}#`YENo7D#9%8$qYxHFz5HAg`*dlm-OVeApT{} z?BTSayvz%FCbuBJHy8KtTF^7ht9o)OVA2iCAQh;Ipe@a+K*niK=+5=wKB@ktJJp9= zOrF3=ZgYIcxM)PQ;R)2$M&C9_9Q$k}N)Y|w&Win3Vh7I?cMe>M8sZ%`YG;Yo$Adh3 zEUbdi`y(HtR;ZR*av`8Ls}ud2{sQLQGomv~5Fa!j3~L~IthffGX)Z?LFv&GI3HL#p zXOMz9f^QO<0;ccVY^ZDyc!yZSqNmn49adKw(AMb?3+tR15UJP%#B zV0bLh4^ZBWD~e!KrDgK)1Zn07axZ}k$|M_v|=aILl}5k`77{}{Ff-s z052){B>oj|aVr=ac<@SFC-);_wKXDbm&;iVO0 z#FUan`&jnxwfPnq%gI?Y#!|;}bcS#w70YFd#=+9iY_~<53BhKBy^N`b$#8j*e>Kb( zctN_Wi~*O|Hb`EkpD~8%ouyL)DYC zfE^#zC|kjsQpE`{tY$iOMm5S^xJ!rjmm`xP_Vqg4^|_Wqm@t}89snvB+wX=HG3_=y^bTE*U`4XE%>2@o-USi@!p0v zl0;duRf<@5Z5Z)Y^9ORo3krg_;HyHQs1k~n0Iopc&Uo*)GuAl8d#5C@2?rThIXE_I zHr1aK1eaO(Vz^~aNTExDJ97K6r!^Nju@aZA$TT40mDWw^$1hR$T2`@fPwBHb-fZWm zv=2%Tle8``8}ZT1<)>t$u;$tPjBMlva{-^5jpmurx(1jgGhBd>HAh{{y|scUaQ z-cY4;rVYEYg1zz2%oJe7k_)JL@=WV|{4ZhQub9Tnk%p;fN(fM@6a)|0DF}?F1n-u@6UCLhRlz1>SD`sfk(gJOW6b- zxg#q;d674xYHKs-ML$_edlCJ??uuR}ww82M)KU(z(QB5qy+G{C0b(D5!gFr`Y0ppK z`wr5cqu}}oE3kO(!XaHb+MB${coq0C+;;pO9v-;%n5~*z3%l1XG4g^em|HY-Z~*#M zkBoQp_=b>GKnj8c;ePNCII|=424awlHchC#lf+lF@+Nr!wIQ?OK{R>ILQT0@`2(c zD6WA~Z2?d;9}0eef)`K_1qEeLaCx)h;2w$T7#`Uh^J&maP z`|bNhl)Buf=s!X82@jBNJPxQlQQz@qRskLQv`TMD5D;e5%$!&OYBsQXoCOX~sfRd` z0$fSd4Mo4+v&;X6vQv-h;1pm!as%ti3UH9m#OD`aH*m-Cg#~yX*NI>*({6N@iOq}{+O0pzw zAnG*|ZDK|9`yFxW&ju0Ooj{sp_(dG<8hTAW-Sov$$o3{sD26IFsg}6ikimBA4>~M+ zXfCMQ)PQ?Ub&*{(DPg8d>_|@}q^Z*lm&6GjdEJNu(59`G5^8B%p^ar<1qQUWj6Au$ zb$+y<8?)UsR&=@n81cV}j!Eg+l%;S>9Y3UNaP%hsWwjySL(q)-YQ>*Xj zh-$-zQ>Po5ZBF6zhemQ6I-EAaIHt`p9HtQtZTJ?LMufJxw7@jNU4m$#;f)CryB5YK zBTj;;pr#vxB+zEQZlp_46|`H*MW7`GGPW037>1OB$W$vjC$%3WMJZu!-W*FuVdrLW;E~Qi- z*=Wml&M-;Jt09y^59Pk;h-Def?I(d0j#?%gYt5=Etie788R}B2$Iw|Dz0?0P`h}S{ zbkgQsLQIx@Fm1K08K&4$MhHpNE3&PoQi8AvUOI$s6B=RJB zovOu>SMM|e(~m1W=OIXC{C{7T(le(ou1iU%cR9%T$iWE^v#D54kic#>eS;AQ(p^lA z;uV)&HwV+UqDH>7UL4%~UJTh%3&;}Y!>->oc{w=e_22}n;VJ5$yQ+^EE< zDI5Vf1pb;bQvj|e<5C0ya0on;A`y7(0N26E^_WccY<}@w>>Tbye&JpGGcKB68iS#6 z9hzDBoWNcP58TY5^I zm+LNXzNDIUFGbn=BWfsJTqpgDN9T{Tt731(xe>2bF-9=Y0I{6*CLdaF> zdP|zbV<2KNevQdXcdCQZAMhI7haTy!bl?fZ1BMg{Jb%6hnRa=)@ji>-AEVJ3xiS| zDb46cyJ$aJNNtF0P=OQL>=Hxi%IhZbP>0ZAFY#x?cJX*=W(y(@gr|pv*u5au3U9-8 z(-#_l5PLO18srNTF1n}!^Oq?ox~u}{Xrj0BE3jHqY^$&WpJj4xEf~c7Y>I3x8N`K| zyj$}JvBynGAUee_G$C6HJu$CLeyydRI1dnf3p}xVOzhTap7`me(ZwH^v+C_2|Hdv? z-4Pv;A_vJ)ibvMK*7Q!odrgrcX*jemsuYbky|U<}XitczylN%HGjA#mSR<;ko6y&R zpS)g*PmH<=3hxJQ1~`su>hU$cZxt@Hv^XC#-6?5H zgnJEndW{fXDD)kA|EJ9hN87l zG%`BMI4GJ91s|Zm2MUs*U>y{E9W8)SI;c<-cecE0JQS8eVP-||hLda8OpnP3ekp&a zPwMh@m(}Y1x&o5$-zwmDXWezz{pb9#<=xNTZ}}3xe98On{p`F! zllk)9u`K=2&cG@{gfu@cM(@%YS_SSQx2C268r^OM&VuHpaR*5?C|lGpz@Rg>#+mBf z{QQq03uXId=9GG?O}4M%Cf5@-(VXIz)SG77Y>VTS2REZq+3z?c;!P)K@xs$4OsC%G zS*4AAW;~o1l9t4`o+Ix;7APWZ^VSEIf;k~3E%h1oBad)9mTqjx^H|R|lVqkF53}RN z6{cGZv(>~+1br!Y{CZ@U&r}R63i(~+pa?4R|6M#u5nU7R z(aIKS7vYH3VQSI3_dZv>j2BV1yVoin{3Nx#rX4kT1&vDEp3tQd zy~4V|{cE)hUrfjIzpsl-armyLbBvPhN$O!7lW2E}gsQRWU$1nE4qA_l@mFwbSC4P%Cwak9Xd(0QQ(cE zTJc?Ex5phJgw~wKQLPn@Y9-n26dcu>?)`ULx}LShsnIbUBV{-P%H}P_H2lN43T{s#Rarnc%3Fyt}3lN43n#kQ7m9`@hELbw^a1QZ=Y@hr29Ljv@bN2%|g!!_~+NG^#&m0cN zv04$CQLMH^6<*&5pdTWk{4VH+XntPdpdT_v`CZTtx%l~~_eKX7hr5HS3_7fJ32 zto=McRi6;J`T2g5yw@2uyIWP)=xmz3tWaLeq?x_8`jF1C*=McfH45!|?4l0Wj898y zB%apHP8-)|w4q(@KDo{Kb!SB4a>-XWO|2wLKI!c(MoLrT#_64U z@gcPn(e3g9y9)b!aVhHbPOStj(Y&}+y=$*`NVN+nxz7#|KG=?~zNqsp+c@C#fp$ss zLzf*EJBSkXPt@*us$#zVO{ur-@g5>R&zo7cPU%o#81ETrBCjfJ0^P`3TCI&sH;MK#ldR#{_DlWD_G2q%wVxHs z-dk0u@DlPj5mTjiwByq$(cZsTAK;A$o4AR33nA@Wn(?zVQ9C)^>AG!}YJno4A@GoR ziDG0!=o}GQ;gjPxN6bSpgrL_VZ?8KYMFY97{x7anRtqDo}1f}dy2G- zyR0R2Sy8RMK9M z=`GbWJKbMY*9usjUN0oq1q9`!Ak+?Hm+j24I8o#6z9R>G2{YW+bRET=Z3!bvw3=;#~7Eu0}}OTN4rISxy<| zFe{FxcPI+DDPAdb*(+NdJG7iNjPb780hO`+ACr!@e``8DU~~#aw$JE1Z-dbNPkCxA zRqx*m9-W=-FPlyd5Gznf`YC zV6Sq&}l zlr$U1GwpBiGi5RMsc4E}!i-IbWx&7kg_ zQvlZ27jowUakHsI&6I-rW=jY8$O2gcF^M-M2Z@}|6|5X2a&AV^5+rioXOoX$TkB|% z@dH^$!G$7XxO9KPo&<0NT9!E6P&srlOYDPH;azqmjH4>6F6Tb_TvbSyL!ZMxDpnV( z&)!uP(`DPo*r_6PIWg%|RUTdTOozXaLFUS|AEn}S(U^=2D${aLVcrLdFVC$k@dNpQ zW`dU7W!>iYRkF3+sQ-i`Vj?7}!zgt&!GdsHz5@ku{Dc#Gh&Pd0Fqvb~=Dk|7KwvD) zMbR_qBh`}fC}DOFAw(LbUZx8HD~k(wx(RM5hvr!xI*`*tjj`RMJ|M6dNUkOCmX~YI z%SR7n)Kc?t?p@5Wt@QK}&(lor-`Rj1vV+=X9Lqs>7WRRt>QtRa|4E_aAe)6cC9kv* z958jV$Sb5*Rl9ZNs60j-4Bln@Q+hzF$DD6_Qa<&@n9tS;zAr={ zbR*WsT_-zfqSueVj_vZFaBC!{>>$X3Vc_DQkft@P=PYq3_X%xCn#*pOB`e&{6d z*$zjgdQqDoSQ+>Kf2L>SvYhLbg->6RKk6X(Yl**>`0KC#P<>B`GJlY#kd2VL5caOT z^$w|_MFwG|vWsjXP7r&DD})AdfiNI$sq9`Gh$Dm!VM6R6&JYI(3%Q)O5GROzs=bo4 zE8un%#1|5=E1+y*bXHS7WJPpVK`f*Ik_KrJ+FIC#ig$pRs98wrVLvuLTQd{~F6OJ{ zXH_QUR<++5AwR2Yd8mW6Y}|lRB3TynYFw^>tD}`4jv-f#`YA(Pe)zBnPIupNw;eH z3f6*vI;FIvS8&G9NVlJjC(xX-9bJVHw{-S`^Mqh$=8638=c zN!(<7nCIUTzll=Jb81Q1M1I2?(E=tJ7aq9rI%fJx>NZ+HusWTJSM zEnt#4&kJq=lgu)nYfGw^_&eK)X|oUkP1a1ikLqb%Moe2vb$UHr*KSG>-NiYH`*yJ*I$%Q)Aw_F;^_s;kgLEL z$LExJKfbx|*u|U+>*Ge2v-UC=u@pV3zQh=9YhUAja=?)v7(<@i{!%*wX@;J*953DSBF`cNO8G{7G!7p4#d8gSZ5b35q_n)AtAIi)Q$~UkTi$X=&4qS8->i zUL|3Z-Iuu8=^(#d6*oDZzKN!Z1LbL^&AvEZx zC8c=qj*2(x^@t&iDrAZBbVJJuEvUD1D+yoQ_k!6kTf5)UrD^v7<-Hu zSU&@QD=cHsv{bG)E(QO3lwguL zO5!j*-T!b&Z#&6gCM(vOnt5-To z3KUAK^~~t5U`jQW8`57;o6^6&(~`Azgc-|riTZ=%p@P+&R92m- zISWtVr<_tXO<^M(GBZ?|NGGITb*BtP7*2BXjIg9lMkfool36_eFy9x$g(;f|3krpj zC7d?(pyOjaWQ`m;yr^=ncS~f)$Q-*u*Pyyqu$Rp#__f(;64E;Zk=~hw^v>5v@0@`2 z&TwRZGLZeb&dE9)W*3+z!2AMcc>*Dq7trnFEdEEq>Gs$z+NtpG_O>fHn}Bb?;HV!) z{l+zK$v;E8@f&N9L}o(1rfrmKEiR?1?|FC>o$*1k_Zi202CQH?87nB8c^z;Dh`<#uhMDdPX>*~`yYUB{eHA5!>PxHL;mrAJq~Pu=zF-;&eP zU;Ey^eH+qZ@6uP=8dbINXtyk9Z2r}Yxwl-iH|pQMtGpLV{rhRv2$S6(uEh-&70n0H$x{k%)em7(9ByI0;1rB0gw<+wq)3;##(fASIj7vXOW|EfOtPlU^ExD4Kb z%R{(af=kXLD4(Ic`3g%Hlv_|%7&@QNw;KDCv~;n&smBq?dDjVA8s} z+IJtiy9e^tO{jJI;8;4?e06_E>eAY;M^xP}G=CZ~>ddeQuUj|k&$Dt} z-h6PJJ^0I2zo)6A;$2o)meU-~m)3F~n1A0|bR@K7R*8Gbyb`~@0t?!rVc~X*hJ-sT z3JvVV&*e$iku1+FE}NQ+JQ)zR{i0P*mcbJmieSqKJ62jdkgl>4m4l z?svyG%&fKD@AAlOXzf2Mw{?8F98^f1c8241oinDPxZ*OaBYIkAL+DD5&B#J=*sUDD z>k&0!VTW2&IbK1-9LzO2?99T0Eu9I|TDw+yckH^HQ5fn`cVX4cKF_|{eSv*5`h5H5 z_J#HpGTr-%nSOnI9 z5`G9@!1v(K;rsJv^1b<`{9yhxz9)Y+Kaf8oh;}S|i}^#xho%pmADUmQby@kmqwey; zjtQ4PcHFpZ*%5rXuH)$C2_17U-{>&=+u`Y=zb8Gl`aAq-%CuGGTg%RupDkNczOL** z`SG%?tr^NkIo>^mJ-$7~J)uX2v1}$eS(|-!vNrwfVr_2ixX#4dd7YWH(>haYmv!dr z98*o!Ij5Sfb4oQ`=aOok>Uhf})%liLs?#mgRF_-kw;V%FZaIgV-Eum->Sf*5m5kc0 zs~C0JEAMw4zMRxieEC|(o6CV6E|(8??6_Rqk$d?~$D_+G9Z{EebezAO+p+BOqmFNv zqdKUU&v&d*x6C?9y|U{x%VODsrD1)O-~68dysN}jp1b<<%8yI0aN+yU_h*g%OS1V^ zh4rMEyQ6ZxB!4b=KJtLb+p76x6W}s3d1Rf!~46Y!0^8UoToK%G92gC+W9!{aT(un2%i##E5~=7 zsmm$6KTJ@Ubyqrk(ge>3Im7?o1N|lK&VTYe$fsgUiWP z-W|+o2RYdZf4jXH-*W4J$dNT-`Virt(LFQho9{nZJ?)&WjeT0lzSi@!eR0Va+`Vx; zuEn{&SW|!7SEcn1^&$54Z?}Z_Z+@5eB=Ym%;$wgRZE^GQx8*PR%SKNseZh;&=F?*b&$vO70=B7>ldlBWOIX$1tBBNiqjDOt{V@HqFs*@grLUhFKMG_e-&EB-Vj=< z{?$8+7`ovIXevfP(?g?skT&LVLbJiyJCBs+iw<+zC{3l1JV+Hp>_>I3xf<)Kk1PuM zUE-r476k-UKjeD%pKG>wjF^I<>Go|%<9~sm;iPE76sfazuHLK2e;4r`&ce^@ocebO z9oj;7k&bdF+@2C`{U_+Ta`o&Y-#p?!yx`k$Z5AVI{%qPPBv&{rjAek;MIDHQeJI{UA4)tmMH=oye<+qqFNud z%QD&aFXS3f8v>SA&qfiIsUL_#x%0v%ejuFW=7;5eAdQyIQ!~5V27@l50;o~Hd;t9I zBy}anB*;dh9>XyXvKy-wa4dpsuc$LP#2}iddOpYOh|M1LaqRw0s3l*LmxC(S`iBh- z{Is@%{yyUSNbU5jGAh>4TT%v19>@ahxrFlQKfo%Er4kHX2%ce~`OZWVQ% zd`nYhTYu9Sh@kC3(}p6DSbS=#=`}foz_jfHMwtvZv2VL?)9L|>X#a~wsj_3*+4|wa z_zLPR#Nz%cJR3Km3y~CGaq_dGU*U-G2~u1z$FU8^(SPC$npbPQu)V1NkfZtQ8hz8Q z1`xXb)+BBqI@cU*s&BAzmTzm4HCS%18G$n*Mq9`WaYQ@uc5)DLUX3@>JV5Ush^-SB zVeS#*;HMnYJLz!kR2=#GhKoKUlrVE?{Qb5 z`rmP@So?uGmHk~!t^c9DlCYw`e!!)YxyPuIogz*x3=!QDK=3yde?#&27>5CJQHJUv zhq0@0$=Y9y&Ryjjje>C(+kC)hwQ;ZgSmKAZ9@u_aZ?wEHK~%-@Qk~^^#r|}()1G&< zD~Po)?O$$T8kY8qD&Z2savH5yOREc-l|~jc2H5e~VPoh-Pu{dJYFY@8zo^h=b^$+izgZkp2thx{n}QD)_wA7LiStnBwA&y)=* zID@36OYc`G32h#w1SG!VXf2{Bmpc*BhT6{Mk-ifxX~L|PDif=c1|OF^s9GDx<>Y)g$*!Q|^BTKE;jKtZoKpU3FW zO^)q9KVWmDw%p{0jhiG(;S#y+{s>USva}7orfa41a0+40w7H;;>7w@8F9+c>vJ@9s z9dWp#+U~ft;HV?vfyUVQjmEFVqr;=yuR}CJ5Yx@PVp3vju71#vT(Bd@;>^_sVpMxZ z%g~t)-QHUc{5-%>sdh;peW>R4R9|A3-hJaxZvJUp&x2>r>y%`wXQ}!ZX>M7h&Vec8 z632Pgt6CGh%A)N|8>L+0ig9f5#`*+prk7o$tIYT&mn}XCbvG)e&-1by2!)rNduZEy z6_@D5;~;z#2M2Di<`rZdNm)VSG?PPXex6plA4XaR3I`!%Yd$iIXN~z(!R`Is;=dHL zwL&r5xV)|xfiu?^nZK7=xnJrvF>BxbWxEr71%+Na8foB=+DmZ}kM#pCqA?1ZU(iSi zTTr<8ae1pfpS?(|P|V*_>l9+2rJA7#Q1UZL*~RuRYZd!zfz{Rh2f?t>iIO>0k`&6SOK4C&#UObMSC&w%?hRc`8&5v1&c?%su}g_rEzP7>oQHgp%Hpx zN$cnvOUm6LVGut^B*Y6c3=#y1#w;m&$DSI9GBoznPDgIWGzaeLr!PYa9 z@tAMLU3Ko@R+wJi@#jzPOgJN}Z90vrM$+p|N451gZ>LNr|HBJMXgk%FXLWo0GqAsh z+~z%_xS{ae*m_2#*G-a}yi!Z6cSD6N#7lnBE=aej^awG^uQ89yF1H;>q!aVymz#F@ z5zooxan|Lgz5X>Q39-T_! znT927GL~Q;le>vBmWTR*o5)u*3ky~%S+(>CoQlMUP3bZ20Lfxbxw)fnDfy{pZb7xu zHJQFxG+vhyHo1pfg*0}59jkwjl96oxSv*@;6*e{6Xr`2^PS)Erc(W)cHJ%7O@oVt> zUA$XUSa3|pM43v-5}Z_q22_(3b~%0l5}v{=C+JYMx57Cm;E-enxD)vIkhZ;1o2{o0 z1jl%6bNW?pN(g*PBmR({wLMaE)^^Zoo@Y*Qo>4J3xMfnC#RHUPx*#N%*eq1VBe2fv zH5hJL-R#$rqY46cPr-0&+wI{DhFjBa@9hY~8;&qMB%4GaLCUGy_p$Le-h#5&HnLTX zgLb{pg^4o_TfJ3ez+>`Nq`4`DZjGo0_Czr}(*IA#;RBTljjc)Tq^e-0yCB z?2A;MCbsOLqj3mLqJAzgQI4@7XV*;A25^}C;CNASdqUY!M{o(P)Q6T`2zC@-?^^+M}_tu7z@>l6)Z>h*GTXq zg{7gW1J^Sw2SpvYw6M%!2s#Z969+NjBq1h0=-bRFY!hD z5Ng%h8CKWpW>^i{U1K&dAa2)~IW`bS2pz(Nz?S0-ae%NM(Q<4b(GctDi&)Q8?c(x< zlYF)-DT5xz$cMS*{RjLAldi5I^4~Hx1grMxMz#1nj&BKvgg{~-9uN-1A2I^s4H*sz zhKz!ELWV*DAyE)tNW|lK@V3?!}p)oEiP1(3A9XWBAI0;C9%0}(>Fkayj`segkU zguH@m8^{!&)E^}NY(HQ&=(0PYKOau~zGttlo{4_@%zcj|aR?r(Cmks#@K`>hYa*q`pTzzT*u>H)*uE7>?;P#>O}TioE0}6Udr%S|Z1u@3&tp zZ?8y8xNfvclbpuAPGrdc(Aw!~egQA`9!MXw2%#;wI~K93+0rH2M2;KZ+d*_#Gp4LA z$M~4NJPvsUbVgDf_+K{Buf_Sd5;r+qsve??NSibW(%C@VzSd=u9C9gr1#aItWhZk; zrMAVmeW}U`eYkz6YOU(4(l1WzBP^DExbp8`y3n$9371lz1b&iy<9>DeR#k2j?SAL@ zU)D8Ov>x?U^c6WweCz)3=*u2mq@VAd)V_7dXMf86ZkM0eNVIRCotEB6*xWujZSo-L z5Xe=s^lr^QH^r~nW5ZM8*`CcFL&UzkfXry`o1|3vz4OBOXZYq#)Q%{RY4*=3f4Zcc zAdKUiblLJ0{fT&S`)BP` zj!7G1EV0e)5A!L!%heC+Tbd?#1r@oNn*Ry)6V$O@LA{Qq<|Corgqq|PG~jOMPzfle zW+mBe+~w+2_B8c@`WEfUgq;2*FtcHHGBrOJf4TZNOb^j37lW`&&6jd7SMP%7j)a`s zfp!ib)~+NkXHScJ0yPw!FzZ+OLTywl%fiM*^;zKQh`6da!B9uURe1;k9T8VGO%UbC zaem(7o&9AEC?1+I0&j^>UZXyI0{w^9V_+6C6nW5SZdEGFiU_!D}OZa|^ z9L7Y-5e7qSsj%txGm}hEm~;n)P;%S7QQg7pjI1K$QAh|lrbk}~6OBBoEMvQ0AfLwRU|o!Ad?-D;3%^?H3~b+M3Dus5b2~8Vq(u`n7GO zw=>eOO(Q+GSD(}k$0@$+VBlk;pR$?SM&VFb>Axcv;lUf;={2SLy3Tbyug&~K&F9!~ zeN1P_6fsjVyc3UZz9P62kM3y2s7^e(|K*Nbk0N(pc(c+_sn)E^mG8e)beNl3c6xxq_gpG2 z=FLk3QN4zjp9Z3OZ_U}JO}*BM@^`UL98ilqk-R}t^#w=cQtVdL;mJMTf*c{Argh*j zdjjG`kMQKi=geDdSL_s6D3fZ*ixI5E;;?!GeMH~>D+emeW}{l7qxP?FAhH}rBbqw1 zzqx_(yX=*g!y!e7%&dMdaATjt`cKZ1Lyz``YE@mPOvj$VYjc+wpYjT%PIelugF} z@ceJbZKAmHoNkZbMBb@c*d$l7D&0$s+-h9Z<8(g#t%wF;mx8n^S3OG?-v6u8wG#ZY z`884aX3Q8!?6JJ)@L5!<%ey_bhq_ey4biwp1M$%&OJ!?f=jp?GJz0e1nmtXs{iql1 z*NJ~1qHCw0HAQNM+Um9z^vx>wbSDdkER{{Vy@maKRam;K1tV2ttDrdg?=s%gKC$4G z(7D$3mZ%l^C@IkhH`rcKEo3FrL-Ea+7lw%ADx1?PIs&lM?@=ad0t>b`llIHA)fVXt zR1Q@IrMq1Rt9q)!qruY|zj_P9vWFpue}BO)q2={;JW7xhQu`MnR{Iq>kkb8Y@%P5#_7r6Anya?v=Fpq-yal<3+ak%8e zW%P^iNQ}UQixphfz{OKAA`%I`g}UrA{vahq`b7N%(eJ4LeV{#{Y|5bNP3e8@ksQ(w zJee*DTnAE*P+zvMX3iFV3%2US=U}p6XeT}gg9L${_#7+|M0Fy*lqvA-M0_b<5YdVF z(r7^_h?a>jWy{@ncU!-glbS@4CN~*tV&lpk`Cx`I*QA%#N20Ry)25b4LJi8iXt3qQ zZ6xwEv&#-fl0r}=>AB9WY}EjR@Bf38EnA?SQ}5w>UfOAL%zj%er{0Oqm<&cR`%Uye zK)UX_$zepSQ$1}HSoasQBb0g1kV?{qG$@vG{4-L7d{FCJi zo{gnyqryX&IEMWc71##yEa6y{fwrywDx7|&kYzv6Pc zNT+C{;+E+xd)=3c*D4}I>6PL=NRC;z)=Lwj-!5xwq0kJpG2c*+> z1Cx>|-!<0SC=S`eW_0yClnhNn$OZBGu%yiUB*UVbFuhAdNENt- z62m4A5@yP>3eKSXrPn0M2!Z9m?N?5z}^RYAMAaw_rcx=dtcq$FvjsT%$N^ZfmvfA1rT7`GDrfX2$BO4Lb#B3SlMro zgOFE{ZIC}8qp{i*Sm{r!@}?%d;h4$u1un);ylL2#B5c!W+~rFA#N~m8z`qj@`w{I( z`%n^}VSlhk7qEUDA3t%6RZDbJ)~C`*UwGwdv73xu$~QDM`H@3x_NiAXER+eG$gA2H zHnk{OblV7#TvMmDE62CwQp(nvT-6Majr^*#WAG#Z|=D_{EWTlZ|*ZRrl2vy(3phALs`YcFH7s+j(p;NXX;BA-nloG8xt1qoagZB z*uTN-zZPE1g40=p(;|bD2b`h|PFvyh&fql4;FJxg&jzO|IE^-pM1|AfdN{cmoIK%F zl?kWkn0K(j05e`4Td)M)**0kK3=KOpW*8c_Xk-~0G&B~XG1@S#vtbOwMWh-gnr(nR z0nai_)QAy_YXBQYykwZ4VSqOnV1u_|*oTIN1Y(e5hHlxg^9{@XXm};^_a44r$vyYy zqck+?42>K#CKwu-XdIVSoc_G9{@ACB9(V3Pp2?p2&+>_YZ}86j`E5%=_Oe_l>~mp1 zLw_~rU6jF|4f{Jox8(-d?$w-m#fFA08o7oB4UJ{>r6(5Iyz(g?XK2`=G0V`fedY6p z%KmHm_q4q`j=0GxHhwGwT*baK;Xx|z+;3mEB}7af&43fb;FJNUsRnp6;7bk|B*HKV z?8Vk-e4@iK0*?Q9qyJ-0SKRJ~-lloU6}LluMh=AgjO=yW=upYn=wKloZ+>yzcymg} zUa~}Rr&`UKtzO?CDkw^h4GZ#dcVy9QYNe(o@g2s-dj!PlE)GH60CNeJLq&;F}(FnzDfwu!uR^&=*rao5loi^fnxBMFU@Xnfh2 z5HaMXwBknptomb4H|<|-|2vdDb!emSo#9u$puu-XW0j%dg@(q^V570m(D2EyulzOP zpLh1`)0bA*AN>A3x6NGpS@^g5ci~aBn|_KUOaH!l^izBC;io*~V%J#^t6%AIF-aN32*dslzl(!Emk02hZ%^OjLQ?%lRDQeUl%ut?KxbiPm^ z8pYwL9yd7R$?sT@pKQ@G+J_QpGv3PT#kVJyKKR@JZ6(WG8O5Bob?d*WT<1vVddgbf zUhz|;7IL}H15s?t#@YnZ0rnl$E9tN@AEhq58RWw)aAm_a!~y+eP!tBmFvvD2*9?6;&?ganveD<< zl)YvThQs9;T(XieaXBpBn0PZ*l9dQxHh>uhz%a;84Dtqp{AHLp9+n8hAd@jjFa{|# zxa@$-n8)*y?T?tl(cUmjEQX1J^AZ5hv9Qe(0T?cMGJqKdARQx&_?flmVD-o2=zkJ} zrQl*m`eT}v015%Dl>)#uz7YXf4&YNwruxs|407=S0RI3uV*r8x>;do*zyknN3?7>S zYz9z8J6L^s3?>_b*^_Wp!FB+!z6a3&7GOCyY!6ls&jpYS;4Xj(xTxVg0Mh}`0Ms!6 zOaf2@z!QL_0muYU0DxowYz|i6&&M^62Cy8ne8emZ&s$H#3uk!sQvfeEyl{qjMqycR z4KLhotnnLqk21Wrad5GQ%hDXUc*2DRm#h>hhL?hc8ME>*0U2)g=#zyBvhX%cvImf5 z0C1sMQ{hg7`!9q% zQn&lSdJa}605c7MHvq#6w;QXwA-iHTFv4nVK`C>!Dzw~@_^&R^_{G&}CPC6GHaU)K zJX-u$#ml;Xw(2G6c$2Ir@x2AAHng9Yde_rxUU^7aRNoGqUzIK+(aHD9z0B_Xn^Ez#Ra; z8vuAbABjO88U~5OAa$^m8U{HDw_FU;W0)r#E@urcW8tzBE^iGkXW_EU;9?jh1}>lB zvc#}_30zokdEWw;ad3&oDEk_rTs0^mP}-oF!42FwSqHrUoB$92;E)0E0dNk0FWm3h zV4%HF4jB3dV=gDmbqj7wQt;|bFerwJ4a=8ffILi`kp$%$lsN_q4{ok-J8l@-@S^O( zXkTG<#%nO!4?sPD4glK?fZ>XV8{7?jam9&4q0B?y*;x4VU?{;@NgGzOZy)+CHYkRd zU=%Fx&}X(cEQU8B93%c|=;sUI0st!jBLTcJT(IF44gnBjxV~SYtiwQ+hWD6;fp){~ zOERwFC0s5VTnuv^Gbn}^)-Zf2lyjKxl?O&U0AL;l_yFsjTrAoRgDl5?ln*qxABFXY zp)Zsnu^9C;6bhzr!b)Zs-X#wJs|^5N`WZ?9wgBP{0Ip`nDF7S*9R>hbGsE!qnF8YMZV!}$u~+%rpRox6l`>TLAY#Tjr7r+$!9 zZ?!0*rlRHi_`Uz=XLcQS96R{~I2vQL;a!ZLkgwt>Y+0mqx%GHoBrV341xr6lnQdYG z8Cw=r9LH$))kykF>tF8(QsOIWPo$z|)*3%=_e0?UOBSOrb_vHJ)8=U`kHgBeqs7kP z*k;;pjLqU8e`~bpwZKd`X3%7g^q_V;$1>V`KWY8ala7uX+Unef!oV*C!NQ~?q+rwyIMZ!3rKV2n+G^T{bJx%9 z4*eIq&8EoaHl6Oa`cvxKbhO*_PubL_3*D&nH>zn*xA{ofrPx`m))ltj)Ks0(KpNB7 zO*R%;?~ax1wW3r{8g^kF2cn5~l^)=v@C=T7n2^-JIg)&FZLjfP+zwqyIGfh%F+^er zQ;MHXzQ7H?ojaYnoNIME`HGBYVx zxc1=aBYT3XFC&w>r=3=Cs+rIs^;PHS>5jg;C{Hy*u?y>vOur=_ukdLI+C?2LWndSU zJ&@jJydSC0+l5ZajMHihy;+0*Ytdx=u_=c9bWZgg2;LRnzbv}0U`BMEcAd~!eF(A}gWrb?hn$8i z)LG=X0qK_f;6sw)cA9odI*Cq8t=8%M z)5b(wCf3Y~_16da1@9uw;7&-J6m5Ns7Akt9kt4OzPMLm>7y;tq8;WoxuZ>u0zfd(- zmsNJY+5D}|N7YV6j52AM(PH^(Evw6x4fR-EaDPh?fn_@n z$@EESDQzSc?OpX%&9bI8pjm$cR)?4r0j5v?2n(U@C1I}&i zbK;STC}GwIFa)KhrB;$&%k!GVy_U{k=&J8Eca~Q*$p##T*?(6T>!SM4IT}5-c_Nt! zc8ZA>#2cCd@K6w^fSF=0$Kn+IiaH7(IN8X3m!)f$bDU4v6~-2G98TFjjm_n-PSI$w z%Q&_bHXCEdahxjbMvHC=%zD{X#%}n)8OyP(^x7|3hYuWCgJ}#taApa@2e3(c(Pn{a zt8Uiqtn1`_S-$F_fYs~sLh@EX=*3~tE`jYpd=TYAyWQ>FAo6n5m&gvHes4GHKRSSz zg-hah?e7XiIi?pGD?mzZ584D{iDph1_XuIEW=dG{5z-aS(y%clMxL7Zu*4GL9?i_K z_!2^)W>Q#63F)b3QCM7`5lxd4HogyGz@`0?Zqj}fDH*3{Gz5GS&lZes2(c15>D(Jo zred-#tRZ-wEStou}JXQtdK0xc{Lyxk*ph*<9AcU(*@-O{Qw>2C9t|% z2Z7{ne*G_AZn5>Yg8@UtPq;Jt&vz0h)f~}U*IOR3`$N4OthK@OtA~TNHgLXVA=^49 z^nJCZF1jWC8EFR!?M|kHCFlzdHBHXQ%vFoZJ;3u$j6x!I5}k6MXWKH44^FQn1QYpn z`-YIeX=Z3eN+uI$o?~^1WjTD*tv{?zFSm^JIYz$9PIZ^Wno2}H& zkhjJo+NWZ()#aJpXHgI6U&r-O-`9LoFVPX!r$(E+m#>K>=))rOqlsoU9;eL7ByN@d-qhSoM5Ld3hQb+{TcS_#y=9Wo>M&7>ZqJco$t zKW!IjH=9JI`ChhabDl5 znnsO>S`Rf7DrV?_+6t8q6|44vDubG_(zOA7t8Cmv{`fH5GhmWz?Me8pmWp|bh@7CWB5y@# zPQX|33|(#u{{uA;F>l!%qd}jG)Jt+PBKM7d;N(02vAh1OtM3aOb39i|P7924eB-M> z3h3SL@sc`$MYmT+^$h{Do82KfDj;_I_*DNRut*(-X0|qz zaU2(>-DvR@fmI~CiZV{aF9TopTKN*~$_DEn4(6)ytu~Eza@Fis z$41*E)#O(Cpyw9x3eEif-8qDtvizidqyzb%M76rb>&G84M!>GSJO>QTqvOI`ou@O3 zW35^pp3&cc;)C_f!6lZ}YWoaP|4VQN>U%~s2#y{1Gva?Wi_+5ij2P0L+A*!>e6K~4 zF(AJR`c%zE08eW-HN^H?Tza_?KftQ`TDwQtuaOqVY{scJ>-?07Oj5S|sn)ri$PfHM z(X@|G<2Mq$5xA4lNZ5zKohgH)-x0VoS?{JyX+-4|i+z8L=f#)p=>+f1HuVj*xf1+# zXKPBd;q|UPA@fC1+?28do#eN6zpDRYTPw#sL-gOjs^x4qW&Sfn{}Huu<>3CO8(YiG zRi3&r{mn{~O|(_2LPeO6PbWM_%v-h6IFrtc^Dg&q4hlhz&GV*1N@6B08#y)s{VSEu z_iP>_$L6%wKiwiaxJELIYubOr&vX}UU+jc*=jhPiMGWrbekAX=c^$)frlod9xo30m zE~Dd8XPjr+wK@NWTlKwoX?-;3j+mP57s4Y%rh+^SzxZ|WuTdx$rUc(=Ddk`A_FYuk2cdM)D&3-%gdTzeLcs0H894!O zDc3;M_p6d|#OAX&U-MlXfk2C?(I%5>)~MWc(^?Xvi9hJ;s_!e9Ii4(1vF2$30xcfM z%?c#VCgC-S1sj_!!sP)4@@BI$peowYY<1@9bYhfXh6J%Z!wW9swppyL`BU2|a-8@xy+CYK6i-(l;+~PLWh9EIUL0tR^a4BlAz8m^-yh+-nkodJ8<1 z6!JQUEE`f9x6&lU!A+dhezmE2z?dHpL*6R8r9yQX1S1gn{uhn2k)|?7Z?BB6v^-}2 zS1hN?mChIyYuZKIM1O*!L=3;+rIH~EYrnv+;HDj2c3}V%U0)?Gn#Ecl4hjogq@D#i z$|R0Sj}JxiNMlpB#?kC8{fcU;AX1rIYxG%Kugy!}7xWwAs=x7uBg5wZV(U$ynmV_z z;mm;ykdcHrgelCRsHg}DBoF~1AR-__j1wveR;hY5D79&e`t9EPul29>t*>i6Jvryy=aAlePR_gc`|RiO3St$gHi@aO zP9-Cie*ol*kBi0+QIvV9UXAy4&DJG+fGKdE9u2P3F>H*Pe*hm;Yaae*~}iy z0g$w%4n-@A?%@mcYa9y-gHuEORP!a7F7QiQ2u2mF`*ENPqv3$i;8AIkET)Lk6A(pM zm~Bu`cfKdQw7sDA_#GT48<(~escd*G{OImc6NXk}sZZY%{Om8N$y>kouVx$^*H^q5 zjIjD0E0PF3A-6R16amVqn?W2N=i1c{D2u|Q>*-V=FD^QS$zFDH-q zg`LuubqBZD9^03HhwvYJ0itcgPB0tN?wB)YRqK5II(hR*>D5HF&IjY@)P=sI_|~ieKY0?eU3uuL(EpL5Uf! z@uBuAdFuc%%lJ{gIR$mgpq00$fU)&Wxh4gStq;q$r+~5bQwOmQlLxcfVEg36Y3<-| zy&!RBJ9@OO42THWdHN=6%}}s=ayxdpc;hQ&kvJ=H`W(y+uw*#Ui+OH5Em!vvd_YsE zr5B#jcDcS6zsvAQzNZ(v%h)5|km5ZO{SM~9jjpQ#REgoeHQ2-}4UY$HbFy_|9Uauo z)fY_QYG_dX;Z$^rDllUWCixCCtHx)yI?lPQb6N#{$?%hzYvTrtw%vWFRxwK9Iv-gOdWS&uw!8}?aNIOo+~Gvgoe zmVjycL(3HB%G&d0kfnM9vQ$?gU0qUp)l7U8@&<&c{;|w-Hr8HJq8^0?;r@i*%yrIL zwKtTwR9+C?+pr9jrMSw{RIecVZ_pHn5ky97zJg{^a|h+NF&fcykfIF>!Pgn=$s&d9 zLToX+K(i2%=$Wb11GMi|e$F!25v5mZ_(IKb`+JA)5Jp+j<8gqQ06Nn?%E}%}CGCRM z=wyR)26mx-y7e1Vd`H|^U=3x-vTHyW@mu7~0OqCs3*`C$!4LdS4h^9F^!t&n0sLOw zFUSet<1^PYtlAYdH6o7xLo9&oc7tj-#4=r&| zkew-><;JN9SV}%Hj7IjSkRKSEgqwO#`nQQ%rXf@3um=Om@K?ko@P0i7@7HOL!@be2 zx;boj*f~;zUl~5lcp7ssy9C~^r{MiM4Os+3(J&MUXGu!bZ{SciT*S8we_ekXbB%vW z__h}W#Lkh1x17d&gp)2oL=&78e~v`aoyI(YYpGj6Mr;n73x9bd{N+QiTMD~DW%w-E zZGqil*oD7v3wFC;w*q#9%kUAftB2j9IqWt#w-GKk75>6DIJ6KB`IO<0!PR|X_gDvU zp8jw7#cEU|gK7=v_ZW%w(_V%aTuCziJrMh^D+6PJ*uTN#TN?HlXOt}O6!*Y`>m%Qz z^p6E%KWWIzJ*@}a2;Qon*#D6qt;T<%ePNC6CyYcrfOl(PDRXT?sXu@N2ws~oKm%|A zEIaz2n4|S18}}Ow}JXWzeIjx(8~+D=a4~9Fa1)>Ea;x2U$YkW z69bs*tabg~?X)MF88ES6AaV>C~T#s6#@)jTtnINnBTo;pHWrhft&S`&LiOEf*eZa+|p zf2U6uHFo*2Xp1a=DdGfz`)aanP3TSz6{1+27g~miCy0ti9kp#hx?%20 z-yke2_8>5KIft#-p%EwzmqKZn807O5O2ZgsF*qFA!dz`_lps#J>Yakr!E3~^ z?O@E1huvwfmr3pb)h|yrYHtz#WvhZ7v z5Ld%`nldEAqlX)Ut2EFs7x?Qf-_SFVm2fyb!{eTwhB=$%p}qo#D%aCGfLH}MvyQ&YG5@$kR`D^TATVr2I0O~(BQD0>MCeSvkuP^|;-&WXH761l=buM)ZI&E_) zF<5e1yDjv-HIn|y@q=!KtIRgRovH|Ipm%v)hvAv_@vfb{wM?VF*W-#l54qY)S)+?Z zj`xzT(|;Bwv;+gx-YKzLzmYFDRakn)GZ7bwk%|RovOHj*!qQ(I~ z;z*bk+vDV-%?mpdv&NLN4*SJ!Eu`+Ubr5oA7i;GxowUB={wSAhUg$by_8y6N134_w zk~73}XQtvx^qZ^)L6N#Vm2_XXO#X$Ea+EQUJ(zsm1jo{$=#;3JnyIFsl(?7L(I#|CjGv}S9O^m?6JzES%lQ7`W2~@7 z4r&w#u|~keICif(7AD5il8HatX7H|7qnCjqKt4>28E>KJG_yGyCdP(8t*cG!UYHn< zn5Y8Y1I>HMM47Cc$kBmIOFz9LY=SxiCdRQ>z=IPDTzXmsKMfeVUpb0~Jk5Cxq_UYGbw~sx)4Wx66;a;M)g&DZ7mp!dsI9yAgb z*e3K9pC+EwQ$duC-V-ubJr8ulr`DjqwU@Yd4G_w8|8Xqt!gjMJLOqzHoc@~dRzJzH zu8Y$RTKD%A!PTK3v=^PI>PRom2PbWi=cV21^cW1=s`<{z9prD-dfPl*@LSpdzopyk zQP9LjO=Hiu%y-5@Js5kP_F0o)Z$eI`5U(?QmqcuqWc5v%gZV`=uP<{BdXl8XwWXJ^ zM*kYQ)QkRG*N&X+#eX_WylT*B3>ZQ5FLA&8Le*e2RD~wzc)eg{elIXsIBZQ9TjSC&3N-rehYOIIO3-Ala%uD(6Jx$%O1k~sHQS$2ovJX6bhXmd}dXl`W!(*2&M}DG%vJ1>+ zmKEYp)23N->e5TYK}R0MGqZ|`izL*}d~p5-uD`YeKNjX{5krXsu|en=w!@C9A?`qQ z5b+@_N?q-suGCEc*9XRH#tQ2aNMS=Eg@v`LgYcw6u7!23u9e-xZW6DnE$u;V)p4wa zLyVLNKh;xHNJ@yGdWR_~1?)I~fGjn1ull@cd`e`w>b)u44DEbI(-?DDC1JP3zgE(X zpDvkLJEfcW6QrPEB{fbGSqn~_mn59p?0WpFr;!Er&za7Gpk`q`*3-U-*P;vz^I572 zg9o~}2ftl^NwjRpOA2zRQTDaGoyy=NKEbMFXJ&Ir1MaSVn`q6DXD=@ev}@;sCwBnY zv}^A;$<2}m&vS;e&{{L5H~u<$JZNDacY8f!JkdUK5}UI}Jl+`&!hCx)m@lC5wzCK_ zh$g|Ceb&%K0e_9g1f8IH!x%gC$z%+p!=WLx)lF{oX@X1_l%)SwFEfFXG(kmDfRgkl zXgTA_$|!_C^&yCXOF9Wnu8m0nsk|)JLPb~yn4bA5l9jT}=>H17KkE?hk>tBNd+|Z^ z%htnuZ)M&y{5EKw_G}5h=Ytmp7Sd9qNa`z4q54r{b1gsld+5W3y{TO)NbPu^@o<^z7RfcLe_fWaUE?ifR35T&p;N5J$e{)ct5Wf zUeeK&aeQ3mHV^eU@zaG3RLGv8HTjZcv}*QBNk^$eJbEri*ri@mb@xa?OFlTvzrz#;~DW^SCg#FLI&hr_JS>8>U^3w;kbw z{ugi`O}mh$dkl)MT%&H;^0J|14who5QCVB4iD5bDjalB7&OtOc=n^%{wpiOz_fTH^ zXe>AI67`mDx@BNsp~Vbv1J+AXZCp>(4n7)6QK&pUPIaOMl^FNfzb)u3^^WdO!n|8+ zvFDxF)ISeBwzMmkg3b51!~_@)eoKwjA*u~@R;NIN@yV81wtJ9D{Y2<*_yXY8j{$D| z82MblG{6eL6u@G@Ou#xoCSW#TDquMvms00iN~!A{voU2L;uaK$0|r8FY4=09I6Ol0 z3zUmPBed5PqyZ={d{p?Q@Q!J<3PMWQJk3poPYQpY_Au0lBc6kxOHfM4bL|cVIwjmk z^Mit(66&KpukcKX+@*Q1@HO*xX^jfJ89Jc9QLxSY674Ak)f{nO^GXqD4!N)0r@)%S z$7&ubm}YQDzN*OmOMXo1t%OkFBouYzlgmaR<+s0u|^Vc>7YY=Zxoh zn^K6&4DWfn&8THYBX64-_s;MQ@1Pm;&UgwIatS2EE2Pl3Iy2s2c!;!_u?FK+ zWWD(~fjK1%byS=oD-XlW6)R*VVd&q)MY75;EL*%xR(J%pQ=B6!JA(O)p8v4zyZ@)s z)7ZI+|0+FoPn-L2%;SqW>jkS|OJ2F+sV(;{7p(*J*-&V|S zc=7(}$GXiIz6!c?`R(doeyZ5^G_HMAPOG5bUDZ=mXkyWqs!jYfLBwN%U4oHigyF&^ zd|6Hy;i!Zm%L>EKwNFIarG(qYRU&*#Krt&y7~aAt_BkPBxA+ya7YN6+_!M(~htgJ1 zN7P+yIUm~*H%c>s&+Ld9rCq}(cO;zC5TF%Ya88@WCsxNU(?sw&)zQne^Z4G?@$WP~ z{NU=SciIvYsydFO8Ec|d$B?uuO&--?8tY}^4hjs~d=qXkHb)b0Vhu*;XqT8MgYmaD zL8gGgsN32~6J{_jT9amC48}xj8%?Cagp(l8;Kvo5)QX_jEOwzL#N@+`UZ|aA^5Vw7 z)Xo~vL6Igu zF-YE}ZO`4R;ZWD^rv3uW3`Q`LGNf@tAnf_`7^}8~QqJv~{<_ z$DQ-9xGt1>cN4|n(vp;KaaX+oh`R}xFiwr_H@ zm7=#Aw^=;9811Z6mZ&bjcArHS-!7ka_AATyuAp{~zXd;(q|0=84^fo@FKxP=?vgf;gN%nA`V_(e!&65>9VV3- zX}$IPV1v~+c;b3Sdx2*#;fPETpv0ZpAg7hY zR_52j)kE8AKwvHq`A#!ckqj}C;8Nlh`XAX{J@To$Jj9vSfibTx*&m4)EvwT z$vj!X9P}TOl0M-aELF0yPx=hCMUvkqeukMMS<;vH4E?R7vM=KqHcrymm%~DRArV0{ zDQ2-`R$o2~{U1qTpNNGGl+^W2Zbj{vOzxAkVrEJf^i63+{~{^t%WTC?lC18_Ek<3F zNcysiG3z9A`wEKDA0^Wgg~eE`WJRL%9!e{jk|@51$&@Tk%)5uaDVdp=aSt0RS(liT zj5;jIOw3Bg%!XFZ{ABb~$<#zqGL{MY43oQ2J0!V@l5WgY$)dz5-RQT*i}EYg#Cf_8 z@?(RjdHSvLi-Wl5y6<3N6!TnvMt*&e;G=sZKQxH;(I1d^4dQp{9y_r8#8}WR8rAO| z%lT;Cq(GI15H#Ctyv`yD;gpTmSx&((vL$wwP>8Q=9-Wn&asI$Y>*7+!8j|EYxa6_W zNz6Oi0K4dGp%Oikgv>x(MNdESBXN{n|j0Y|Bq0#P=kF#U33C(J_FDfJneN zKms5guD}Ci!&PPhzK1Iv20R7q0Q>-`f~(F4yZ~?jpW*72@U=d9Z6WuN;Oj8q>%awF zRd9h7)fIC?f4hAPZ!+BD?UiCp#%|t5DN$kg)8fycqzfOV8sLZOLPvoJ{yf_BIp`rV zq-(tdC)ltpZo!7p#)~pq$YG4jB1H=^jJ{e_)Z*O}`c6B?gi=mt;l2jTFp&3;lF*xT zSojm5{sbM-3nZS+&=LJsU&Om6ppMc3CqzIVrC-K7FYrF6Bk@jkc%0Mc@UC`Hmg%B- z$2*{wwvczJ!|R>Sk9W4i^PRq&ccX(!(oH~+4l+r<2I=hZHs}aQN41ARpM{vKDLJ|b zP5(9E~Q)- zf*j=n-EbCifeUm)I`Rb<=!S*JH7?K%laRw)pc~d9=egcjbe_nmHYgEIMy|F&i6{y= z-bPxZUw~Xv1`pGJ5LG2%hnb^AO-W=5?Yvc(M5I8Yr##7v6*JH zO1p5I8NsT4MHCkn?znF*N4v*N;(yzlGITFb%KlS#C$sq?6zJyC75&+3FK z+CJMQ`S}!58vQGaPaW8-L|Vqyfz8Ts%W-G&K*)Eh{g9o5Ip9I#dpXSFuG5~V6Tob9 zrx~}>X#UM?=LZeMJjVPZZs@G)f$n!t3%Z3Cz|vbnTDT3N+f_IDQ7Qb#=qH9bjt(U! zfcdqxt_9Ohi?V(#i?p5q{0`Uy_!)2+@E2eMVAY{~jS-Lw_y+Jcb3bl+#(rG-`U#$m zlogB$>I%jP>t&dG{JqJp+>RZNa==e;;!+5H0>M84#=!~WV0#I+mteaJwyR+KXU0y< zpP4%`-mq<2AB_*%7>)l#nMO(_0lWa70O~Z-2>T>U=>rG?&`+}5RUJi+xq!E_qn1;E z^g}u7Cb-b&4dGsuo371_AGP((V%oGke7`N3HnYcDq5GY8tPTB`Hd+*$1Wu3VMFB}5 zB=THiRs`uHpKCAKFtn;z+)|^2r!J*14B@;MH!930SH$R|gt5P|PK3-jwxU8ZDx@Mn z8Wit!#DhaD+Gxa{_|mSv_p4L5x3-SA*qqWFUlE{8fRNyLuQ?Dh3PSck$Q=mT1tF(O zK~ZL#aAgpZ*JyG0X+CRHb#QF%FueT5bH{k8(+yxX^)enWM} z={FeBi2udD6xrks9A^C_N~psQ`-~F>*O7mc9%7kPQ1fes=@Q)O9f7~ z#2MFFY9rA<4$OYrg@OPSD_`w74tAEXe8i*umKALFmau$Iorq{|?_)X#@*A{`7 z7jOi10?+I0qn5%#zX0Z3OI@K)JMA~iOyt}?MKTh z(*(0%p(@uDVUAr0BOZ5Y~fml}77M{_3Zk z)4Y>hl1V>{cZKVv(9wCvxSo$`hlR08em0-k!hj^7o~T=Dvm#X&8KJsl6Vs~lFiVYJ z^FWf5VK~8SX(KRqc7Xan`fNH zuC}ddo?e6c%k~xT#()P&Hw8fkC?w$d&g#Om=rcrxT~rqHp{Q;s3akoM+rbqrJPmu) z{sP%NfWK`>5RM-T7bIK8=ub3Pz%ngztNL$eN;NNEwb2bj}SwM5?xihu;zpjK6`*JF9 zU)aO@vMLCR!C-K|8S}sx4CZH{gMu@fd}m}|*$Cx|u1Yw)j#|&Wp?L#s0huFSYaq$r zZAPzwB!8P3|2HK02hG^OjaTI=Gm&8UN8YSN5sc{;+7OMxZqm$h(z)?LTDsE{R8KU8 z&VNRT&*_0v>|Lu;ob6K)tH9OL>~Bk_CHuT103A?2!lQ1cBhS3iWBZ|DQn zRa2Zf@`3sv(5k6+-f?FZFm$wVt3VnOSc0pY^vsrQ) zRc6oT?JM;>XPm~{R7zcD7{l9L3g+7@c-u0h8o-EcrQOJkz|$_esN9(U>|A z5GIPKbsqPCcvTMOg}^YM0HTZ21Mq*?l4Ml@M5@iRPu7mxVvFi4Z6{2z`SumJ6T8oDJVs1W%$Zystc!xY+htID>weem0a%q-B+qv0t7jO>n4zOvKubK_m z_T?x{%*{{eQ(pq?fByJ0%1J7XX+*uUZIfRE#cbLQXf>dA7Cyr5v&D6mJwhMUU6mWn z#EbAlvrmb-2tPE(oj|7lgqvs&b?s47(l`bGGX5Gu4#32g?3yMG>zvVpTWgEyoIXPS zp#N-X9P(?3Gu@M8bsY{wNAS`QI`+}Dj!qqD{FXXq(1`);I&0F9PYOuIMP_W(>GmCKTa~fHn){{33^+yi*c(Y;k5W$?oGAxqov^{^*#OsX{bWg&5w{q-o58s8h%$Z%n$74J;wS<)-+F^gW4m> zYL?8wlu70_Pnm=MSyIxRIR`slva&h%8S1hmzd8FEX0>EVbHOw8Uy@3h@EH~_X_QG> zs0|X444RW9l36lnPO?i1Wf?4x5wDZww4#nmCd;x~F>@sgWcjV=-z4K@qGBvtLX}Ov zhuJ9!lu7Pkr%AA~Dan{0B}^GSFJmNR8O%;DNc?5l-Pjcpq6`$={*Z9`V1bJ&@$Qoj zW41_w`^3W_0E+6%`?q(7)|WxS#@RjkayDbWuygyeHe(mtaeeuDnE%*WeWE;Upgj`V zF@Tw1`~oo!5Uv>hgY0v&>Oo`5yX)fE1gvT8>?PC9dSsPy&fdDWUuw~RZ`2b%ep#XY zG)rz(CGO(=?4Qq9!x%JApf-OkL!Z=0+n*L7gub7HKNF47&=|4i<^ZW5pC zR>+rd=O!j>9@Q3{(ImID z0v(eXg+7%5fbAmKE`seV*uH|TKWu|H6=BA11Z)7n=QPiwxSD@K1&{&WM{x~)Ii3S_ zpJmIJG?w-{&UZFjU(5>8&IBZ6Tu~+5%pg>4*g#pi=@EMEml=e$u>TKi|H({MpM}pv z_)N^KRcAu{Quw?Hj%8`snPy@(aD39uP)^ScDYninWHtmxqs{z<+Te_IF!{XVSSTPnA`62@0`_8oiiP_r zV+-mAuvstlMOogOqRc@gf;nWbcHg1Jsu}Py4m?RThn8!v9@?Z@3vc7#98V?hfi^uO z6YVX@cFpgIfp;+_Xww_a0Ue0Y3r0E4!hiL@|K-d3nLQqC;z+?TAtBSCzL8l1wAg5O z_6X(^`zK42bGoa1WFm6P&)wl_UTgZ-Tc-)U6#%g%n zpCiEbz5*5lo2v0^4EK@U)j;sQh-|9{g6{|9;2`R6<5sz95Jxb4CvP6a5R7N!xJttQ`=(VRja{qw5kF}wuN`f zDhNjL@U9-phQ)+k>lYK!JRVYFsQ@y-`yr))d(pR)e$m%0s2SZk3J?vL5Efj@-0ppv z`Ze+XhG*DUneWupkiRTn0d6%n$fruvI>(1W&q7%60Ass%4fS`-MR*rI%)Fv100f52 zw0zeb?>G;5-yAQW4lg7LU@l;ql+!u!2zh{c7ylYg`v9l?1E-t?VBs_?oFap;DN;^t z$`Nu3<1W6z|1LfNLbpQbR}jX8u&*Jk7(ymM2wbThhQEX17cfkQ;Z*=hzjp=Wqjhc) zsv&`(iBZtpA_^hh5aNLJv5|4p^1UL(E!m9v$tHE3;^G^bhplfF6m$G7jlUwm9Cb@O zLxC~JMQA1}80MG=?OFxNoN!D-RQS0wd%S}TzdH(&u&IpE*4atqRQh@A)Fk2vuz2Rf z?`r&WEk}WNA1w8#G)Npvfx*MbwWK?-uCt}2Pt0#Di@JK|9@+GFxUUZ6538M_w3(-I zNw#R()YF8ex-q<6rDO$6TDO*ZKW6z0D~6JEkz+NNY!S37;Mo}qgqSXT7;A=z-$f1c zc_?BGMM|)jpF!x?1E2|(*vr%Q!9^rnp#mQzqB8;&G%BDWTU$9M*&3HsyAs#My zy_Sx>$4nBf8zNNm6zYxqvDINt)h*|gKCulyMUvW=*Mr|{bR!#jDdmP(WM?m_+*pHb z>GgVG2tfAtdOk2#AlhE)1VbvaqnA9v*nk*&y{{NB$UXs(9x{+k0?HZ#AK5J+tuZQi zn^xRN8GITUF03kK3_}M|Q=uOPcpSn)9}43)i@Y$1LT6iKAnOV3$F+sNn`zT5(n9uT z#*dcj!obb+F_zLo=4R#vi?Yx^kG8@hF687f{;1DEv6h?@5i(yJOJ1GxKhCbXl0vJ8an}6*+SjIdQ7flekV-a zzmZG_n_EJO?u{d~AA6cL38=f|(>~X%e*MJLu&^_s-}^Ky>=58yk0offC};y=1nsv9 z54V_tp8*Ry(c^R>?oYY?on zvZq?plBlmaZ^1gZzE*e#MYQMDO7CEv=zex|wqdvGE<0urR#hysjHp5|ItebSKw*wj~S-FE1N z<`m#y##DUzremZ=&nfH*@)Y)nADkEm!D}IK4Im3J4^RSF3CIU50aOAS0V2RQxZq8| zLAYQx;445R;5gt0{DJTPMdnm|!k6n7efy2fywoul@V54eE*_o(|+zK_P zLKai%wOV?=Bj5nytq;(V}XtEzn%3ci8xAD z+={k~$IB{;QQ=~ytY{c}RNN#hr=aHAgt8I}`Zrsxta3A+ZNte5d%UCddysRbUMF?l zqG?^2V)73lD|!LhIDlHX@pt@%FNZY`X2pv3yOK3meqDh3L#$cZI;Dk{Ow$W*CWat| zZ8Ts&0U>cBazaYjt6wEr-V)GFixTFxFuFS1EIZO)cIO z+Fw>-A&NrsW^!Xm>JU>RH`<_@_3Iw&O-WKBbWVrf{J|qjzg=FhOtx|h{Wep-kK#t& z)(ri62+tI+PE1O*%C~ob-EWTE&_Ow7h?ei|AU)>%XsIs@yh9sf$tz^uVO+4(6#Czx zudq}Ua_%tyurw3~$AY|SMn5f`er=5*svNhWq?bpt1m*qL9CdnJkN6x9=gjq&osgQT%Qpg%w8l}4Xr@#$yQu&-Ih z_WRdx)>)35fOvm5_%-Es?=&*N`*-h^dXa;i#BK2XfN|$g@K^1Losl(^&gq*`|JbCR zRhz-!qu-(8V!bx*#CqxL9R5i`0bbvD1kjn*OA1CxbcA-cf|L?}Ov41Gl5dHB3Mh*F z0Bj}ZuAr2ddGG*_O-XpJA}iwE`FF4!dqeHySk!KNhU*$A&FkzAVi#^ikcOIWFLLcD zB{X`0BQ~RCjM=ATjC)M6%mw9`M$ReAiY~uL9_=VzPh;>SDu4{&?6YY5 zW?6#|-PCMSklmwM&)z$wsyA@MkS9SsbLhisFa)CSZt5J`jciQ%#!b|g zYrG}m`3tTj4!Own}PdFd(o_o2UK_vq@whSHn+XZ*pK!)Su~7rU4L z30-Et0q=0{Ih?a1FZ$6*lS;(+%i`qb8DipUF{OFB4fmJLpLautC+P+dM298m$BAYY za#-N?Q`isX=wC#2{aD~iizfGzTN&3x3;Kzz^mU@Le(zT1N73peR51+;WM+?IhE_B; z30F+d6irK_6f0l-MKhDU?lGT=)+M2nX-rXOl4mkw zhiG;ZKAApMG&PBu%zUeTu9#3AG7nk>BC5mZsqZVsR);=UT~x$ZM?P17P^1m=d{kQ% zA%kEO@|_}SkiQF-hOm88Vqs}$R9|K+agu!#vbz+D(c6T#PS*_Mz2gPX&~Lw2g-W}JR@}9 zTg1?G9=1~bwUe9(RMlgKvzFv8e`sG&{jNx83;Cel1Bw#t3HY`^02AO3-~fUr;7e%$ zE`S9H05B%t-Mo5IXEGobkXlc2%^GCMC4(%-VaO0~15N^70`>wP0ImT325ba;b!e(; z3t$T1TR=g^any`|k$D{T5Vj9tdvIeudZq4_{J0sN#vEsT;RJiBCE5gApzElae4X*9 zWl3G|Yr40ka)>w(8Kn6L6`u=AoR{t^2cpDy>5n`7hd3#*2P6kh_eJr&<%6u27s>U8 z-lwsZSqIh(tqO~>HMI!oXG1TCn(BE6#KnEn#n@|-`o2sX<`;2IpTq{e8~YHgj{HEc zL@r1{6lgtiS&G|hupwPijGy5MazF|z`z&Oa)N`S(7}+bOp425HCaL#rBLz9#>ycy3 zLyq)P48~Z<{DAD+4(TBD?mXgc>jk%r9^O@f$2mg=a1|({416FkknZXgybA(+k>M`y zvH%KF=XfUsM27JlPu)Rr8l!m!;1`?qqp+qhuqR}pYS84Ri+-v3ssH0x?~$07+UX{= zGRhAYo1)yg$=Cw%a+kClY^W&>#tML!a;1J4k6{{MS^_4D{n~ zOdPXdjh1ZkPKhC?eo*jIq6q4zio}%YjjB+EASEnIg9Rahge+ixQU>B~0S7+Cb-4|< z((qsIS`Blm)hCHs?24cp`CbtvWwha*a&A*}9cdIJ&M{c;$EOxr)OXorexd3BTp}&KI z790yaBu27Jq8h)HOcrGlpa3kYd~ zOZOPy5|MDcik3M-H0gh88QwN8>i6Dl2CieL&a)Ccm&kN-DznSVql%}tfCfd14y`B<0lNnLF>Jwu``#2I;hvkHwkbT^$NLB;5F9BkRKL!j@1=G z13I&*Ts0)} zx8yz5m|KQp^6qLvmaatJR!!Zg8!HEkHG*y>aQ#4039aFv0i+IXsAd zpie`#43f)%2D1TZFnTni=X&@V4k6dMgqMb2k&9gPLPHC3g^NF_t3$SPy`zEg(%$BA zTQ?bLZG*?^Pf=k~Aj@a8P?W@c#yKynOajT5_ad(@)Ep*REx^PFB-@yMz zA=2;?70VgwI#cTTn0`vA=<*6Qv3(nS?BA5Q#xg5O_! z(g^3rH<(ZKiJ|I*>pI+Z_b7(j8RIH`P1t4+avdM=_iU)+!6A8=%N|mj+kjo7yXja} z7Y2uva7Zt87pK!j(-a`+6)-GWL;TDtYNQ$`|%Sz4oWWEX!Bt_v@e{pwRT9^cLJOeX=NF$ZIgZNOguEJ{Vi1-pU_Sop4umksntLgf1U`Xm!LW zbp(HOb=Wx-fgjTmvrO}V&*_L-rhUox?udQ|6vkjd97!G8=sTU;I=sW_n2{cZ-wO4s zj`WhCfcHCc9Oe!R>F?P;T0PTG;PVX+cxTf~g4^Hi$Z~X7QGy-$-|xtG^bAr){XP2^>xNnv;X0YPl=nsY{oo49SK|HpeXhkZ=2*k3kR{?VwUTaN z;xvKFQ$2qAO)#K}YX4Gw@aAeCcX<00^`c+zc#Qa6*AQ=PIP0*!{WV5eJE;Nhy<|P? zed}VUn%OXR>E?8oBR}Ok{HmLE@n+7}<<^h2?c|{KPb*y6aMb%|-ID2};sai2vVTqC zqx6KleEJ@EJZR z1M}})$qK4HMTFvsrdq+)#g}Ng3aT#ZzGj?l0xkD6vCB@NWw+y(0$2Ua;3KV^y>2bz zv?*8zjUkupyk;QBmK7b%a*(a_Vg$(PVIeDPMuwXG8m~j7G|xk%i|b|h8JQiL#Sr#^cGB?!gl0q7 z==IU=SU@U-voqKYbbv1aKEH-jKfq~g;k0#d+9x>e6+q}Qtu}FebP8O(ftkS$fUvC) z_GF_BpADh2A#@1B#1J+L!r)5n5b_;_%!lFSF#Hz`XTbNI2_f)3&2Xg(2)XWkh;#qWx#{4>~( zAmj}Ud&6)#U=xHK1RRDi_@BoW)|%x?222-hag+g810;Z^L&=)mfE$?$aAz|X;6AUv z>+y+tls>}A30208`mn9x_^+3Ocqe-)CVedLN-s&FtKl8%^?FPjFN|$r+I%hx16urh zqTZ>@`~+PjXzqv`X;m!LQlo~a767@9&ub9?kH^W=3p}?2ry{VB5XPKqy=qF8f*%UY z#E?csYl}_M(zuiAWD`LevrtoS8mlb0hyGnMsabT7=qt%=o}7%?BVjf}2Ys1jdGnNR z)X$Qb=FDzbr4+l{>}frhkt+-fM$6x3k;vd@zVM@S^Y6JZE6qs5-l@|_Wa=p|YO z|2NbpCx46}5m87C~WJxh_ujrY;g6+r0Or72YSa^rSslVA<8qkke?{)}lJ7qI_jtRtHs-d=1;la!cC@F?Qe1oOb*v zkiX1oCoZz%J7L0b-)NL;VI9(Ew>4{M(m>c5)wf`FrFYY7=+mtwL(GAQM#4jAvz=N; zeeDrLd`*cVHu#iyxl>OEbb_8+)%uUv>#R$b>A+3+#pyK=`bKlj88i_2M*Go;c9)N! zy>y!#h?^O}*k&2$OoFUQ73z!v;yS#k=Yw{T*H$CPvECh=5>=_0>Reh|-h-KMOLv@h zbK04o)$g5S%sdliPMf&6wor`SEv~OEv!R|C+Z+ZZb_A;2!IGNVX*P7aew_7te!N>^ z!~SHO;h0Vj9)aeh8%CVtiqvC?PV6`)r7Y2hIW9^`Z*;3HGg~N+K!EZe{&*#?NR^(E zjq#R5yXFr{9fg1{De-{rPs`Mnpb?me%;$$HgC3_@jIIypPaOF0&A%VKmIgbfDgQxz zUZ0QtMBR@W;Vi@{1NYvv^q%b=uj(*`>mth4gC?pj*SZ8edk;fm=Hc0q@|t7G!MNb$U@cMctv ztS)Fp{Vv(QzTfn}wZ?c@YlP~@4<(d&w)?d|yB;8&FDIK3)-kPCc3t~&a!EfdI z>RN!}j^7K`tCzXh^7S$JID1N8bj8@}xLumD3g7COUD}n-%)ZLgs6bmba=6rUf_@ru zzLa`JHwHOXO1`3Bfm|*1UZbPJo0&Vz@E0xZ@*Jk`6IBg)4uVSDCq)eR|FHKaP)%j+ zwrKj$1VTtiB0ZrCJqT)4M398ONdzP)O^h8XYNDu75!2YDL4^_(6_JXflu`<|QBf03 z)UqrDwUl2ejj_X$(okAuRMh*W&VA>eci(yA-FwG)Z;Us_{l`CRFV>oS?GW61t-aR# z<_9iuGT}seP!jgv{e|WUnrz+#;#rrp^#i!T)Wr&3z!}3}{X;>{^+mB`pN*x{mU`7e za|kq(p*a(pXNw(jNROpIfS+c_7I0-bBRI!`KQhJPSbb^ zHtQVksb>iG>Rj$=Cc>TVoJ9SgPZRr9xfAtsLFdExike}J?<`7$%?;EM=m?ApAA9lc@9nCX^j6ntA|th@B>yJ{vWUJy$esHu5EV zny7p>n#NvX-FqDwt!_4dcO4t888EK_2@q+OfynT)@t(m;DAKx(=}=4EppVhU>O$1g z3J%Y@2Shj3$=VJ#1t=;i?)OYRb8xMDJB zgE9)STRCH_>_U7#$Hls{-LXYA-F&v)r5xND)`2!C?04BE&RT0$0^*x;qqzcnVnRI? z_qCap>y;mUFoBBuJw1qWS043;y(f3o8~?6Bn!Cvf{)-NOAlcG_G$Oy*C z?+V>Az{BOF&>Xds{{WQOnpHP z=BzQOent*%m61|koP+&nOsKEuCORo!wYE0FqR_6^&L*r{`LEXYCbU|0wpG`J&r*JD zJ-~eA#-cANxQVlRRTMxH9x2D1jFDrVJr{Oy#|qL+HJx+FnkL%Q-c=bq@=6 z8&g5Wj(bnp1S)pSd+K9(l7%@Ku|-yEVGYLQNs*QyIx|m}Vo9J!_JE2#k{;e8n`ud; zM@LIZu!j%Y!2QPH*y*LXS;h~74jt;M$`5q2fldBL0 zhee#618DGCHm`?895un*c-|9f=a391usQP){V2;=Cvx%aY^bXcXGJWXCwaMv8NCSeIKPRg*lbi{9vw4Jvjd zGiHa}3F2)p`3`+_Asm+Nw>{jwdG5p0i~j5XA1xphT;{wH->XdI&eisPi|2M_E+NsZdnI@j1dPpzA>kaA>0{NxaCGFx3~(Vwqv;! zRUox>?d1-E)Hb@8KLk=+-`>I@klH5omJWf`772D@AhiwXo$ifWWF+-Y^Tz&RjO#7; z#`}Oom)DHh3liOuW?UIabc>p?zkx)zYydF{92^P;P#27gdS?tE*BTGC9ge~&?7IxD(o3SyUmqAUTF}CiFIxt3>m|SI(MR>a@e7c^jOhl!N=w$ z;+~qwu_+@CA?khlpyBwY$XaB9@tEMS%_WC?N%6`;j7?3%#+%|}vlHe2l173-G@2jAkQXuB`B4nT7zPMx zwesZ*PkxM6;ljZ6GllY82DLv@sEA^?_J>>Kiy-PN+M@7bAp0RH@_F`@&KW_dHEdyL zaS-w|dunGz5E{>3D&ihMY+{2O^#N2mdx5C%0P-4pIt+{GQ1)uk)Y*vtyJ1npdxm(c zX@(#fXSf*+bN+2uENUQ@l0U-8hp1sX$+xlttX)h(5{aXC;ZX)CCVdpodw?3O_u;wM zglEZavFBT1q5q=W9K%knw=Js?U!id_d+|s$5#h48>^Y+1LgWW_k*J~&O=K^(a_=Ix zvU9B$+Od#A)i8^PPb4h|Zzf+`=3V?`4bDu1J2Bi-_89KOHFTnRYpk#S4&Vgf9Y6#4 z8E^!!Z)T$O(q#!^-KJqgIpEQHz{X+3SI4ITPu9)T!%w8LWK5q&cf>iFQae!@v|4&y z8>(cimbGigDdCPB)W#?SKFON2la;|ZX}{248Hj_}6wnE5l-h*M4F8R=Unr{Q)`8SE zqn=-f$~0Ei7uF$vGV<$7>(F6FN&VCuxRcrS({tcXo?SmJ2kzv;`tls~C}VX!?Dbnx%24SE1$@=W?f2ffQgGx4a7NVO+uG z4Z-?T9>k)cij0f7MMKD6jpf|3A@mqyEw_N3W856ZkUe0GZV%K-&oRQ=L$$I`j0vFN zk#1y!R0auUS3$_bm?}TW@Td%#s(8V0sSLO+-@)*y48E11|3)QFz|znrShW;+F;01#Ty23FyK#l3&U?P_)oW+LEu9{uRv5GxIwMQ|y_P}`$x=Mg66gUp56e|r&!(Wmil4N&CdLB!4_azd$O6SHt!q=j@A6$*-=^T-75~yAA?dFCtd`US zQ>%|!N521V`9HpUyA>uamd}_jZ_|44L#8X9YhC#8&a_?Y!w-I-_*IMM2TqWm(vtbK z8^{jgbHv#7OA%hF<6(QxTmORVD#&KyJI6Gl`>8jORnWWwnkPbY88p9v=6q-#2hDKy z5H!0&Gai~#q4^2d)tte^ixL{qEI8X6n)9GJ6q*a5`4u$hK=W8=hO?WYIU1U2(EI^F zoHAaT4YcP#%K{pF1|9TL3bZ5wzzN_AaD0wf45y8QQ)U4D51{8U@z(i(62K||2QUXv z1XvEp1uO!TLAUVPb#V0o=++myAwoCl(9H(`5%3hc%LM8yKmlMG0KO6H5f-8rB3<8F z+-#}dxO@}In&XYVWs0*-@y5rP9IfHA*}}i`uRB7PJpW28V&ncw^xzb5GZWC;Im@`5lZYZnQ;~ZTH1G?#sV9+R zI5R*4k3Pj&!%eS-bQ0+Cy z_VK3au`sni0ZAiZYQM~s77L4a?@V(c)Een*n%0?7h1Qr>bh3vK*`~bCoFUZDro|9q zjf^mrcV-QtkC@ftEqZu* z?W@HNZ_+yZ9nPKUIxWrW|82wi-#D9oYn3XM)fy%IFJq@NW0pK6D??R#t>)$0o5L4o zb>E_Ypnv{g8~kzMmk(oZE&Fldr)JS*$JgQT-}||FtS9TcN9Il9`;V1w5C-yt#>z~> zcs}E_v`rYn52;1`Y}zW=U~}xCOjZ=^gEwcUeZtNB96eqke>-&hgtACyiQSJ41gP)a9R~8IM0Gf z_%;1oi=TB93nE{>!JGW#&Vpuc!@BAQ{1A1O!56~pJU&9W=>HM)%JO$oD6|f?8UF;m ziue?KI@?iH*oe8t4zq5BFgwj?a|Mq&5_&^M-u;yrDo@lVUXREFQ5?3uq7X!J!CY=3 zZUftc%P+)o*kibbg?JO&gg{PQ8n3WBYKY-^I>hPvTCyi+|2Wi?o#vH#BMH zpgww`Tc|>FjF?J_;jCewObPr+-JDyuGTQ*%gzCEf3vz+bBEk23) z%UIHSk>!=(_OI6OuOl}b$G3K|Xw8v5=v?E+)*r4*3)s=DqEbX2`A7XL?HCr^t-lyI z_-B`5c55_-UD~M1fCsW2+DWYJYQ#KEcJgT*<(cwl{dmh#(NP_$IrJ#zrRGR-TB*xY z(-<>K41149(Lb90YTc|umNFM(XsSJWlVxu2v;ouu)r^!iVy{8}#rU1diTXCki@Xc+ zB1cbIyiNYJ4$&xL$FoJ7K6 zkFC;&U|+Xln667rbbUj~mx8@y>wbyT0Qj!&HO9A|7{r}ZzJVQWGGs#@DUq zCFu8%>Z3)77=*QkN0zAG^ls4qrL^v&6FzAI%q7FFk~{+TmS&~FSxA@gy7A@iR}4Q} z)>_qcWVwf*)UNi1y>bW`HtZ1+=>AvbGNHF5yAI@(iH#aVrV3cxz(C>bCCyoC3?B!KsyxQ+UI8X2@5J$>hqNGK2{> zMRT);aBU`EZrTvoc2%@C_v7;@pHo(e5zRraawp3YF3%ga-8hq5;*Bga^0-Cb=wFSK zA(Yy60r(Z=4*RtS=e6HVjrdbKff3Ul0ofrKliOpQq&TgACDTckrj4zPR7*$MukZHGI_Kt}A!@7vDwEl>af}B%1P7FzfUG!#8(OS#tarT9rCfV)#+aR&c<5YFPjYIdF+#N5R?u zwf>G}wY5%%tR|0xnDLO_)B#Z1&q$6DM+`tWUoFwrjzRDeU#WP> z3AM@x3FVYBeYQ42QZj%pCw-6?o7S4gh$l5G9s z97zvtK|eLUgLH4m97>El(-It8(oHz1EY=r7@&|4=?x5HB)vE#jDD*y+Ll@4SWA7Uj{(um zktX74)iJ}0F35XBl|MEyteg0Ruas=PYZqmR^0Pj=%X^3#q4(`_AM!Y&uYldQ{0`h_ z(;2grk9JkR)jt+Sv4Sq*H<>1g*d6$E6Hb&oNl68Luy@bLo`wXQ^Mk$8maqJ zM#bS8J!Ykhk8^EiURC@lM6oh+FdoJrE-weS-ALh<FBgIQ_0JX>G9)?$S=Apohm-GG9p3EY7|Bo7hlIObR)UnBY+%D=^lG z4%^C zm+CR*#9>Iz(SaQ`Jn6c}YduytsWN!1^qw%VGH|TS*)&(w(2t6S72=2Qy$BE59t(Pt zzTgzDmU$wT4O<#s3&}bbnU{9?lm^tIi`9<}Mc_z&%0?~?Tp?X($`kGF#~!7fH@FCi zI^Ga=oa&PP7oneyGlV~*Mw`cq-3Fq+;#Pt)T%MRd5WGdY#lqCh@J8>2ht&y|>p6H> z6++^ZwRtR2HBKO{bik?8%u#*x?x;MRf=!O) zCty>JVP+q3I6XWM{Z@U)5G%$>@)JOePnA?8-~)}j%;-K;9VN=Z6jSL@J-AuyvGjf^z+Im*!eUnryjWKQ)9Mw71gdK%MG-J#W;;5#WqmZHFwE-nY z^I>YFG3E-obfY3kXQXWw7)=LoJ2VdsPGUSi><#9KvCQn%N9v%iR4f6Rep)r|u_{{d z^fM!NxfjQnsZ8$6*Vp1t}iG0qx-jWeY5U+_D^4k-gRm)<_Ff`N@mo z$ahKm4_p)z7e08yz66e<(ei3&_g*8`0 zZma;RY_2fwx_fr=vMx$<*bW(0IKDD$n)HM*OLUr#Jq~ed=^eP2$|VMv7qRj|9!Oi5 zl2$`p3QsB-pEzCR0^2eU58z2PrE64a&}pg0G_7~q2;u?6qpcYx5Bk^Qb}B1iu3IeH z)sKs&Jv4Y&#);Z&KBd855yhIxhA~3CZrTv$zN*Z;y31?8|8J?6sa&+p<~$Jjw`_*7 zRs<^%i(w_=57^q@#KOFxY=Y6#VUW2+e%=(<3wA;iG*4SKHd?jEH`yAa&|arPKIVomT)NuqDpR_$#Y0#I4ROOBx@7X$(Lr*leH|#)J8O07bYdSy`J{AeJ=X5@iR5!*+O-89rEiJM#u$uj;cNC!XBT z^hLmHi{OOBp^8LQ{AeLr6%xt_(-%D%(#+hlI9fFl_mS`x)I;MP!FdU81f~&>IV-K3 z29aMO+RnAld5Chs5ZwnaqH7Jleefds#ZX}>>C8{W|E+mxKn|l=%yP^+%}#y5@Mu&=Niyv$W2Gv9pwUQT`W3LACj zj&n~|ehh2kqznFbgig9(ZidEX_J(X|m<*NMTFUG9w3LfdRTGGix9| zbPU|LuGJn34U;TuM928}H{{obFCckNuEG%2x#obbQHhbKA*r+y zSgkn2ag5Dq#3(pnvFt|NTjgj$JJb1*$8&wGm?6n;#9uLGnn5v82Z{katZIV-j;F=Z zqZY{Hv{ZV;0)-enfMb3KpI_f5=I`M1i);e(pfFg+4);_L;i0g*5|!khYp51G+4#*) zbCq}Wp_b~{f@Wlr$1nQG25b_#gY^5g=%h=i04JJ~5~it6!o!j62Prf{#bjH_ z0HGF!>4muEur8cmi2sX|+nanB=fWxL&A1DzwrfSl zD;;(#ueScc8r96$Eq#HVl&$~aYeRuyz9m6)j^)!F(1ZAe9bX@O{Bp(g1pGX8e2Q}) z>Lg6Rz&t>7n&mZH!}*If#V8bQ;iGyyvJI=?JE(}nkLp4_Q*Sq;x|~iz4vl-lF_kb& zrok+kG3=v*Toa4#9E-0Ey(jzo)k?2wH!pqgE1FN~?%F=X9AUgJ@yk6$#O)=MbPyYU zG%FBM8dZzBe_$2jC@7R)-xeY6!>zP2Axys+M&klcFIZT@>wnm zkf772T38ZRZk$zVBPQ-no4)o&{dKf&{yUe%fInrScRJzbMVVhEeALIo?$M5`{)5qK zsqg#{c?(!?Z^s1ilIBtXEHaHf*v4Aty#(gH8Z}GM^uxL57mtd;>QLyp4G@l*a6c&xxr=BL*QR$Kd zV{{d0{Yy*1I#^?%X``AV9>`+AGb+CtQ2}H0$a~wkz*Xunfvm}iswNs3aW0WmKUuJF z0zW(n_p_1ESz3ddrrafHvr#(SPk5eH8_5Q zi)=ac4Dl5%G_v!%)Dy8aIj$r1=|j&pbqi^epOMdh47k*Pg@`ql*D{%3Et{qY{U%eW zpFe)DWa)_3U zJH|y?Q&)+nP}8;7(c#MOR_%3sxN4;JI1_PLDQ`W@#2i*#Zav3DEl_G(4>CakY-v5s zMEHNpr|?ST7#W zN^J&FFx5Z%B<2@Wi8)6+wld&&N_GRf$Ni=Bgz2pRjAr~&<43c11M;Q1RMetFG{;Pr z)m6GIg=8Qyo!d!wn(UrM*qe3|?(G$3MxSpf_z;}7xcBCjIz7<*oQxNb*Re~H(H_N+ zKeoucR6IsEr4)UXa$kPLxZJ!zJV}=}0Jrrx`D_X-8ZTlR(^g1EppHs4K}LmU{42OZCW zjqv$Fm(^e+d}z>lHN;Py86g38Qp zTsifaq8R3EMZ+PITms@4On6*|sgii19ay!l~{0+PQ0pg6m&E>TvcTXx|;|g z$}oYp$tgrdG|Y~Riokttx_3g@xpn@e|6Tl_{T}wcn`09A?O${6oBYc+)ti#I{%eD- zD-H~{cofvu!H>_c{Vx_z+Iz@(ZSUbf79S-Z9BI0@=USuRoz?G}{+u;^iLagZ%d8t) z=U-Tr^D*wnaa+SCAN=bDoG1N<{F9v=zsgPyv6F8;vy*pq+sWJPW5*d(?$_??yA&?4Izp7O(5 zQhSJ};&;nzZfXMT1{ZL%n^6li1t~sa&-REs{9ndVtrsgHTVrG|HvzxdxU03R5}8Mh zN+FA>mEk?OL&_@A;p@mls%83DmY;Tvd{G^me)TX#QSvh%Qadahbz~}GZq%$IwV`89 zM^;?r+-uM_cg6o?@x>fCZC1JcwEqu^|FU20-3+QwUzvpyhbrsEn^M0HFze&}vyWk(ekskP1GnR);YwJH84;@e2`=3y~R{+)0 z`7=;Al>wDCG#aQgcLLS*JoGB=p98&KiH2VPBXy;n+HLRkiM?0Xw|1(;{sFE`{7*gr zYo?t#em78i`s~y#bAh@c7O0WyTX!sU3LM=v&g9ypx)8QPpEH!(g`O*1qV|a;uPGRk z?&{wo#NRYNqD&No{C%(=84pzWfd8Y^|A}7zH}&7Xfn-t6&`qPe2Ylf>+U;LB+y1u+ z4u`)@B+vf0QQ7}C*@f`8;cMYtMtG)Xp6%*@>w5h>J!j1SJH^Nrd%u=laEbp=%$f4_ z9a7Y>(9hI!&8Pu?#ArpyG_ZVh2>LfeIg}SZS}=UXJdKyMQyldG9IBgUY=euU<~6!#TA^Kgl8# z9jkPHL;gem1%i)~s}V$XHoVtOwRY+ViHvCcR&|7amLWyu9L43U7wQWv)2%0U zu8Dz1@xK{eTF>i9@7>19T0r}dJ%C!RnE_7nUV{;f@n4KSt(SD<#E3VjTdFOvCNoZw zQjLmH_n3qGu-%d86FA8nCVvZVi0IyUhoa&lh zFwCMeS`crQp$6_SMN*vTvQafpzeejthZX)09AfO0_ZUA|;Xg3wlBP-$oE&t%3M>2_ zgT$+N? zo0y#$bvTWQ-pQ`RW}8@@Id%A-O_f`)#O^pcnFerk!S59ApW< z2urCoonfC zf{a?x3Q5%SDCx5EoK`dH#^t4qaaZ2#G1;UgU;v;T|%!cyapW^eIC zR`Gyi57`IQ0_5w;X#e5?bhO(C*)$8XcX}e`fihjc;nfB_O%>WFSh-Wb-QpqIE^#T1dW5*IM4G1$Q*|KZyMJgU?09UH zdzoTI+vu)~se$?^VUVt<4tGY?WnK~II}iX)h^E=1olQ;?)bq`Y<9w>!2N6cLhc&Fq5Pbec5X6mD(eyZC}V5Bb%7LSooG z#UW#w^<+Px1^n>OR8vZ?p_{g#dINp1t@Cz&Z7l|@1mpvj04f0Mc7HYhE8&Y_+5At6 zmv`RdW0aQ+npaFjjOvQ{d3Ch3`1V;udG1S;Bx)gM#2L#!oX{u(91G2|UAcc(j`E-@ySe$(ECVIKfG^o~?v6n5$;zKFm#zv#rg3 z<4&R4*bBK+N(mR=-v{>Lg2f2w#}hqp$jDNsTJlX+D>Md;0pysBUzH%zHs zr#O*P4cfjKZs~hmFnjB`@m}nFZq|Eb8>fOB@?|`l<#l@>`bOJid~?%RZ*I~TAHuV> zx$E$)@!oi$y=;%TxyGmV(&cZXo4uZV+xxUt{_X9zD=8y~td6xyjBkHOpBh==kjOl)Pt{^2 z{MoSlakf>S{_Dzd#&zQKk&{6quD^b|^v(D3V}b4M$Zs3G;_#f^g>E)wuRG|^i8hl?a~<=ci8 zF_+&ak88kJ(^jPr8;I{IbqC0+RjAf8Oova(DXqttgik6)>m{aBi-!qzP+5}lMnsJA zJJ>;W>JG|M?BtBHs+rE^u&uJt!nU4cf?s+lB;&%yCKqDRoM7vYc8J3&();r~2-IKo zZakmvzy;FpwILGFaa{pnL`yq*qvjlJ#6bMdU$7B_q=VfQPfLP?ix4V5J9ZkRcVidC zmN%n9lm!O17y=IR@Y_tI1nNrML3O0{`%2e?s(3>>q+yJnF5hY@5o~06)i915avIP{ z9xtUOmQw337V#$arQ#L`cawD1>?}swZA4=@3uD3mze43~s1cKF(@P0d?$eV-{n*jEe;Obv{+@D+aSUp*s>eL94?Ds* zC$BI~g54Ne73~8gLHuG~IgEge~y01&5=o{Tw`@_VGSXV6tDlm zLKf|Aa?GRclgAq)d%>gkxUs6Yw8piEvMkwo7+VP$q)r%jnycc7vo*((w=@xZz@KZG z2_r$!U?evqDE*awPUgz(-F| zzSRc{qjZHe#Axzs{d3_Y-IOYrsc$xicR7|uja4i(Qbj-ZI~^rYmcBM^h1BO{9dCrN z*!_}pkLj41+T}73ep>O+xCGR^6LqO0$TyUA^79Z;#~Z?|)RckEy~_m6q*hJ8^Eve; z{Uneq6%XM*sLiP1V&oRsD&<+*SvX(vH|aH#*t*_EOAPx8yDj8D zmuXdr=1~o_YVybAl?{IH-LT2|Jh%7m8;b1oq%*SbEi0@?b!Z69gP`Oxfo{;@5pAV{q$PvPE-sKmi_NOW?uUQ6bvjy| z#|N3%QX5;IhzL>Q4eVjJrXXM3HdCNLEurLiY?TpBPX)UrsG6V_%pFsKdDd`3Q$P!H zpK>u|WOPmPn52*FBiDqimig9h^< zsN83-$b^b9z?=chzoGK+ZK#AUY=OYK1rO^3!Xgfn1%7ebQjUL~>XY_bm#GIv_ zF@VW)mWuoVv2&JMSKfGL<^n{33_#`T!}>1Rgvn-Se*E>o ztI}>^_ND|0=6Sz}qFj?wXt&KK%Blww( z8g^tx%#|;-6o|A<*c7w6-Fx5$2l)JQ_lhIw?36zNBz%KU$>Jj}p>6r0<=U2`$0(fM{AqI<2Cz&Yw`0m*1sgDZRBT4Yt*Ol z!cbQ6`k05e$mb0o88xB%NNZA#I#m2t;s3X68l&dQW5~h0h|S07xFasn?lUXQLNWcM zM|$!^G36xf8u%{}b!>0^PW3v&9Lr?US%=3zmjys5GpAg%-C?0$vF1CoC+T$RQI9sm zaxFnu4k<;Ho#jjSvq%F$Hxzp~fg(*M2=qf057}hV`AVlZZil23O+T2U#h!!AJp4*c zd~#?Xp_*FKs&V+X6B*}Z>7a>e z8g%rfp$%kE)gQ1S5`)uZhhQe%l6itwolT}z=aZ@LGXOb&EI=M06Oapl_V>`9xF;l1 z5)E6YHM={_8xm$3H1jXZcivu)jXC7#Tn^;!-9U%}!fGIF2Era7AOSMK86YkJBCZVp z$BhRZogcwT1h_&s^i1CYC&%RSV@sH$rFMnE&*JHYO_iMH5ZB(YJyNUXfw!-Cbjhs{qDo*L=^q4TdQb^-EX z)Aa;wy6$TmMVxzR6fr43-uGr^yl+hdbg&0H7!4i7KnF$8K`nIf8cvocJpBRh3}KoFx zcf!#3+6r(T`G*Mo^?9RUem+{TjpbNH_0Us!q$-c?dZKo;jaLX(GKbCcNUQ%9qn%(Y zTL0;E?p@47j+b?h&TS+*8n;fl!kpjdJLKl0&l5ZL2S-B?6TFb?Kp4DIehHqD`vx8U z)|@vW`yh~Mui>%QnH6+Se#PP|Vh=cPQGeFQi6`6A6A@c9c*7-2fOVgQMX|X1o`+%C z`yF3nY7c6OW zhpFwsk8r2JS0$Z*TW)gW=69oi*L>e9lh6_Tg zb*MwCK67;(t~ubUe6G;@y8F|VWpT*nun;*_2*Ujk#R(%tbh^puxM`DrdJg)fa;yHV zZ~`a~2|cv<zrO{M~vSEnY_=KbG+4^6FVY;+P^nLYn^Mo!`Y0L_lmvOOZf4}o$ z_s<{;o~+||BhIK5APaUFh;~&>H1b4;nA4l)N2_I9z^`Biq$6f$_Le|8V)p#rqDJHg z<#BU44|Mx^m=78!Gm=M2WQIeK%^YiIJIa?-WY`a~gq%YBR*k3G5mF0yHZU0_&dNw9Xae7gO6 z5HYZd7~Fs@r~YDyu;f?|S0c;FQHIx+NGnWRyTgtf5DjRYdKrw$rPkA|n4z!gcLqTN zGL;<-hRTSIu#8yN=ScuL!Wxhaf*j!yjRvD~lnqi?1%ezQs~WN26bz#>rOsm~jLJ)6 z%S(|V$_SV^L(;gTFe;A`sCCpFkNYqx|0US1L)HW>hEX}!JWCwk6tEaZWw449d)W#H zz?o(uXbsp)WP4^)=D8o-^ubJpkYFVOn;!DU_}!PDexg&zGe z7m;}50ui?c5-Bd!2Y{L#oMQt)-B#FvzGhr)-P#ZL?PhaDm*Yt2*iCyV!Cxr&XRr`c zK8(H|T8sH;%5Rl|nu&5rI>mBdG(WWp%`yHh`j85tH>$@eVpv{-v|GWjyp}bDX@ljp zv?1IaSYFE;!iK6Zfdvb(Ip}YN6XXnKy2`U4^rplcLdIu8%ngK$^SEW+5Hdcw72JXr zsMe({g3z15JmwTCX;t#12HIU%WkWakB~Y-*fjqYa>IVH!5PHK2(##Jkt^Kp0nepV$ZTl?J6C7-PR5;b~zV_x0 z&gE0g6TvL73wQ|+#u}c>Z1(c{hy_w-c5ZLhUDS`9g`j;v(qPePofub*7W98 zBmOY8wJLOkQpQolPW5^H9BrVkbin1P+g|;4ZM-h48d$wIch7&z@I{R+)NZphH9_uZ(kpNI6*aUeudSKwW@9-E;zSt!ZNGKDyH<2#-4f;c9bsxI&gGOlpd}h$}FHx<3-*r{)ND^9f1tWUTI@)I{!(jS`Nx zu{#j)rY!3ZeC%A+5{Q%=(-d_PyH7Jlu*K$D6L3#{*0RRh#)n9`zswO`$eT1T!+jwc z;#d_M!d&DWfC&%`XamdvJO_9HwgZX)OQw2ajud!e9v@6_xOX7I;bQiDw~pNTZZ-RE z`}21K;MiXeXaY0>ngQPdcHj251+=ADXJskOhZ=E)ThLhrban|kI^701l;BVcosF~r zF|f^`?VbV*AHZHf8Q?d-B)|p0B{=i59q>``aKyuL9rWM|J-Nbh3w*RK(DN4f`D^BU zH}8Dtc@Oj)(`FEH+8gJ)JtKLfl=B>)k+-MR@~{-QqLdV#3&s6caC%2mNMllXJZB2| zREn5~Z**IplF1`By8o3jzYoz!a!D!ab7&-Q23_K8Zg~PSRCTab&cYT_4#5qaz%6Np z^bhgLMSbA-UebE55`yO9Q*!%Icip2>7Ky3tfjuBg9NQkcG%!5!9>`dK zzGpKOYK}Xs+`C69x_|ZuDCCo#9DVl#V_!gi0*$RklsufM}Bg2*$z9=UXueg zXWXDB8w&e^FPFVM0Ub3*LBZQz69|Q6_O4stj9WAyra@tVkdEcbjD5^3#7;Po+jE!hk*1JNXydg)%IT^k5T%^ACZ@TeH3jk zghOEsTlZkiF^A$cZ^=&xjsQ!%ug;Xd^vKO+UaDk)y=|TGXJsoT9g?uP{fx@Q_hjAtQ$WKCE zzhex{UYi2dF>2Aazk*4Nk6(_O6tGjE z-N$}ffSnk+cTi9XBjkA)2(R2$xjxDcoj`BNn{KV0FyEl8zAY!-&U8*YxQ$wu6&gj~ zn>Rf+WUo|EH34bRRios?uP_+$ZAXWYgJidytWf`^<~&GE34{ee*aVGtpfSn)$%~iO zq{XvqBQI~hIf^lGeT2QvFgoPN zC^J8=+3xW0@ndD@mkSdvIGZ27zpNPZ>J;uVY{qot#>Ci?E@%a1t4W4W@6X9x7#)>M zC^IA0Y;(}`@8R@cc*MAT?yqU2u^sE&W?uPpt#Q3RAAYgPhsbUt-{mD+7fy)NpRN{4 z|9E9YTxuhuM_Td-)^w=c2Ne@t1+q{RO|GKnpei48D!@$dofkz2LS-tCS zXP`gZaVGkc*GT-yL}+;RYL5CA`a%?xz$&JT1M*^}jDi`m|2<#6X`J6#XF z>@=;MG_CVbRi}J=#d?np6r)4nL79m?QtuGK-@xG;!Wlr}0YwdzqEeu^17*9N(g>6` zJH_5h2~chTWw{n=pF{10OyD{Jx5&=@4r;eU?O}U&MZi65@2(6eok3?34zeY_|7Z0<|IbT9297*A(F9*$WBw0u2fTDC~x_w+BO4%ixC| z``PjjURRu^t%4uzAG9b9`j`rRYNhTkO!&Oid?pKl}`DBniT+}z;N@f4Uo zWawK7%uT?Yz`X2quNIhJ-DhGKZiU+OQ2QVNYW;xwB?Jm%p}Cd>CxzMzaGix3;O(*V z{GoXQ)ZSBf%1_xp%CB(JkM^!M0Oe<(Ooy-P9s?*;Wv6_M{d-vTU$}eIu%@mq3^a2f zgpfJRgfImNLmUthF_8o!A`)bX$YeyNiUMktTGR}R;RF>dDk5r(R1v9yTBQ~-sfni6 zTJW=#TB>R4KrPi^)utBpF79*x-22?$_s8w?yv=_1+Iyc9V7t#*d+m2Ylkee+uHFqz z&cn~44Zhz`nb2g#WRuCC=10(E!DN$j(1Za^eB+>r0-B`hp-B!jp+OT*_$jTP{LZP9 zO(uUQ9@`GW|K~%)+i(+qRzl$%*lteVz5itGvGFh1XU6G)0M)2-xCarqkw$QO< z#WCNc{4|{hg=i>zJXwf?f+rMyHJDEyaQjbjMLK-rS;#jj`K8e0?PQZuXtEM&H)lcN z>106+1?Wc3=O%s57r^sqNUj3IkpI9B^_9ZYvBnaReHMK*N<$sb%Sa$oH6h z=^K9kXx0*RuPMLMwD$A=Ws#X=i3racdv5&tm*>xM9zrp*$Al8!+Vu5LDM3f)#BaJi zQXH6S?t1A>%0F-;+xh7yWc=!z%lL}u(cbseSBgJzvwu3O3H&ooyyx{L?uxhR)T!^H z-|so->)PuU<)%D=Xy~1L4Y)1=U)|-FVdw(d1~ithUInxb=zgFveDyP+mw_Gu8Yj#1 zb<5dTugP6LTikVSfSdQTsHv-xFUw1ycSQMlt>;4PNLk)RXuSklufd(lm~2@D)zMJR=!p6Ssso_MZWts2u5liENt?RHqGWl6 zaOq#c^c+lHU^)q=`Cy8X<-MVGM8)7wWkkbZg-`{9y@A30gTb)SL#@eu%oTU7>*40j zlr(ku!(bFJ?*wxQn47`O2lEAP-bW#_y!)h_eH-D1uW{+SK(!fuOgiYC0o5?>8EAbEs{e%6ui;Jza3{yXJg=#1L?Fvs3+78; z{sqi`ftdnk_yKUlK$Z)gQ@TYFN0nKUZYJ}hGaiHEn z^MQi(cc3_+@}{m#uzm)V2{aEVSpNqUek4;CHFezr>v^F5Knt3>lHrdk5URZ3JEy}% zkHbZU;;xr{+`LcVuP7P*ijIJJA(&T!`8=3sg1H~e;-;>C=v@NlI4~E0`81fPgZVO; zQ^5?qAAq?E%$vacHJITKddfn$4NgbY3#j(OxqrJ;v;9$sc<#$yZt!BROqN0m4fVjg ze|r+Dr$Y5t+~9xbHZ|2n%47pk`t?1s?8O3hF1r*Gz=wh8kDqw?_!owAil|P(e)lN- z2AIxnBvD=(QZgLT^^ISu3?Sl&lMv#Ouh{@Z+i@GsctaHB<$=5Ei>P>-GCN;-LN zv@W2lfL;a~>_%G!v=Hb;`_aV#<~iptYYuIjZT|l1fc?osQT5+d{JwwBMgZda{;lEy zfLDbA1OOf&3J~7+?+p6LgrCRS_X}_{K75a#@!^E#&S6oifh+dj0WILTeapaS_IU&60eZk``_g-Jojt!S=$ZXIwm#vz^u=V4 zH_U6=D;8Z@m2k>%dLyY284^be!z*I06aGVL%v+UpJy%<){@ic^5t(NeE7w)`w9@@~ zCkQ){kIYMzo2&a;Y5u`02SEcguS7_;MaTqNRb^t_aVm&N(PEe+v~S zCo2k}q7W+nfQs2SC8XPYMr7jo%nrL?d}H;++KAD}2cEZSFYr&1PsDM;IioQTylyjs zK$FQ!>tRVZ?{NHX*rT8pg{HW_MRu62j{g~sx&)(fDP9C!97I?i0BM)g;2`FE^VvkL z<(~Pma$fZgS9o7eogbM!UmL7kT)j^bSQInhafbGgcn=AJb2fX-uN^1tgkD~syc;%h z=MZP;OEpVwX2Q15Rs5xWXrGbTeB!2-k9Crp)!i7k`FKg*!HL}0d3XNIu`FB09H*7Z7%ICCleQ^xw zp|ZUdewpmQ;PmL0_`D5nCmME}Hw;C#1}71xN)MFnAN9S({#7&6_-Q<6f;i`5u<-v? z&n#j3^4j~!zb+r#|M)@rZwoH9s5Wf+KmQAf;kpqpcie`jb;@SQbQ>;#;gYKVyMvn! z2fb;$k@)GzKkG}oKfKsH(G&9i#kLQt+yCA6VM(*%sV!=Ci_PJd|=Gzz6_*60qOdD%hW7K=F z9}+MI+H7lU7-OK#R#M|P2HI>}YdHSYe@x<YSVM^66yzssdhxTh8x-WvR`49YaqEL*NROtkT@;z3fy+KAg zf|T12$|y(lO#2QQB*x0M?~{4Hr+s8v-OTQ%{cKv&954~RPPK`*a{q^v=O02iI_^5H zj_0KdeW|hWf^@u>+D;=%7f#ZQ8mYQqlD5_8rVB$f!$xmi2%`N*;!Z7S!Hr8IsiiFh zcZp9^b_@Q6ejVi9@S^KU+=foK6zV=vj@&1dktbRwXLV426lKT*I_T3ytK^Ih+Lxk2 zxnBq4W6@?gr=0p)lqL@9w1seL}Stpi{wK-Oh&P&W(acS{3@C^9?TEhti5CO z;)lG|oHGXTBi@3Z462h$&>$d9F^`PdXD!Q?lF@k}@!jT4>e4SY)VpwZnb*WILI~J8 zs!4K8%`T{;JZp#(Z4uI^QA;)TN@hi9n)bSqT)`W_EU+pDGaIqrgM1<6$c+K{!i-GJ z7uNE@v`q9G>pjEaRyZ2>kwM!^NkXbb5#uqVf&px?`yXxzgkn}AT_9c4W(Pclt!x}k)uh5J=Y zm60xq0DH~4E`Dw|oZj4LpV~{RgPcck7T@@sZhR^7aaoJPD^2fN*60eT3ro=SLSDFt z1ns!eqm}y}#jxhb&zo=$*RLrQ4EKbHPv4l8x){-wG5%z`S%u2=&rj6tNW7IfP);N8Pe%7>h+-o?b zM0>^IIUMl-w8BfPMg3T!^~1TBD8$X)qjTG>li53U(@MhQN-1V|I0x1^-lwk7chC72;>}@j48IW)EYz8Uf zZ%r}HAVnN$3TUQ}uuhp~H~WroJ~CmO*~!d5Od-vI$!s4JqnVb>I%t~O?32t{Z1QMk z^)r7qMZ@$9S*NPHhSZ?~o8H;`I`C^K_xc0!eZyzpvxXFhqeng~6(bRcNT(dNo z3R2{9DUG^-i64>*si{n&Q0h*d--7FvMpDz^w12}C?is#^qhTF)0A`?$#68vt5iI*e zJeYy(JP}>as^fO4JB&%P@Kvfu#&B8iDs_u7K^FE*b=Mdx3wfr#U`&xk_^MtRgKb=2 zb)!*e3vE-~GDg~XZR&30Oj~%NYRnjB3ocZ*8>idC?yE+OF}9HV>VD&FTSSs-!WhEm zCaE>Xsj!u+`o(dmX6|Q%U$-8kC*(3cp-BCp+sE@v#+^ zEhghw)^x~Dhl|pi4Tv|U3)v%{E@b9%u8BOy-8&=ewS`JtXG{wIW6Mgz8JGWE$mR4_ z8Ct;|zdF9!QeK&VZ z^np4no@=3y$-UShXdw>XSXQkI@TMz?DU@DpYU-G&hdRqS52^x{#I)_h>V;6XGI*o? zZ>W|+H4HrfosZ8QGmXMn;TB3AOG&(5Kdi2SvFdaItyCp39Oyit>wv=012FU=80P|X zm<=5mPzCq%5N_ZFRC~ejH(>Zh(3++su7J*qq4P$d(DDh;Pk?UK1t?(XtuWSAxUW*^ z_ziSg0@X|TcJ@UO!8wKp*V z1j{~aMJ0e>nZ6bGp8AU-PlkCaeV>9Ap;QC=T&yp zMH}PHQDc>aME(j*s*;@ux@93sN@CO#%`#{}abhk1q9z^kohmA^3oRR+xnrmwELqN?F-)9gtuto~eb!O} z`=G=U{X6@vMAQS=WG}68>u2md|L&h}{)qcjgsWjnm^VbBHU1KIgoruL(M4rxDjZB* zY?jvBQAGu1j9$xP>XLSBjpY+3oTqjmdhU@voJ0Q|SKWu9Bd6_KYCJ$tVt^+NL3Dit;&}7kp+6@kOS=qnljLOQg<^k{tjjL$05Q{y>_r`zFD0w8mvo>%mK0_ebYNdgHfNWXqdX;PgW2Vn zU6K`pigNSs-whWd`Lj}zJ zS9BvgWiYbEYXjvmwixI=pfNzb9%E+%{Q+oni&vfZP2%RAFV!i>>I_xvz0VFP!_Y$(fxJ&x0|bD#hb5_9%3W zgK8VJTn;T+H;HLbB|KJVKMgGtTD%&e)$cIYcA&x*uS^*Fv($gr^~{61dvM8B{Ge9$ zP2vgYRRsfGf}UT)U|Zl$f?K>M;9j>vm#JX71tY-i--0i<9_R?rIH2(5Jb?ZH9S=aq z)%+mEWGA?+9;zMLDLtVrUINd@*zbV81PZs`O@53$4fGk%aG+rQ6X;u@lNSxVNld7J zsa^oLx1Jv~OutEd@AeqG1n&4KR2{MrFX|^Cktu2;qA5GT$8FSqQ?`eXd4m|sj`9g_ z^bWg(o8k_ zCPsH@*Bc3majP^QMs^>6m3F?7(kFPPiT-Vh`ZQoQ;7?<4U$C$GkWttd)~5Q!7}*!n zrvBPEvoE4h^`9}UmRqRaX`EggdS7+J7*or;uRaM!yuy=IPay$KaFY6facXVYWeEK( za29UBR!KHF^IxGpAV=(nNB8}H)#W-AL>0dx(4;}sd9U!z(m7P=E27+rqAnmq!tZo$ zy*EyYn7RACF-l0)YG#^5P=#Cj6JbZKBLFpP$9!4*h6oc|G_OWCO?8%~7lGJSZG|WE9 zvh2mv(0P(Y*?H4olCIA#z5tVSe)ggZFiBTuFS!7dbO|U9!zBGQyL1Cg()7XX4KPVp z4k|XlBrO=s-2jtx(;%p1#z+q65x;^_ky3!Tt&jE<=M?lMN!->Jp{Ul`TMDvE~e>3$R

|* zJT;lzx$rm{^P95N5ZE63n<|r!7G@@4)(Jv{z!v*kKpEsFVci9ZgD|sq2;2tw-I%$8 z=)s&6!U5e)uA3vd8amsH4eqicP)F9Rw@QaVUoe2{Hw5~Ehq*I`Kwq!|`glNJ@F#A> zP{3Mfn(!W?tfjpJiG_%m~q z7@<2=5$1YvXEJgd>k?UDTi!iMo65h%SK>C zoCP&9-&W>XmBxl^4PB|c#W|C`250F&6)q@-)%6Ulu4iC%Jp-%j8CYGGq7o7p z)11u3v{u^Jgn^w7OsW>}>8NpFo||Q;H49P{8#zaervOU;6O24DuOY&|u_2-};Rd*l z-k^x6qpmS<%U&2h2Zk?#VSmCf0SuW0VcMu`2ZZ|?9GLH6$TAqR4u)(R0>b|{rV@jv zvveH$R9$B+8w#q8z{y`*xONo-Q%B8{?~o)oXA_YJ&BD(4L?HY}nCK4baMU(c73d4h zZNNOXuu1Jg7|U~>FoxtncEP5uC8pBZmG--!r%-A3!qR!^YN&Po2@=#kuQ_*tNE%RbfQXv+oW0@z02?d%e?$R#zHqv8W zsUtcoV!iyTIk$waf$??JBC9%Sz8!LHUlW;SLOZhO}V`hc3X)>Nr?PgSUV!nV>b&w^e-qF&$cLeS*s zJNt=R^=59qG@c!P9vXb3*}>-(yI3>W(C&NR07R!K<#_{{9TBDa(?Dovo>pq1IXCpQ z>b3#b4z#$_hRF8t1B%}ysqMjZIo|TZ8r;?Fa597J@nHNzX#E%!)(I$p#Pv^d-RdRjE@YV&Wsk+Q|*20jC@>e{B4l4pJqSK z_MCF#xbFnDacO5{;CJFRDW^^M9PPL=TfY0nPXRInVj*x<2AkPH)C~UI&O&%H)|84b zwY%3*8s#$$9?pt~*n0IQ@i}-h*5Jg~s$D)%cNnV-oMf+4?)D9brWn(tKO*pgHTHAW zNDs|kYiBifCu9!t9gJki9NZKvvmdQS=c+HldW+B1{A2ANL)?YV%#Jb4UCmuDU|1q;%Z&;tY(q_5P9Md?k>GDH^Z2x7KH z+>}E^-m(arW)abmmfg%fGC~{uI?tDbYNLhlrgI2S!ZK7C4(bhKqbuRnIecZ@UJrtLDwpR7XNQiUzOX#jtHCgh_F5?cesSCgI?PNI{?{UHzrNdv zXd!*gozL-Wp#%YqI7G;+B}QrUxM3W85q%Ah#_=qo9aSy`esRSBezF;5*E{`FLg~r_ z(4yKY!YtKT^cO{_K++t@O%or|?(k-Ip&oii^Uz&{BwsSPcB) zxGCU18a-H03nHJZjK{$*tJUd|64!_S%HpZt;e=(@k2k|Xsg9qb@Q@^qvFmV$&GfS4S+!f6q`m0XbxYrDBUKeGYe3iSZ%Ws@?P0=Uu9E+%f%ybZBrrmJbEs&Y^ zJOVy+CR=<0-ye}~c`9yo;!`3$l&3)6z9$)zp}sC|OGagAj*GjKaW~YX;6vfrK#4HQ zq~IEJ%E*_3Ys?bkLMgb$yf79?19K?e#s@Z*ETbHCPkYBYO^Qv4KMTyn$#z4JMKg;3n0%G*fvjJcgsaztTjkY+5A<)1X@+UZ>{5BXU`|a|H)^}(`2S~H)riWCx4&RI zZliapP0TMDs9l<4%*zaD#);r%JE&P<+f)xFn5>WtWw(Ty6_EkWIE*Id4QR$mZmMj6 zW*kgYehy~8Ikag$Oh|R4wUR?yNP566b|m$OA3^?L`I@<1=JAYrPgQQiHkI|llkYxm zrDIb6rwOSkF}=4M-4`P zC{)~a93`yPe4MUdHt`7glca54`2GcLia^eN?mfom`jUpE!5JC8V-#3ecLZbJ!ovC< z7FHx@tI^1qB^DBc?R;WzLNY9_WLR8b$TrRT@0>@2e^i|UdHnLVm=JzMLcv00apkjIDaj#0j60(5s!`0)wQ-LV_w@u9n;ke`+S-5n3i2zxif<%s4KQ8op7OfEx@ z$&1lQbfp?DaNxoTr`&YMfmD^)(71Cq@We`Jx~XhDMi@~88a{U&(E@e?GyteCoxItN zd2Q*&BuYw^Zx)m)_j6)RctA%hNOR}Rv47UE>`~(MOJkLOiH#Q(TSs4hC zPY9wz`*dpO^tz|UeU5jy@6E6MM1I#+i7O`h6o12oDR99V1<^7+;`$&)nmrw9)GoNj5}Q^atFp<}_=Z)GHM72|wAqvf@2dM37Zs z?^Nd482vFjRhuE7P$@+%Bt`Iwp(B8Dinm^hd*-!-mn-#nMuzoOihM@$=2c2vpHcRi zw%70_}=<*7E_U`Yz*t>%FKnv6jN&v$M< z*nBt2BlrOcqKDXY{!FOl;IH%1_O@!5Bjg>%njuC5NbqJ2Q5!&lw|dCG0VH@uL*xd^ zS4NqG-O3C^Q!Jz6UDfX4x+!99wcAPUdhvm3&m;5zW1=BMR=gH<4<5cY4q=Lj8boFQS#N{FZj7K(KyRBXJ` zjqfDvR%pTYH18Jgl@SK$*G=0c7-v=kCP^iG{AJ;H zazBaB-4Y*bMfG_Vnphn77q3bBcAgb)A*H$SJT71X`5+g3PZrWCZzCy8%o|r#mZq@pWE_#zxzgPS^;hX*`YL z9Jl54uTA%C>79aF%tdXZDc(luoI8MgY$>;&X~nMxv9Vl-&v4jt)lU+nb8fB2Kk6_3 z51W)b5Qr8^)Qw8N#3M=Jk@w@~renpS zNQ~=R?KxdX(CgY=u4uFM2iu8PbW!^4?SxI*rTTsC9-DN&`bL=- z*sUq*4B=z(fjBgjQz8GQf^w>BKAuQlXY$JTB+~viB@7`_B7K#o_#p}40~x}nBtW<1 zaeiV#X%c<`-z}lI8#Bs}PN+;FgsX2F`Htk)&{EYc1LS{yRDNlI-t-?oRyF=j4SVkM zMZWAi^-rNYR+J@nExUPf#gbjF;TKmtp8XT!!ivW;yx*0l?t6W$@9(4TC)LH`)79>~ z_$Ld@jD$>fxbY zSR))jY&YlBhz3xZAOTj?CSM@&NRE51q(}mj!P}@%f&|TNWuk=#zFeYk;)dU1TK z@e6iv4JX^BTuVmngO_{Y!HT8Lo;;hONfO z`LnEtA-od8@emFQ!=yqu2*QmJzL`JE)x5aH%bAqzQcH(eBNFCaVz!tl3Dz}&LF7T{f*?dG=I1!){R5T63F&o`84mRROQ2k&Sr;?k-XJm^QkA=bKM#qgi;<^Pz(- zjEBpI4!RS(@O_8CHPS>B8 zF^Wiy^3%3_;oKD5Y28hpy8|58j$kgB3!zNo`;c@`ju|3^YQKj{4f;3DMX1!^eL*$y z*cgav?}SPX<^ZThemRCZpg9Yb8eFOR6;x`Ff$%+4f#g!wI5gg+T1i9RDN%0~H~IDU z9tPqFd=Ym`ykyx#T%Io2>cgRSldp4^as0bUA>1+!xtnsFyP4y=)@uPblS5xi9_23K z1g<4fxFsCYTFP$j29D3TS0Oi#LmMa0)?aGHy;c9JKbM7jZzc{_4Pembm;~VfPQ@oM zVxG%`C2Q?vIN$lR69hv1iPj&QgEqZFB7`K7{$*9NAfU z2C8WbJqS*w^Hba|s$Uq39Vx@%m59f%G;WuU5G2Pa-EXc)-Tf6%q7t>(v=!aa) zfE7qeDG}$DF9cKU${x>N_IcGlzK8vMziXxD5avZlgz1a^*)^Qi<8o5IUI@NzP| z)E&Y+f|on`XWN%P3zfyLCiezT0~57Fm{l3M%1f*W)8ppd*25593E_AM2TgNLg>Vpr z8zFoX!n+~-`Lj@G%xZEi+}#J^s*G&KIo1i|qvitZ!K_I8iZ-e zd=$d>AiNL4%OD(EK$r-O#D0KqJ%q18_$h>sLU@(G)L!-E5A(r$Ls#8bl4e_rIqpxw zPpTdZCiSEbAU0_CTjMxxPhuAXTZ+(AG639&@}|-O^ylWp=VqTe?UJQ+$#QYY zI<+=iO8}iGbTvxzP9K@}pemwU6&teY@=AyQc?#_&475 z-+QIPc@rKZTmN(Vbx2dySZ{u_!1qYey?v%hJE)nXsVxD9rXeEt(iAi?vIK!e>PY!;Z_P# z8yAuZKZ4Z8SIHhfYAE_|l3k~(3-!m7-KT33^eTr9+y<=KCY+K zXB;>y@lx72a8`n(0pq|~Nt05>L5Kz+^&^51O(uA%W<9|M={8#_(xBR?4<-N9e|(n{ zickq=*)L~-#_$nP$+?wUOO;=>~Yxb>;zAq=)kO>8C*r%Gc z;+8DW3>{J2(C^ac?Fq^@6h=fKYSeOFtdwDLsQXQ!&DaTMAa=K)7<|}pC)F>l5zXiv z+B8!VFty?W@oBnp5RYzl%@F&=bK?@(KfMI5tW#(=cf``m}tDZEhzlTJ_pX zMxfZN<~FCZYtlerm!1( zi9e&JtQ+{0c>B>Ymsi?c>ya_yD_z{8^pT1BwOCjF)F$CtyvDqp*)@jTr9Q&^atymm zGr|Nrk^*%f^TZgwK=Xllfq}RW3RFl3DJvQWPBRO@X$Cb(oyW^?_{fAr+xaC8g;L^aU8-k`f*+$2lot2 zjcO$^{qZ*xC`k&N9WI|GVaaCYKyKO7I-BD|C8a415&}EC4wVNwt39o_Y*^XaoIWk& zMB@WVxGZA;5~e>`r#akYl>^9l^<{l`EB>~+%A}K|%D@;Q9*hxaz!R7k16w~kpyXjaFB>9 znm`}WsPvbUF{SG3`V&s?ZgM7fnUva1y2&k*`gc++bvAfVU#URarZCvAFSE?ffAOJSKXGRb1%#4=lx71yi?vDFX7J7m z-=unG@XUggM2jIPE9@<#l@VDXI3?bk$^43exewZEn?PHQnfl2x+{)uH7Lt#uwwt}J zpK&lLtZm8xaEB7qVgqSastyUGKYW|&rOmG?z1D3t=M zJ#{g!!J4gh==H4#0;tEia$KqOG!*`soDivP}u{B zJl%eHdUZ>QUaWdyj<;^);Cq?RmG>oq?2tNay!KyfSQnxeTa_J4HtIkVY z*%5n{e@c906*2f#YMLIT_M^1(^t(jvQM$=`t;lVJdQEj&5+Iw?fnTL_w+3{PiYNz- zS0#zkd0(-OHPgvm zh}gl;7!>uQ|7#8>0~X|Wyfu!%>fjMzLAnoSKg4_`h#ky$h+82D7|eZ${X;NwkoOQD zAV3e!PQo0v;Ptv{%oXhokctOw)05WpA>z2#x4gU|)Hpeow`zzmPWp;hHG~_dtl-rT zc@Tlb&mBV6hE7-V3>0Vb&MEfU{wu5xlD{=Bkxo*WqzuKq(F9bL`7_M6Pq^_JuoSMl z)Y7(-oYOr*5@G-;9wGL*{uv;6)?icv`T-l@#(cmKfCG39Z?XU|Y6FZ;ASpJ0WrUD} z=<=Lk{-e5O%d;PMy5#_8@_hD9?`yf+yZ%{5kUY2X0K z+gL6o4N&&MqB*HG{;V8p^A)jI%AZPD3W1F-ig_guv&D&`UMUvYQbkaUYIX%P+dZsc zQ5aeA9S3LfZN_xaZW&**u%d_p#osLVVdj114o;@&Wb1M9nt}XI!9r9SEbRyTi5GR5 zCU6FQNu6d40{NOb&rnwdft{Jp(3=Iw&O9pWo!~S3DK^SOEwRSu`%lDXLt6NORWGCa zlkWk;Dal#Vg3JV3QM=65pAxScwb5#3^%8QmkBpJ|^h5Mtj6V5+hiK*OhfSBRwcEVZBCF42}O9D^sgPaOutkmlXeY-J0%9!v*W1rA6jo-A!S?hB4 zX@AB|s^Fres8DJIk0m99(ogZoQd}r)32&0rBh>o^k03?1QN4LlQr9;6KAta>@t9|o z-8SE>h_kA38?K+ZQrTbwLeUdgHUsQUPS2E>SISKD%%=HIKq%&8lp~Jr$}Mj8T1e4w z*EfTV>uhdr^EZRuG&0r)rh~A)7_T zd?5(7x5-dc(igmZM<_d7D?i207nW0@He0A~V|!$4$RJom2Eii563YWvIEk{#6t_ws zMc%h<6lU~-Zs!Tp90O4{zt^Rb6t60=_*p0ClUitdc+;Tl8$W_Nr`urkvsDOrz4$1t zuYOlMXf#jPgE#dE)tx)fft1Zj!mWb25isOm1Xk2n4U^mBepJ5UZ?^Z1xh#df@yRj5 zQq3#<#WCb>>Pr3DG1x`d>H8Q6Uv(ol-NA8kYYD!Zqr3$ibP_3%SIBWoqI?ar)U7(W zSh3f(J*t-B6?^)x)fR73w`OXUd*v~iPO*LWrV>RC$Y22__DlZ8!CTj?>jtNdfM*uQ%58$Z-*K-L?WAfu~vhz8_!GLFp z*XG=W>w+Bv@$bx~bn zDt7n|hu>EIVelUgzO6b8ZB#*0wW`8^8V*ROy(H~1jwFwmQuDDd8@~>mh&-)COYN#_ z=Ev68!zd`?`X)1?k;%aBx!x5dueZFjX2rN!+uL1tTAm|z>VCBHJ4n{HcDGzhE;3j5 z)|wN;03xHyUCTw}UEnXz>Ik$Ns!?&4KvbM=u{Ap;%(~S7thE$yr5d}^d<7U$Q>=TF z5g#ZY;lGgC6XBtFXRG;EcKcV7kdB7^=J-!M)ff+V1>xaQ(RVSa+sH7(2?Y+>a~%Y@)qL$ z70`v;6aqopp>OKP9r5~s_gOPKC33ZrW#b5oQh<+KZjEdP4Y!S4*Jke)>c8B`=Ae{V zf_w>|XYXKRj(`}`3-}3D%0N6-!1c+e_Oi8#eda4Y>_&3jVT^7W{XX z-+_XQlT{TVCi4Zt!XnfK{vu&n5ptdRp#E$-D4$>AZgEVhW{x1;1(WRxSa`|b@fN_s z%NoJYg@rfgA?90HcxOGt#lpgy{Sf;VEW8;H@hf2A%}v7m0Sm9H8Z#Xh-rd!x>AI6J zt#Mbh&tVxxUeWD^Sxp%C`U;j|+&Fm!OlpsD$cB5be4KH)i3w4F>45OuMEjOQi^a1|osh z9}JBG{<}RV7|^_+xrMLOLIVRd3p{{6gI_uS8t(xbpbKDej(0-zNw?O32iOVn1MGn2 zSJd+xYmt9RuE?tvT#^3^>yxrIPW)Mpx4kUKyOVv=P2~Ro8w11k!mv9q>=ziKg&~U| z90g%`rw#~z58-P!*CKz1A%DY=Z7^g342eAHHVp5u9EMzkA&(*a2ZVP*cnN$+CJdR= zjlU|ucV@1|Y!*ax=B>rO6L{NCjS)Q5E3NTE0TZ#Qn2+i}tA5PYLsMz(9CQCb+hT+a zr$6nzkuwzJ?~OLPa=Z>vgA|1lujJTN+!AwywZln0L^-PXOOnvTOa*~9Z|g1wOx9yo zt&?0%t5Ph3AJz&YdaAjmrmz;hQnSjmf`fWO3Nh6~t+%8B^#;iQRSjPTY-+zoK)aen zO~MAW#w=?R<-l(=zo{q(NR6IMXqhWe2Z7ciwvC*ocqoa=3OT93o7XlKPY~~Ge3;*~ zx=vEhXC7~L52Rj`=SVzcSp!&4ph=P((fu*cfr*Ti6~$oYTUId-iZJJO7Up&lYBiX4 zaJq;oz)da(ZZedrQzW$h=wjH)y7z-9F$$zkg&pWG%$w|6hY* zrIeWpC4TI1N&yHNLECAuI?qTc^(p4bBd&+WOV(;m;Sg~C}E#M(C$%X(vJhqZt zui9rxv(ltqy-^;DN9NCj#S?C~A+yt)45ETJVZtW2GjruyR(`8t&Z73~PE4%LG2 z8@rmNRh+a%4(3qt-wIp@XSHBr1(DDz1mu!EH?xB%$g z%kOoWuGz<3;+QnXssw??Gx~!v_qE=OOxq3qW3Un&v8@{fA?Zy}hH3;oRVYIpZ706f zMTzAy3XwX`lxd4i$m(@ZrN5Tv@h98AlzG>NpH`V{GwqjULAAk4<-ySC2T786!3z5x zQ0j(~R05Qwy#F>$1*yd1But1IFK(;G^ig)0*h82G`dkxZh*%qcK-FcyG9pTq4g;-~ zS*p@NEh_X!;0w-3nBR?0(|U-tV=i^%!^WZ^pBzesaqSRoBIb(1$1tNcG8OYRa6`(* zG1t_U;+2e&NCJ`B1Pe;=sOm1 z=d4+9qKPMgtbA zT_VgbwT1bmh_FkuhVliQQa>7O zJmG`--v{)aX}6$TWg3^{NM=X8wX`kBIxK4Sbw1c7$sENmeNT4aD*DQZOT* znhb0tVc|mD1wLI^mO}W=Tn2>4AGkA{10FU`4XS3YR&E1fd0uZUFQnNmiGG>4TXtP} zk`-Sa{-@%(qZk(p^o#;3<}09QOotBxqU?{_2;Pi*On2id;vkQT4zLtL<=12S%{QK7 ztZe0319dF?2=1rR$HZFp5$sI!8vT-5G>DU92WRy8@q+Sk<2zQ(9n9;+yuJA*lEJJ* zqDK$XYeOs1D*k*B;m4#PK>xq$bm^d|Am7UwW~L(jET_bUu#|tzAYN48Gku@@!Z?M4 zS?--~@^FL=NA{uW)#prc9O6s*byFZr<>)@_C;!2!228#7wGqi7OaLAC+UQ!`NAs^l zj~f8XV!VgWVXfjI{YezlQ1Xze3n1nA90DQS-O}$>g@6ZuMDTx&J_)Sp|E_`T^5z+pgr*E`i!iEEE{nv49M zL&a4k+_FA^OU!`%cITfcV0G3Ke8WhX_spo=^$ta zyJbaRRIZiyWyN1q5heJ3_If2AoCbFfV*|-oP1j-3;=hD0+0-ThNaJhMfc1n}NU2x6 zw5@7NpFpqHs;nHap91H@a@$icr{+Daw$;s6%?PrIS|{0Lj0_vUb-ryOV}-4#Rc>3$ zXtCwAp0X`xT(y-Y_?ggf7>MO7+XQLhix^RVapy1wk>Uswv|>-wrygk*)qnm zY~lTX%vtC5jC2WzRrqI=kBSLf9Gm${p|qv4Lq9xf3XCSp|HBHF6@;P$e2K6K$Q%3u zdrKBht9z#3ngzcCEl}1W9HG0L5)IR2#odT|!0FyNMCgUJ;=aM19r7dag=W}yReM$f znJ5~_L|{&NPIFh^F$PKHv-;jKNGkti^0TE2dE*G0AWt}Z9JNQV3IqUpCyQ;a(ln2dW${gGCLPZ_OL@ml@bn^^pDq16`_l&0EHycauh;Y#i84 zp>Q`th1hF1H`9S03k_G@wPg+pa$r%;(;sXlT+w}QP3HuRd!?z4NN{6ei`d@!~jy(6YO(eQmv1NBaLc6KVU;wp*$Zj1mFN(FF1u*02q}3 z;7EZZ1&+JnmqwtV(u!#puaEbu4)nV_r=92Y0%#n8K;_O zBZy+pDks}YWlJo%q!D>n;np{wzoh>BN6gAEHhj|)uxr*6HwEj}_9vu|@8mMFD|be- zS2sz+ozqNA^1j6@Y(^!~BTaY~t(sY&x&jT`vG-L6SZG<*LdlnWtp*g_IMf;|5}XOeMxsw~reROks8<@>ghwkh8&H@)3}d zaanOu@-`532pz$=^5@m0HDCJ{y}|O>x>JUKLjKOU&XL*^wN!q`5Z=RHs`v}4P|?51 z3k}HRU|;0|8@h&{gxW4xP$Nu2)@$h2ydm;Spxp%y`MxBtFy;=?ms0{v^dZ;f8xt7_IOHur$MO86Ag~7bsyZiQfP7T$V=GLksKwQh(~XTF zg}|$I4cDFnKHp?U^ftv15bJUq;Hho{q)Z4z%5hVP1T=v`lHP)`?{9TUg&Yghfo8D7 zRdtf6F?hbOluREBuEYIgAr8(Pz#KLoYWg5qnN`n;2h&PgH~BA~TNktKn#litRgCMe z@q4$8gUQIuF8_z5=vi-dlY`$|er)<+djmHG$jUnK^DNuobWT=%*JN;arQfewj$dT) zu%90GxnK1X?*9kwe+M_dxB56D*kK-9$G!3CZ*}SLK0m|zR0*^Eky!H(oGt`&%qVbz z4FH!{;CIh&ns>tgwIjqpNAfUhwZfehB#QV`b-{oDLcem4!Alf(1Tlx7 z-qf8RZx+s33(1B|`;js5 zU|nflF%(b)S-dC%zCCo4l3?&=$G=sa2eZL>L?{9(tO5s#9g9=EFfV}u1)~KjBxGnb zX<>kG#W8&+14q#Otd~Qj60BoVB$)oN3@BI<`=NVezD3j|Xh1iDlTI)PxsbXWiY*~o z(I@3e&^ni$gN-yNH5CnDG?thq;lRpgH;3#xc1^tEC+tMT^KC|Nw^>%*7r}PRDyvq6 ziPKoDN)gbnI$RX-#SlGXly>?0)0P>pNmjVjb_HYlh8d`;<*0X-#hoR~kykC>dpRW$ z!*L%FWtOO9@Q&-7QRB2ouv{gKQ@=EAXSt6>-B;aZp~u*hl>Y#gw|F7yD@(fm zi+`uh8fmtcps@}tPDGci?$ zt~cXXF}K%upoeKel*z#>ZL7-Qsja-wmeC95!#|4aLC3aUyV%ODEqD2#&HEW-4mZ-B z5vd1J_|e3W8ukZ5p#RQ$<)$y41GGb*PWFE~*#Re)Kb*;uEwPwohH&Jpphs15TXrssErhj4p_;`E>GTbiujBKUY+z zAzUG~AO%T0E*+ATQ3di2R#<;{0a$-e z?hn2Xo)SoVYnEFeBq`${NlEwN1~$V!%Trm)qV&fHqOj)cdc6!og|&>ra%00C(iEg1>y7(IKGj?)n!tyd56${TQ&|dM}qaofcXMyEg@2$Bzg&0%FvQ%kGP4_fF#&dx=AVB z)JxoGsb4pJ17tpes-s^i*V_WCgI28`3?6y*$1RI&w!b~kxt9efG?^R z+)Hl=u@5Be%3nD}rh9+}#%b|l;=F|DZBea|xSt@W(*|JZa~Sp)469nuuKX8a1?~$ojM@=J%q2_6k>meA%DY=Z7^g3 z42j$pH4N{t9EMzkA&(*a2ZVP*cnN$+CJceU=f8yn81fGcc?Uz@!;qr@1>qlVt7t0e#s8^!!>h}uP`xg2dHHxMd7X)3NM*y0aa1 z=tZ{oxXOk%ezMsunE0CqJ$u2h`J8qVJl0NP`>hHU#*Jj@d!cF&_&Um-(R+rA%?~VU zxWs6uuHp#i7a``s?IUn|dsLL_lI>gk$j+%jvdkjvcecYWBRe**+T%u$uPu*(LAh#< zFue#rd2}XWsbw?uA$>CUFr-7SIJRN`=C2T@jeMi$^={^+=X=(Aty(n59=WaWs&+YG ze&?ICaGDj#+nZK63STkbk!?^n^V|;m}$-$ENno1W3C=7Yd~%R1DkUUx3?hHcC8hGQ_mLb zTZyUUYjU2%!zmiT9#wC&7CNGxIRju)in3o80WVHx^6CO!+#J(B3Gm`#fEPytITa`h z&VyMDytwayl^e^5tiwOmtP{70fEVWuMM2=j9fYEwPXndQDC?r-cs(}qy8?2^GmM^+ zh}M`7h%{}5SUc=qN8W5K>hjKk+Tq%+poy3dm_%qNFPy+G)?70!2Q3sZJY8e!N3I#| zNB#xJYd4y~GyJ%icPLGKEZL(@cikn19AT132{EKorUEG_5-u^VmVzST3sbff6bZde zOQo(Iqh3JWdd*hxr7X-pYP0xU7U~~OoA_E5?gRCf3E2!%VFk)-&}iP6pVtCe?boEkxj$60N;^*}#(s^tY)GbYB7D{sI_@u!ndS90OxgYGcu$qs;SGU`d= zx5o;d(JMTdigtIhb~>}U9Z%N1WooKjc4;%1`x%H`y0{AC#}7}_T>s;^X76#7eb{IJ zICK2t4M}0;p%(!?Rz7?{{jHVDO+dE zT29=sb(mUua@c>RXIEHvjw7$9#B8cLr%wO5lC=H$H>?ltv4#GwQ+Fo&uJo72bmvG@ zo(OFG>ixEbJtxdx4oH_gjnePDY=cKzSju_{>6#R4D3loau@iqqd602DL9;Km`7@cK~SR?a^ zp`DX2vd!x(@5L3X&x=pEMC>Zo{3*WRg5USOdaALMba(3exG3d1mcObrK1$`zI<)sk z_6X)6Ke_0_{9f!u^(9j=$wRV&@||%#>F%;W)Eit1*DvW!HwHO8pp9}7=IZDo%w{-l z7Od#Z+d6aCdqb+TaO=9=XhX1b&epG5t_ecyjV^bh@d8Rs*4BW1*9|_-k|ca4e^@sy zs#Ljv)p5_?`zwEiNS?L}*g%bny?g3UD|dS~GtVJ#HYJ2&kS5plEm!-LsTeTZ9p(X;HhZAvQe(+al!a<0g> ztf_QsKv$9^S;pNu?|iqdtSNWvj<3fhq5aJNNJ=v}gcSCFK9q)Ya4DgEc(6SA>mM}( zKS|f=yHAjVXw-J{_RY&d{lFJ>-vP-DCLkmzY=EJ6s;`yQkS>-ALM-RONMs_jwnth4fk1UjoMr+3cf>IYRpE(ZvJFh!NUnVVABr{dyxlAYbb`Z0eOf|4Mzi)lnfMFmAgMa|-p1r?MkwTdxy!5u6r z?xZG4tyO8Q(oNH-m0GKyR%1)`_u)HtelvIG&fGh5|GRTL^O z`3#eHy*(cGfqGh==XoMz3r>zb_r1@(E?vXUsqr^#x9nql-VtQ@9#!Jxf#&8*zsPoz zr^xe`EDDd){4Rbz?kt{q)7d!(3ysm1(~Qr5SZE)1CucqN`EnPnG=7oJR~)l&1@Ejh zg1!90X!A#jke$KP7Mr#CMA!q~N}*!B|kxtLG{1GuWnW%9YhyQKj;SYh(3i5g35Dz9U%Ta%)TJ`_t*z&< z7Z{OBxnk-W6Z{%`2$JtCL&f^J2c*34ZSXY+3BfS4%$gW9%^ZTq=#m zp5TxjBb%duQ9w8_e8>x09W=x2zT zU9qpUW5i>-{7(jS2~RS+f=)8sLyLpTMa4lqxffHNFRRwHkL~?OqX)(VSAlhp{w7Xz z0cfwIy^b~lZ3NmwXb+*Ci*_#Br)Zzf`jIA7188@m-HA3AZ7$mTXz!yPfp!GivuMvg zx{aK`SvqP~dChp~?VN)zrJuofmpuWU4 zx3=ge)P^%^vu=_O+skST`bggyMmmX!!u|Z$l2M=@f;zCbn%Dsr0y=i!x93p;Ic97) zcvQ(^u;DPIRvv>52fpZNp2sO{W?abgS%S@sLwR0-+$%_nj;ck)=s5?i7De1E9pSZ^ zWwiGW)YFtvsgB`_v@&|8VUVS{%cGIEP9s(eBO&>##%9Lk=TwIyRWa^4Jd^hw&-Wv|(TL2@l836ME4O8|4Ga)-V9#i*0<#Z>I3)}~qp?F%Ak=HW?Scv7s zSPq|7-7k)cnmLgh9`p;Dc)D(0m2n!pHDb=k(e$~LyWIB$ni;nyw7Vb zXJY$Z$c`4=tV$R&C&fK-PD%rNXy8pKiOzA<(7&8@O+Qn8O+Pa6k?j`@D~3Ah0t_7s zby60HjQRyfp-?KoP&0;xVc2&VmJb2b6b!*i$1o%Z;iCu-L-?nL-mlyKgs}|9syap) z4!caKqm*$b+2+)Fm$ARL<pY*c4%==e&u$N@xS^Q={0fW#E&*$R|A4XB zgQVNXJL7v3+=VHm5A4ru(mHAYXDh@>quseBOlkBfyN3 z-by+vN8w^C@uTsK^F%5A1^9_Bce8H&w!h-Jj$-vni#)HBS^d*u&g(-V;hp^kck9gB zqBo?$vc!l=qb3W{>iok@oUhl=lQ8?Rwq8s7!A;_9dr^C@t!&H6?vZy{xKqNTH2JhRzHZJ*!WCE+G!Ge_{Ee z!*i6e%<^T2?|JYx9PRKpZ@ey9(VOg6JSL5iExI%9G4YbD_)a1CcV%nu)MqN)!DP@P@n(A;VX@~Kk z>Rc%vuzyz_C?)2Ax%+e}^`7CL>Ub%6?N&MMm>JmmE49q9j0*NMYMBYx0vLU$Wt#!> z0ZQ3c?x5!epa!a>Y)F>!fk6Nl@CSrIP{b(r(5aQ>qFW1la(AOXHTkS~LbN;WIpz6f&l8D=;ScKIwaj&Yvp@_J!d;~d)(^b3ETZncZh7XQK1i_LTw2GmOW$Q+Yi zRF_QQn6G}aZd{+&HdBb`vt-Y0=2@Z*$-Y@8uISTbk1X>v(dJ~IK-{$oDg^tvPbI4= z0!vsz$%G1a3eFW}xQ0}v6%m={qfT~(Pl@2ZR8T=qiCUyP<`Q&-FT!~d-;Ur9V}H?872#$&Egy0(tOV@;Au>%4iKuaOg`M#g06BIHpD*?th` z4^(8N5E?o2q!o3(^{hXnlj?}|oB_7)UDBSK4oV}Q_nz9XI#>2Gs-z{f1E_kPkm6a) z%eSSA{j2gz=qC*U&gpgBi{XLf+46kF)Ozw0)SNC;5-$cvVef#`6d9$T3>G91A5TXO z@sv(RHh;6N(CSfDm_&VPm}A*h>id8nD_PdbxfoHPeJ5X_7~e`9CNET^w~|lGS1Tq~ z5|+s)Dl#icf6H_4NGi!b@)bQ=H!F!VMl!3Do5a2(nbzr_#9bp<+9_;h{U=H93~J?& zaY!4Rzd_nFYF24PDm02CEP6MulDAho6%wd1sq`6kq2;I_WH0tvE8zXfhW$`?SxxDVn4tUM8l51iA(0)WJ#~I$n(?LWx;n-!t0C_-^*V3LDh!Aw6Q4|#jOIzDgkTkUl9*u2;elm$2mp3_HNyLBP!m>ED@ zW&mmc6R-s^0-FKE%MgE#_;bYj*guQ9_`o253-|*m9zXM7#a`&pEXRgKqx0)qVA1M z6Q9!U7yGA$pVB`T)6;^N=;~dGV9fQ+G8aLs6qoBtNkN@(Kli<4Rb5aCiz=C*WTixU z;&S!qsg3jw6lCfq%GkB}JZe5@KbopMOTeTsTuCZHZGbOo19+$nun%=s^u~0AHImOc zUW>L?5g+ppNK=(Gy!sl?X&}@|l|zU$^efJ5t~e%9D1fl&Bq(;84Nwm47XVemJ2nhD zo)z$>_j|M8&Fc3;z4Z0a{!TPHOZz+V=y;*C6U&^d!Q$}{{_NY<-+?}9p9!6l{hnRu z%HFGg(6~^B0AELz&9}M{*fp-GDBleiyAP!ugRG=KSX78FHupeTAq0NK*bP!wI*KO_P}R%6KM{vk>XnTjFH`-hk@ zWCn)()xXLL3>nLVcN4s0`n_TBE{6Bt{)xGmxK@0u>8*yD?sOy{&86EZ~y*re2iO< zaUuQV`gKl~6;^#GkO;hdB%{v)9=Y~YA3fSn<)Y=H-HvvweUexB^+{f9Yq+coBbU|3 zix4#o1Oz}J)JjKAl_Z1!LxE^u^i)Yt`fiPC3nO~ZQhDC#-4PHSJqan&TS7>YY9U4H z1#jOJ$d=0CjT69Ygf|`DqHv5|0$tKvL-YJ|S?m9^O^4>_2>~=mH$#bZfxIiIZw9=} z`n^JU_3-+``%5VFN#{Vd^sJ$rSACRm=*n4R`9G^KptHh$l(77oFtCq3b3t?T<$J0X z)hlg{Zj^Goo_|ogw|6FVG3( z{brdS&h{-B6Z)0(=qAkg+t433BW~`G^ASh#v0yeAo#p5}VWBg%e?~ZFT<`ykbbQ9S z{?0^nR`z!?(Rq)~^!_hR?eFw|=}dg;k!Gyg*#D_)tikVJKCyqXFf8U02ed{7qqD8Q z^Y^+{ZQm@4JsMf_)z$U~QOj4XSfN^>+0&$2vEq{zbg@_@5=V(ef`+bNf7SjvXuM*?V&hW#11sKfF#FcXR-C-w$tecaD4^YTY$~RWM9iI_v>HAS~>ho#viZC%JP4C`eWCSo43DgnSEvN+*#k;9DDoPj+4Y!Wo7S6 zMd&W}>hDs5(T(T#cP;(hmw~UQWqk9L{eJMlC()+ePhx`#AIB_yA09Gyi3Td#J!l)p-t|NdAgLiZyOO3nHjq1g0Wui6>-iTHUw-1RwUy~Z8gr@J%X zAZi^L!#Ga!7z;nri8l2!0mDC78Y!TOvF2+zQuZ4tsNq@_PhM<|$a z{4H;N&T9MuxQ|@Gj6%3W;O4K-`4{g0FatmCnM7K;tX9-IDvwn#NX$6yi|{6duOiGw zI1S-?gbNVH>@5giNB9xK9tg`2-iB~Kt6&diPeu3;!rxQ(O=lvs5us@ag)xrb!Z&@2 zZ@LsSS7K&0!dg-5c?GLr27X8!!aEU8r!M^AiSEoZPif(@1D50GC*R4L5nFon1iN+m zeb1kcG$J%gTKGdc-0`JHS9$$(#GkTodJsl`VLARRy7cHj9zPxVfKlPn!eNp-IX=AB z>3Yh-AHLO{ncs}{C>scF$igIy7)_$KeScOO9Ni zj{KoiI(6Ar(V_FXwbOo$=s5gB_&A%%SaKv0Baev=t!mDh>fBc_QoCuv6H4IWPq|gC zaJ0Ztae4`9>-`%ZDaz=Go|5Cc+o!>i+3%PJM;RPT;kXUQMFkvcIMU&W?{{RuF&~Zv zaGdOS^sg}ojzTyV_dEL6SOmvvI9|e0-M_{sa7=_FsNd1Q#ymLY!m+pCfi)5?ct{!f!%N-nXH4nTzYmEH z{gYlh?Z4R4x)1Euw2!8bh5NTmUEfa83FeKHb_}f(J^n0@^{SG!K4m*&T;n8a@a+;^ z?c5~@Z9piC^(rHbv2qu>1?Yw_RvzTnhYzA2__|W}UCAoxydio^(q~G=uA8BeQ|1Wj z!@p!q-ky(e8N$mE-h}Wpgu^1I{EqNzgjXV5jqqCOyi*!WQocg5D+aR#2%8a>BdkWa z0^#)tXCaK)I}rW`;n@f;L3ky?I)w4r$1pnw;iCvoM|eKM%Mjj(Fh2VjX5T}259Pqu zqY#>bP$NP!6}!qrkyD2A>cjm#CU4)2nc)aeL-;dG(vL+52S-jhgK#0j$EgP<&6Psw z6YdbW@z3xx+<#$~FJ?`|tnHYUuh{im964nQZaf0 z{=xA3-f-)~|HG&$_}Tc24~UGMLc^M$!`1&Y&2ZtP7GbUN)G=QV(lwSeSR&3%yR+R} z_$G9P$Es~?xb|Bj284A_dLeid`UOUf(KS9UmS!&5i;*+$Y)=t&PrAlfwXFqisWkId zttDb*9^B&YNrC(~plm`gEM0f?lt9AeUMwb59v(K{Dou(LD z9n%z)%D&6o>-lWc?PmuEx~+?jXnU7U^Qq1s@k4uVe`f|dBlh>`tVO|$QQx@_;nig~Pgb=zM2AOF#a^Cwxumz z7a>KN-a@~~d8oOsj;{)Nt39h8TNQDc`qJ@nT{T^7Ad9T-KpAJOro%On1!Xd;X|FV? zf+Z8ae{}g>ag35+7f_`EN2+__o$I|VE`)Mm>b8B;Ne$(?{^?Mw{ni)f&+Q%srF{BMhc;>}G z+9*qr9Yc25mne#k5yI?~6yu-H8G`&wB0x>B`<=O`>~RlX^^3=y1&q zbx4zVxb_H0IKt0po~fgog3oAoffpxix#lPE;)E>Mo>z}(ig>H}$K`)V0$v

YcRb z^v#a5mPw_I(t!g>yEbgp{Jt;PB8NF(AgKW6J(!!_)K>l`$><8dR^dbmy#m>k>yn6y zfJ#AxLyOzAh{?c;NO@6~&hfGR*f~3!fW?F~J z@OZzNTl!!Z$uBBS_X#+wii$`f_Sp$pMZ_=c(-R7c$g}Lr5^{baJhD$oDE@`C*YLAS z*G3LET~KXnqo?xU*-Cl|86Hz8(;OiQu@p{*Ftfczk)AO0F6Soawry_k9@QB`ZSSRb zzZ(py69!7Eu+AnFdp1N^b??Ok^F&tt1~Dx!^a0&0&uEra6Ly&LRG%5hIfgdX0Ruh9 zctmx&iFVKM%$cqvSBK5loyL)7UEU&G|``LRm=j^d#EP zGH&yXBUp|?X?R2&7)9Zp(!lzyw6Ut5$-9V{DGo0UyJEc2-?xJ#KQi>=MMOZ!7Ms1|>n z0kSc*`0IQoBiG`ulP?RY#b4(~8MhXHosqJkwfO6tlX=zRud`AXU7OoVdoS~^o!LsI z%0?>`t@I5tx+1TVB9}!dvMXs0nNU&mh7u-=S7g1R9gqbnAWJh_Hdc}2P2t-`3Z*yg zQ#(tM?~M#+f?~Qi{RcZkF{6eu%pR$jRzo{&_fr(sP?y>H%~Oh~KRcE+Py2;%!5-T@ z@fX@d!v)peHu_sL-8s6K6~NkRW4b)t$`mNtJO!5uj&0|HfsDMxbk^BW6+d)tu8a^N z4=pc{(dNps%csi7S4_{H%M4Lf+)J$~Pq%dLiHYCK8|GI6|Lp4*I^vzL5D zbJ`n%8KniXH>7kNPt15j{6;<#rxeN2@^#IVy$MGhlI98Cq?sFEAoI&=&hdtp=O?N? ze!ln2Y?a>6WB5jscFI1rYH5>S7bl8###EvD+>d$2oZ0T1NPN!Y;+&$#bHQHS81)eM zgbdj;$`ko8!^$VIzE*=78*hm6X|`Szw7owO2+~jPx0^C@#@s% z7=b249h@8`(9TjPCdcj2aMgp82k+2A2`)J{M>A9%mK>dsY#TajU>5A^z zl;`zkbV0mmjdM#E^Mz@#ZA|Z=Hf#seU8{Q(eS|>l0nX~>R*BcrG9A*Mj6PDM zdAxH&vUjm5#Q7=C$D3z4Hz)f(FmauGl0SR0?&ByYR6!Z8BtzsaO`0pl5OYf#>B?nI z@1t392(q%5ZV3KBc?mwnjNTD$Y_X_~>!f8Bfp)e~0$wCL&K9~|oHS9Kp6TG%f^9U& zxIk3b=F2hri;Qg^+f0R`&)RT)eT8I61=yK09IuyjhD=UvWW>B2hNUG_Zbj5^=D z+&`pi>WE3K0n+hx9!Z?fq_gU%N$h+abMr~!{wQ5qM{Z?}l&05twc>Qg0wukby-ZrD zWVU)eA^$c0y=0Rj^-f|vv(obkc@NB;Fo(fJ^jDZ$VGgZlzCrX7%)Ky2z(n-F@$cI; z3J8bRGrbYj!>omw1QXG#Fbyz=)H7=k{Q_nK%;7K*eFQUNALK$KgBtv9(8D*p(q_W- z7$d^!nHfG$$ls59-~I+>NImnW_mgj~g~PWO!+yl9shH(|gPzKKLY}$)m3AduYa@g5 zSU2c`jjyzFm=!QNH|RTH&W5=XCi@0G2j+B`%V2VE&@X#qK9)SauiE*iBDFcLo_P#Q z`s0(Y!5m!AEc1OrE`)gxW-LrZN5i}YGrFGn9MRPn8D=n0BTHh3$wW974Zb~cedK$Ha}Jnr0V`ct`2 zx%4hYD367HpikU}Zzyq_zM-60519UO?kXjmFmH5jETt?mU32azB`pH=)0R@&3)An; z#!})7u(N1Osez_@&bm@^p!tl`SW0g+y>))pO=vW4ac=0Q6q{~2KkX(Jn@>15cher2 zUO4x3*ZsAww-+Lyluj=h?~*jMlP(jik@7m3GX8(kq(p*DNS1mhGA_o`^bKl;TjEV^ zu_v$!z3CNp1}nFQ(rb@o&8(q$*!_B-q|Gr^-~el2C{7yM)wson>-MX0i%+EnnZE`@ z2r0{OSahI)mSy}ybh?4~o#8Xl@doO5#@muriO>OFDw&Wd?5EVbi6RF1O>(}Yx^_wo z;YY`~+VL@@kq&ijdJOTLBdc~|40)wvNp0pa!h6SLh2$8C>X@%cJ4W2#*l97iJ$d{E z(!}0jk#X}&4&AhdM-HB^&9V-UjD4mVVvUQ8ex_Z58vOWy8c%C*Q{+JHWNTtm%q~q7 zRL!DxY3Ex}a+G$0b!chK zc}=)Ax-{y%c8+y)Y1}G}V4vDDyUDMVA4MzJWYP}*Z6$f()z`G2HazudW#0DhW;Kqu zlbEvDGOg-?Zw40yvYl#FfRzY+f2 zPG}kA%^Te=YTN2?fTj1yHCs=SvQB2!!3I()Ynn7B z(Z??MUK)@{w)2NdQxd)G!at>?7GibeaNT+}*PT{IGuj2Ud1cf|_TjbJW%RGHyFIIS zXj+`FjwfC?wb;MTcR%M3>HIq4e)a%qL7m5b?q||fb<`48zH~yJPYLHo>6|)p345e8 zr_QT{drrE%j{canQaZJc`Iz%wx=4wN1*)`IiHd~{I0A!;h5pmjs911FKT(o+>@cZP z>B-|BkX9&Z^{m;_NlM>(&J*c8C9$5(m*y)y>bajvS1PI5tm#s@(kB}6yemE?wG5Yh^8zrg!?ba{iJo0FiUZ2jW7<70aGv=1*oXi@uF9%JdQM!5)<; zED}~wEBU$75f!*P?@O5#zY{IPCir6l)|iPk zR>HNml#c{GRj@G!chKpo3YeS%>W+;m+9$!8DtQMtZ@?=`&W0524=|^6aNR>5dsOpZ zQSM>H$?=Wt=W8RHQy+VjF;crB)kM%&g|5=}$U4G<4Iq zm_9fg{J=J+b*}fLOf}h@I4(RD3{^FLv|Fa%oV)#qYxyCz#cG~=Oa`Pr8_qj#CoHqg z{N$PL%GJBnkLwS6eejtk+|8UOEMfrO(}aD(gK=#{spPZJj3~TG zTwyON&#m@-VT^BIlgLbAy|#^SA*67;Y_nPzDQq(`Qv9$t4w~g*WcHue=1r(zD++Lx)Oxr})fV9v?z1>Ak z6Bq0DyZCA0#rmxd|JtI9cw4UdrSAj#r9N96o)()*m?w*=&9UQsIiR-Kj`!u1TBRND z%cR=;YQ`zUdeKogn{O6SE_Bh4#=d>T}fr=T`3>5n5EaWwys_E|uDN$09X(~-ddc^!;5#ugMiP@viiP1MV_1T$h(6xolaTQX&UeNpjQfQa;uw@L`4{RJjxh-nexc8DkQ1h+ zP#!r#dW==x;kZSqJ4Q9|PWh(##8r(e1{u(P`&mzW5`w=P4hWpOj2D) z^IC4GR2@oVE;o9s&UP@~8Ztl%Kj1k(zdf~%|6KTE`&sd5_teJ(x@>CCr4H&^);Zf& zG1EQiF?B4s-BrmyICqvGvF!tX1L}ZIpatj!jKCx%X*Va#Hc#ofn|;8RucYnf&bFPz z6Ymr}@tQrIOg!-pj)IQ%?4GlD;$=^_T>wI3fZ*s+$8cl+0ycvtpuhly+_eX+A3Snlh;bj zloj@5w~}wmR`(QD65?eOd$K?pBb(b(P)S@Y%k9ajB)^osOZn(!{3sxZ!NG3rri#JA zeyW>n1qVAgW30&ap7$fD!NI;JkzwcQZFDum5Vld*rS>y~Y}B`@DFzgexz)Th(FDAa z`hf@!Z=@BXIzJ}IoGIZX5<~f1>5xQ^>X=mO*N&3faT&zHj%;ujkPka5YbV@co!N9hwyN;0{QI zRI5jJKq`c!4vU0T$T;<|$e4Q?u`6AXT}?F^Pg~mD1M69TN+z`g)^q$N^IF*T?9V0n zEd%Pgg_4ym{A?Uum$wYc=8TriZsBILFG!}h_-AuhOP2NeGzGua?NSFdg}v4PN=K?~pi`hM>lD|%~$d!3#Mjv&R;fge~m)VW8 zG?#EHd}0w{jYEDXvyc9dIbEe`^QM`iL9XseGcQmX+I%;f{8bHY9vjVts`YI?6HKF3 z^=)1g%&S#3ZOm&XItpSL*US^0++M#7R%W}bmzTl0-G0b5vLU8eGuRc@5LK+5=Ni@! z_W=ASv8aR9=DRLkwCBkPU&>_(6J?~O@{*o&21tb|Z7FP@o5D_c9cb8eEY$pm`HlBo$YrEfoaK!XIsC z#dKVe6uK-9SESEc*2?y+;&@zkrdkw4ouQt8_lbpvN-s67{&7#~=lw(qqLai?b!*O`wvgOomf(MR;J zt+Y1Le4QCN@yxrlow7l=7OAUiP?rB=VZ<*ABjbr(x{12ln%iMozh6m5v5VRlP{*Mf|&~QWNB9YRvh8}U>)Em+NIZm*DCK|MoEY!Z6f;a0%utv-s5gXT9%BeIH!k+C=3ideJiPj6=by-1FZ=Ch+MjY}bMUc&&56KZU}Pwv%)^6F zIG%(DT|)DiP{MPD2Vpec&X?ou{JHOK|1NIhh@L4`585B#)qM?K-Ty`Kvd?XQcU;P1 zr-b3@PNh)$SUS>LZz*x?28(9zctyL7#p_AW8|G915VXmKaiBr2i)bR%Y+|wemJc)vxt!BkfXSpY3J;elvNC{vvZNy z<=eUUCDj#!LRll)H@U*oA{S}5+85TQ{X#T4X4J}lAy0CwsYMZcka?5nToonDa9wnu z3VaQRM5n93*YH$yyo&gpai{1~6?KT=zUYf8@(|-$(U(>9Q-*h@rJXWL?Gzd1M|o)N zco}V^oL8GJqn?u|)lQVrSIWI>GcPhY9a);+YLm9SQdu;t>h%TRPLCU6sO0;!;^|LT)8_ula@3tCM__JH}QGA~Mz` zFp&KZ5t+J^`9Fs>6A3X~vTaEs;}}bCn+%dx&Uo8=Ftf6+f}w%po?Js&;E3!w?dNmP zn5eqs=QZ3=q58s)Ioz1pJ|~e_1_sBRM2|A=Ufo~nAzi@-HG9-iU10~czp6)d;S|zh zdq#8aP13*ixvDD-K9~7R+gGULl0~WXhvs-x-UqssH|b-IROj3lRshf39*<3x&>fnq z*i;ek(0+kUmGB(RBW$XG{eHW8SaR4s&G)YK@(I<{Tc*>_-R^;X5M_I4U)6J=i|~Qv zZ`+GBN_rV>9n@-qTKIj@XSA=?quWHwHD+~$JM%edr+ra*{&QlkeT4H!m)8m78t3^g z<|4yl+Z1f7g!yWIcC9X-*hhF_3c@xEw=I4xjcJ!+n}rl;9PeyN_HHzUI1eX#HX3I+ z&n5d78@SE`$K7EvA)LT(-HbWchfbfL;N7x*2HH^hO^lv!a9jBd%$C!QWD4JKNfUK0@;He^Ajbh zy{R4XYS1{76$(3PgRD%EXD7;KMT%@Y*&(Y|6osNnVv}Wm8e_6?t7Kjsqn>S)=GRf` zxs#+T>u_=`NN*C4YzocN+z^K~iLw2H(&&Vy81KO7j3hydhEA z%Mjv?IHLJrjq(#6(Q2)u{6gnzY@k#Y&)4p=4)+Uxh7(_Le!yElVPEWlIy3t%U7(**RQF4L9FYnM%nAO=ncQO6fR9t=ia4m}AbUdzco{Px^9|*-Jib}-hUO&?!k#D9EFX(KPkOq8(465-`Nkn? z9_LMqcCeZ!dsB}(60qk5!yVZ+Vc#Ab~iPUmYWW%b~ezKoBvR4#ReyPsI55B>p6F?_CNJ-cg{`XL*qH8 z#vM={v_moJ+MK*`xCf5IJ#ZZEf#Yxw9EW@0INSrr;T|{+_rP(u2aaDSnkm=P{hQ5hZyi4*Z??ybr_rueDjINr|PneVU!d7*Z^z_ zqb$M-i(oH;y$JRT*e_tefc*k?AnZWcfv^K%H^Od&-3Yr8b}{T?*u}7mVLyQV0QQ3o zVcE~teQ`aEA~rl0S<{GOW4-898r5pJD>{-!wi;VS=hNtF!y6}d`Ru#_IGxBfgbmSm ziMbCiK4Y`!E%$u8l33c8{J zk6F_tQN(#^=5+?tvtuRsot%2^ammWgf!VBu5_zW}oAZ}sc4uHVdyr&$CzONs z;1Jk=yQ~?KDV_YgoL?ni4!p}ABbm|3z018MS%X7ftTmGHoscN_4=2BZlGtR)v_w`C zS1(zb=-0{`FG)}QeP8;?g__fiJop$#$({5J;w4A+orxLbHI7PftP=in zWF$y#;?UPzRc(V0%{)?NXzV)BJ?>m73#r$ z@jJAc_9Y3@V+5{aa>Arzq`jseRHyRD2MzJI>|TCD_&wbpt_2C>kCBHuRwbmD5%wB4 ztIl*$em8upI?+Xf*nv%`_HT$dqkpfa=ZTiVgmbAce+qs~Eb&PvO^S6uDCGyp8b}_m_x9Glg`E`l6 z=#RT7UEx!8f4O*F!Bh2nT;5$sE&l2X=n7Hq_&pPEu&d=U&6#${2?X>UH+Ws<_O=t* zLDlgbO%SL?Cf2d~LQ_d&%`&GY&3i3-QhRV6FF^2hdtE|=trKVgdI2NwHE@DYP|_T%bq zN-o-5wD-~8M>_)Th^zIavtI#dSD;;i_8r=HXqjl4Xt$!>iZ&B%CfeI*Z=;Pz8;|xR z+LLG(qg{NJjknHUDIag-SLa`M3=8$2RxO4k6t*hYP#i|Q9$tgCSG{o;%DnRfpK=|w8nF*;$=#oR`7xLEVG zSll2MYY&TuG=y6$P1$)k=ns-1I{0RgZW0J#fXdhWql7pi(+pXf{uqf^K)*;CuM>Jnrqmmvj{Od@?WUUP0Mg;>Zzr>T^70+j~4 zN{J^>X|NI6KBzRjUi_I|Y8C^Nj}tm{UZ;R&a)8)b$sP=!Ej zG&iCOfm&>OfGPxXF}8j-chetW>t~Oj;={U2y+L_WN+LtX(@R4WDKf!$DKF7m#=nXx z1d>b`f+_^hi}4dsh2ZU$)SwC>nN?VWDuf8pi3WnpXcS#;pl}SCwoU3GX^{stTkYAk zX&GcA@~*O*gh|M|X51tNnQu#$B>L}1rNQJx;eJ#a+(o6qgqt7&uCARLL-+%g2GSVP z08|=Gf&ecn4RA;|AC(4EAi(RGTs!_4su1Rb>jYH@J4IXFo{v#!klH&8QY2au(mj#G zp{f}Y88cifRu7GgI-^mmqoF^7RM2SXk7%;g5s|UWwL{b+BBS4Gmbm;C#m}*ElB!TX z$HvK7%PF^SHk&S)-aDo#d@bPz$5zWmx5r)HSbc#DoFr?Z@e{1b4S@V5TQM^L@|THs z6ansN!kYg#X_26@-g-hdi*e0yFmr-s{4 zEe)=*2jCNlZHRoJo#eXYSwvtHGX!iKkL{7cud^kwsTo40%^P`FUZ*XFO|A}qK>t#n zkub5E@VhC`xs>f+9qiJq6vs8hyR=ksYF;EqBX^B&24ilzeWL1c8fAykt~!@S$}#L$ z9Y~|)7`NKy*99D74{qNn4s%c5Pg)?K-*Y;Rd=I(TOKJ4s$i05iK^TtQ>z5srGlrY0 zqaCC(#$&1r9kk_!=g7+vmm7B@FH3!E_yu`c`g8tDluLDD_cnmK<+hB=^G$?g1jtoH;<3JtjBJ_r^w4v zck`zsFYD76bp&}?ALL~{KCn(BFAK@qyU5F)M_!iM7yS%*Sz?=LAo8+ocV-guvfT3g zB;;j>mQPPYUe>F8Ml15N(dE-xk(c!^FKk6#7NR|^$jj2pXI3IF8_}bvL|#_dlUIqn z?1-N1O5|mkJ*a<;m&Ny>{`I6Rs0a10i)CYba^BEi+BKdZ(`&A*ll=eJ;{WSwF(20= zIo3YyPF4#2xa_eB|LY4|*}alNc2FzVL$X>atYrNynWzk^L59^e!bcI|oAVQnId70KLn0NoSxpXHdJU6XJJ!+7+DxyrHF2 z-pQ|F{o0<^IjDv+roFV2Tf@H8p4aJL!(G!})hVnN|D*NztyOCTCjGWcn|XCMY5Ubg z(zLGtwBMus9_>)HL(zVTcJGE+lsTJbQGQG4sN?qqpVE(&Pwm;+ z;qyVT(l!>i=-C@dj5BU?o{FTNK(YG~R0SDNInPJZ7a3kT4>l1N z8BNYJO_Udg8_pA`3Icu5BE`cI-BVZP!!eE!wN!a+ zGprCDt~xnzaf^YFDqu^Ous!y(>ZFs|Q1lvn@rhAqhrC#&RZ!KH88P80&EREfs&&)3@ z+HQ}5rU#a~Zm)sn4;G^zW0%Qh`OMFAm-#o#20xDi)W(16=T%^apicwgC(|U$o;>)nI);5*SNMd(HX$zW;sy`2KOx{kmTF{VX%jJkN9A*LA&S3YMk~P9Z#! zOwnx{KxB$s<@sw=j#-p#qH1~*BZ+=RmC@v!WD}tJ*yk>E;M-O^Aoe~Wa=Y$CUykS= z-?ZA}l;{lKX&|o#)vnWdwGrme<->Wx2va**DR1+DZJ=Gd&`ZCxG2G0oF%T9BW5I^O z{9$8Y-ezWfGwkhkheJbE_hEN`;|UhSKEcdk8(_(>zhOcDw2b_x(rsfvw;jwCMu$1T zY+)`in~ebj-p<*LqhKMhXy@#{`4J7ebH#aTA?(XPEh4x7l~AJBJ~3HkvXT_N?um(o z;qM{ONb7*F1Dzoo!J$04o z3zxy*IfCf_9i^?7kx!`!_4 z{mle6!A(~0{)dDbW!)9aqLV!B-ibX~-V^@l0N_tCzxshc3YLc!uCcRF0#LYyFzfd$ba-25smi28BUt03Ctz@1u! z1<}!5C}DnLQ@Dj0SP=E<(5sKy{w&)QJbG zmF%&dc%b^hrgh?hip2H_#RHX?%?!l@)dcpaP&`mwz&tA+s65yqp_2nidofv*d&Fp( zW=?3<5y}(IqhD(RcKsTa9s20k)3JTh2-E{ARIy8pldRXN59*Dp12>BN^!C;M8^yEr zED(g+V z8Lx;V*PRsvp*a^#yH~_hXu6;|3r*Ws#3g8s`y*Jlo6Bq*b&K-abTQ%kgnZR$Kc;1*uEWKvHy!Z0MR7J+Ojrl@W-!CiMCVIrO3@s4i&6vc zK{R)wne~zS0uQE#dreo_{7}79Mh{FdGg>VZ>O#Vd2ZN~M)f%h5WA-N3XDni1xhN1vw3471C#d7@ez=GtRbC)mv=ws?7q9`LPOJfbK|wbP4JlL%k5 z8GSKHBuixEOuTATi4u0~tHe|YyYH+vuZ3*znrhPmOb-V1Q_6#$3rF#z$^+~KOZmT@ z`1OYS%g>B$C68q{k=fRXvD2H(*z_y08BIjCO+ai}lZnRau=+dST;kV8c9R^732d^{ z*cFN+_%4!wJK}OaNfI(rM8@(FcOG?!)~;`JH%j)-cW>&32Cj?ypWV>F&DTw9a`I%Z zh%xCmpXm2ll)-nK81z{jj!L~*6|@^tlKc4q6c&pXtk=hHnlwlrCa*&&F{|me?SgrF zs}4wjIqQu({5Fd6^!6P=8^w`&atEqvR_GZWAt_>_-ptqcnrOV<**9<%g@dBI^#d*p zo7DonK9xIukdh#4kM--f^bPt+nW0&R8k6PV#ce|J)DH zJ#XCma`j}!N6dRKOmqH-dGEqB>yMcCUY+J>W;H_%%Gn;Ly z;xvc#R+*}aX}0SjzEqUvvYtLdm6K+(-sYsLJk6oAN;pXwHINZ*w3Ra< zloL)&=S&Mt4kz5?q=!xjCk^M6h9)*+diU4A>Zl7v?vIVu&-%TY?LXUi==kybj&IrN z>+fmEY<~3LKj+w!6INIb_w-mjU_nsHGs5Weu(6n2Wt?Y53 z5aLN^lR`7Y$v4>^q50v|;cT1G^l-`v_VCcD;j~h=X=rvc`2#y3w6K|K%64kxHdEy6 zv5h&+v_v+oF{6xph3(UrS4ItBGaDzDQ4X_5HRhJl=CUmtvp$mlWrs8te55+D-5S$A zQg%aqZ^}p7RE<$%rWyGG>gDE}QO9bm8q>`v=QN>>NbFdlF>XX+$9IiyBN97kNbC^W zn?^C7sA9uhD?Ggg6Zou%KyP6sE+Tdkp-%FruD%*NWjEEc_|yoyKZZnAc9IL(MWQG4 zUAimA$$go>dk>5mZ&P9O$B?!So#e-yBGEgKaJ41em*7r^d-QmlLF+$;tb)58?#FPu z!Oi~NJHp|QAt``vqM3xI(;q{AuJ0tjV1=u{8YdSIMMv8|h6KkIiQ3U=KOl^iC+#F> zqZy4(xizRod_{9c_;1Mq-Q$Q+#U9t7ocV&f;NltKR6t8=rXJNi?yYs2h#c#E5a9DZ zC3?ztYDu3*^V6hkn*18|au*cu=q61@Qrvea^tq;ewu3@9jH0YRStuGogv;_|#^!>VtmDrou>?iG-*?P00eQ5aD>eEjNcj z3XxeWB;~fPo{uOYn0>SDvS8T0i4E%_FqnH{hjf`&F;=P$E2DkA)n1A^oO{vd6Q#?%*oPU9=>>XM88oz>xSr1iJ$5LY%FVBd3Gcu zpVq}L?l-OC?wr1o0-?e7Od!A z`wzJ8MT!&UgfjY?n7j7FJCj?D&&pYfcr*826vI5vcZ^+_#`q}hBCq6>bcQ@mY~*Wzm#Co$peL$OS2TXj)C)gEiIP0C^RK93N} zJC!G@Cwj{c@wSvZB|!k!uRlxpr_!++0=O@g)as#+aJ46gCbnA6l;`p`)SsAxETJ?WCRyl;QCQJb}m`YzuZiKr-A=!a^BwiO`5Q@!eG!HK_Wk>CGd_gfIqIqag8DSRDH5zWnXlYLtf=)+OIg&66^Y@YcE<|oc`MA zw0@mYi%T^5mG{Uq(c~EGCYq=1ir8UImY&S->OFd+i9@4|{Iu)bw?!DgwRRKU(p$Am zb*6riRI1PGjayPHh;Nzkv5x(Ij-MA9}PtSGig9D<-S*)tK_!LDS<>C--v$mQSrlsfVNHr{4l- zb#7cNp!a%5m?sHDrT%IXakYF%6X#|S1vIy1S9xSunN8&^h{!O7;P zl#!lsmKtO;kI8El2!VcNbw1Xsip9&O3RbJXYrtX5SjHRxpR} zTOYhu=m@od)b)^dn%LKdjB;t0;*>qQ!u z@vLOO;&2Z2tn``UTn=HmWSin(4rRIYw&HXS>6_%F;#dytoAi^Sy&Ebp8+eLtGFAFF zud$oB7L_sUyQyoXZ+I=;ggD6_-o9>190&53T?}4QIK|#6nQ#%!el*92Q>yKg3EpV_ zg(l?hsvVOF2he-w)-}OVePB0KmLF(GH%_Rbjnz^b z69*|vL=|(MMDeimi6qIWch6Rk~r)o+=)+- zYOF$?APC($Db;B z_%KK!kxg8;uYTgXiKut?VZo&nZ|6r{AA9k``PHj7{-ji`bmB3da>pOIk2cJ%?;VzdEbPoNd0H^&I>0z#Iu&X$YhLcyW)Hi zCxgEefFk&GvXAj?)k5;@_|z0h@IN=rV+twIXZb7eSlv^ZW}OvjfWH0FuT{*Pgs50 zcR(itCCy{37Jbr(G-f4jR55SD^U=5>j1-6{GFBKONl$q6X(L0k2Tf`7#)zW z;T_6hKvS0UP5x~2Pd*1SvqLWar@rU%GUQJDH@P{`Y0!}+Q{{i_vYWi1AuIXF9P9Bz z+W>`Gr;oNPV%(ZW-6(Wk|B<DW7>?^CBkQu>H)@n-W@ z|KqsfF6a|=kZNs~sZX%ndWXIjALLMbgH42&IKOo!GYI(_2gO$3|6+EJ`ciV`!($WE z48ak?14^?Z)-~z|?N{B<5<+=Qp7C27rf>zODR;Ekhg;dktW2ZdvfUwi!0{?RTyHi< z>aWX9Gf!&ki>|k5=noj7dc11CcQvbllKt(%0&@C~%R#(d^_1W*>mFJh_`Yp9Z*9G$ z|Mv@yqMfUrxrQe8nEDS~a3!DkkuWVZKGH1s^STj~(jN(?eU(K+g8SB;qB%Z*sj6h;kJcMEE2b`U43I$IPUOT>mk|H~%_Uljnx5f0Kn;=j*s>A;qCNait z%Ku0it@+}9u$Q(->K3!0%k3$>G^U{6vco6$l*bSDi_iP&dWk8_hcSfy2w&f`;_>=P z+?+^?OfpO5prrZwFBkFkQ!w$^tIA5i>>~%-m`|y*WRZ&I4%2JaUt=6LyVV2@Q;pV_ z6*u@AU9+L6zbdU-Vi;7WjHP3hP<;brA~`3Fo5G;&kXS2PeTVoX{8|}dljom+8t8%C zDOH3_%{|4T2wI-^HOdb8hnNv1QT4%>g#nu2;{6e~r56rH938&Q>$u>sc8AU}%;gqi zxauU|y9GI5cjfnVYs>P*PTIWU^s^o6zwtW?eT_MIAUc&}w7}L>J)ci+$q%G1)>w11 z11X<0!?=Zkw51YTg)nExTgKs-2~CbYh*3VL%`L9Xp}n>JSG|%?YAKvYwv;66Vw)Ty zJx>YZ_yI+Mr-bXYOZp_;gk6$7x~{S{f&+X+yM7it=Ofznvv4~f(XJMPJ9%LLAQIBZX6u~vUXYZEaMV%w^pXPUH%QlNIv9tPGI;gc)_hP?PE!6!5 z`xEvOwzF!XBL4EI&f(9T%11tP>fQFdDa!~aY9Q&$@T&BiolD4R83CbpZ2sUn0s8C2 z-Eoc4^BvW~=GkN#0Oy3=am5h;HUo$^0ALhH0+0gm0Z?PjEZab{jM`;1MZFpQ1U1UY4#Y_JC|MmI!IWhjuT7~L)UT)jHuR9_rgnxx(BjNs+>RYu0#$dLskbOEB;Ry- zCA5gto*~zm=4y(5LGd3gv^>wZq#4>DI)1;qua7HnhvXvkG3_%D(8}reZOI5HZDW^m zrwo!0N$O%V!;JF0v&2`lj@;xUl-t@d#cR5a8jyCAslU3QRj&^$K8eL$`YiFTm&-|a z5BzJMx1(WH@%eh{f{9GGmbAPf3#{*oc5BUb+slRub6<{!1n%FuJ7t-|2RAY)3z#Qk z_moM6XJ1;AK55=4R-JvMa=|#}$g`Vub|B_LS-W?T-h$PgajMXg7EXBG3;zvfIXqGlxv17n7(x;7ZUA|Cl zs{>l83YTI=qPE)T z5z_|A2uu6$x)^1>T2QI2L;fanAR~!d2ldPsd=p&JltS5T1fsiC1pSxXfx=moCt63I;KlSd_-WI}_`q1j38wES{zSUkE zh4=Ik)gCE=GkVW~_!{B|&FspOFbJz556Dh3kB2-UJE=1dc|hAGc|7C+ZI?#!kO!0{ zso)_GC`)SAm|KIpERlEA*Z7WfJP*M}BPA@}-U!k>YmRzm8g!4e>Z~;A9(k#kq?x>) zxWcm$D`e+xxDI)pg1_TyNS|e;>ZlUe?vQIVnuem|jaey}@bV+U;^0kM#Fi8{T@iq3 z05%>RM~T?{nW3A0KTeQ7`$b>QVAWga7`rax>9!m2N@v^=yi4G$^y~23g_#P@rm2zr z!-XU!ojcJtFzifCpY{$)>l{eqWxD_;JsfTR{W@2 zo$++txG%qcW?p*{qIX-lt?rXJ@7I@OpR*dS7QVT0c=)gW;IBVF+?@V1wZbM!e`T3| z-az!K39ByY-(6Z7c)Go4_knqzR+b(^4#<7sVa|%;Qv0vDzud6JpD&h<{jhq`Kz4t6`>(op57(U;5g9nZcGi<-KTlu}UVerbR8m7tuOC4-k2mXIW2 zCHLvmo-})0)Xt7yUwLz5wbenFu&|V|`Y#c0B$MD=t(hG^=y1@bKf7j$<|)8-unz!C z+5ooQU!Dizhe;hu&dGPu)A?E8TL@*kFXWaCxqxvUeK`{=Tm&f~8nS9fFVrm!1H z^JF(Sb~|@@pTa2fFiN)_@Np?)`SE#k`Lkfzu%)n6*g{w#Y<1pTeT+sLVSmu2#25Ql z{MuWy8DsCI-`3}})&+08^!=rtjXzT2veRp1qwaEg=8t>-vvOXq-*M3_&dAQh>9p%o zXWg>RHjpS5GC2$SwsepR5GNDBax2e#3T<(-zOxZ7twT)&qd2jB+kMH8khnZnX#6j`%111ITV;!Jh0kSC8*5j>WBDRQT?={8{j&HcD}fs=lj(d){063E5J~Kh zhgZ=vu{vZs=pRfHvolUp<#TwFdgPV|s6y#S8~@S!hmOBxf{;CR9m}L_we*2%I(^)^c8*IZhwZZP zP(AH{wCGJTbE&|Ab$R7*?H=CtBIB8IU-C8jQt=tqa`CTy0l%MIRd9B^s{N%2;ls@< z#&-L5&;ye~JaRl8g<970o!ceeygwyP2WKe#a)S9|=KMFaY_H7q$`##a%{==5*I&9L zt-hWca$ji9y4W&OX2IJknSJbUrA;@B?>p~sFTxtDT^GZG%JN{F^wkKQ-euRL4B$MZih2lIG)udFuG}g7>wIhIU99B1A z^pqKPxO!+5@u1v(cA$F{fx=0Ova_xe{*OiVluJTX4y@o9G1FtYSO;hw2{d`S|PfAL=u#i}BZOqJ!;vbLJsU>6oxv0=dG7Un_ba?I=teST8!%Bv@|#U@ck z$?UV+CMD5E$*bqIajue2vdyCJ+MQ4zWzF2T(56)VM(@Ur*W@kE?+>Z>;SZi|%Q1Ru z6}JCjOdxTo%>MZT-|d2P?5h=zgSO)paQ)iH0a=3YnuOwZNy&SfW=@|%^5=(jn%vHb zn&Rctnp`6T`D=gBo9N;6uvb6pyUljVswE4tO!b`aR5X+?Yun#V$d%fkT8Pl%k$A8V z_&ohe7ZfUMbKnd2{Yx70#YLoOZwfYz8nBz?9h+$j|qg z+f?r!nWU?0{tdVOucLD`8Vawi#VXKhhI)KSSWZ>J=*EciQM^gZSE_D&{3e+3@)P08 z&3{Q*`|i??BptKN*;e4zI0l4d5blGp7=(X87zx5W5W1^C7!5)q2zNl355fZwmVod& zY4`lbfcdA?Z=3H?SKOHC^g03MTEo$`UYP_NPMKPpSNH# z7L(HlEfVCDbb>_PKuHtDLbeE${%X)t9kb*wN_mF;k~mT%|l zGgF+1DaeAW2%nEuKxZ)6_LnGuA61W%ss8+-^(dKoMZ+&XlS4d&g@Utqf=9mYHoi?u z!aV8-ja~7{Zj%Q3gP7zdm%M-_f`2tB#pk;z3#`tmHz0X_(rd~nEcV(px!tm+#mq0U z>hZS_KG6JDd@h3cO0rkc5J7zF@$6-t>{>wH?Tdhhp_XoA22cO0&Fkr32ZCuChP?4156IP0y_-* z7q%NVwTbc3?h5AJoGW~?1Z{k;fr2B%ANa`=V`WEvQWxK3F<3Rsb+BfbtJy?Ig-&v? zq#jsLYln#^UlgnTo~Sof_4A4@mxl(@Xw{Gi+sR^9do2>*V_8;v zyceBexedfWrG2>JPx_gB*sQuP%c0Tz=rG=IxieJC=F0-@>}`ZZIJY0jqz2k(Rg2P` zk63xB64I=XSnpTODe=z1%Ew84U}H)Z;a}}No;ZRwk8x7vo#r&p?zAvSY0(W8#`#Lu z?%-Eq7bT(F?=AV9CZBh@i2512i^qxxpQYP*?L`y|$sL`dh-4u>rE4srZIpc0)%O~0 zly20u^paB~*L3?ZBPMO=y6{)=J<1e~jr-wVi{nzC*d_gpj-j>G(c0neJ9-h4TbtHz zeO=V5WOn$}lD1#zysq&#L!WMO&=(IGbgqTc5MskUlQn<Bi9~9qF8X=qLKE?4r7G zVN#XR9?qmrP8BgmdlZWs<{#;&#qSVHnSG1$SiW3QS8ut>YN_C-k}#0gGh~&u zx%#?3I5eimd=>qRK&UV1JKSQ4Tp_VqPY7j48n2bDQ-yXJn=v<_8e*uupDST6!kls= zO;*_?tL?kY*4zHAj_4w;X9lUsT_#D4qw04`^J>rgqGByviN9OFlGrutygq0wy1z`gSyFnNU!aNYVcYzQD!U_;Jg0KgKb091M;Whn) zx*&}98V`o6`Yj{ep9{tM!s3ZnY3sDaVs;=oUdt*@3Z!1rS{IKGr1)ru6(7}vb z`xHn;wB8fz(M1Wj%U54eI+e2{skY5v- zje1PBP&HRSweM`N`6H{9s@?jrecO9YA0YvJDN?c;1_-S_-^5?El{!*C0!9ZRE=*Eg zLd72BF+|z6i>_2fFN%L+#yl;F9F3@i>wIf__n$`Xl4x}dCg3uYsAA1yUSl=FDgNXkv~R8S zC9kC#;S@W0`>H8%(tEt-YSJovneF>6?E{_*OZ0`I1y^Y{8e(W#Ah})6;cdw=`-yen zI=bV8G`MRo&X` zME+&)*1;PK?;3dR_CIvT1dEtA3BY~;+YLZ101kj{0J03g9stPzP6D`N01RVH0I&hT zNC4f2F%s{aKYup13V%(7>kV8>_QACiKsJDf06rUl-2e&!`~YA9AfkGSSjPo$0l-EB zunRyAfF}S_3;@Q^W!yKv_&SxaRO~MIB^;M+ao_DrIgS-Ui!{flj9J1wrCCSNHR>|W zX!m0g%%?UlgoFBuO+$l?4$4hq2_;BF8K&+Zx^}8mm%U`h=^Q01CbNlCO)< zxXp%_8K$XN18RW54=-%rCP+yDq7| z_r~Bk2+u5dK4XyYHE6uxtgml#=d(X?=pKhcr1ohmr)VUv0$6bwg|=bJZ@VP)+jk9= zp`av#vd}=83d&ee9vdjNpnL&km0^?#ptynZBL@^8P@)Z#ouFJdP&Q|k_07OtFB^Bf zLfq{twgQ-+J3IDW^9@nd?ERF-`?uMBOb!Sdh&tM=D9oL$wwz5N|F~&lyecWceZcRi zK=-1VC?WuC-)C!OW&lSV74W#Z1ho#1p>Ry#tS_^$37a!IPgDQQn7((r2WNfmMr#1M z02Z?1f-XtyPdW$iZ!M~kq+RI;-~*t>mw)U1QqZ@uuUh^b%3sx&O8+2UEvYRP z3Uj-C3qx|M+xGI(zIltZFTYc_OX`b4Y`ZQOcq=96^VnaOuaTVnlt4HCHV2tz^m9|#-}uA#TTKb%Q$w!k?A&Mk26H#iw^1}TdcZ->JH zjuRY!^t9$N+3c=YkpMmb2*wa+xd2!Ij-x9R8{K9Dkc}~0(Uk&+42~T#-`q^=wB|#B z*dPbaLlWif83qS>WTM9*!*2HcD$ivcm4R!EP5FEN-=6zVz~R+lFvH>Hq0eZ;@k?=d zAjzT@&orAk{Z7O1X`vn`_UxImyrUzqWLDchTQ=YObgklom&Xa~+OKO9<7SpdelXv$ z{;Fu%bEja3o#Q@U9hEn1*uSrKE^L`T{nY*8kBk562yFkqj+?LNISn6n_~XvG)8b}6 zi7K6cwIlHPtcDE^JAeGRdNR!8#Phl{BWF%eAx$@66G#T$^Leq)?^kagE!y;-%l|(Y z$^1W8&xGew!yI-#q3>L%ojyG!ZMy4~;ltJi4Xb?SuyeS>&P(stU+vm(b=tDH=fB54 zZ;JFNwetLsQ@eR|$d)tqGp29c_8VNzR{{Qi(Y`;2fwEa+J(FlBeb0j#M?l?O_ zoE;L*&MKVhS0T!x+o?F!$8hT0;9Q7Ltj#X1`P*cCVx<5AT6f|T-jBYS=r;oW8sI(~ zhdu`Yo&0QmsEu*(idS#=%##$}&=6za z0R#XzW&jL~EcWR`rzH#USw1}U4&!{2^=7RMQBK8&eEJm);7``s%xHi=Je5;FoscZJ zZ3M6oOsWC&S56%{+C0ExSzkW$@O)8w>9mcm_4uhvCql;Jo;-%QmOTdRcp{f8FNzKcy3<)4MpA z{goYCli8bn&PiCQ7IaQ+4NDezhRs;ENbdXoDLw7!UjZPbg3u1aS_$j=OAsg^n8=ED zF|517{Q{Mwg-+qml!EEe7i@#_e$juoplwfKdj3g&j|1 z-`sZ!;8B35vEx0#0OJ8RV7ze{?;9LTv5|1>)|qrT{s24Q02+qyz?jDXtOW1{KqNNu zUIAbNfDPDbM+1n{_g;eWY%pFt_HP%CBpfGXHa2!+JjUD)X8@dc&}%+=-3OuBKnMXL z76k0~Bp(p2fshZvSrE!VSeArSS^|fU0W@q2hyA_^J8%=s>)7v)*zcDH@Cb$o0rLfz zwM=xyxKB9%b^s`_1Hb{03E-{)Ffb+nNCt2kz#{_#C+2BBfG-9BjIS{OCIF}e5Cz6p z!?z?Iz;y#)VBqkcCIUDHpv}OD127f9BkbTx1Bk?INSh!!=Vh~OYnXx z4&^$SB!H{%u{!uFQ-|fS>!wR2QGapiE4Tc{`sR6o^Knv~q<8CjMi=x=LC9s-JskyS z7@X7KyeNf}+2ym-9nLg3m%=#-&eiBO=%-A5zXQWf2iOkw0f0%iV0(j|k8Q1mGYQTq z=y3vs=OA1K;cGmcwQ#nxmb-r7e2lb{^ezizbbZM2RK94+VPBsnmDFCEOdnS{1B5Fe zWPxzRK=4xjXpCcj|Lv&c^Mx_=H|svJ?pr#so83mrilXNwjLmHHdXu5P)0|Fua3jTb zUMc_&0M`JV1MoTpfDeF20KOXlFpAv)r~#}4;0#8wCx9*hmkfY`!2<9XfP*(uMm%~G z_&UWFKM+GY{FOGFlG#4)=OigH7W6%W8rB%X8Fm@+Im);CPtfenI4CdgD2UONej3lJ zJcxr74)M~T`L+}24sd@2(y!mX0`96)aKAA8 z(ID|T`a)WMV|g3?U`oncmT#7m+w`lUnutc{tOoYxP7ZR!d&TQE0!TeAbDzfAImwo$V&8ii^!&4lHt_GXrK-{&@j8J*NjF7C&=uAo?{jDwQ9Dmg)NSoA|aFuve zJFheDD)d|OI#UKo_vOnJ;sItE<3p@ZlTn$SX)LqJxXe}_I|>>e-rGf&w8Q#-&#^cw zx7AH*vX1o15+2mf>06&eT8^|5Os&=0{u>+8M2PemDSDyx?h|#J6)>i%68Qn;Q|6J5 zN#5u(n@FwROGJ0I!F_AH%^xvVsHXbas2}j%%6%xF<=M_g@Afy7-yLj5+V2J31;!qo z$L9Tk4=gv^RQ#niec6Jb=n4{H97IOfp@P*Py-Q3MgRQwVy3KY6l#F-099H6@-RTY1}N9i+Z(+vNd_YNN?1`A6|Is=Qr)Jvlu)mSro1_4nX2Zp z5W&lr1xCYfoHv?zUwBC9H)%v4oDEJRC;!YBnV0R zq+)Ira^t4x8huF{B`0wGt|nc&@zdN;gJ}|KFj?C^xiCXM(2Xj4+hVfP9H!f3#wt-=CLiEFvR2wbYfL;@pH+OI#k|VywD<(ug&TK^GE2T(=bYwN6Y$pP zg_NqRDDi2D2`4iV-N(@{;AZuZg6+PD7U`pkr7dn%OiO`@K9-vnNe;H%5aZQlpJ8Vy z_Se(7nc;*T(s=bVrC+`GF5xTX=$7%#M%l9MI{z+{TUJNaw=o48*Gw6K7^kC%aY7{D zCuKlOY#C{k?2;;33Bll6@=0wvuG8?A-0tgiO$5;@(VoK z|05+vm?_)J+a7V$`AS0v@wsdsueQVDr|oDpakFV4!&cqTIHY4=PAm3*Rs@Cb^(mV& z^RPno%6(l6@hKx)*rTL&cr2i3weuCf*OL;Y-!QX`HQ`kCgm9@8F6#2$*%o(8R5*^G4hW@@%EP#>QT)$#p2~BV|Ra?BR-{{ zg9TXpvh0E~lwBF)t}sQvymLwq3a2^6W|!FXdM}_>BIVNGcXv--{K5f4(w#Sf3ussh}P}g@y2bN_B7>dAKHRJ;>O_ zf25Xj+#tecan)v}x?R5_wD2RzRAb5equ0_7LZtkDn;Zy{{#VC%khongz*iBG5hB1!VUQmq*b3TGNRBD*@JjPy?cbMZmtPBS6&ARRq`cmVDb2? zR3EK#al+N}p0ySOc@ER*A7ZllLpr>li(m5z9YgIzHGF!9mz}tWKcvHByQr1#(1Ens zK|ZC!DnR{`Z|m!xB^L3`eLe4p{>OLmW!(`E*HF0`^T=9=E9K3jdTH#qljl+PYep6y z=th);i!QLqriy+}bq@Vy2YX=LK#=fkEFE zwlnFOwuBp7V`QtHRQzWI#b0{!@(CZ?DKWO2Z8L1|sb;Xow&XoEy3J1E=07E7qvBn{ zW?G)tdyyl{ttIykVB z#mD}?UZ~;ZWNp4;XNyyK>R+_neNRb2>FE9^vKIyM2pgq`_)oo3~h&fm)jcOMs=oCjCWQj;`a-br!6^@2jd5_5o%J zlfx2WS6~6K!?3xqe_>9r-LR>!2e7fQbFdY#?|xtX8-0@hqD5h2QP@}%HWr1AMH#R} z7A`JdE_}k!bgTD>_ z1t>$k+^P+u;7lcf_7gkzlaZ+|)DH`tl4tSL?zW1N=8|NaEtsuO2+hx<%#=9t4z%FX zy(_q<^se@3pp)cAlRr@S3`XzHxSCrV~xG$iZYJ+&2>nV2f`#fojZJ_OG;SBwf z#=KjE0Cs-kgBd5pm zl#Het+3(&R>`EL9sdmWHoYP!@WF+}DJy})I@7wLOOY}qO5CP2+v62$unJc=Ww2ffp ziuWqbBfRg6o+w=+hTa!%RgxmS#$-wQ`v0=*V2u$UQJQvmpB24UI&}Bl1GX|y`*59$3o~< zbCWA956M^QO!`SJlRwh3rEan2&=klWG;2Ur?c}s})?a~djqc*%V>uo^V#CR!@bHn0 z^oSEJv>w)5%1O;i#i9D>!-YA3#9d3q9Uq0|963O21C zGm)b(4><~?N6b>>D7YaAsUl`j%-{fuN*ys`MR5Q{r4})%iKS{SsIC00)ikA+TC0g;+M=H2|9#K5 zbH1Gq_x0?(*RuncvhzH9t$W>fKXEJRKcl!Fv6X^1*48^sf$0{{>ck4)4l>6qR`hn2 zGYCd;L3i668k2LNF?6kV1oeS7$5;bIrigQ@t0MOp(FCSc6ctl2fx9d6jmezAP8X%c z_+IDcAo@g>GH6^TDOpyUqkCSkAYos`me z>)^CLemr;u_Gj~Q>6SszI$mRlDq%ijyfGXCe&qVQt;_sMDZ;3wXHToqIDm z@xY$7b%BvDEVXkV8T$u@RKNY%hAQmNbV>ZeJ=9W`)LrSxRApn@L_>&kuEA~!|GFaxrs?5y-+Bv8;U`g$VI0B!(jRW zf1E-ui{S>h%B?ak*S{5f4imXSS@P-T`NeaAh)5~rd(^iCt?;TxCT3SU-KH}Yub_8x zc#u`ezM*h62U$2Jz8!SB!K~+SL!z*I!HQ+5hY+R?>(ckQMA7#ua;>Y1=aivm3D*?Q zszgRn%au3Hv%2>6AW!OgjdZJL-LW3$GtfHZX-%rTFz8zuumTZh-e#FwPaQ0LNI1j1 zB=-_V)*bC}TS2*`+;3iPVbsHh{;B+dK57u`@-D$fxv?JK6W}SoY%MGzQoI#YYH>oVucG6%`Eb5)^x&>*EX(~=Z`BDn_0d{U}#6IN~ zVBV^0-S?>(mXdz&Sb8{rdOszW*~@qB_Z*-t<%jn(1{iTk{Irrg8LT-4%H4CZ>SR+;KC~wl&ddojSAY zI5?fqv-#2Ww3LwTia$WTBjd)&8>a-Jy|CK$!fM+Kt8Fi=w!N_0_QGo03#)A}thT+d z+V;X~+Y760FRZq`u-f**YTIkT1GWIN0p9{b0LKA~0Dl0OfW3fnzXd2z4np6=68l6@C1OXoazs{9=;%>H!Y3%D=~ zF8l}=e)@D_KU}DS3vb{;JX{#>gbSr`;d{7n@zaH{G*F8Ii)IJw8R)4Uw3hbeHG|j3 z4@O@*c07C-^B-*9uR1)vNsr|!Yek*-HExT@uTI#E->x0DbUJ*!LB+<|j!q2yS5*px zzT2gfh8y)C-mnqp)F;@zow##g3I25_auryDAMeDj()^EosT2KD{T2JH13y81#czRa zH8`{WDMNDE45d;=<_0oU-^iS~oIT3v&>CCj4SQz);!1DWGn0#Fdc&TXSNCNi?3te{)O8`^IyvJ!BXStQRBmVhK7 znl7v_F6lt*)$Z&9x92e3k}hqJ`$=dQSzyhr`)bgoj2g#ZQy({&QHef7i!;vB`}X)h zRrQ*EAZs?g2l&xT&C!-59;x#1bH6$j3$p?oB@1LugL4QVoG`Cz56gXtj%=CDqdW}G zQv7VLEzX|8J=O9cYv%e?R}Wb;-&hjWa8Smn<+kA0YH}OP-ZaAue<8- zN@0H~$Co-Ow#;-=WQA_x|3=HO{0eBNWpw4Z_}gk-{6}LqlWO;5IK>wEW9D{~P?0cw zHcb5srW8Op9>RMwoCYAA1YsZZs?nTNh=bk=Ma3d><7nP9#1EQ-me2cLhuDoOrrFoFbKJEWTIIrJ z=}llUaQ2u4e-_egE4u+-0^ocloWFqc7jXUp&R^(CE$I5Wv*Lp}t`6~haE@PQp|<-p zhpm$TAS|?fHG&-mgVMc==OJs3ZN@eqWH4+6b0vmLaN)|`Z&3es!^OP}#%Mxhgyp}1 zK6wiS(&6IkB3D-j4=x^ui-%z*4lYjZWH4fi4>-5bj~UtZ3|7PqxxaN;OxXc+t7f&a zuHKjBe;XfQ#)97@X)A-Ncr2U~lT}H$u3aTPFo@X-NhM4PG9R)UFRU|Ta>~F!{D!5d z1UnUUQu&>An(fk{cYaP@T<5+$OJX7;f0VA9d(yadpiK3};uE;@cV@aRJbujc)~5;K zXRsgDe}N!Hs-yfN;)%}7h=L6>cLh#oij;yl@E-L8=?T~{Q!goghYfQEY?wt=ePMsc z8=emQj97YS1^N`-&5%?dA^~!on>7knU^nnrH!Q4=9_KtqSD4>R_cwjgQC9j`{b9F^ z`dau|UquSxtvcIKJ%)Kk-2uDhP}nUiRQegPTb5tb2f=Pxaa11%yJh)r`bgLNv+BdE{(`#e9BDQ`L78bLw zUDY2Y^V({heEz)c`LyD;Ps8&*It_!4F(QIGNX0i0XrcKx^FPs#e|}+2`5}R@N&PE( zKMQ$I*UsL;!miSevG=mjt91SB9W4Ayt({$#kNC$VY^%u!aisah#req1rm|v5K6;)k ziOX3i*UN&PnCJOCo|jNPgFW*WwnXNuX1o$?l^|s13{prn!Y(6=LBLTjLG3inEUt_| z2boqC&pd@VX3A}gn604odvKHa0im2WVUP;q|Nm5afurf@J9s@qvcOUtf=D zAZ0@@4Npc!{r_gmMNMC3YfD2cXXCnJY38$h??Y@+kO zn$j-#VkW>Bv%(t73cL;U;yJdCMEoJJ{#ayMEA2}p9-=dWpvYlyq7+9!BWq}o&5AZqZqR$u>w}e`>nyOkQEBMH6%tbMF`f`7y|9#oe?Wkp;q_Kuom=P z(+lZk2l^Iiqw))DRAl3G-7`l9^ zrim6o-vMuf^?jA|LCBENH*-D+89GTK&nes|$&ZVBVum+}HI$cV@= zGTQx?L24qtgao-^gL?_<8Eu|)FBjFQ(@S?a;n$0JHoY21(qh{=HR`Oo)^<{jJgbq| zF00Wi)lIf9#fbleobl+Lh6;URQ$+`OAm=~^8TCRvzfRmOzs8{th3Bf?2|aAXVzi(7 zoJB3hXNzXk<##}{BEi<)147w!V~C#OC@X{1TbO0A$E8rc#Im}?r`dmrJX%y>+uZ~D z77r{PJ?LS^8c4+XCPqG0P1hq6!#$O&^<>BFSlnYxumzm=q9CKEuXT^oFf8lGD3y%U z2Ktz1C4ISpsE>4%4504|*Vk1wfF*KvU1#LvOTqh6GY!AVxFZpV@N-NtZLssl2@NYHiVLz(wTz;)G- z4CMr-$7ww03Fa;Vl82?yN(KHrHyY!vptBRH1<|jbPV6`4WySfokYrhun)Zs{(Mi;s zQKSHdQesD`sXG3Ugg`M-+PHg^f&By;^QOSLAJst%7lih^1nPcdi%ua=$RfFsKY?{d zs+j7mmHNt1p;CxR0PgTs+gDy7qcDg0>gZP))tX{!X;G?2D>_~!oZAAQf% z|49ku6_c@8A*L*&BMK=H3jcim{P~_0nC{HWU*{zqqtV-*Q%K~*`>SscKRI0I{nwaJN{HrhZAOrHR{D~f9K>k(i(Sr;~ zSj)c;SDzLILF*B2xrjbmScd&u6gMg;!~2NbMsx0A4vHd2MfY%3BA?NMd)Qw@siT?q z@Tns7XkIMliYQ<-I~KP=L>(;}z`qyaWB3i2$DnlBHA%o}qNIJ3F0JYn(jI8Y)A&jU zS?DZvy|mkb+)7DTjsXifAXwEeb76&isq{0&##HA6r}Z=@zUzY@qS* z`E-x8->wEtQ~qg9jmeyknl4%x1ASNLMe(*$$?}+xQ}LZ%v%PM)_PRaU@+0V_mi86L zqIJU6pqGls6XtPXfm2f5G?{j9xH$!MGpB z{V-Mti~8a)F5?Uob{SEDYTU#}rf=aRlQX;IRWihQV-Gv1fu!StjCx!kWs&ifHM&i5 z03EG<#nucWc4~F(F9tC?bvN0Y2T|3uazSpr+fd*c)n2okO*`mZO~1qstM?iTelEXn zuCjqe!Ax+1*l$e}2l&b_nKEq`9r$kg4t|n78bs-EFSH-|>+G2Mq#{9m6Fd?jQxJvA+C(Pg98qOkfeG!WbwHIB3@RzgXB;wgIpJrBWNmpvh%|Bze?>d3 zIc%rm2jQx=>~6&8W*z&m*!iVyFGvPW<8rHE+tAz9Cx-sS`}`7n5;yn};;`;s*I*O1 zgS42RV`n%rhTL*B16>1R{4ni>e44e&wp&cRp(Q}smfS&op;!re=hZ{RVfq)wwcuhY zn2)53W*6toNAEUo>C!r!%IJQoC7k4*s8w=LPDoGaD#ctYwYXveWPOfzopBKEQ9tKz zXlKTf{sHAwzgP;E|5*u`tZ(L*mat-}B4`Sv4Uo+IJo}8=AT6{MfysKV@}kvsw6Fv9 zw`lRGpxHS|JFRP%!=-_-K)|%eO@`e7V=b@Ist$ZAcnTeNxWA^*K`NR28Y-SOB_6M# z;<>SeP9Xhgc&ATdahJ#i`e0VX60jzSXN5hL&(lY-qMjw4Y<0zI}@qJZW@yRBP?R=+G zBlxLC@tmJAb{TznV5(kfOyhy6`duTEhxVpTH~RBjy`fi|4BZr>TgYsXU&_A)GO5kb ze1ix9JGWj5riH3AhW2BoqV_1$P19`0CGLg57}zRtzO9`F>K~p5s7no>_cJO%u3HGe^`74G`2lfJY6w&5nkf~%g z2i;Mf>D4Q0SukkH5g~-@{2m%`$)6wQt1z zq|Upmbp$L!D!cYLF!vZ=34H9KJ>hBSH(*XsGl6vzri~U);I|2pqY@gXKe}zXH86h{5bcqZ(42b(O3@W%m<`}uP+o?6FQkvwlwr}rINOyTcbwK!+ScQY1JPkM z*fndDq@6vkt-5v6O<>myqQf1vPFWy2ytNkIL4&0SYF%1L-y537yz@zs2H_ZGiaQq+ z%;P#E9>_!Wk)2@=6pQt#ol!}053p+vO;XI!Pw$KbRes1PWjCN#i`IfYEQnSXfjunB zRkX5C{2Hkf)%1b5U!G`VADEQfG{2K>W;xB)3Z%PPgxNZO={AtX>BfD`}+ zFfG>KwzM|Ga%psv;RN8t5obku1{JljH5gO4B^Yyi69A4sxD+@Ya0L(xxakX~!<{@p zC}0NQ(gYQPjMb;Dc*vEac?}{7 z$Uue%6!}xzg!553=Kt7Vj1YF}h=5^yvV-H*l+)}~&G1u{o4&QoYWL};%rGqF zgfu}NcBQ(Azogx32n0VDgIr!@JANqgrE-AdCk}ZDZDB~zQ*gSY%V4XxglV8vmkKhg z6tm$!>*}^SAfuwDK+BQyIc+pQzQm=Oo2%Mk+H3i&1UH{qqwv;8jD!w@cgGBy#(|q) z{0zq*7x0gdP_B_1?rL>H9MY2Xq&uf&H2F0mygR2uby;SYU0H|nXJ zqL1Q+K2<~uHx%c_B94hNZO3XcH`LcH;bWK%dV%pi2o#6xQ>_%b7FQ2o9z%^NdF=m7 zn^=2lZ7>cLFi5rjIMp7RQ*BVI@+TRqHlS5?QHH4v$x^`#_P)4GVe*y zFsL#&jTYo1elZJ2GpC%^fz{96PQp4}C`d}V{HtBub-2_0U!8|k-swEdJa6Fhya<$Z zg;D0t;yh6vk@>Qqu>Y$J$%4XuyNt|&!v2`dnH8`{*)Q{8L1Ev|o{SdHd4B0+-0F|xh16E=N38HP-&mu8Pcfy zN5+&u?rgJ+C<%P4G|T)XoVTiDR)i$jUHQ9}B=L7w?Y25ef?ANh=90cFZ}d`;9+hIY ze%@Cdi1RYJN{@e*j8klm!;j5--g48)MVrX7~wZ5EeZ&c7NJ<=0f`6W^%NXE)>V)kLEE5ZTvT?Bna* zG(9D;EjT67HoK|L^0&>xe*m~_vlx=$rnPX>p8yY2U|UH(?u90ly{psZ5%Vs8AHuo9scayJe+qmlukiMws_iGMuhoUpPhwBcdtC$|3lZ08B z#k0JzKbm;ORo?hGlXG$D0n7!{*{+L;*r)2pf+{<{C*%XvofePs4b{2#Y6iGSpX5ZLJxtNWpC|Z3GGv>R-+AE~v-j)PHDW#)O2J zViQIsjEIq#aGBuWT4ust6Q;yen(zTaRLsmk%uyi+I?jpL)h6kwCgfIXj)BMlf9IVF zXU>dH?o5zf)=77Yor86I4K(|-&ai6aT~n|0vKaUc_YL`Wu!?Ob(FoW$rbg{ywrAorv^PaifI zaZ(YkPZ|tcB44Tx9*kO|@Y2T*hCY>7K*2HcsUlgQHW=oZN;oqm#{CefS>yr-;>7}3c9YiWG1ptvxs*z&Y)^f z9tv;4{Amts6XfGO%rq$VyG+w828~gl`HblXJUok>g^E+M_>tfil%IBnC4k3lj(DPY z2G62DLw-Q!51cNLD3i5SL?91?i^g<#7Qz3g8lFXJxS$1|MN+Ro0M8<2sbC&Fi{!rq zCH+Vm)eCeDsobb|6-DOC4ZWz01_|uk|0977M&BMC^I6!;y4_;R=)u(aN7 zfP7z2Q4g-o34- zNjt=tEZrYQRy%7eJz8GRadrp-vA>C?#RvlNRFRAAXcIY~5^9`pr*v|vai!*P>Aog< zKJ$cuVE60{t;U}>c}Xvd-C8Isl`}YQoj^T&1=Pb~u`5H}AU)EAkD$CznmMTw&NTTk zPJkr%5&EVmxG!UfI9q#5y4wLR>vIgA?etT?gG;jeOQO?IUZ!uQM@QV_L7nmZi1S5I zXY6%gmQlYlHrhkS{nNnbHAQ-S#I;e=EWI>BdcVSaDT|tt%`8cgdhSK^cxIqSxh=VfTvS>O^Jua*a3-ZU1CW?<>*_gA5d{9t1hBZ;E1kGb^fuvsq8Dr=`N~-ado+*x4 zr(z2I+JvvMIimEo{MY#J%!sy(W+D_z1-U$@p+Fqudq_4d%cUAb=EHd2L%~^)?-AQt zS=gDnF-rjt*G>M+SRwOns+dCDfjZ8?PQ)WJ72Yqi+p6GC@X=EBaFa(Wv0OL=#oag$@lH| zo&e%PT0dn1hzrPmPZ|&x{QDU+ATE&mp_kr^&+8|{@~E(aRkQy^`A%6lERX18rpDsA z&k!}*!vc?fDlCtRbFu_j9+AJBs$qG=-_X6cRMaD2c~rVsNw7QuAIk}rM{rSR^@RD# zmw@zJl_}~vhLj^HXa{QKcY@{ZsC(oZ)n&bR4=AR-5q&<&Z+7+6zTgLx07;52pq4nt z(sv7{mtbR=vjnasZUdly8(xAQV8jW$N?Zr%7X-;A_yG{Xz?TpkXm11|W6;{*CSZ<1 zYr{4{!WgE3Ss);e5nj{27etStUIRDUZ_MR2{j}g}%me<%mT2 zzzFaM90AM&{0blg+5shiF#r$H52y$DeG`NF?OF_q3L_Q9^wxQp;LU(7^Dtj-`Um}G zD?mdH0w?akPX89{bn{B-9*55@#zw_q)8{eSm59}5QA|-K?vR^=4v-Hk&vS^5yb0_d!nC^T2|QDXtSbSz6QO_I92%}%NUobj!`>J2>Z+RE zSE#L)FS$^q*=f1xKs_WMGgOs$KBU$tuZleCYMQaWP)s_K=>Ea^Pn56buBE0Pm%>$aS)ggu(eST%VkBOSV2To-m=@LJq z-Ybx@*6_+2C60KmV45C7K9;ysi)Vk)pD_ z6KK3Ni(R$?clrHc_X3c zKpYb90K2SwY3L3Oj*O9Q$lQx^pvlHf?8HA@^>IG zovuX=Q&01;W4JQf-_S;ZEQ8GkeGFSh|AiknhAv}X;k%9D@6q1#BYB8>P#gB?N8F>k z3R3%>?lE-&bU!ARRv`%B9gSUy6JC3nQuS*Nrr_F>Uc+?SMOM>{Ghe%O(>C*`mCypg ziEimf>|s^>yjO~g`Wdy%pWtDQ6m02}bRDgAOWM2}eGn82gCYVZ!yc$s%qtMA9V5SX zas_LS+g;_7<)al1*bU#@Q4Q;pCz)@NlhHUOCM{XTPvNmlQw=@v`Xo$jrz+c2$~FQiT5d!$0Zd_af9B}=zJ zx>W-G8=2AriC|lv4N8Zuo$T#E&u2MGyz#qC&M|WiU`jKLh|)|W;x3GLVVn-*bQsUW zcpk>|%}V5xO@LOwr{gV3Bm>SFaL#~p2AuDK^F6J<&TS7#CzOL}YZ?m1pX?i4j^wfPa!PdY&4XzL-Qt2HhLjv($M*K=qAv#NAqF+s{Q8ZZ;BImq3W_=JDi!0*r<77_^Oy;_`HN%>GVCalD6A;tT@21poCuOd=OPh|Iv7% zIL5H1g!<5FIr<^(g7I{5s$qEv^PzJp<{|x!@k(*7;qkmd<$nOYp4Y^B>f*?b#qKbL z+73IYDdgkEQh3s-i^8+s{Cw#%sI}l_+E?s7g9w>U$KF1Ov1)I!4-KNMx?Z+o5J%De z#cm%&9%3d4Wc9$QS)mHFx{Je}E9YB%#Zj_y>*?KUbTzGBkV_^G1zJ^mMJStA>{LzH z@WbpWO$C9tvpS~1i{mVg+^I|uO>4^v1ePn!vfR!QhtE_tSc7can>>cXtC4@2d)X>6 zcAECSVLk9BbB2(Qm?s1a?ZFOyH*$`tg5BHXHJ`fJxP{~65D%f#fSmA*lR5&Xa0fU6 zBSDYQht>J)OHF>wVbefJ4A0&%f_kYv#XdZOd#NJ|kam!>Ndr^Z#$w3?LIk}AWfLf~ zDa5k6-HXONtfW|{gE1_!Mm^NkF@n$4OKPF(!kafacN$TBUvH ziOau^UEg<|9fz0HDq#M);h=45eLs^x`_I7c_aiXy22wqV0Ez#YdKQ71ZE&)?vcRvi z1em>`#l_>yUhwGUrp37Hn-}9+obD2~67Lf7VazAoB}}ot^ys7kya5z|Cx8K5ed2fc zg_|bO(=%Tx=J@|(c-GczIo{SREflB4Bn0|(GItVh!4w8eam~Ce7x`BitZh-!95J&m zw%b+0xPy%#{)w8ssR0=d;aL!V3gH3>$3vKT2YU*_@euZcZ~=s$KsXP=aou1Qdk4y* ze?nQb0m`BcP!_!`uYxJhVM-B9Nq{Nv3D01PJ50etI1|FZwnbUQVx|o~rLJrM@vShg zu5tjj%1i5J4j`{;FIp1D+z(Lx21Wzgk=cORs4cV%OcHLZqb#Q;T|Q8LG0YhAIlxRc zV8^JHv@3?7F|SI-1_OPJSxJ9yh#T{(1k!_>J#{b?r>u~X2EjQt87O$+t;+Q>mIK@_ z-XOhuN@dH6uaYNM;nSIl{$>XsqJ!3;2!B#ZqS zK#HTZ&5XcCYZh1*^m`9+X3NXXxox?pP-itiTGsUAhWvk0-jn$>m7c;cHsNf0#+@E9 z_VefVdvrrxDH$43%a@`5G&i;ho*_Iy|2VauI-l~j;XhfzNW@z?(Nx_gdWN23ZfPs< zM)-kEWk9`CbA-F<8F<0^w;*SjOWN|h;aUBSeJK%{Mh`JA0>>Vp9lq3-vJX3aCYT%L zC~$x+K7iY7@+g)Zz=}*YT|J3-t>%?wbv^h?G6l}{&KOAEK$FMow3I~XbF43 zaqS5>2cEHBJt1k3Im+%*I9Rc?{|N%x(XosfK*(^7r5~2(Uwreh>x=Y%phxepg25ry zhQ3tJ=J?e{zEs77#v-)KHtI>W(Jm@?z0)Lc*9-Nm$%r(3m9TzvRtMr2Va{k(GuX(@ z>^jbMTL&_fD@$;%$u){$eZ*wQFz&r*Mhw3h#L<2S{j*3`;4oSx!o~>8FqxvDnEW!_ zH4!}~qYN7$ii^oD!ygs7#bn*Xd?t#FDZGbES0_n_dR&9m>!f;+L!`MG0>?=CjBN(m z7%QJ%V2B-~nG=zFQw630kN4QJ)|Q_*Z^GA1w*_SMf%lKF-F;w^`Ts zVc?>^oI1N zfQR&^P>sJ9#~RxK(MM{PM**J!egjYey8tzrW0KksnIqxj-==gTCiZQOkU5Q31*X2Jb#s)&`sLaTe5AL z*A{{2##OYTpcW9$Oy|$7hv)9TG1(g5RQ?d2yA5rE6pV*Cz;>vKFik`I@t;hyu1ml1 zO)vX=`sa|yeT11~`We^C%?uzYVulIHz8&P8rK7(Jr`axu-EV9zKn^?aM|ChmjhA4B2g|QA(pk9{ zdXaLvQM=7cyK={6T_>B+Wz-XbH+}!`-P&>YXe$+S=T#^la3XsG?kFzLy9R8Isa`H#Z3lw8Qd3PQs*jwH)%fga)^>S)&CL*k7*YQD2k(5&U3F!d?*yMw5qX z1%4&0*K`p-y#!K7W=J8;a8%RqXpwV_xC5gyGo@-SwpDva+UcMWm| zp=WeLul5|hduQZReimWw-B zo2yxn(+x0`@F1sq)KJg!JV5%)ki%n40qfzhiD>Cy!A6#9@SB&UO25{CI}TWsaUQ)0 za+-TPU2a28v$=E9k^#_nI!9^dSXOnuvE%}l0QPmdp3!}7Y3(Gg&|)onBu*=~oI^ZU zn=NM~sOOqvmX-a4heS8O6jHe1TJbw$x{TgLyPWPwXxL~=1k_A^uxKR2L#+uY%bccw z;(&b4yS`(W@2M}k0kF?uC9|~?kp9Oljn>|?^ z`2n#~n=bII_Z$kkEx%-*(>8mGj%`_%uH5lNndrBTSnt1YnpGCN)pV?Oq2}gTEwxYuQmXJIoR>ei_p$tT0DE%%m~ zR6SGbEhX8MkMf4JSvw5L)*9QHCc+)vM?MbtNwgy4daK7s;Gy1}9dsUlA(`6DmMJ1Q z@greY`7%zFqZs%}8i(bxcEo(9v%tLsH$jUNM2#VuIiAXg@UmJK3$)Q-_KsSYm)eEw z!?o@&!Kz1I>+AwnJ#DpaE@0K8u65OdRZnLvF^%cNuOB0KkPh;5#ypz+FN48rI5+Y# zbb7BX&U%fg(H!bJHHjT&ToKF|!#4-~t9+^VbIg8?f2=`vDILCLkfsabIh8R~0y+;< zM$Z()@d#ziYXUbO>K-jX5Xp17$2cnR;oI<+=^-P$QD1xRKU!u&Z4;)9@=f?RLR4{f zAh=C%iZfG)C$-&O=ft=$unSIZhj066gp83pV1|O zpq(+n{1YfQ?vDH+aBY3fK0bmur+WvP8es9KA?64fZFA;hUx4`|uH9z{2-gk3MW~oS zEr$0rHy|Jczf_is(ro8Oh%>b)_GYfrPOaX8Eg_^tr{SGN^`x2(M7=17l-KMm13}+8 z5$WHfi-dD*p!e;oS!Gc`ohdR*{Tjg_P+)n81TP#cLp(P3jF~-!$kN%R-JOV5od&WfD4gbmWj)XBHRPXbc<9%R=>j4T-vEwNOdhd;@=Ad3 zM+{J11xx#5b2s)!08#*`SVp)Yvp)4X0ONiBl6s$^s1?FLqo0357C`i8^atE`rVVYv6!o2&DX(K!o4k)*bwtCj zgE29R1o`%e+>f1mc0QOosdn?#C0g3muD;qP%dndGT>FP{c{}SNEn5B;$9XVpr}8Gp zV~{;lxf=XLXAcnyb>#*>>#VxmZd8b93HZf0Z`a0J)R0>RN0|4V$nnTKs)HQ#xc@Ze za%*azXg+Gc=|Aa}5qMUXNiR4^r)YkP->skZfv2m^6e3lOxINJn8NKZuoslo)UvQ#3 zgI!?lCUpk7s5E*fRU$Cd77q#eU#;PN5_NOBcq%C8x$qU-HdeQeJDZZ z=Ht$)LoGo(dN*mhU>eUgkbGVM+7{x#Cvpt%<--}uaT!@1%DDgb@7mV_AURxnj`~2o zsW&itKjEl>xzv!_&v;1w%MjV0=F;3h0}uqDSJHk4nxfBCP@_;0-I%S2ehcGUWsNzl zF5?;gTa$uoGn4DYQz&=!QR(4Ca2ohcx;K%0>}nz%{x;yx)t(K1d_{eN1Gv9TUvS@> z{{d_Um;ph3l1iMbc{dzx1AGrS4Okvi^$=NV{sj(IfNOws_*2c6ZgnuXQg*JSGx8AVZ^;gBbx_rOOa8!Ze(FBh&F&Fw}+nsi-X zh@JPT_X17UuxBX zJ0H5ViG1gFY;)8(rJmz80>-31Ev&uh<|jp~REOW^`1X}fV85EWpp@=S5B=0RV)<<2 zY0UUP8OVLv9gOwcV~+ z>a=~6>q^Vsx?kOf{ycjDlYMQ0{<5jSF#svZul^z@=o1q`vbpA3jav)#jqya?SEMQ5 zGv6rEzj+g3TQnGtE!?s+%aZSK@;%^oztXt7?t6OWr-KWh4v28D4Gta>?pOXr75=&} ze9-62SIXp12VQWn9u6GIm8-GuuEmwx*lkK~!lNO}3_elzqL-9prx^GQXwPblvd#kf0~35!oV3Nn$HKSjB1f+5T_ z?Oxbg(IFEFG?^3pg?3K|f5`nD^iIug_nc2%sU(U#ZS762%@L1q{}at^%bbsy0i4#n zl%?1A7uhRUzsxlu4r`sEG>@MkuZPk+af14vprsx`bNUZzfm`@T`o0s)tH;pDSLJ#u zmm9nt>J0V8l?M<(rkvuLQ!c}#tBRRc8aJ{9xH9Q{4||9s_W*8z*~4}r(e;Mrsv*JZ z&5gdTT4~1G4h}knsq?z@gM=_mc-Of>my_zHT_*?KPinlnE)O~{+2n&-qW!sR&mek< z?(44YgZQUlH<4QJcaKTrqwS zE{Z95hPi8wiUGI8>6tysIsRqFXQY#s>WkeJCV{kl1cYZpI2^*WAp8`<1rUyhFx-6#!toIHf^Y$ZpFlVd!f~6z#^LT| z5N?EU`j%pBGEAw0DbHa_5ln%fKMtSp45qll6g-48A^a=+iejf(Hu#h}_#F~Il@4G^ zAxsH@DK&t{89mBU|FVj6XJEb`;4t7%xGx{>fnUrK4)?)FAAtL!;65hYlLu3Nhbg%* z1%A0f_}EGauYhm@gexHYH-zCIrY@l)t1h}D%eI!g&C&u80OkP>7ke6y0uMW#ILy@Tv=rE}Vz9Hh1hmrScDgwHhO$BL-IU#oegr9v*WlbND8qP& zf^3I+P}4LgEgMRJR}*Kz>lq`QC8|?0_mSWyN}@T%)}9EJghhfzJ7h<0Ljx&lBq$1a zHA_!R>g_m3SvR~JB?u1nJ?1|G3zbVB0ZoY5% zxi8YV7V;l1`jj5lTW${x5D_L1gFMJdz!Lqm9^c039x@>GBxFj$RSy73`rsa~w{bm8 zK=e~N4hja`r;02n7({r=gZ2KkVV;VGdR}eRJ~=}lS{u4gF&oN*k#pto`k>nIxr#=8 zTy69Nxw}4cl6zfQ2o%+b>#8UjYBDTXxx$(~T9uDVHO(I_&BtCbHH=o=f|gFoC|E(i zH%%J_D`>RI#dbvk8=qOyHi;`vw??X#5L>lw(#~NhZ8!7D=hx*_DU%$;q zdcff^#covEWz0^XnQCN2N69nnE>l{}oM-q_6EbF&H|DO%Kc>nXH{C>zDV;(s)Et#w zOvJTNzBPK+qi#XYI0YI$qgz0VE3~h83XDwC4KjO(gj1+GHg7_{0Ps724%lr?kv+|{|r>*KnC1hly63l;DW>1POjezZ9^mFYcL#jRAQPGWk zCrYxhhyFtluYFCl6*av>`fgt9XL)RUj!toZk!IKkub?4-<43aOi;m0~*#2R6Jf4(U zhU1CoBoG_y7p);>-^0|4mXnHJqwJ!eFIv9(_^ya>^+Q7I$3@GgKEAhnyifk-<9q6R zx3QofCa#CZoPPTkiI;6hC7H0#ISQa5HpaEHr@CK7$7JtnN% zdKk8%N8#>`N6U9Lc~`xpeH86YG4Gn(dA!MU!@Dy$qWH9<>7^JqmNVuLVav^)^?-t?;Ls+fRNGY#)J&MfXurGVqXirA_0=U`c3 z)tGC-+?dKCOqP1DQEYc%1yy5@io#;DhH%%l_l=40%_Hc>{U&-{u+@`i60f6aAoR8RI6r|Wh~ zkE@4y7xFq#UyELwPo`S)`pSimuRaJF2BPSkI==An|B|>j6q%fVm)!rgFSGMp_BZax ztFSHE-nszu?Kjhuzs>V7I+u7HppCWfsu8WR?N=9md4LjUe8EXFyxh{`_JKAwWA9yk zRAPYsx0pqu4Ys|th})V;r|&->bE+hbzAnPsWs2 z0%3wW_QhF;j4NihDmHPFLiUKaB7V?KCeD%ZT!z^C(nUEYR$G~H%iaG&@>bvOJNAj? z%}IK?XJ$So8bk$ea*}-CX*&tk^s&&(WwNlQ0^ydwtD$+!i<7i#FC@8EY9}YFJ$YV3 zL3(P-O5F_h=}y->n#nRtvMjBsT(|{)SGSq1Qr~7Rr0I<7IZ4{ioCxd--K0sj5KQmb zdeyc$rrfq!r%?YBHn3i1_T!oZ_0xCx>DGXJ@b=kHNM8Ix@f!?PS*YLn+>8tmRxIe@f_hFTYA;6x=yOMdz1rrbPf4n)*1gO)jm$r zKxJMf_JTRCI9rH6_!rQ*52%PD5 znakCdY(JWDAnm1k2s8)Q=`W`{XQ3JOu`j*|NLhvfAt?f10W%esYy6R(N|jvUIf_+K z0eAUEY{jHx4cPA3uG!Jiro-+TobSz=y{#BW9ylP#S1d{?RBXD`9Q_dA2UmzUd|d2a zzU%cd%ZxYFmnty%=(;xUXTvh}Im2pu>fDPMwpxFBH`OXAmRf_hByd;RG@S3By<)7O zA21F4CH_P~Z!cX>iC3(j)wmy}z$okFJ#A2~3gmomDSv0c@3wIuGx}F0w&aS-lfRK} z(a)A#+5YV^m#C7l)UVPP<_%*l^?T&C)NdsCW^jK1OaKdk@nAtP5iE?!OZSKIVZkuT z7dMs|jO*R4HS}QKFZSw2;!&@7`kWnq60eWi_CJZIKX|Ua_u+HxRQ#NZpIFB|Klu5-fq(paJMbmx8)reo^juH{u5XytOL*Uz+c2Y-l!Mms_@A}4 z7~x3%8Io+7QmIfoO%pD~4o~Tj@ukI>PCjgU*K#PGe%Sm6CNKyKO=ipfPRc^_Czf5E zq;E}cTi)!XeQW;JqVFV5G99t(>r5QCc(Y40(4D_ zs;>9YLfPNg^%8nbb`yzZ{=&^s(K6z0)_sJ@vQ8=Mih=27s5t8d`(+bU0qaGDGL}m4 zl>e11SrzhBI8GL(;yo3RWm8kx8JP7w?NAS1^d~(xFHvj#{f?pJB}?V{aDTL`Q;9z4 z56(+v_?RJQn)RA}P@3S+*-rgjxy0Usmjb+;vBd7JDo&Zi^uD23VmGUb2j}sHUBTO_ z=dpYn%Y}Sl{jLw{s_}9QA~<|a))IROmK(9;ZKpoLa#)&Blg#wKyXS*CmKD;b(u83t z(>rtb2X%9?{1VFqED=q16s5>3@iqFJ+B(cai!hSOF=!Dc-7|cT(HVNK@HKl=MaFPa zAgg=N4Skq($o&zPv4O0uyKm?hWBE0fiC7{!70b`Dj1OeJKy;gRNWC&GG}nAX|BI_8 zB_fcuEbB*mTSZ25$S(Ri1Xrhp_9FPVtEOEX$P#A#_~qakoJNUtaSQkjX0{i!fZt$c zdua>!4HmY~YXPa|miC3^gjW?=?cuI!SVo>QUib?O1Dkdi)jLI_u>0v!WrVo_Gl3{pK1*xgZ&x;(~@nUCgzZ>z|V?`pLw-{6T`JXClZWay)BH@%86rwSbaoj{tEoe&(xgmc6sGiB zhJBj2$Ms)$yz{bh2}_*^Ek~QZZko@_sHuzs{{KVB`LX3}GX#g9$Tkd3X${{B0>3>N z$Y9(8P5vYdY|I~FJ~ZBwy*@O#Li~c@>pX609QIBVZqrA5!cbJBeu`>ZX)Zkx)wFrN zly^`~Ti8omME2fvTzC6*c&!(j?Qd!rowE|4I=s}4miIgV zDT!BCT~8;5vQOLe9v`Rq1GMH80N#L+Qcfh zk8rzM0KezV@A{oMxxd!pzZbp6lstbE(UxHu|vsbEWs-_6kO{p~yYU6`0FkWt(qJ zgoLQ>JeaU|feBj>VlQ_waygr{PZY}suXXZ9#E*|(B?kH7VP5nf+{=1 z71S$w-9~bW68Qhxu2=VHl`v=ZRc%!DIqgZemw{^|Q@>q-} z$yge{r@e+{Hme ztF;nOv@~w@td9~UdvzMgL}}7IEmsmHjh&~P2d;p`FSKJo5D@=`ZmndBG^^m(iCht044x)sbzPM??Y3D^{mv zTl=#4gk4H`pMp=Ctz6QVn@0Xrxvp>8MM|Rb)xMb*iSMAbJL@83y6JsML)(}E%f9;` zn0&_7e4BA+DZj8V=-dx;^JY$yDOByhBsGG;=keZollJe;y22sG{vu?1$F3m$LW_-+ z%hI1sdT{5D2jhaLfB)L~zq_84X6Rr3`(#_{i)Ytg7ViEBf8-8df4TFlWp9OF9NGp< z6^yfJ8#r9ydlqd2J1V?aqHW+n1#6|*&$4^K>!E3`Y>JCU%7=E`5N_G$ z&zxjlVln&sT`?ugCqQmAF01ZyhqP}|J#C7^zc0TYNvrg}l6v}oJY>y;=SHLDdNYM) z_*iZE-zC;{slK&no3(3b{*q-FuNL}8FT;4X-!S;vA{<|%AWP4JQglUXSUtzvR@}j^ z=iNi%iZeVjfyQ%iQ&b5^T!E0fmVV0cllp8i@sx4D`bsf%nc*w-sbcan<9q5$#q^&I zf2z;*g9Sgxp3%XmjTo>08!dCPN}{*3Xz-nW8mQ!LnvReJ&eXb-o|yLB5ua|}b?r}{ z`R&sl5#lk&vcI2EWL~7+)$e=TG)4VpzxQqPJv*&~RmuOy9$ihS6w>X_JnViHZr|~E z^+&%TOhq;ADl%sLkMO-RcktbG;#N+K{k(_YA3jHa&k@j`f0TOFF|obmCTVbYNy*oCPBnQXe0ALkk1#!Ywf;v?be!%a&oNwew{+6y z82@o!tRZ}c?AU@K_EFv*+vcI$2SluU{sa47h@_gsIs@WsSq4yeUh^3-b zY{q#g<<^y;cw6Ftaznp+w*eWgPWLeb{jvF=oy-XviQsB?O2TU*xw>u6cy~v(&oM)! z`%E_T1@C;_a!Ei{f6?$wJNzlwL%kRAM3n;9b`d%=dljg?n6G98>X`c6*> zdu|s9AfM=lF(C3^5}GBKGzNA_zR)@(iP@4bbS;=ADJ&;^j9HSyJ>U=Ob#DMaLimL` zKKK#x%h9eLjFdEEp0U)usxhc5B9rvQl;NH-lv)FpjxgMfz?##7JaC|j-6FUqpX8#q zh+db|T&!~bzw+o*Lb;GE7p8iZ3y$NNz`J3Q4=94!4f#IQ_Z=w>=>H8vKWHfa_ad2N(kj<~+!Hri}QU|&HSo(CrNmA2t|fYt}b&37D`eG4Da zS2>7%MZuKc9N~Rs!L$$ur%yFP%;e0F$5i{Q7k&f=pqPQUIoj2p$l-#cq~pdfEmzZ_ zZu2f^cIjJ;e^@Se5}q5(mh+vI=f+Pg*E>lx!`o^LMyQPs-0Oy}AI$L{BKcz`C>*8P z(NvjZmb%kG?dEsby*TuGezjf7Vbu$h(Vw2_AJ4_iX1IScS9jhKudd4m9bhEpr=0}L>>EsOOoY7;JO5z|^^Xr)sQ2E;@&0*dj<@g)hL>;$ z!>iku$4+3v{9p{&=-Qjdt`$X#i$h@?SO6@FiN4sh;)t8*i%lqwxrx5mjDB&Pc9&Js z9~r0n)H-IktbkaeC~Pk*Ab+RWFsN@OUNZZ+XSg^ck(tD)<~eSWD_|tUPVP)=sO^T@CNFU1OKJw|XTFiYcPu7>cOI zzs@^@X$KL4of^8+4YHCd-D$wK!QQPB5I_I0FjD?>+pmR`F7aJ#lqZW%)rh*Jcfkbo zOr9`AG$dwHsue5Q^IM2RiiLw0vgsc51?hTFEUHJbh*HKmB-b=jBRLCDDDv%!yPz+} zesA`D%EuVuy3zx^>M$K&!m7!y^|{O4ET7rPYT^EE|ISLNnZc(#F->z%QTcZzo3t#2 zYVa*7ZJya9-X$e|0WIV=rPMFXUx2@aJjQfEyiZCWWBy%iZUvRMNqnRgeMvXPJ6lO> zO=raiTWM>}4_!}q-?>d&vs7cBzo&d>;4SuhCzj8+WeiPKP1wR!UK`K}{ygzuVVWUy zn=55flvTC9S@GjQuX7CT#EIC$Suw?FX1^;Hp+D&=IL;jv0VmBBg6(LpTWuciK2?D( z$c^s96|8dxKlk1NuO!1<+eGYPIRASO!(L>scKHSij@Lzijz4=novvWD&wWaHO_|(Y z@{~4N8P-1iDfPNxE7%yrDlu&^K9ya`(c6{{P3(uX)~`-=dyx;wI`Z1*`H(+xR<-BW zG7cCx>PCNt(4q*zvQsj?}i-rE2#nZ1^Kef zRKb1FMG#X%`24Z5@KhdO_^ynT8pIc@lclDL_@c!aDi4*$b`wuJYV#H*5SBWoWBqB6q6(wnx;LHNyNBj1q4S z`(6}HMuU|1dj3^=jD|H3`B&X%o=tt2N?7FF+J~uxW|!$G<1O*Ta}bc&wY%B#b2IoQ?nUTRl( zmNXeXoaXQsyR7*cw09l*q)VLnDKkby)Q%cjxA5~5UBZ{MvK2;=t(HShV5i!r4M~QJ zx+p?pvAV_ioXFL`Ct(!F?5+FLQ`jz# zBnLTPRqxC88*ly^4+*~Q$`(S2^N9LLjrRx|sDAt50C}s=<>0~m&Y!f$KTM>La92-s ze_iznaqkRq`1SMPSOdpBKp%Nu4sM@%XRS>KI312)=H=k3bT}H} z*!D(=UwR-;Rt)%$IQ|9Rrb>e7$B3TB*^m0c5e>&Ta6A}wu;2)X!wJVhI7&wmQE+?( z$Je7DNPrV=!3l$K!qq!U{5nS+8{xQ)_rJpcd;;Lt0DlHYh8O;3a}nJMc&M>;&Y$NE z4-57v3r=s5SSNjz&-v=i3!X~!%OS&+?9ZJqf0IQ0Wg?WZRifA(22)0=vf%z^l|p&! zprYWuG0G~LTgee@_83}wc=O?{k;MJpl>l!oyj*zq<-w~M^-8R#ax|QAv-cWWSL`iN z%-%O&c`Gd^+Is5aS0yVCM~YNm-rs=mUAF4W$nA#1(Q8btD}FzK7qO$bDB!*Wt}6mB zu8zKl!Ha+J0?GSRAMeDA79oz@g#%WM9)NJp7MyR)=-a9AcDaC*k3!6VECA$Vym!t< zK-P{z@&PFY6D#nefib19lp)d|+D)fG7cp9X(w&ATviH z^8lF#NYf~!9+0J@kkPYj1|)72GWwxr0#YyvF#%Et$eGczjQ$>0jzUI1)IvaRjY4(< zvSAc5dY0D#2?XSe(a(|vNZKgG2*_+eR*ary9Uu!wA)}wA2#|}TkkMbzs!_=3SzZHV z(kNu~v&>c8O8X@e-sSLK8TA&zyBY`9#^G1I952@6U#Vp$&M*aM_yzwaIctD@K8l?W z>{dXY0#dF8gbYXkPWSPH(KCeOg&No$z>XRH*Ixp!&*(q;EO@g0 zcYf46db$a~_Ksq8zJ&m(_T+=^L{3Fs!?UnKXW#Y9xw|Bd_U?PeW&wyr|V{T=fe9hyuqVb3%olOxBh@!?y-+J zoIf*OuvMS`*lO4k`q8L23Etz9hntU%dRyQd(9ro-`iInd@R3pRv}{U;;qKcp z9M@}qwn(;|e*u}Dw_|u%eLWg`AFJz0)@Kjb7zVCwVREj`-q08M}&n>o4? zVzmkIe;0ACt$2N|V$H&PBG-}IEXC8c=%g;N=fs&wR||GZ6Jz-Ca-cK*VSIZVzc7CaLa)hwCp+((61-|HyN7pF775ZXfpc z!Je62P4*E?u%}de`-m>v4|>+5OuIvjm>!K;p-}%261Seivh_C{P?u)UN-4`AE#5u= z!Zz;}#Z$o_mE)l70|%6;hLJ1iu%&T=az)iPJazQ)hinO8ghH3aTB#LSql;r#>I$vnixVGe z$61q#;~(laSTjM_ul2Qt_e%qHv#pHbvNURmVr=`kqty0@qtwBYbZ_-?*nHR~*j(6} zbZ_^wDxs|#_5!AZ**VLGsSny+|DtR+U7Ox0%1T zg)|1=5uDe*=JBms+(KYEj<~~ygf-H6bu%P_;rU&@p*#Qe&0zc!R1-HwyIc}clQib! zuFSX2&$f9>ybajoH)E5pDyJu7laCyn##|~!4$foRBg??RK|&^NNLki^JRC#0wE=m! z^M*QchZKElABfMOCrzM~k5Tz_MVs{Ztzdm>0_)Q}%q4*JX{oKmnvflPQ&(Wks3|ER zJTaKui&TuRm^l42rC?Ck%FIM1YNaZq1;mC6RlFA7d$KL6pmO#GS(Zvv&izxiOchqn z@s$<1*yX%kvW+hP`|R1WIWGQv?x(WVuK!dQGptd=#TQANm9JuSkNCH;Bu`dLVw&by z8U}or<|K<@fN3(Vwloi*x)*9`8bEcg%u+vq>RzVh=m4sFTP<}1sO}Lhd;O7`lp|db zTp&1T3#<-k6U?`7srId8e~kxlbSby9>9(=dPYpY<7&2I>l z_j7GYLt*_9$8^^`+kWxC>Y=tTc2MX}vm4|~yAAzrRwEEj(XY7JdudU2>s|QZ7i8 zC%KsSg;V4!QV9iIvV1A#i8#mgXC)i9NM^PW-%~8iD`_EbP;AMYUrzW_k(IZ&oaC!m z)|XdK+@&b$D=tS9z{b9`kyDlh!?We<8oe)iO--o?*sAWEd7t>shv$92ePeOZMZw>) z$&FzbMN}DG#a_?fZi}&|3`CkRcP|}~m@s#rJ`g=qJ6D-Act}dUvhySEQ?ETy1DFRa z`yqgO4GcRo3J9-%_@(#r?WaXgMf>dp71f7dXcwz|Ym?6ElNG)x#kVNa6^SVYw`dBO4TCngB zJEwy%0-DPc(DKPmAbsT2fCZT}$8gf!QcRlzl8hA{!ACjqwguoQ=e><7gTPYus~|%b zmU4f!Ed?hsC&*UV!71e(0e|_}&ZKVo1k?}mE2)=JKLGdB3*mS65U5DUZzVl*eD3ZZ zre?6O*KKq74d#u2KkiNU;tuZ&;Z-}YgPtLH&A!dEX)yN@`E%!sr>^ga_u`Rvl(VM? zlTw{a2CeD1r*G31d!z>JBGWzT2J9k-9E`yW=?srKU#v^_<(kHd8`HhZI1gl+>d;7z zNH(vU(-oJbEBB14nO#c!*jYGurIU7-y-mmWOsdJhLs(-xB0kwkooD|QN`mv!qsso7vC^6|v>NMDc-ImR|D$bfpn%Q5Zy zOd{EM4!K;ED)$51acmjseMLe;iGvnqOc(DlcxMWSWMxBRdSX2K5zNnvxpj3CXd9Ig zw<|Syib$eTIWI4_3w;9RmN#mAoA@8gW5H9Nc$ctL!OSb_V%#)dmThk27O>CAltbe& zZBN|qylOGkunGiE^ee$gzNpq~rQw$4R5p2~@uKBYHvOUDspVV`;i0kK(%nM|H1t~D z>LCRh+bkVDw8Mt8mNTumeLu8p&d%(UM21xa>}6eKLUMEGee$Ylzw0iA|1G=PP-}S| z;qb_?0sp~+Ap0lSXyHjq<@ zBg6c0CLe?|K~T}{1?5V>rUUjCcr4n%VNsKvIk*&1d?tUKsUFZQKwbf)1CY*!+JJ9z zzTn0{*eee#!p1R>a1p|j5$5e>^&;Hu_c8rvK<-qXJz%sF zJ>vXrD{BlJNn&dM|I9bm^x^4Egc-`5s@x{hN6Hn0r$KfDqNx@sss+EhFSepuU~;#$ zQa&@@ba%CqlHT~3lC%T19cB)6o1j5wTIfEEen0L8+Xj{B4*O5rY*pADuCHyCi#-A+ z_{rAP&iKRNeT?l)KCGi#Cl1fJNML~pKKml+rRlc2qk??QaLIk9g8qW{n|-W{m>~)o zoAtwwN5Tf{1}GZCXW~->&<9v#pJfd)L}h~0e7Sh{fS<<{Dn2&AC=*_j)w+Tr*{{o{ zxkQoNe{J#Bso4oMZKOt=9Y@p6cRmmw8YXo`)AaW>UNwt+sA~*M#M}M-?(!GcU3Pdi z%&VuIaYzR*f%}p3itVZ$}#pS$uGmDESZj3~m#G+h?tb|a6_w8^l&e&}eBM{`Y8APOJx-pZ@^0e3CtsnWH*q$|!Bf@5 z`%}Kz1!{6%xzgoTfE(*l7o~tRTVCk$Dd2r7-{2zMVkgRHyO_7Q@5ooVXty}4 zw|KwFUw0AH*dg){8#ESGc4}XGi+=@oo==+Z=Rp<1V-xx^GS*m>HFss7fG(lvht1@7 zK$-CE8xMRhjsifN(3c5-zL+`5wA9TT3K@tzp#Mxm9gq~&ozn;gqKou%oq>agioLI( z^Jr%=YYRG$4)%L(LFdt)exK*2C-SKs)Tg2nJE6nZhd)&-*GwKR9r5aBgCiMZABqvu z4%1?LN(b3TbRG2Ub5f=~B1Je?r_6jrJmD-$$r=Fz)$8h`{j3{ivOB(-oy*>d1f72_ zcZRKeh~6yOU3bRg-yFSL|BGjC%JidzvCf>7Sw~6lI#;C3I7(dST!7IV`p=*ufed4> zNMt8fGZOd*L2|$>#umWo2`rYpAkA`aXwSVvxMw_~e!r7iWcW^fayY1syUDh)TGYmQ zW?NVt*2ZJmwhXcQ#i4b(Je>Z>7t|xpR|c=ukS-ZcgYS`5$@?5nS`+(|UK~8MPf|vh zYV>z6=kP`(Wt8itgEl{o_eit`GmU=zQJ%W*C87NhWwh6nwS!l)34a;iP+!lc_!;Kg z2CVq1Ipta+ZlJfy?LEs!stP9LazIGdx~x+D6nS0wtZMb-vgWb0qnyl z>WC;Q*1e#!Mf>1~y?ZBa@$ZsoMGi50daa+vlx#DDU^T}F)BJht5+6+SSFz{UGCW3( zdub}yAvz%=r3OZVV^xwW?2_EjIW*87IG}6sOddR2?6=n_aF6TYMv9=>s2Nu?y^R>_ zSU7lUmv(ffrQ=X zP;DPs#Xa#%U9B~x2So^yRVtO5bknTq(uA2>i8WFhH&dsvrb&}-g3dfaitWNYYlaj< z%3~nn86T%xYaJs^KC5L~<65O>bu+D#S`$`k<1q6Pw^Fy#I=(gOp*GN()CwB(h1Seg zu+L4hhPTEC>b6)@TayoC93-|vdKlv%6DtxHYNI`o6>)QrJx{Ah`b;bIBvizHrYrJf zR3s*8$9p6dXm;4>8B>8~2R~2T0Gb`%R!HBX zJJczTDSi2O=+_+NzLF90bHh%{`5M&pw#l~*`TMZv+GIm~ALfGn`vdqFn6;b>Odr1m z)E#fMk)}^C(k%&p+cwxx=>DXkP_5{ROBqux@E2TQ)&p__kXHby24onJw*i?3NNTyD z8E47`pn3kbi1N%Gtn5~XISG~j#Gq}nS z(=K)Jii16j1jZ2Mz>XTyCxASG{REf^u%&>+0TSY2v;lG(kY5r06X6{Qzk+bAgV&EU z)P{K&m7GL-k&9W$d&kbQ`t~P%TNkFBJh-odwN)5mOSVP~7uJ$C8s>mSD&P@+kuAw3 zKw-}o3Nlw{JzO_GRv#ZA{$)Pq)(%kpOn2Q417tsQx7#p4f5HCOu1X~Yd%vBO9$K_$ zaCz|tyRrRY-M%GXQBQwx)_cy*LeWtMfFBpuvJ(`b#6#eW=*$nAs_Q^K#$P1tLqu+`yudViqWbc$utENQaae2N&)leKv&T&*X6pTQgCq_1A zh*dK?gYq%hZLSPM5+qd5_b_VmGpK8fFJ&{qki)HhxB4Y#2pkwo2hSN;cXQ8%gDY_hsW7gUUr=x?l;b zCpHr@1Z52+_d$^{BHkk%)l&OE5(FLoeD*Avyo1l@ej@v!YO9RX5zGgnYib9V4?~OU1K|hO~PMg0UZ$E4U$dl;53Pj$fz9w1^j#ZnHulbgiCdCnxNLWt%R=}8}k;8 z;8FOvY&O(6qqY*?16}g&e&WL&Y|@|8ymZgQ-;n9q(=1E8!uzCeuq%QgnaK#J97gz4 zgnvfZjPO!~;}8ykWG2GjBK#Y|e<8dR;S=eMOwMUpOm*OT;c}U%TDV^DKsKQ|v^FkD z`?*8ex2O~&T}%7&ONrMUg?%NZGqfY1oi5CxiZ@^W}wi zn=$%A;gECrsrJYrNpnIODIc`xg$~-6phchJBTR{ajfKU-Cc|Q26MTe&8~hX0W&R27 zBPqqU5m+7U1K8yy?OG+w35$ZAge^tG$D1|Y3E&xGHZt2V?O*5#X`-QL zOeV^oJJtGZ-|?n2l)=2mo7cNvYYeUA1lWoiIhDLaHkM>;f6@hQn6hYaUk`1o@EdzF zXhI9`Qzn1VO1r*Y<-2hQZ1m?&mG56~z_8xRIuZED8z!RKi7KyP{&st~iV`eT+Bqtp zk#N(0)Q{0KA@a^2&Tpc{C<3bHHBnD1CRQy3%i{-^h@b6PMLz2N9SxM{dT%SU6T{rI ztbw3B*N-w%#I3A*6;=FMw`gB0L#n3VqE1jwshV|*epyK#yj;&?5=O!eb{Y>a)Z!Tt-(9Qlv zvF}WDvo{rc&%`rjeKG4Mo+*#^qkVR^rLNy64$qWP9n=D0iCoa(TOgQ#FIs z;g9R=$SO-7>)-Ma{i9zHKXgtXJXwR$vTYbG^RMU5wN(u9>p3rV9Udrz9McbZwoUYU zWr)-))@Y5A=;p{WB%EidO$O>IQ@{GKfqcr`sor6rFT?nI0w=5&jK;x@>|TM<_O(Zl zGB=WT&k(8Z&SnY?Xn`i?ZsWh0|vhOObsuAw+4BnnP~qe#e)m|WDUIy zB~WHKZO2-giq-SZp0A3=F{jVA(p3B;=)O26FW{gu25DL6_6e%mR6xtN2DmM zQWcO7DmGLtxJ5uYt*Y=A>1)NR!Go>jbLK1V9j)}$rswVh6@=C19qu(QuREMw_C+qr z9o}sF>n@)R_NVr#R%(rOVciTXQqUJ^dz3+gii^~_cq}+x!8&I2b8qha{l1~j5znfT z<62ZKXXmdcCY#Q=qi`*9kJt6%TAZ<-Uae%Y(dLniYq1;Gq9IfK)&PWkwz>tl7KLOP zHS<35sqRI1 zQjWQyd*-Ye)D1(MCt7<8*J4Dp?jo+mm{Z!PxE95yboICvBbRA=HUC$M;Aib4T#Mn| zw297)hFP@`!~NSG(LuP+@wR`2YjHlVMTe$gF|I|&yu3VIi;fL>#VtKKxcACcLqciv zygH8rv(a~HQO?PhrW#Qb+VVyTGKy*mH;pX$=0@oAtdJ=ig$3MSWJ?=E3pfF?!bb4d z9FlDST_byeY<8pI7WW(3s>YC8oVl_^oEXu^2_DF3##XSqB(4^3~ut@8`qI#*bh8q)gW6oLQKt@9PH@WCA1U1Q&158&pz9(UI(rYnCB z`&&bY3vSVm8)=rS6;O=NvYf8)*W!zN+`NZBIr^TY=%pRAo>{`HKhDgHrQ)hS7l3I1Wz z1hs-`f?Tf^jOZN~Df^}^tot}T(cXlT(Mptz5?i90L%-nmBD@UYcM<*u;iCwzHtZT1 zxjd~>yE%NkZ31khfv=YLklR!46Nkr-e5+Oszi0OhzN(M<;cMC~g!Uu_p*ZZ=g!b@^HR@Nucz6nX`%kgAzXvMB zO2+3w{ecK3f(~&f0>6Pjd=G3c>~mN$>^y8YTk5`yH+mCqbQN#3apyaRlW>qUr8BhfieMVmEbA~ZkX<%PE_I|?($h{{!hE|K8Iod zNW$p>5%b>)T*Q$?ssa~r7n5l$x3t&z@;M*l8vak7(y3(TP3vOJ1TlMTBezL-2FxVm zrSW&6PjJ=Jg|sjGiQa^?FQmodpk!&vCZ9E3v>eXH#Mo1`yJKRk9_{X!80$s5J0`~3 zEXR5%qj>QA=YFCdTeqjKf}Og3YqALtroXy-oDRRSG=B5kVg1WF+HU;jkMTw zL;XetDa&+9-Bdx#GXJcuuOQwq9e@NL^@jPjJ067?_A0vqg&2?pm%E6$oDh2f3Ng`> zbibew6MjW|$Qs`qbp>+NX!fe5{cL*de$_?p>N{ zdrm#FN$G>v{8^U_=WRu)#7Z8|&eCxElcM!uPW~WtpSB9Wv?XgM4HxzjCOdKmk2F&^ zn!ZsVY$k6szpvgi9Qa7^hwX_c2!$E?KTcAWECIE=%kG9^il5o(HWZUyuxHs-9X`Rl zd%7Pr6NmHL2r-VCRrA_NryVOX%|Tr5Scqv3@&m_~s}8HRn;K2^i2 zk^WUT17(=={q#_1U>MU0$I!_)wc0C#x6{6^xxks86%(^VSy-!Q?q!)JCnV~ zzS9G;^J8^s5Uec9Aphc6*HAWcUOela8e%y=RTj+&oz%=EUB%G-T75=C5U(M(jn;>op$V zywVmzl;en{r3P8vSow-ZW)m9UFL*-o=5(RN`%Fe1%50Tp683{3^+LAqSwouTY_|7V z<9f@LY}QJHkL6U4*Gglq%f4RM zW{OLg%m2+5=?cw7E{5;oV~i@p z#jB0j0#f7&#p356MNS5NCB&PzwHNykW;tZ-1wN!t91qZWNi9yI=>;0E;@BN^g&Kb- z#p=g_^`NMp@T()cy{sNeJ{9e%dg2jBar=UL@;wmznTMH`0v`HvIV0j|-EW#P!>N_i zwil28Fq-n$8?(J0`5s{pPpO=yUflnN?L|97M_$4s(Gm39KHDqU?+BDD$A=HjK+uKY zD+qps;0qrFIO8E-iT>^a1b;zrEP~4s{0v7PWgTI6vH=)p=bERV!xdSxQdBLOykcBDJB2 zPya>1Xeeu<1Snz~R86!)ihza%P1FU7i4BEK^luc@hD8OGiHfL(`~u{M1Pvtx)G*^p z@t#({Ow0|Jsd)F%t+7=V#7DP=TqWY8TVshTjE`;&m5a?sw??(gzX{zMIWB$^x;0j~ zf}1#rvIQ<~6XZZPyZ$E?%H!IHR$goPB>iElcWcy@x^JyPtr3~j4bJ73V*`E<&7l_E z03*;;W@#Mo4K!z3j04_>OuWcW5_iq!r0K zbbgxj0ckh3og7R}fyF?DsVT_9rdp2pduIxMv@O?U3?y|UFGT6f&!t>*MD~^BLg0?y zH$Rv9FJ?j)=Yr}7+IGDZy(3}p{bt{8_Bxw7RpbLvq5niFyRq%89Xwe~$TDnKw+svG zxn^5Mhkre1maVvhU(fr*Rx=cWsVO?o!Aw!LQT9QfazPs{-w~Kn*hc-*F)3wH8-1*U zmXcpddDju0Qc_7<=Xi#xDN?tv#GcXNTN|;J@P~6_yKKZuXgY4Y>{;Fp)A>1sVQta$?7-Ly>6SQtM%zV<4qCjeKqfU zE$%R|xW01(5gGBC|l+y^#!I4K92nONEBpg`_z;*!402~A$34l@nIs*Yn1mJu- ziZ4s{<8qCouHI3|yu*B(-x*RAJGiO%BraFzRwDQe!N)tHPwDkGe>kRXo_h&`ClK8B zA;c?31B|!9<%pveBk*pD!~RFgWwT<>iFH9;ATROPq#I(pDaj@dm?60i?g?3CYM_Jj zimWtM=-~Zm`$UsrNbUx)Ii(>lmtu584uZ6VYmOBgo0)q}D~Wd_$w1y~N?hl4-J z9>Na87N&Z)@ZObgNu`&w*U7Vn#`Q%0tn2jzp<+s3;3PH7PM~~)!syOo%370Ce6X0b z)_fBCQ|RK|0~_YrhWTxTza29h7Ppb8j+J?NZBSlcm{;6JRywxi6;u+Oj;y@WO3;-o z%bQornrTe5Th;Id5a#A z#yM8!zEQ3OJMxx9`l(7m71S4El;f(PzHnOU zTLtxn<&eLF`oaUGXXUr3BBWW= z9g3|<%8cjyv@5yT?ro(T!nf2lTSYahr?g~arue{sx5m5`4Gb)gi6}ni4>@POtafN( zwz!+XaH<=!eTWdJ6nFU3_8NW=pBd(M#bs&BHIX&5>q#FwD+aIllkc)$kuy{Mx?*qW zFFWRoPc{?g8IA5$sf@0OX#FN^7$8mwB|NB7P8!bZMFT@_Lsl;u7%Cg)^rC^Gv_W3$ zI|fY(`-W-dP_Ytr5XzxqHGU|uCrPLa)XHrt0AEL0Vkh5dg`pQQ25&)TO#FG(l3_1(rHD=FJ&F z`t~@UY{#}rw-Wb50ro;a@vPyVtgwSuz`H8j&=GWt{hDlchY0Cg{kNL1)`-9KS3HE) z7{9vb9(IM;Prt+CRT24uu*<3HQv{P|JFEM0M;M3AJj?cU#zIrRWq-QwLZoMRrF(yi z^z57ItZ&WU;3n~!WSZsXRR^qx7TWS^!Fp(+%^wmCM4vYLrQlo_!1H+2~{XA3WQl z4iP-mlxYq^gfcf}W|vo%`Jm08<6ST4u%~nA^`dI~d`W0C#N>F&+LW9~!an7+6lEmo zKXJ-zpHt>Y^^R=jGShr}LZeTw@VsuKWMXrC8GW&Nf-R$wR2y-Z@`tlTt;@#rSAn}^ zXnZkRw#?3}YGVy60WDigJ!A;ivlB#TP*kC{@mJX68vWXYzt{sCiEYr7oz%!^6CJYC z8mW~~S&MG;twhb_nS|btdiXnN8bUoh3{68{%_(8kY_h#C+?d^u(1Q_=rxAUkQSb@#)||^oBYtI1pz+9Tpsj@z(H8 za3H>FO&y+(({g<2d z1mNCBS>QxkrVLV}Ui$mEARZhjymqMpg0B?}+QkS3H7 zlwdP%tzqzav*gui$7g>c&*>mFapUDHI}W-X(58KImKx>lW{c z{<(+TC#&@eL|=78hagS(os5UPPFyDOQ?Q%7-|x53@VRW((8P+UZo)fYH`z7JyeL?# z-{=YIE35UMWOyQ*F*Ic$ax39Y@R^v08S4d;WfMAh>qS>(td5|k{MTg3)q1X%uz$15Ttpvkh+XYs_+X?m&qej&EwYoXK{a{nK^MXrY#3mK z3a{BBp!Hkul(@(EkKM~9s^|Y}le)q(l4x3&M(iI!({*T4{9|@#hcwb=JRcc7#FU&2 zuW0iRXx8`22oI&@J0sjVL(=BxQ1Vq@f7m-HVr*8cLbLUnh#la-Re!FqQNP z&am0x)n<61-R}x(pZVz3ETUs;`@#{@ZNp-FJdz`4hrPR^cWb|Pss@n-xyz41yAijI zciOh4ItmHnhEvpXgib~QZdL%U^rKBf@Puz>Dsa-MWbkBawB83@(+|#Rh zwUPga6?2bs(WkN6YzW2}LC!;|6@D!qE{#N@Z0+F5Y(_WtjQwj#;&4_A{oai!%x?2* z{MxW*(qVZyb*kx+JEoC0TDF;k_Cd_%er^@_Co=U@tkQm{sJ(8D>`$JlUyRhi+fvq6 zp1}UZI%ar!0d<>crCZzT=VuCZH?%TdaNn~nQ3VBaHsG5;6wLb*-vnVt*}k?M7yBr8 zmu-d1|0rj+ZGnq_l=rD^vn#liooG|KxTV~8Y)f5%BZ=SQn;@|hWYMo`k~>2$dv}KW zX}3A#Rr$fBmp8VPRvSNbZ@iP_o^vPZf3fxE@lZzJ<2Z&{F^pvp#+IECvP8^GcG(pz z_C!)hF=aP1MTCk-#VA56C5aI;*(#(`$vQ)%vW%td{I2)+`}@8>e|%oAPLF%ex#!&F z+QBFuT5xSOcpaAZHx~D~h&>(2{bd&+j)`~?ZK8yZVZITMM?sE>ZY4h&RqasS6!;GOLhl;{`zCTZj)PxP zn*y)Ge~6|NeXsKW5VI#HUgZIiCv{A={eOJ5_Laue$neL?VX+tDj_j+pRK+)$*8xbGvz5FGal z`Y35AI!*NWC~7EnhZy})qDEwh==f2r2Ga;8sFtD1t0}dCJfUjPlz)NnNiYzyMu|Q4 z=KOmyYzf#osYy+n)YGF;JwBPdds|Gv=OoYn`JCiUXz`3}DuAwD{zWe?;9bpl;h*dc zgI@mYpVP+!&A8&9*2jMl__HeT9=e>(yQ@YlTT5O^N*?d$RpiPDRA|`ptk><({7uQc zD{7}BLlNCfR`ox|i1*JWOPS06gxF-*G7C(!^}y=O*yxXD@-F25v><-5)=)i-Zt?w+ zLCjnee=Zf=ZEib3QERo*)5(%I->FLZH7B234(2nT$_-E|*yqI50?0MszU^uOY({1I z5Qv>Bz`hTn(xjF7Uuh4*V)GtZwu|Kz{B^qMb%tU(~F1{B&S8#Ueb zCc7?GN7RcO(;~qTivsS%jxyswGOUL;BY2a>j;Agbjkvex=2vmH?E0YxTCU_ki^ z`+1tyviT_Tb=sOxymXX+AK>^FwU82_^n`uH4Hl0r6Bx<3z=pZu*41T_i>>xm?K9*v zvkt1#dg9EDwvwHa>}oEm^JMyd=g!0EL@>pT5KZJZgu*t{BzQK(<(g9p z_}TPHe&JRNo}_eLc4VeNq}5r7ZIEel&|R2`yaC^Bk;dk?ed6rgNr-cMGuW5)i!}NQ zHBnooEbIaS_I8OJ&6MNQO=q&tWMa6nEfPo5>rx+%@=d0-az_pAc6KNCjso9a z-6=Jr=5}hj$%~^(9SXWBnWOq0D%WCues)kv)&|Fkz(PQ{l0b*9d#bFGM2Chu2q-Jm zq4O!#TuH7&>k}nj3DSYrPvuh*Q&-ogkd#pB+Rsvzly;~CuLXfhFm>HCsTd`!y2crb zyAoPmXFgS538$_#PdRg!M;(s@o}WQ5XC%epE(qp)IaQN3vx??>crxREbLL}U9Be@D zpB_5Ta2g-kit65lwsx;nU&!rT$T>8jNID*ZTQGlb{$as9*jb#GqamK&d2=Vi+D$!= zx_0i)c*4-nsfH(wr<>Mil3uUPEyp+QeIEO#5q~o2>x-qrz*c02gx10P(CWZ(mv&33 zV^9IAHl3a$A&z;L!Xm}ET7hYU#F^wk)_Zzgj##e9nG`STAiXpPmW!EB{Y0{Gwdp{= zLkbW~OBB@c;(QWR7W+U6yh2@EAdebIf95LdDe92?fTcw*bj5gL9a3aSCtN+$Az_GM z=1G#N>k)PIZ@5JBAJ#+q6Ian*G0l`!QZVH(My*=&~=);JJfyc98K#SSOWkQ{sVg$i6iJ|^NzgeG-r1R{{r1YF79Nv*Ry8`La% zQx8H=L^3snrKD%)EeJ(LP!w56JB4iY(EEP_-gwAfV4&JkU;oz0569GrmBVn;sYB{%e^t!s?Px4$6&cPr*-{b z0wvKvi97&b09c?P0l)?T2mlIzeE{A7PzHbpN^NP~?1CNvS!{i(7|A%&eNxyNvA6j| ziJFP>BA*|kk$H&Y#C_2NyDbLx#i)sFMh`AtOOOXkO<~{FgCE5}DYH~Xc3gmZowgvv zi^^fc0{EBRq!3S#_nLPH7%tmOK@ug~Qva|_BKJw5Pb0;e@hmIuLp{VGMwK!`)nLa4 zXfE$7fSyKuW)`yqBW(-7T;q6311mhzr2sO6iY7i# zyo>|~GlWwaEX5ob6Tx|uErFNg=;~^ML?YS=0UQ%`rQ1B#wCnVONTfK{nSxh(A-GO-x%cF5^!W(ENbYQN% zz+Askm+7@RJiVfr)NeE^`jZ@~Ua@P*t0Z^sMXpq+0=R)k)23JFNEnJfOSw;q>Df<4 z7-G*P!#TksB4<+V6tSU-KcG4^b9!D5wnlP3#fX&Hb5Nb9A0a@DD-oGg5Ql!>eFV?9 z=eG+8u1VU}b4Xpd9=?;{#gXW7Qb*LI$_VHZ!%2-?-bU&(`b$@^n2`%hpd#r{xtfz| zp8Oj$2mfSOX+iM^$wbltJ?{$WMfew{YY9|JwU_@5?XW+UD-(22{A72R!)@Nu@xi zh9{bO!~aPSvQz9ZpjTBd9H_0Q3l4D{@#Jn}nLL*Q<&H5!;WZOyT z4b%`5Spp+_O(KTHE~Mmj_Os+^68F(*DJIVpG`TDG*@ut_2WbL^LzN>?6PJl$BWg3AI9Y z#T^ikGv+QLYam_KBmf{v0m1_yoVO7{0HFogL#6@yDUi4gs1YFV?U!Os+{Yk+0<60I z>8f?d0M!hzj(~i1;UIAtP;r2DJrpD!fW%3_n)nRldkzwRK;m>CVBIbR7UXN%77`2+ z#@mU3sFR(s(q^aGwQ|PXayjG3_N*Pt@3VH)oop8xd_D%98Hj>Z>xT{!!UOig#Vq(Z zEE^HqKK@bUEuwjVRvJED5Cb0|kOIu?RO0zCC^I4^0Bff?1rP!{1?Ld!kow4PL{$zp=TM!%Gk6o_5$jZr zQyt_PN}~|tNyacrdMgRS8*dlb!5o1c4?EZygf#KABC11~!9S0DMX*rBb%2+o0QD}N z?kX#e0k`gG?({TQsJO)M)Id^*>(NO*2e=PYi)8HTK8bKZM5KOV5nOGg_}(G!5lsU? z0GLhz3F>+F0gjHV8}PD&a3ll=NLMH^AP>!2xd1ym1U;Zvy zjRNb*4F!c#*i{m=hxMe2UX}x#@90y$#vFj2qCTQO&Jn5+dq(*ea|I?xm8IX~>QAct z;J-5sgPavTLwQ1y?{Vrt)en3?Pb&U^?WOV2^Ib(2YjFnL`>s-pqL-6j|AW9+5v_!z zwG;dhD#o9_5t>RQ+3WQsIx|H|45h*>Yy1mbB?YnXQbbAT_1r6XY!FXVKa#BVY%2KM zkae3J`%VABc0Oosel}ZKc(EP^-4KJ+N*b@ z0zCnbNPa~EAvZ(e!(eo;kbY1=hR0O+9PgGV^H3d(5%dXH9 zkQKY1I>s`LBozodBMq800#r?OI{8AV-`QFL!X_tQcC$}5oP++H`YIhC6cWQ`RPn@X zY4c;L*6h1OcPWlB8Q{RljnrA%OJ;DxW0==et^B(G%fD>f0D(G92I>i7Hl>)F#|{aQ zs5`BOVqfI))@eL~Ho;FeKV=<`eBaGwMzHp98}7_dEU7~5+B<6$%jrSfV0Cm7HyN;?gra5GXw1uLAQMt!3|i{v-gh)1|1ZJ8ddUEG>TS##yvJeAbz z>_Z%Jva^X$5f;qzSzZcPA|)9L@j|}hV7|{XR=5)>Uy6ObTgWmiG1YiLfOGeIJUqYi zpv`i3cqVnW%gC`V+~^cb;<E=doGYbN{A4ys6-P8u#JNC-9e@k8GB^_9s$Dx@7H_A;)@LhoI6lOYnOk+@ZY=elKE) z2RZT`s)MlaH1DpP?Z|4Pu%aMCn@YJ&lFe~UL!Cj*5#$vuI0AJRg;pm2U#c7ZwVn(^F_Tw_T1_v} z6E?)OQQBDiJyr$qLgaOVL4ba!?tWfTmZylw{S>=^J)w%7JUXS2hU3S;fcD zV4zmvvnj7x4m~a)7$<6$!pnmB)2n0zF<+CJtiyU%%OG0)*OUO(1-+wvJT`D#%2k$) zoXwKpcrVf}R*SwbvV%y?@EjSBf=2NNytn0iqHhUQXA_Yd;^jz|8`Q zaX>xTcWN*B8^{~Co#+Rw!C=TF0LeH&@jeCeU5Nxs=(?$Bnxp?6uADbM9&H5AXWFtP zs@#@&!!e2cw`dRjGa`k;B@+2?C`<4vKUd{b#~TATW~#C9Y!8lCl}BB$#i5uZ5f;hY z|CMk5*UdV$P>J0%yhExBk+~c>vM8r`kbl}fO=u4yfKCw+?nllMPb%_;YN+sEB`Jw0 zszAG_DquDyXefS-VoX&d>{S#X`;alclJ2R6Bu!TzvZSX(5hRcHlW48DyF#IqH<)&o zUXvrAE3Ti6A=$X@@8G`-zeyx2nswl}-(#kP)12TOj}F8cIJjQ}UTI1{U^l6J3KUn7 zQZZx-mc~+e;VNscmJ5cXSMz6*eU7`jfIGa5s9$1E-e19U4gNIMie#NbsNf$(cgLf_`!i^#S&Pb&LV#U z)t4<+g74E^gy2vcOvwPAJ{3WJ9D>rkCqT+uOAz*uCdy6-Q1RBtux8OyT zW3y|3hLj2jn?#kM7jwZzEdzD|rA2fJ05@MB?Hcm)K3U(yE%~*{+SB9gjqZo<*uR7| zx;29j2eiI0K-(3n6b`vUoxZ<@GILtO#@w92#~-h6s@lx3)8B&fbAviocjX9u7y0NP0E6iXoIKwT)n ze+T%>ARijwEmI9y2e^#LE`YlTa87{V50ouY;4SS4JBnir(w0E(4A8qC3^HR|L}ya; zIIn+g1{CJf@uIae(IoxILw3Tt2o0tH zMg@B;@&>xW6%bgwts$(M7-uP zh#7Ut{}vb1r}TsG7Il!Vtcdo;{}8|-SDIxMEy;fBf(Mb-%?%{Cs-x=A8;Dk>dkMd{ zN-h*d)o1IJXcuVZLY~v+{qMW-?ibUfXpkiI>?@F}@Gs3KCEFhZJj%3w|2kKgpoA}s zLaX$+>q(R*VuK(MAfm1&2o0tx z(sT918A^hXRvL$%rY9qgX-no~dFQxD!RFy(MBMD^W!_aKhdxAShF2~zj7=zRzmweTW7Tu;bvxx+9%KzZ4^kN-6M7V`s3@-vqCe+y4e@ z!8?K|PR(FBb01f|2W;6VmRY`ljt3JCoQWcc@JWRLR@-Jpj$>S!9JQ0ZTM<>E$l!l~FeONEf?e%9z;(tmDf6V$uA~lh z%&+!3K6=4sDSUZ%}bx0;%IDCTpYO_?fPdOL1vRLEb|8%odbD0Djjs80EqS<1@ zUarcIEXODZfen20ofM~ z>4$qxPd$Ciu5&HjIc-w`cSZ^^HEzk=mOPwdKsw3I8$!Xl`!^1OnO_9*_VcyOX5)Wq zA%uayCy+P_b}F(U9;D={Ux}phn6s882IT#d zNO^2M|j z>=md$Pf%6Z0*V@BH?m-BQ;UMQkjuRPr z4C|hfPYodob4%y0-;(gT)vpj%>xwc!;l9H>IETlAaaG z%g{Z_e~#uzFXGBofH}mlh%N=nlIU?=<~0O!h%2;*^z=v}LokQvnv&rwM13PtBO(0M zTMF*W0yQFu0y?xK^gQm4P@Q$*et1%HE$dW|^RieCb|zVv7X)-cK+gp9OhC_kcEmuh|8y5vBHbya^@wkby2K=NAbLb^h$`|VQzc+;fp$2L z2Q|CdGeEgOHJtA=t;_#nl|ZL>ZR#ObyMJw!P^V}u24)~9y2`2#a-;t~a=6w>Li@Rs1S9B{ruS(80l+WcH{hR6?)!bD5fEs!{T#TDtnC8;(iT8LI8YG&(n(^f z%1I(V5I73#H9AoB8Nhp>=q6C|5-5oVO5_3f0>A><#Kmbqx>;v!yfHD9)P-+XH z9Vl)GPc`sV1J82sEC(}>6tIN2Sz zZAP|&Z#pgZi4qmEjk=IKBDncE$&`EBRo)!WK)RzOh%zPmWMTzy>+&F_hIB5+xdQPC z^@0E`G3rq71&gNj3eR29$3%yD4$+_rw|d~muric<>V1VvJ>YB0D)}~v=LhBnWsrr; zu_a@FNWA73)WUNVWmFANqA=iN?>GUigG3FoEN%|8X9pc`G!>OyRKWw3f ztW;=>99W}SrC;?4AO6dyy zsHFN7Us7z(kxAZR@#D!Bto=P+1wyNcXhKqeu9QM21VVe+tPo%!rLE1sj|#%}R*4IW z9i(trGI}I$lnoM>>c-lmci0=<2H(-_9Uvy977iVw>G-EsN$eN5fL^Db@_$w(w_g-Q z>}9F>7jiWUbf@?Ys6PJpxzYtYr=ZViADFTM=mM=NfdwkQ`3TEG&#wa~x+^bxxOe90s)l2!jXd%qqX108mh!2$FX<5Y4m(ePb`^ch$;-6CesAt(;ihJvJ9^+~H z9)V-Wu1!PM1DX<&2mTGfmOm%1@x)HOM1%DikK~3JXWp6bC;00kLWGApliUqpB1b~u zEUJyd(NMloxD)YciD{Y|KOsHZ+zZZTY|O7be=l>D%um(DY2Zz7xc82@U!JQGohkbfsXd-lopt3b zey7@&zwe&Zt>3Vy&BPO}_nOpZlR7VjYbNFP#Oq}IYhSf0Op36)>Sl?ynje1}+DYD- zVUy55(=IdKUGUzv&3z`bY~z^r{x*H0^n1;*(Ac&?3;i3>A=kW82m(^uI=h#1FZ&^-okhqG$fijY>5O;>Ah-X)t)rQ44a4-haro z?czI=k9Vr+*_l@crFX2o?aRq@O4TFE1@r#hoJ^Knx#vXA82>KxEzPycfOx* z$z5~L4gJm$_lpZPw3#x`Gupw_rn1Z*Co%>dE2q5QsV6x~cYT&@$amj)7<*fGYc}8L ze#O%K&h)Knv8;1vS^QgLN5V3n9^UmD-%_yHR`9B|AhD(I;^yaz{zpBh%4U}QzO=95 zaH|_Gk&LZthbMia?PYgng*f|Rfti+b8`zrYI$EYPARek2C$@mB9N{>CE$PGQo%Ot|y1RI5Cf zdyl#i`d~K@?VU6j^(pfVC$5TAcUt$L!XR`O5ilX{rC4n`W>5Zf8=+Ndq-KM-*&L== zZkptv&i@rz)_LL}X=jx)x$E|oV6UJmVw$1aDTEwYeJ(eB?j;rKyoj;6DV9uoI}xuJ zAiV7D9q7CR>{L#(E=0%NtP+Y>ia+g45)-D}VV#J6QW(h_uX=R1njz|C=gKM8-ss`y zA|tm?Dyta$?1xu&{@P926Mf-I&d_Z)GYd+Usf_C_y^MdScKTKE^4Yl2DG`a3Z5%Jxdq zPp@0SGiAMJ<1N=ejV!&nXFe^rmb+e-o1R}otOFa-X(Y6SncsgO=a<2pAXjOyDyEboC?j0)A*aPj*08u zaGWwuOiX{FMz@W-{S99|yFDD0$6n54V%Lw=l(TH(j(WDC!Dw9WAiupcXJ#oqZNKtS zene|5EVk{Ug}!f02xC<3UZXjorXBsRuI*0s)$B~mdIR6T*FXAJDD;mN>OVOb5S%17D~4BJuVF`?MDTZ#Jp8OBy|>vuE%3`*Zx?O1+jHl_pg1gqTL zo9NN@cP6uI>&{9Gbje*wwsA8y=}4|6x!-oCj9=FA)pUeL(tOR8nKG+AH_o(=xNnZ0 zxHaf_g5&+pC&}?u`)8wu{7;{%bJsR+U>-^>#4ouY-Y`3|`go?SW^V4`(W$_#TF(UM_<>ThX&|7h7{aa=mkqvPga`!f@OSS(kC5gI7M4y%}(wzu;?~jMcOwS48 z2RT{a-r6!eXUHE`(?g!(0a6yf-Xiz(c{ce&!&uoru@Al#mi@{?`1M}7ry1E~eBD^% zq*_tqd{T|=Vc)vXa!(&-lYb4)eOgNoiP$MJeKiF;bEf^zgtD(qEMtG-==V4={scpd z==MJ|%D%^A8QK7qF>VugY@F6GG{0D7qaBg)u&8tK1X%*l+)AiBCi9=f(np<5>^8!^W0Lax3MBEj~40$8I zMQbL@i{)Y-r<_MWH%mA3O~TFjMzw4G0yQ>p?5U6Kkc&vpCYKG)Ic(SWFJ{{9B33@8 zeaED-@1a=6neF^1wxgnY^UauK=NWTC-18Ne6eBr*Ll4OeD(d_KUv0KZ5i-bMlvYgugq zYcj_)mN5sAG3_VE05Zlzr7=8Qq{PN8VeUJ7&X%xVhE3vA=%-Qd>22Xl+<7qCT6v z0W1Xc=B$NS&5Tb>`z;O#J{ikM*;c#LCQfja<~2BXd^OFuPc9;DyS^D8Kj@9oEbGR) z=0zh4Ko@`x?vlN?*M`f&4b2^2OEdli8W(WRKG)D6pnwwZoGj5ZMcm$9K9f*I1 zcgHebS&UwZZr?Er%53b>Q@?cGY}gz(r&>4WwA}<#8lY7|Vi_;vTWE zxN0^W2r4%ePWjq6GB|f*HSJ}KTtp?P#n%X6rcDiFjnm4*12g3J+w!hu{38P;oUE6f zwv6!^vevddtnlU70l8T@+}!ZF_P7tA9^uh7C6+O6G5Q#o^9Vp1jE82U$sD;@ zh6F&yv>(~F7cc*s_QSr*`DVin7NZH1SueW*=fY%Y_Fo3~iP>4Qm2a!`P|+3=sYPpoixJFd>XDS;`Ih*tyY!sjQb> z-&_b22W^?{sgiM#$6~`{+jd)IS&VSHm(zYs6lDt^)9!EhtW$C3+H-&Cl*P5 zYs|fpHCBfPuT5f+M zff#c94qi4<;4RGjjCqwmUm2vKwxKgF?&3J*`%bsr-FfZEJL#euEseQDS!L^Z3;g3C zv;1djhkq{r#u){MY=!*n*^2k+Z1_>I*^m_b7rl_D^l7TY5c}K^I|Q6C?Z)of4Letm z!79x7R!GU{Wjzu4$Y{c^8&-zwDEHWtZE~@i@nw<<|;ltXp@hITw6(4n6U* z@<^}HZ<%wndaFC--R|WTLA?QCmM<>#TTjz#-FH-;>kSLr`Qr9r2c6Ohx91fndR4+? zcVCvV5xTqT)>t{?8S-H7m%_`Xg>F|WRz2k&s2<3BV3&8#!L7BD<(c>&?GC}EKzXI7 zr-Py@7`78;_?Z}sZ@hMv1pPx86j?GaMx(Yx!n-izY?ebaYiT#r;<>D?2y z`^B}x&N^k1?h6%%dJV%cyR&4hh3-M!HY$caV;>lNDGGD4cJHdN@l1FieBk;6hXbYW z+{!9OJp(i?^KQK(2AAo(pQ!Bh)YROWciX{XXX$9$UtQnm{BtWt=Q8SvKKGuu=2tBA z9{MeQE_2WR>GFTB4Ha^|2g8(KWF2;TTzbR9v69wn9wxc_N}<&igB?f0yk%$g))PG= z9+-W(8RqihzEb6JPvr*|2QEF3Za1@drF0-m(^{e&>9JBd=qaqJm3P&_u`Fv$&OHB` zgY(WZjC<4=S%I<9O$8mfNMujb8aM>Q7*w758HFZcJA zWxb>@`P~_XHcofj-0oEb^wJ|EF4_Js1+YILw3Mi=ZJT%ZvInhl-Sf02EHCe!U0IpC zM+`#A^~Fh{|Zo~H_M;Wld*^`|}>JDrEzPiTk80Ydpg=CG!6N7O3p&^|>%T-8U?}w4-7)HZOP5Hmc@||`j>XkPac;p@4+h-9vP?c%#HwqgNn03c?1+~gx z)Rw6?DvIlwJe=%_;h*mt#1WIq>xc`8B|^3d^JlSDLSsgn#KR1cfvGJFivUxUt&teD zCF9ryZ9G#l;ah;%ZjL(|d zNvG%RWt|M%g)Yci-Lr%9!f4irGO=__F@*Y!%NP($4JTRT>~!YNb-67?L!_yx6F>b* zM96OHvYfxpvzsaGS0>C$%C{L7r zEz!t4f_aHP9Q(l5yx@JS30wd7o-tA1xTVt^=nVX+HQ8yTp$D_o-3ukojBvc5|2*TjElYnkD$megvwJ6i4GqhZiu-3D*lF^8f#!VZs`hS zj3(e8PUbR=-Ikt1m1(X1byfU=5;ttf3-DQ+Tz>+Y%Mo`ozRRCLT~cD0UWz@h+T-CZ zP>(pde+iAYSXKPp77cdW{t(Op_J%5l>w&#$S&ma!p!_3|b5CEvBOZ(mofI|{t+ghL zDa`tAvx2>Akg-ASOXD1$IuVW& zU*p;{L`=ZO8@r$A^!>LL-u*jVXATQ;!N}L`Xn;<$M27-Kjpuo)W?#xX|?tR8^8KHTW zw4Q7%`foy)eLsi)C>k|TXK2@MNT>9MFAw6P+B=Q_3g2g*;a z<(+M~W30jJ1cKdvyM-(Yq|`MHDOj$ zkSu!glC{A^rK{T#6Gis3Y<%yQ>O~Cz`YVAdYwAb3D^TTlzb!SMWs+kng+7B21GPE= zYW3`rK(S^}m`sQEel&T61{B%hJNfa*XkuX%`#SFK>Eoy(i3v&wRe-G#plGM4EkyRK z4Jr~8`Fp^KoglEJ`xb#gy@w0~yPM$~MLZSn{hlM-TDx(C1P$~#7`l} zmL@Ic1t!0{LFRrO5RsZ$=!}lk@bZKeO3u|6;YPr?G&)91@JlOhww%I}U)0y>e!kT^Q*M{u)_QPke?N)=;1xxqy(fM|>7^mShp&L|4ad@0TZwIvLpb@=YH zuf01Pt*dcUI?vV$lrPLL?U=TBbiqCINLAs;{wAS*(FCH|U2yD3m4#!ZuOK$poC>(u zt*0#f59{oPXdE~CykqR;eWxHuoO!Lr8CEA-l{%avwZU9Kxft~DhAy?)>E#FeBkY)gq% zC-Wa{%X;o{ZgI>{3*c-a;?=^Iq-K)VJQ|)i68~*|ulg2FW<7+|lzvHe-4;76n5Y>$ zgHI6LJQcfXxoy|;w^FqGwCd+i*5O{JE6CoJ#y}66IL@R zdVWbbZ)83GjOB7zQ7cYxa{<)ZQYdk1bjubnm;Wn{b6^y_db2*h**<2s6}7+X-n;KP zUUpxU0|XCfpAgnatG^H?vlM4G5!8x|ZE81Z-M2o(cstTn>Y8M1&>6L(HRyFE`Ea!_ ze7owc7eEOv|Gm34sDo_l9D2rn^V7)1?ue@S!S&It_k+Zdl%TS6KP_j1#_ieiThx-a zCh4&et={EZBT-@kSCRrBoB!Ib@t}%1Xqs{R+3o~ZJ6kOZo%qRhWi2P^OvU;HysABQ z-tr0agUEl|(4aoLcyZVA+d)dPx##BVAH^8WE!mhF z8A6%2EN6a@{IBNc4bjDOyOuvRz%0*Itow9WYI-I<_b_&H-NYI$<7xjj8`+sbzZI!< z>=32c$aC|_51gE4l1I@^UG=03LYwdZM-j`-mJWf-{>;70()-3|Z8moS`wH5jFEIY$ z5%a_Uu$$Dhoj1SP(b*70fcWVtwyhOAF7$==cLg^!4+*mj(WZArfO} z7A&Ba#c|w)OYcT9*2O?0{?w9yzw>jY_^JQb5V0{oH@~*Cv1ibU9M_e&>q&v2`}E!@ z5mz$8xEcRrNZp+o#KsFI?pk7F(RoceE3ixN{IWxCz~60MhBkTsw^#5p-3cGt+4*PD ziIuJ^16PymfWVx-PsEk?p{ze_0P~$&xqXLvW{SU z4QkrjF#hoHs_NTZLw7|hmD2OhD)tNJ_SaSIuc@dMW~5D+b}cC6l^!Y#aZ|Mkj>s>E0kQ-Weepqf?5Z~&4suHZf-rn>V zw^dy_@BOL8GV$gmgRHF{t@Q+vrc2zl$%ORc>x-6~^IKh%;^wAB_K4-o*!sT9mbj%( z@vXT!zwsruB`#^@Q_|Y9*81nMi1x9hU&ZoU*W-`3HkofaSgZtZtz<0YELOJS&=%$^ z=#7mri@6cmN&>wi}|A0(Y${}Mls+x&?iOI+dpLXHcTWK7X6iLk8&?A)w-Kz!krBif>rbf+n4Fl2N5 zZA8qsq>UfOt7~dabCyF4W6NA%Wl8mX?Q>6!H}YK^R`XGF)lFM_x;Kq=?;hVuikIV1 z8qdoOJ1-1^NrO5LTR+=}=9`vE)i&^>(-F9X z%YR!&EFVR0T{(I8%ZMf8>(*k;1p7qe-{PXLlItF~fECpGiv8ULRuKEmD*fzMzWn-h zeB1S;t-23e#zpHfNn1Z&Zk4we-)Z+-1ojZUS^36KKFPLteRXTydL3Q7zSRiB6|K*2 z{fgS!t+mxBqO$f)Va@w!;){BBX>a=Vt5tqlLB6w7Az_=(?v;Yr%~$1QAa(SDM(Q3 zE?`h{j#{0!L&7D@nAkvUpJcHWx2z9Rn<4pl#ZvTf zb2r&7+2^htSkiW?vOUWe2Yk2WP4fLqKg|ZNR;RFgnJMJP6v|z^iTLb=Nbg0MZ3|DN zryzfOi$dg4L8w}bNTj~+J9aKv>~>ZP-)qq~OzAlgV8e?L>6{7u+3Fps_#~M#|rqu45*Vd-CZ0=7^|Z zzNYr~P3Pbj@JTtIkAQl0Je(9Fe zIST($GXrrQD*q^*qY52L!jwm&>K!0J(Zd$|$QLF;&oT@YE}6j2TtFLG@vcgp%b<`0@ZXadg(DLN(eKjq*+e(VTnRMgl9eg9N*ygPDnT@L zlQ!3UkU9@S*(ijiUIsC2ROBIftz-1d6|e`FSLubJJP$H1(l3Vchh0{s-w1_#tw`AX^y!0;F_90m+E+YCDZgDzl501TG^Ll$7T z0~m^~d{dv4ed@+N3JCdt@B|Qs0HGe_Tul3>PPPEKLICk8APxg!0wAuoofKz?0Fij# zd+b&~*aQT8>q&9J?Hs1Q_x$}CHcTymq>Kh5e6Qs1l+xal{LM;D&+07nX@;(U#UzLW zxVQP%T{W`fA_xxY$E}@&UCh{I%kAP}XhBm&14X1XpcJ=2xRlPt)Lo-adItp|V=a+- zuX~GU1uW?bYf@tg}a29)jn4NUt){0%kliDUm z$)^Rh*Ro~2V_APwl4IGort^+FU*T>`I=}g~89#_qm_UhyA#O_iI5V z)s1d(ux=Y8NunE@UD&9V`ldwDq$&Kqnz9(I^xbC5LsF}ffo>fF)h6aj)hbk~w~Q~k zT07n+@cg8DnuMZ7%e%|6*xbrLZ>ETC0KLe_DOEbN3ua&ips2!rk7nihJ z+Hx#M4HCae+#*S(cX8ff*WTpeg{hhp6RAK4S>zJcM0N%dr4qm3BrC+Lnnj!SP9Ib3 zYDtfLjaA!MlkTy8TGRQ`ZLtyRl3&YBkqe{RO-C+AEAqCkR5@gz6op$~a-1UOd^&8R zc4>bfT@%S2btgYPxGv)EvEDHo(mF0uGFr|}q}5^OJ=Z&DpN!^?kHui3$%X^ZUOtGt5Z z2)4x4|BtXQk80}d-pw3_Fk}vM2ve8|q9PzBm>?oD2?&S~hcu^dG0s4aGtT9&%)u|Rh!hT>Ck;BOM{P4Jn z0au#xt1(QUP|P02Ys_e2I~%=Ei8tlv(W1y6vfpj<+R{=`Me3Epy%I_*?RS$mv1@00 zQ32)+Kcua^0O!M}+E2H*On~e?5~oY4br!k_tss5&UKJ!WT$?jA?gbxG^wZ&bRz0#e;Z?M0{huPNJA70Wl5!N z>t?*|_=|a(;j~LNo4b>2q{OOwswKABBYL=;QPia)K?o0kYxL z+m<&>C*TiQl9?I_eE~IyJAp$g3rUxqwNy4hQk+TV;)YrEkm^~|FeeM~7%n9IM^X(% zS&*s=Z-vSjhVm@bMCDH7cH8`#-CDvsRk(E#hx!82??sD}*vv&zxhRw!yhwIKG=a@} zDbN+G2!Slf!jx_Z)z{d&y=|&8cEkruu|6rkyYly-%^x?2?<=iut52 zw5CGsBHA+J-=b&Z{>XJ60vUrq@@}M<;a4wW!<)fN>&$grp2o_s|ov~Wm0ci6F%C4 z?ai!pE!Di``iO~_sm~x47^yP04&i6ssXJyMB&m)VFF6uo*5U4{Dj@Apu~z^usx!ugN0@=9hX)? z40imM8;v~#oa#SGh6yxCGHi>8}V(j~R+_tJVKt0xAM$Bu) zI`b^++&)CTXO5y#AIJ!4MjjIc#ib|U<_pMi*$LR^f^l)Y1pHgb{J5!)ltnpb#Oue8 z=3Ykb=FhP=R^n4>|0hp;pK})Zp8P*~;^Ep#K#!ZT8hc4FF>cyw{3?M*TuzF|OHH#- z=6F7E-IUgck0Na{iAGRRx))Mt3fi(h;D3N3E?XFgqV6Aki>VV=3D*qVm5mpV!#{EW zbO1I1;08eI_Cu4}IMpwWi@}*-`O&xE7uXpClV$gw4?9;~70@43 zjY~F13s12TYb_d7*(;x2_hici9&O28^}?K5FVoY}c=-i5h(B8P6Knk{(%YtV)mynh zn1GlHNBFkqKRE3$?R*qQUOT@asrTLKz=ra;pw(! z-&&tfFRUF7=Q%h5;N%bNRs;Yu#sFs}I7h%KQ_ZH1PAV_7?w1tGDxMc&>oglz+BXjD z-ZrU$FnpVrKN6S`8Y+7MmHN_Z3Hj>V%)MGvzUDM@yB2p}{Ri`~7I|NDfT_@8N#?4C zyt~K)=CX#8yXX>gZ9`!c;umw6HKN_KESe@85^;J0_eq-|qh-iG*??%0A-xr`9!_c# z)rC-&)33U;9ak3nNbX}!sySBdQBCsUrjCRgN~$oQEsL$Rc4vy`As(+COCcRm+z>^w zSzQQ$WkSu_QPM!@6@^FyiGtS3Ng}#o?htOBahXfn(30pz!ULM~Y0|Cbq)*3AlNJ}j3nY?niV*8q;wc9s#PxyVDUP)Ar%A~l zRbTURE6UuONmw2_&nX2`!EMz$Nzy6U?dEg3c7t02(ZZdT=bJzd;jR)VSHh_(+HzR8 zm+f4t>41NOiItJf*gwsybk_$_Ny_uOV}rOPmA|#5kGvXE_^r}=46?S$&O<)y(5L7p z7JhF~49WUgdtJ9UtGG8ZG-}X~O#7g6cKy=585?WfjQv}5Lr$N6L;eqEo@oY{RNH3# zUE3xUX7$IZ7-Skj?d)2<-Psj)YcuwOWixgv=pTdrC}@4a4uQ=9YlC)wLOV%Tu{|5C zI;%L26&l6xBGc+2L^?E|4^7)an%GXSr&q|F;jc2M*6k^z0pp7iwM=)phb1s>x-XPv z4zfPijtE!YmBfWCO3`|c^Uuu0ZF2 zZ2^fMB;vc0w%|o6#SkG1B>O-T3G`l|&j7s!=un`2#x*}TT?1ef0K8!Q5j914EW#j;< zooBRZr=DtvDpefe`_u?7W2b1=TIrBaHzG;;j6coZF-UPYJI8b|PY1uQ@3S&z%1d%HWYop{lO1tDF1-? zz2UP(b7P<4CMy4BO&@VLOz(7kry|0+ob-Zz49?{VY-Tr{%cI!A-Eb~XVY5P|dfVih zvyy;;&``Mu>Zg>|GP=Vp!8IMDP6L6dxOM8=y5m~7@0w+LBJws&%fg>96KXDKorlSn zxJx)b1Fh-V>ju6F@>*^QhE;xe9FyZZym8(wrf@&OcvOM**+tXkY_^-Qa{ebSiJ zQ%rk6er4QkL$$?$n_bWo|BPpSv(SngUOLV4`XIpDOV?YyV>*SC5)1jgvww%5Wil+J7x3aNM zDW9Nt^CqE|?e>)R36k(4?K{|x!X9#>K8g|Uk2+?)DZDJf)DwR<7LTwQVRsZGdMKe5 zA^*e=v3Io)Le&vgbRM-9%Ct_`Cyi!2$k<)1%&y9l-PY5ztfh+6dUwbRI%9XS{vY%j z6JaD#8{Uk##D^p#Db6pIUxeo+kTb~5SUZwX%=DJ$n8yi^R$^$XZee>RYM=6?@N^|^ zpX#OXyGmq{a-Z--CALUqpTDt4GeNk$()E#gt#Ix@kMu8b5PE|7y6b2{^=xCeVJ`BB z%GF5Ws)3`@Xz?~w>dr%?w(;i&tr~+~v0N;`S9DYTs&+;u!C|}_4&y;MjQdQ( zKu!j7<8!rpm-@|we){9(_BCZ!P5&6G6=?Aj9RB$=H+Rb#AKx8sCM1#`FRw{B|C_ZK z1S23IgCGn9*&t{F!DuLuSwQ{*$G;iGFq}RM-*mYt(6_IRNFQE=sL)nxWX1`@93x3ji#qRvmmEi{;}^qZ$>th`C`J& zfemn#dD;v`9(=J&%uwXP7ypMDiaa#IC`xz(Gh0y)nc}B6ArDwe8U#(~63hCAnPrIC zsuiY(w&aGnWtd6kPs~#W%t}=Nw|XSVkY0vcZ_crnim@-KQxtE^vDOVEkp|uvjG=t5 zIKI1@4n(nGp?10)x(D zJ=C4LR)c#=@Oiuk-1`ODh`HG?M_T<(*V*C}q0H3jTL=-V2;IdN+!^I--I*5WGfw=)a&YSW%yKGy{Lb)IV<{xW3~FLDG`8T~~z!6xV}`hfq_ zJVSS#fk;yB=S|~yt|ncOF19dxOFCVep&%W71k%-Sl~&u<^g^Zd$z~tx`jODV@TaKj z=D6NDoo-*0|AL~y_)g|is59YY*E5{IX!4-mfH%Q&zVQc96ocI@n{AfFWn>{Ps6WA= zXR2go7G|m$Z(TDI$cPA)O*Bt~@*f<@j4a$uGsS*I>#|j|1O`g{IwKcJiK0+O_##=J zXaXbprIaIzVMM-^iAAZ5Sen$?Mz%K>J3XaqjQn;+EpwmL!;&92JqjJ5`rSIe9hF6k zG*Q}JvZ!ZG3GKKn`Z5!t9aeL^F-5f_@50~WBvFtS3i!S^hsIS@;attM{|i!bF;5+VY2RXU+a?r9;?d=Gnq?{rE?gC(Po2bqe9s0XKQh z^J>qk`VOAG1e}@TiB1!4Jz@5f)+wy-4L+DTz}6B$ItSQThjeELuPta8XZi`)4qLV7 z&<+5?0C);O1w`(Le>dwJaK3`vSJ}apkD3~*M0a|E0+*oJ)-I28`Y z81<;=~gTc?0Nb(g|*=IMz@yfPbgCZ>5Q+u$ebn zSMi984!f%2-jo$@E_uab-A$rX=RNvd1n3I#yhq<@oi6=k5op)M1m0+U|0mGT9B&T8 zoBb5f#enWD4c_>}n;8(M_5w8c{LU8a?rVeZZ-t|ZWo|?q4jwdG%5t`Sx3ydAn^vtV~1oPNWOJQf}lt99jK${V$dG( z1iBgM97o&=XmpCNT~iGo_x;bHo9O7d7wCK-=78gKhdNMb{oMg*^rL|B=kmZCl`Cct z(>Kmh#r^tQ>E^zt6Ik^huTbY*zIxc{*!4d_@+T7{(?F68lCQ0R9tLz%HfUo&yVjvS z1n3io7Q(%K59mh5Dj>jlp8@B6 zj&Z~wl9I(1MA&mRGTTB$H|>bT!Fitn=Y5Xx7wrpc*~uy2co(v79Ht+2S{Jx=F6z}0 z#u94>n5aI%zNtQ;COqAHG!TrF?rrc5avP%rxs|CJadkVu9IxBKG}|cljVZ@BufQz) z*nrxl&NXF*rePz$bE39VSOsnQ4j=@8ZvjXE;JyP01K>IU1OQ0<7U4q5QTg8Kn_Y?) z5hIFA8~_!7nVVf66@ubC4V<~ax&u2&&?5Yu1i&0%#{>ILnQOXM1Z+95k5%B51LFov zHAG#r8#Ytxa2!D@fQbgj1=>Wg)39e7fV}~L+5tqQVc!%%kgE_R0zSl91F$4VTRH^V z22L_;e6ORgH$Qj7hDu?p6aIIP9erZ{&*!=fjq)|{LBs!NR~!c*?dK#8lT32`MTI=j z(EnR@>(t=jM;+wvskZ}8T%Z`ibYM;742SNPGimmZ zo6V;c|Dh5C|3l6D4>is2Ka@&oUU5G-*gZSAZg*&)xQjJnY;H*0+9(m`WHdd>NNEcT zc3%_h-%lnjo{FjU{h2YcG)i2yB^?Nj|E2#ju`e>H+(DJ@Z(vw8Gw$?1MvM+L!(cQ zFALx|j&J;vJ-sFMvJ1~WwN9Bci@CAkd zu-5?)0Vo7uJOB>?c<4~f0^r=;Z-&EBOTUI4-B~zobLyk{tPkz4)xEbilz~HbI4W@R zH>VbDB7P~(0cR;QE#S=(aNGog^Ct(T1)Obk+SJ+&(t}E1BLFx6z)Jv19Y8n$djWU^ zz^@J<5`e=1d;}l~fY)pQb_1{&0&^b2uOy@7NQ`4u=X(8aNpc@fd$_{&okO4nQ#g&mDjx5D$Qj4!OgD z-aNvClL}GCPX}k3!*R4L0fz$ZWj<-O-eGUM2{4&Rm{~drL2jJx~RalrpkUboU!#pzI^epxPU@icE0Wbl8 z(FFgk@VuLWI%2u$*-rs>6|m93rtaJp*ft(mIyhH=9gPR)BS@2N^PGn2fsF)U7=Voq zVEeW}yJJ(EK;E?j*eSrufW<$b=j5y27Fd%AKqCOt9DoLZ6aZ8JgaOdxP$U5`5!(L* zJxheHTFW5ddjMh_U7Y~zI)~E@PAWJjpzRa~!vV+f9Z!KT{2F}6Zx(~|J?wxlg`4Al zUcuUPppO2{o&y&pyAaa_>~SIFqt1KEHizcA5D#+01(m21BmhbQ@CIOs18^wH0l)#^ z%u0~Ot9vnbg44+COHPG*qgVxn7uC6iw?oqke~%!ZiI}YcCnl{hWE-$ez^+sQ5Dlz1 zu5c)JTt?+~%Hk=7Sgacp!a0Y;-0Gx3EfdHHYpcH_m z4j>3V2?x5iM$mF51@_JFj(+|H9Zv}dX%|ROfs+88cy}3`tWEj^n3W8=kghL5CA{ zmmjMjW34(j@Rtw(t^zO_fR6xt&fM&BJCzs_4A+8zzr?0(dBGh>R47=Nquf=!7Zan> zey}N>qBX|=*x>+T0N4z`Zt*(TY|E4AM89=c;byV}yVy2NgPX}Ya5GsAH;e(I z6o>MhgLAZd!GEU?J2$@`w@$^eR&!_rLEW-^QHo)97UGlY6L$$bOs>encql!fDtDkHHw(4UG7ZLo z;Ym;yZl^L_*Q9mvr~b@c&+#kp9LE)K$OWWJ+=U#U0^%xeA%|W7bJf;x0v>p}a%YY> zw}jB-zlw-0K{UlJGbwj=6n3X(q1CzF_kl)*X|7jcUf<%Qax8q5n}{VlHJ>Hh%4sx? z<1})QX)|=D$RF8Ud(*4X%c0oQ;ePjDHGVop zzjKm$u5Nd~TQhYdcX2yCC9DfI*PPm0SnGC8^|!7g5wSt3u&x(7eV}aP#fmW>Xw#s! z8sP)=mdVwI84Syo(=Fk>6-`hUZ-o&d#&t&hB$wHIC4wfr!iREH6gPF2y>&i~W3tbGouXcs#=`U6mlboZ;H7 zTrF(P@aR?%gq;}(GC$qk+=F=vwb1yD*ji=;%$ZvlH@yHEpgLonpXZiEduXEMp|hyt zO$m9fS@dsBggpFR%1&8{K1Lhuk6&db3(vRUU#h}PwRr(io;k7{KDsS8#f_%g3gr=T zQN-=W{60pMW?fLR|J(F7*3<36g`Ga8Gm?q&ha=UPWws|ykGeaEtqwr|2m+sOxBd*| zb|8;fI*3*3bwT~UK!gDC9*A>5RG5K)7NhYHZX<--0mNY-Iw0KITOGt96|{;xFTG$p zj~mi-c-z+uJe2-zn^?mggKA8{SV@U(ySw`>A3tB!#H2*;6{~Bao(@_ioqZ;i@v#adcG}LslY ztAFD~i18WG!|)_$dPC6w_CAa`Z4i6(Ff(NsacmEJ7=3`hq@iTM)`B-ztipz5wV4s08 zU$=&w2bhVPQs(z9Zq>v!T;vFY&3-LUvx#$yQ;=%q2B@e;8h{!_znN$0w3RR$;}p-i z4`yRDBiAX@t=WByJ(QWox%zO!oCNeI(2_$t8LrGrj)l-X9^ zNEACVNwLkm$~vzPo5H##UkTO93RdHP7kK3IQamEm&AMHK*a*#2oq7;`M%|@5C_xs` z|K_@luv)^uC`xT1xpN4JHRd0z9FFG$>ILa73)8+?LVZA1n0DL7=T;DqIjYAzG>4KB z{S@J5Ib`)3p;ZQNh3Oc}d-(+XOiQxvfP|hv58}FVNS7(cxRD(1%e47i9}ev@^*J}0 z=Hf^E2iZ^Yhs}I@>nLJ1EkORt9Na6Y#avVG1Se1^hE2!iJk>3&OETy7NVg3=;vC`Of2XPAWT zgwD`?ia-&hC~n0}wM5vr7P~#AEHlm#MKeMl$*x);8*~-otun{dAqrvyrlNx^p>dg2 zxMLPtTzaj0xB8s0X%tmY|ILUQ36bPf;ooZfg!+ExRL>6MHqk_F@JH!RbGf~J)Fq4d z)kql`uZ{SqSYktHW8CFlHcxH1yQ0MAq>bJopJZcbBX=m)+c4VLY&q6O8Ra+OTrCrY zjfrTQ=8{mAh~KAvFFasC?9;3;xs3P~hsVeZZ5!K4%TO>aQ+RHa*h=1S+Au=yXP%el zSndgrjbdv<>#&E+D}IwkLyqBH^xcg$%(Pm2)cQ@b&i-kn*9PzxBO_`*cebo zx+)FeBkjl7PBEG^Ygitmj3_YP)3ZlInim=`j6|X9G>g4pH0B2i;a=BbuZDsF_g$)F z?n-f3Mqs9Vk1eJlbHFWMvytna=W?9-Nxs^a3MIF3Ugp1(;<HKw~Ytbqy^ZE}BzZVjqG+%~8w|OszR2 z!Tq25+{QoQP=s=j>(noG$Kg;UMVp?$bi1=D@rU5dy0GmHBuD} zWGXetl+JOf4NX_f6cPHPy5-R#-_f#q{2U9$zC{An9NsEoE&R5*wTQJ!4^uYBd59Ds zdt#g4HZ2Rc5z6WA)w+CCEwL`+_zls=8Ic^aFXfUkkmKV^TV*72=)TnVMh+*ym+oqG z9&t_#r^#oDh>6iO#R@a2COrzf*b-lp9ff~oajD_8VxGZtzT|fAGWbLMB#Jf!KavH& zbiQN#h!{_ErG5a?Z4PpO)YQWd~@$t$FJbAxIyc*5io#+&=nab285-zHPn1>Qw z&XaB#AKDUoVzQ;aw&0%dY+0Etp(nZv`B*uQxiiuAJoOc~TZ%f;F`fk*TS-)-^h(Fyj^R zELTbH0_;M;1Ut-cPl-AY7r{firXHj}JbYT;=vv_U9Zw*3YYBcQy<;oQ6}-R}TGr?e z8vG0B6Q$m^^xRnkn5C*(-4%n^11MoT-$u)wO+oC`$gR$KP7laiOuM06TdZ1s3MMbf z3~njWYS~tOq68{LZ|6t%7C*qv6upz3?+7Mf-ERBK#~eli1Y!Z8$h-R zBwd`(Mpux1udTIOK>AHqsy#m|HI51LNudD@h}=oq?LmMhA?jVAUjqFeXe`iSU^hSl zQQ8ti1BxL+6iD`gks`zo5n_a~O3*-C;@>dyHo7 zK^-z*7hW=WR1r^@UfJr}3R4hWo(qi=Mwp}dd$7M*rV6)77(sbh8!`*yI4^8v((7vija-#R_(3+_8Syn>}I$L?mI6aTv zMB1tN+2(BD-h%&08D=VhqNU^<*?T?Gz--1nQ*X5+DwQ7*MA|i#ZvQE!sE;0HvR5L&*nZ3BRJDuoH1nRcDPDVHrw4 z;D52qU~Xd|R;u^&VmO{JXcwf*%*=*qoi6983SRk$w;`JVm%)!sZX&iJuM=5KS|P8s zMb}(n;NEGjnxaLCZ01uW*3zMCU?5#IjXEU*t5)|}i#WJ}&~CX%0Nrsj-b`l39y6y_O4ydEdXeSldg zh_*MhxcSr8z}yE;ZuSH0PW3I``VmYE^R-NEo1P1W*Y{dx>C~`tM16`kzR$COeoyMH zC$@xpD@ygwEzt+%NqR3yRsw#Fz}0T3bZ+)M$DQ2gof7`5^b1Ud$h?ep6RF|$6%JjF z1#Vw0++(mvsC#4sdZz6!+`;ZQZV8&lK9&9#Cib$*SLhaYKINVT!6OhX2f?rE)4Ic2 z+^?EHbP6ppNqs=KTZ?U`Ug9n0;8Vh0%40J==K+* zHfT=hb{0cX)ZeYxcGL%Qq)8*94#pNK_M5%qxUJY&%^IPu*rS~2V`M@d_`)iT0_Kp8 zZ-+w0hfHrou8fFO{0ikcm;!;7%&0=&gxNF8+x-aiWMi@@Ofq{26=bfC%O64>GndDe z)?&Mrn}n^S-u2|KCW#o4h=;r<9_8XnGYexxZ7Dl*(s zsY{IMFw-#fzHBCIa(`63G@6C1jft0^W07IrA?`Om&3>ZC<%*_CxXs`)M47Fa%S!H# zUMZc-3hR$tDZ9Y0Zp$e{chS}x>%`HC%y)8yEvY7Bz)Ah}(y|q}ZGZ0`gL2~N9csLZ zlSlKVd&tPPc{TYdsBG0r>tzY0Ht3FGoF0`J86jV#Cnd&4C|va}qZ6vN#&zPGFwpHr z9!&-3gkc^Gbc4f*d23x+_SBRkUXPz`d*@!azY5dVC*I&MJy?`M?*-Z8kHPA~%z zze`1j<2B&8XRK_G2my--aHlO?SVVxjujVliOQ^@`3Lb96JHvA-H+>|jCwQy;uFb7M z(CK_py@Yv4>wcaz&iGIi+7q)#<|~@e6aG?KCW`5aekogKE^V0BihivA3+6feF#%TB zd6dOg!_31mYr5FgFr9+<%;(iyVq@NEZdhq~7>cKW#}h}ggR9Y71e{zx1uqad*PJ!@ z4TKIOEat;H1KT-M*Lr*I_8r+pTb{iER(sK?P*I!34vUaY6D-UvFF;cHg}HeJ=)L?kxg`$} zh5VVhg%3~<`O9Wh_>Mna}i>nOWA>C(VSBC76 zJ+dWM24zdHz>0&gY}rR!!XUE?=?5zgrZ-{lsaNSPC3-ZI>0J6q^k766EF%!~&aL&> zpqv4dmC%FXb(rhsg}rmeFkh6Bx(lOTP|6qix2T9Z-%VYD{c@`n6>Dilp+!Y-QCtKU z#b@E7_^eTI(FgKYyl4qH41_8gk6gk~X& zs9M-s7Bo8v&Bj5K10ZV!dM(glKtt5KK)(dq73dtWEg;c@M0_^_rVdk!BM!L(S) zluD`kkmB%CS)qP>arCd!@%rfE$X{h^^plI>?#N9aG+MA4ZQSaIh z!xr}h6Wa<`<13W@!b1}O+VBnXKlSwfzzvGSdXN5)FY+hiXRaU3n_~NYK3%t-HN3@s zwRO>J{XSh6elze%jt17fdlM|y1hxi%AOLna02%-i0LTDjI{+#GmnE*XL0e_xY|Cqk z2>4g#WNW8{UgjBOyebMF&8|n$EtU4`40MdD-HPY9kFmQIKZsDH(>w9!m7h%aM66Lk zEuxMZAb(~Kws&X=E*pXm7e)DQolKP;7ojskQ?a)#>9BYJm#?15+}lsc*F-b7kNO^` z&fz7EU^8N`$Ov#P&Ag(h7vXz?*UImT=sm2pidKL{q4fV7Vq8mTThv|3@#MnwwdG{RHc?QaN6GXtRueiy|c4 zEc8O#-)MizIBp4toC3>>wpps|N-g@1>N{&5$72uuJxm%#6i|*xGev40I{b%YnWE zbaK1LWqO6eT{LBo?Jmu>F(pO5sKb_d_NGMCHRUng*+kqm)pHmyMs85iy#)RwJ-OE+&?l?;^{z7*# zUNOH_@mSQV2;@96y#ecGKo#m0?5zP+^tn^o`XI?9ZRvfebbNv8uC zVA+DL^#$c5P-cSi0T4@U-f?qZAjg@%6`t&IU8H(rtP)Sw22~?gS+)zC4bFGzpN%N- zxKaKKysITz*vLlEG;*PejoGKZAw0lF?bGOmTMRHo<|-V$jN)juVmz$4j;zMNG5ZNO zm7OtdH54dvp69zw=sw|HOWVJ+Ej;F-a1{{yfVc<574=sW-G*$Nxd&MRXV0~Hv>{Iq z*#J`LsBf*JbuNCiY;WfMj#546N(>i^i zf!&OJrb&l6|AacvnXqmz+z@q8c7(qQ=KLe3X!gOJf0wa{QpGdA50o39!@{WEVx`CR zlQTk-q%ZVA8G%Vq=DVaJb2XfCS24E_!Ws8G^Y9?2y)^4|AKu`2_{djTwpWiN?v7nIoAy8JP3b3-V4;oFTgt z)>uz9y1_btV+pHa_5lXC1KZ+?Jx$+jaI~5Q$yux-&0eJ>*O*`R% z=MTJ@?WhN&NZ#^xmj}eNruibbmdHo)Tb3mI=3SSRbpG}8|8 zrnX~Kf>QC@%|*S%waA0Y<+{_Oqy+MBJXn!`*)y3pquuo~se`wy9ev*kaXrMOl-O)pGn}16x{%l5 z>^!XryU8-iE>HCMqH?tg;OrdRg^pEegwj#}&Zrof%!aqCM`20re$!c7SX^-#@`CD! z$#cY2GIz{ntNNMI$F|OXGGk@(yr^CBc`Pr0>GrleJ;*WS?z|+PE+9N0f7nX?ch! z64oTjbBZEvG3DoBqR0Z%N^xXyU^O~Yz-h~*;Li%2+tLT%!Z_KOBn~T%&6K;@V(c&{ zw~F#fdXFF8HhsW#g66A{mgij?GYmscXsc@;t_q%N#0pB<=B8lQDz}-kN1XaY?!b^! zUfZ-i_>BUreQ%4?M_604EYE9*UT8$-QGF>7je&4T(#9K!a7a?WHFENNeCcao$cd2{ z{#riECax(Rn=<+FtW}I$Pwu}?FAthI>$i^&Cj8vrdN{sNeR*c%g9&vd%b$Pv*UH8GjzJAP7&5ybr{ixUKbGqh!+-uFB z@Iyp;s}JiM`?21dCpuL>`k*?#H){wMj;8je4Z*@uWN)S~B;^R~P2Z!q0#|`yJER|N z>_O%Z*$!LcU~mL?u^6?Q7{;3|b_rJgtUJ_#QP~KCF+a(J#qJ+G3w5UwJvOLZbyp2e zUz9U+7g}(C^W6qxV-!f63uNGs^K9OXsPl?I8;%iCEuUwjF=DC}M4LM!d{~}m^Jhd4 zD>ybJBhp_kwhT3lwv`c3k!E3A zJ^^{woZD7PKrb`bv=!AO-k5V5qP%yUysPJA5SMe8*1H_Hv~V}nyDTuT<}S*@FA&`C z&U=~R-J?1#8-N$haoJdgH(Ti>yP@=CtDR&vcu_gY?khd}J&7ovpfuquzEjl>Cs{Nx zu&ppS=Y^MOa(_&|(Y?`StvaD?#&N|H7J7(yK$o>ZcAe!jM4lx4z6Xov`zNyBnF8|= zcLafnQEK>!5q79aHCcP)&&3P5f-u_Mp^ zYGS;qALl-QFgbO8^{0+kzuxQo{!{6y)Y8!O`q=vxB{7Kh&5x1fpl$*u;4a10xL*{g z4WY>{m>&zT6cb)52NupZYa`!D*Rx`^u`p)Eno`Vmkqh*mqou2{8o@;S_Cfc3N`LE| zK5`WGx-q`bCyKtw=+Z~84f`V7tDjOFf`jGhT)TpSDbidudUF`9Fe<&%w!AHyfPG_0 zhQVjt1ogj!yCw80+FMz)2-6?&6#tt!!@iq=y`t$8ZeyU=sy&P`9NG}=xzT?lus^a} zu}OsLk4;74Az=y32H0l4Wo^?Eb|`a2F4{Gn?q~n zR^cX^X*IK|uvg6!YT%A(7?QP|??(=+4qLnWvHr>@)}#ICe>^vug4#V&XkSf7Y>3er zzL*?yp#3VG6VyT005N4OvJUre)qLxLM0e5ge+M)nIF{+2Gn!ECmL;Ck#!xo(IP0X5 zNM+bh@=@Ew25uAD8P@V-lp$0wSiD|L>}1Bsf^G2`5$B}~Y!MkT=VcUIQbu?+EG`Jm zh_03;*d|Ew29QfEa~rY;(0^Dq!5|sJ%QCfL`WP}^GmWW{5Xwp4$Xji(4Kq`azgV8Z zxgQo5d;{nH*q-Qr(Cf|P8fKf>xAfUhK$Sij^!ZJ2qeL!lJgY^A(vax0a}KOd-EC zx9~XnA%8>e+y#j7FvH+X4`f2QtJ~LuS_B6omKk_Tc;ka5KCIdsr~3SFi^^SAgxES%7&W22&#`IVhC2U~%eSg$*s}r=&F|VV(zp_?PK7J(3aOEnmkEf-FS1t(tD@+>s)3Rk0l=C8A#9z>>+C!1FHxz@&PP{i^B;--GUjuT9=pBRL( zDWo-$<9U~+F#3+rw2?T)dXbYB7Gk=>KV@zwnx>iuPhNbZXm8~!%o~L&2C|F#R&hcU zTpXG%`(2b!9M}zu((u9)4A&x+*t&5faFkb%KWo9XrPpE}sa4i#9OqVYfZ{Jvd_OZ4 z>0_a^P0zv}vLv(#YF)0V?-?g>{KkS)@xNKF#~#$wfuLRO0za2}3M4BrQje!LPPcSD8Qr6#== zUq_8Jm9)Ezg?7R1t%tR<*eymm9-imW$|zIhGemxds7H!O5!w(jLB32xHpEO&_=sE$ z;aB8yL_UV-I@CJz+M3xzh)?G1nu;Nmhq>CGJB0j3dEMFw6}2^gTXV#Su@HB8n9Xx^ zjxR0;1_gH}y8Hv{-~Mgp*t2|N>EolH{adQF1uH|cW&O4UCEUA;EnfDfQL34|m{&XE zlfnE+w%L}F5ga2m!_`-(0hVU&=aR%;!H|zAUQ7+9KjylK{f<*6awEj#Z?R7& zdsuqe7LyS+Ec;+f?P2;$>urHO!T%r*Sb`b^DKMdD3a@B{-V@p^9kQ)xn3{r}WSPR; zKT3Z=`?oB`=G7A^+H>lGT5DZMgiG#rQzXls&9uqown@1eW*FnD&E*Fp1cJ2OtYDNy zAj(Zk@yJxux#5kNJ+$dOuSUWi>TTYnM${g9I1k(CQb0M)3u(j^&|>8gtmu}A`?961 z$t^MQQg2p}q;LUhykJr8j0MPVEi-gGdR$(st8~ph?yohzI$4jix4KN%*yC1CUeBH1 zNbih!CkwSLv~TV4cuKh-J*h`jhPudK>OCt1T@?HDPL&~Q`6E4}GDxksqQ_Li3Z;*F z${;gSu|rQ7489}(N$)$zx}!L+M-7HX$cOc$!N3Sbv)*Me$$br#^s%4hS+x1jNSgFE8;1gGV8B69TL#_&sP_?^o*Pv4>?XYn{ zAJjm*CJl#cmxyb!CHmyif)9uzs%GIe$WB4OZldcww1Hpb2lN4>`5*9?%n18lhSMSq zPq;(kdY7s&rEoBJ=_?di5xbZTC6CN;_HP;PG|f8GMBC&xem&gueKO^9NKxct#+4lJ zR#*tQ&W3Kwug9J>C)%%%dX6!>6%R$I;-FO2G7H~+OpAG>+G*Or@v4IPBiltT{lO>Y zKZ?BjS*h4Lmc_z3nHU>QyjfAZRgRO(DE&)3NTl*fi^zXFisC#M8&oK5z`p}i(@$zC8Ss5L# zNMyMxBg;|uRISYB9-K`x#FX_QN$MtMV-J=DbFtPp!b;3{@?cg#MnpO8HovZ+tP_>5 zL@`gWo$^&_%x~F*`%0EokViRAwQ!U2e2>#ZxVSvh0?G+)Xr4Dr=HSxuXq~}#WUcy? zp3n&Cke<~O7$IxY$M=Mskq+o1dVlj6R@SM-f;kbW*CtXh= zAw^KAw96LMo0En4+Y%hdttH^pw}lOk(xoS*CQ$@~brR+>of5W;5;}uUD(2Z%#g)|} zpHjyeH;O_TfnAulniAphQI~qsB~v8_Ur$_R!i)T2MXN$ALXPG}VV+x9alBf$RFcNT zA92$L?}KMJSA`dgT~diZ7%A<(S=2~l!ie8!K`Z8ns!(`s)W0^S4pE_eDBN0%UZnok zI%6bA8}bOQXWJmaaeA5KIRsTIP#iI^_>Hj{_VsTihCjkhgsEAmB8Q9p+VJ_V}% z#lbiq^Lybj2~@qGZd}wzsUqGs7B%`-k;9EStPr@zkomF3_rpNiTu5&-=QuorO=_Ec z9RD@#Fy`yj!x%ig;^B20yl#WnY4AD?UZ-qJa^A583|_N0gKY%^KO6iy=QX4zurcq~ z!HGVvgGZwuOs|OnO9cyjFx|efWw%uXmJhZPEE8-gSSi>Bu=JLE>mslsu(e>>VAWvd zV0BD9Vo$5KQ9xsN;Bu%4EW-^&q%W)3&3{Y$q#b*SkB2_Shq*Los?)fv9 zG!mW>k&3=;Ufobu>z=QS_v@Dsjgo4SLX-Zlq zr>uyYvZ*O-Y@#y#oj%`xe!gDs7x!|`z4*s{?(;n7ywCg4LxF_s#SYDvtrXJOkyr6F zgNs^I=oC^rQGKq^@ub2BB2vzIls6EOLf#{K=uZhLZNZb|#X`rn@Qa{4;n5aagZgM# zn^pD};bfT6m-QC49TqKn`_b;IE9Nzj`5}GPdsq`*}ks zz$L0A9`#;%!#s5;h~YbmdanwC@#;}Wo~f@Ga$)$5Vto{2pdLY}qeP#KHu0uMl^ReK z-coC$0v)K-3Yx^u)nOLtFRTO`ELU=QNl~->QFnPl>j}u51ePN1^2yep(j0&os3SCb z-UKPf-K>C?fE>tsE{>YridxSHldR}ch;d+q48)6Gj7-NNWay2+M+ zc3wI5sdA~{M6Yvmt*79pUi*ASi8-#(<1}@>E~L@58n&%IhTjAidR_id6zGbx5hJjJ zU85luMmoryGS^3u;S*$+?-=r;n1mN-YBY;qd$y(CZ7;KgB8vP6EQ zb@!mtK}CXjg~>IZ_Dz?lNwgIZ5M#Ac^BzWC_^%#`0&KKVK%7QEb{HQqcQ70*%5}O` zLWUw}tK4Rp)4=J*la(Z9qu9rv?x+7(NELJIaOnm{LtZtaskVdp69b>4aN%Y|d$*D) zoW(<=G`~P#srxtNR}=2k{=&Rs!;}+qIVsV!a`Iiyf}!AEU~5IzzGj}Xd6rYkByWYd zUf%_>{g79hb`$lJv4B|*V^KMUd8oy)l>RTLCfcW)MVFvpEVAj+Bp8c@FcuAy)om~q zYgceZFcyiwanfNdl0%_3z>DpdFFOZg5mNSl8C}#e7>mk@>ZU@+5S0&?24j)-hC8tX zsKg#zrw;q>kV*2rFct$YLKT2Vn_mrTjd5+(%(sY-#u4Wzyj7>kD6>Z33gAt!SI#-d`U`bQXxwRhE5Y}nPrg`8B7 zZ}Yz;yJeZ&2g)3CjO+VAnd7nXy?UG0u1Yygy<1DDQiZDPwGLmDOVo$7&R0*Tfh zr<^Tl&^kRKuhR)ct_0F2-6Kl^Bg9*>&X626eFV8xl?t0rMt9IC#?Md&n@>y~b(1{X z63hr6Mb0zyn9)N%jL?Hp5i4a)phRK+v#L-aQ@{i&((y>H(;AbZbGu*$`5r|F;d?n4fRp$-xsKDT`a74?Qcx1CExdhoN`)2Zlvd{H}p7h*O) z9Wpei#M(Q8%WU*o#W~Py!>_IVAn0mC{HIU~&bEQ1;;(|9Hk31PY1M5w=i0x`?QO^% z(9RF?G9zJ&rSmkCVj}*QP&5$`1eWG%5@N#LC1t$i%9IJH9_0gFk%=)9Sc-qeqg0l5 zBO?@(_3t4W!`>^MrkMgdOp;JdfHtI9xu50vg5FQNFBzHDUs&HXkt7? zelnHx{HqT!mw@Xq5Q(52Vo@Aso)H7ZW~)>zj8Q~B!P*-(Fb^}39<2S}67k9L9S zQ6DNq!t^MU2}x}KtH5OxX7Q@gN@ak$#YU&PPJ`)@0MjEkxC6C|{!}_n7y#3wbfslO zR_O>LL~$RcM|4Q-1@$=_DUbAqGpoZpkK(~u(?PmN+^0Jw9N!jv5z?%oFg;3REkRiY zOYt8K&M-Ys?-ykUBDbXRSJ>CtBb2@gpSCQOg0OygXb9+AHrvtfEfhZ{wG z8S%Iujb-ZXid?xpvq`p=td9dt~otr2t%y8Ns_n&nXsb^QQs)bF}&bH_=C z<}#%Afck4uD9ZIH)K2*H*)|sw2uQHMZa4iqoB)p3?W*0kl5AlFzo@AMKkIr%idhv^ zr>g_p1nh>J6amh{4bER5L9V(6F#b|J9U*eK>3Up`8geXT*I-qK_vR%c`!M=$*o!(8 zvd+Njc1?TU0L0ug+e-!@=Dy5&K#R^-UKaeI#b0&(1gl$QVYoM}Zb^lqqnO04Jk%Ql zrM)yB>tW~-9I?3o6+*XwbYv2RW5CSjhqY%KaEV(Om`D7Q_GAM(j*n`e=8w8;{8vz) zhWc--C-!U74%s)~R6VlM!4q;*zKwKG`fEL@k>p9+qrYtlsGMGozQK2{OnQL|;(J$S zyg(o4*Fd2R;+7)GT#${~Pc!OPXfQEB89*{5XqmrCpYqpNX6GSx^Z1oTd8h*3{K{ES z*20@rIVTT2nYX4g_a36laLRnK&Hf$G>Cdz|y{nBiUu|>rR#ce}x4DcGopgRCVmy7j z?vMpz3vG22vu;UyjO(p=C5W-j9ORf%W$uACMMY`5ADU3(=5VHqXa@2F&Qg(=fi#%| zNwD~!;34MhfQ5%1Wgku$sn4YSIT ze;TGSFZVjER4ip4?{!{T>&fiuwf~?fVV>=U6>L1n@WKlAvo6#!KMOK)SYxQVfsJrb z$Rq60t!h%&v9Z4@@2K~)(Z8zBsby?@2uLqAwIM=O z86aA1e^U8Sy|>Nrr0R=$YlU4Y?W}Y!Y*nXqBNwZVsdrR3|E+9RAFOctTXj(_t#ENy z?f_{eLMdeqcb>_sJEBS!sF^H==;aFKs2YT3_ttiCRz+hviOJk)(S%O&d)XgCOod;H z?4oc&MNk6bA7e}(x7smQc~rf#5ErZZORXwIUQ)j2Ozv=6?fUPVp#_}PORoJ!E9Lct zmW<0>|If88$S<2|E?>5;ar&|a>-c5ceEU1`uQD(vc(jJ;dH7nMQkQ1Ou#vy@mC=lDk3W#&ncYq)oB7BmuJ0v{bGJyc zg)t1TW=DqK6SU9f3+SJfLrm$}TNvWZYK%o$%e>fv5i0BT=e1X9@T|<#N*ddEF+!kz&!7WfeH%}9xZjyua1r}ga21^8sx7ZL#s05!wj7Q2hw z9D!dm!l?Y0-_ z4c9oh4SarkS!nUXX1Hp{8>BJ=BflHW@|Z9OD*( z1fqoW?qMI8jnS2XyRg<%6_{IFUm|KK+x0(X`RUf1FeP>eP$LmfFs{jZ81LgNr*t}f zCrA_|e?&asg;q`-CRQeQA}1#YBfEHUm2nfOm8q){>yqanKkLZyn? zti=dbzcF`cAw_e_JVoSWpgiDKi_reW$=oE7qd&QeD-_}5Bj3qf4O1I<1Nd|!x?y@e z<`@3{m)+SE5jSJVGcCX(y~j#O^CL@K`AH4={;1bHVMA%W%Q%HAC#4ZnPEO}8Xe5-A zegXMjY;Q=8Y$=P@8<8XTWI6Q)mtub66*tUoMX%>oH58Ns?J>oAt`}&JH_fz0EER~C zG8WJt&q=Sb;@GT}5)CVe9k^0zVNGWHevmY=BG~~Sq<7%$kn#dQAKni8*@$1^?I2@g zLg4Mt#72d{+d;|3orJf;UN-V1yd6M05V|pNGqZ8`$n&|&vYqdd{^HKbM&6^)xEr%U zRqY3EayE7Xd6s^O#=Ro!r2GNoPhkJ^gg8;ZPeZQ=Su9_majuB?TSnJ-R|Nkp&(|O; z!rf()G^C2qQuIGC4VQL1$HFvx1g2r&Uf+Ugn6+1W2BzV_V#zz0hJA}+Ql2;%@DL{D z@Ik+aFey(R42p$G*^j}Dg-Lll!~YUY$^|efqmyC1b#V~6Re2C5Wk&+#3QWrQ?$DQ# zPll4Hq?_pXsx7c-#nq8LG-l;1uac;TezSFq4q7#Ht-M3%!U+0L=3)fd@wa%20cmZF zu?tit3z}jG3G`H5xrkcLs=?(NqN8Sc;_n*lttS+ZWs{TV?v=g<+`c{mUAB1GAb9{|z-nJSUnsiC>9`J+j9NkHnjJ^W4HAD#3q`CgzsJ(+7Vcfx< z2KPU}-2+^=gX=MHSp_Zut&aWfcd$#rT;uQ>brD?Rz~unAoB@}0;1UEb9(S;B!R0?N zXx!gze324fr6q}#;8gz0pA0$Z~l7bW?{&+Q>i|UPutZn8^#BIY(dbZ2Q|AVtMf4Qrng4515+CgYO+q-R4x&F* z#bFIiObesSUl}I@GachkdMaxb2B!r!OJ4}5r1|Dcc7bXlsEMC2hBTy9yOdHBC7r^F zX+eKThK1q1%o>cFF}5N7H0Yq?8u&{wk+qr3Q~iW7H041a>yZzy$qV zy(|3%7%jB%0{peYS^;xq@5cdu5)t9t(i-FQR zOXPMBq`SgJw0rai950dAJ=$c>R1xK#Ysc(y@A;m6Sq4xdUS*z0a+yFM(!XILD_CUN zWF8BcM1;M{SKRSKsEUY{GCIq(B6y`dpXE{!{y{d0ctW0~6J*l}r2D!@npj52V%XW961240Z&ekW=VW_y2mLJ}X(FJ*DB6#| zF)CvM;s`$(1jtc7iU+zv6QhoD7ScGBDA=k6!d5L+6Wjt@wRFuCTVW?+Cx4o?wgP)h z@rU4K1rYo%nsZIg)qxM?uQg~}$!biQVuoPrAYp+r!aU7HyGeemE6~KXgjY$XXo6Zo ztEB5SlUpLcNU)m7LiQIaA3`U^1cVCc3)>m6uk8ZTZB!vhKh6jGLJ0vI3T)iCXu@gg zb$!TCgsrq2UqkfMEgniJgvHGTA=Q>$hkIjSw3k*RCMh}uM;Q1?wJ!Pv(cVi*6kYxh zsUJjf4;lQSsE)8!@r&TN4fB?qt6LsTdrP{jD;)~%4@y9PHLkAYR6~(^q|P@Q?MWZ6 zZ|uv{n*g^DpODQ1JO@w!I{~?XyMVH!ToOw*WPcnY8`i;gU%!vCE{5c<&9- zG5}P7Cx8ra2haiEZ6tsjfClgaPyikPSAfsn5HS$|*W3Ii%(AipF@S~Q$HhYn*xk#` z>Eg$_r_a`)XI_7U8?`^{VVewJ_E;}T7d~6FgtpQ7{TrN6d7s%_=qQ8`jc13mf%Znf zO6aEunk2Oe$EPtbN;V6jo9#upL5LTZzC}eUrZQ{$9h;Qjn0sREnp6v6%X@O-{oaew zuC2qp@eLVah!e&n7_?5MR28?l1Jg=*DjOEM^)j2~bug&YPh<8NNez5Y2t<`}@d;EC(eu^;tO&;XZcW2uirsQw$C1YQtoxLZD;-y^FSyJH-SP<8NGSG*FCJ zNHc&jS=5a`sc2N6v=Q?tKGMI1fo+fhIjbyEFDSgCZf#;OkDdiXL^Y$d&8(5(RvS{MuR@@5Z1oa6qX#!;tcU7ZvC-F7+xArHT z&_+@xeGg|}JDEGX5!(r!AO4%WUFOX47-XN5&zSpBc8e7>$XY2qGk1=BIm=}*;)Bc^ z6o}oL69)r6$e&nfeW_H$#_O|CUtqNNun9N?OCZp;=$P4r?f|7+ufZ_LXQo&$vaws0 zcgzRiU!1E6V|YhoTFr!>$fni7fXBmt4_zz~S!P)e zw?SyCSRiYI(9{|oFB?KrAE7BzLQ9ytWF{{;ia!CBYg{7OTLGb|v+~vg%MR!nr5GG?fD2%8H1RY^u6r2e zs;h?~AGB5SajsAT)nfgS-Q8!1YA+(-XB#dHmN2FW_A!t( zZFmA=gQ2j!pbq`@S_O<^aV2Lu0)M>^y$v`^h0{@~z*%Z*A=J)#8Vwd|L&X>+^fzX3vF z%%1`*nQN850eArRSv)F3R~J^s0aC-T>yi&+KP9a~d@?#%nVqw(QGjiQSnn-eEOUnq zIpX$Yx1`75ZuRd@M{a^%tL8=Gov2a!6*;;dA=(z%Jr8;NT3qu*lm90#M+F3I3WcEx z2-w6}f`|~Xxn1kUJlJnJ0ZQ7St{=RZt!rv1c#m$#VwkUY^j>w$Bm8 z?Y(80F~MMbT=9o$Lv{f1(~*}|0wFujVBI5 z&F_ka+<5FyhD0c3aD71*cR~wH4zq5CmE>4$}VnrH1!_+y(Cu1Xbascud>X^D(i-hP$czXvCjmupMHsu zS`n5ahs9JMuNv`$I8VP%=vfhxfcf1Z?kky&2sfmvkG9wq*QTp4*chFpo!r?&_7y?L zB>xFR2ARizH=5g*UJaY=*J_o`b2Y6@vRsH8j94J^woL1TmDCkOfx4>&q>QJi&$c*z zsa>z`X>q|Ruu!;XhpXkA!-pm^U?t^i@vvSjgmBmjeVj0u0V}Cz!YSf{(}?%A?{#(^ z||@${0bUsO}5u3egF~CHlf4KSt0WQWs-YROwO##gJlc?8gLdoq-J8G6R|5 zd;m2aP)&}-wtR;>fF6srO<3(ss^d%fBS-Uu7`6aZh$?2$mHXQ^GU}MWpIN9 z+h!nNZU(>&U&8rIIH$uo9nR@+eid#W_WcJavDiZR0o$b24()LB_Ao#^fCWD^2Y%?N z)-Jzxnt6lBZG?G7a^DhC=yyhX!4hlB9sp8mW_#%X%EPd%9e8*940GCx2GFw&8^4#` zJ~AX*ciPZPUF$WWp{tdHD8d2Or5VY`6%h-E{4f1&e43r37}Ib_w>1N#=!cYC0-xe&oky zLGt(lAD5L|j+w{{%$ik>{eeFzE4v&dRh+Z(Uto^%BeIfSpp6EYuzFn_py3ZP8}Y&w z%Pq~Of}SvEMz&`;upRP&?La!pNy&C@b^VK5oQ-USre-18P=)&gHz(Vxo4FWxPqP}J zZgmLiR`<6#2~}U1$0`s9jsM+^x1O!Q9E2!3#l(oGuaG73a;+Rtg5j;IOzlK;@upSI z>BJWB<0^|f@veM)C3iLE6}*#A4dQA@kDf zKp>~jiz=9oJ8ujUG`FA@C~oUs31e;3tDTS4M(U{1=;^SC;zfH*C$0jil>f!()6xWgfM#(-xG4d9fa zEaQkoff<`b5r71MZ^ovfxZa=$S-d4@*Qli!Pz6W<1orwbhw7}ga99K20_Fl-ApC$z zH#}#5g9q)4>NsGNX4rL17Gp^yPK#IFNo+paiY^PWNRG22#H@qpO-ix3QQ_jPFqjXD zy$48#uk5fUfWa!3cJY=(K{KLn{Mmg4o#-pPpuXhQxW_!# zKK^R#0p8?3?rI>3uIMWsMuzjt`bvh;nfy)Gtqg>_O0RBYpxhN*+^O*DCB27)1f$J2 zMY7nkF$*@YKN#3qkOuwBz{o1Dw#!fsskJS@hOOt!jV4j4J2^Gc*cX&su6MN43-TeE zr)7LY=5&0KVR}P)wf#6n5%Yk}p_{!xeoyF}=1Z593w_gAbm=SO17>3(fvi}eTP1W; z_%+K5g?#+e9VtFM>6q~099^Pt7S$%q1T3T4EUDJ4)96pes>*_;3RKzBTEKPyx&Zhq zP5sU_u5lWj>xS<*qI3PKTh!sRpNiLsL{SdaBC01nQ7*SI`XhJ9uUIhs;WOZ&zQubT zS+o64*?zzXu&`GNuEfAYt%XNa>j{9TS?iFmSOVfd@K9^L0PrMhJpiEa^%atV@KB4v z(>5L+(*k(->}`1?PREqrAG(DAhSyJ5`TwH?JO@gERDn*ZwV5|IBD#afvS%!kIMp8l zvaj^BL7t4=Bp-z=O=ynn35zxuoCE7puR+!w`3x3%kU0(#Ig|>&aq?!CM@7Ur`7st= zocscFR#jr2*yu5V7%8t}CARsMA}@fr&q=o3NmY`%n~hs*n_D8v`or(2en$N+^kv@q ztUHmX2x~XAy7vRgP*YPB-u}REjXojUg*yD3yY!p)dM{5X#rmzLXnbX#UpTyv9A5nQ z+e7Jf@7H!a6f>BY%l>fkbejA`cl+7T9=&gOe?;H7woEg_kZJ3r?I#|MqfFKW#sm~g z?mjz?>rq6Qr)%ff)i|wtru(xp(Q$pVd*+Ei_Pl62ZdM(vz{$wS^YZ-91`}^5S zo1J%wy^mgg>Y1OoGJN0`_QCakN-F)}VDk5aP&nxNeh@Tp>z|~bw>i$kccu}>sa}Cd5=xxzk1XQnG-Udt|KzW1NNxpzq>P4Xtss!JxFNL_xgOfq z97+RTz-vxTqjxuJoCL-4W3$IelUU=~&~K0hqF{ODSR-#zR>=!wfMHHnPW+qSzZR2@ zxMZ`1vT;HjJM=$b>iDoD|C2jd5N&K{SqAKSeziokk?B*~LUza&TNY-`@kCEFh^!}L zkc)v;(;S0c46K?RHtapxLe5kOzC_-V;UJTrwI99Ru->{?3-61k`ZpF<1uH^2*)ZRF zOpDs9Jf+^F#qCwSQE$;AiVWTJ*!MG}Ob|4eBdemskZ}eMAglltj+L zA-mP2dTzl`&|u&w>amg1mptNBr97_QZNm@K$7BhX#J*8tb4a0g674j&UUfX|kPq&s z!2LbA`@rXYaNi5A%j{-Z=Ye|!xcem0mV)~vaNP$kzk^FNxGVv4_~sB>@aB+yxZ_)J zSqm(+B{KzW1S(WfV#lRi*gUbwXISwv& z!6g!~hj{Xv?FxLk5dOiZU|0oTeuit4;MxsvZS-b{tR&G&oDVyk*_?$f0JkOJ@)2B8 zz&swzv?SU9nBReU9hf(O`5TzI?Cs{cfch+V{Sm-(z@ftvWIaiXFf#$0w%xHixb=?R zsQppWh)0H>Epk_ib$a=G*Nw-X9rtD)>$MlwmM|~&LJTyXd8QZIG=JvA4~wTi##bOz$!5N9%c`3Swqo1kX@N-ZEAyk*;eMh3d}jx&rnq2^@6%WQew$$05!n% zyj2YaotV$OQ0uu0^as^NGtJ~pC3Oz#6>K$j?eD!37v+ zWo5L!zr6xFE6s;BZGJiKuWME4zphuIY4Aw{ZHM5ngsh@nP*wtA&^*-rYe}g4*OO2a zd9$pG3ui$rWtO_R4Y_z*0PgS20A(@6QdX#I0Ma%`_pL^}`xYa{n9`aMziz!^Ant8rVEugzXVSVrIi$unUftVHdfP|oY@hG^oln@B%nd=x~v zju_X$E(&D+PU#AVrZR$R5I)NLg6=|epkj^LuLDXZ4f#>C zmZI;%A|bcKt2%J4Lxkb5*))GMQ#JGQ@hQ@ zlXP2`O`3;Lr5i9ecwv<#23!!&vogm3fs|F|P6fV(G^BTHM2v)xW#?HWTc$tmmEm6y zQ9zau({=AzK=f&nPGbSlCr1*>0-{fjbO|hYBko8%V8NT)itU00Z`^AC%G7d5E2g48 zB?0(!E2kUdtvqdZX>^K*3fkw^cW8*9Y?Sm|yX*oXl&^?Q+t&F&2;~!U)E*L;9gt>#Y0+R65W4EuOjP(ilxQ_x;u)(ELxwbB*=g@yA$bWWse|rMPLvsh zUgFZz_(+8l#6Rttl$p#u{V>&rGq?1^RLhmyxJN?6zC8Gv7verX<>bJa{8#1$#hkDO zbrV?5;_SDGMaCHoGxE-o$EQ?fqsxgyr+%($%=Sm@F_bnGy+s%CUb_m7;`CiG2me~$ zAuOky;H(`2dix!q=W!nvMz5^h#_SRkJ}45orJ|VR(~Qps5@a9egyh$auw2Ca0R+~N znUyu+EHyO6*My?dFNEGuX7lbL`Np@w9YQK)6-N~9x{LTPCq3F{7uk`ssDrqRq~iQ( zeSGX^Wp+gMbl&DfQ*M`O&gkZ`e|w@eW2F!O zdR8*yFX2epXx*2xGY4#Aw$zcYW3Oj!H;j#skAE07G**3oY_w}|-^}fwddB>ZjJ+CT z{xSCYcp5SMBV%ml*nh`n>RVQ>6HCo?3LTZFPjpN z42?xD+~t33?5r#yot^K3M->jM7yzbq6cG^G3G+b~r2lZi@$74sU}e z|JRE8BWbjMMAQ>Uz$p`)x*2e`==<3mIBWfW1H$)9qT!Npe7NK#T+&Mhr^xSTe|$fq z!`YwTFG+<kzN=naS^LiibD<_loOB+Gxwf)C)(P>NPWlAM+l6|Hc)* z`S|^txt-6lCnzVyD<5d!EV|#f6d%W@v;!Svt!lE~+XN~Jzv~iAo^M^lbx0H0llr61 z*W~U=U#26O=$^EH^tUzWn7~-sa}6cNH&(t=;}{b#iagFAsE91oUexcY{GC7h=W7=b zx3*4%M8%SzhYJFKmHew&-02ZM;;w)H?81&oKW=$|C~-)v=WJ254V3SE?)wZRD+#*udSshMaDwKH1G#-00d05~L~(CM`5W8Zy6o zJ>t&#R&v`vjqc1Vsf$x)?yhQ0=ruQPHJv}{y&hdYoR-`7#P3ILV0|a{@zgt0s><*9 z%{hV>O}*n$K9p7#b9r0AHcid+J6is2FSIKyFO?K;gXt}&`1m4IJ= zos9l$*kC$xOo-`?e1bm{jJLI17%$#mZ2i{fmIPOREo90tn0NquQy z$_T>H#ELL_>db|z6&y;3f0ZJR=OoSz+kWsP{Ws3|j`&MA4Gw)t)vi;gMC8lzg|v$B ztMvybxio@!*?m*;YhmVUlk$S;{2NPZU-oI0x5)JkcVUNb6}faNW=`_z6qlQ--?K4_ zaP_u+_o?NP7p0|^R$xyk#1cd(_xCzY{GpwZd|Ldvq^fwX+46v`z`G>vXP`2MlLDQu(3&r2 zP1R+PTuzgR^q*Kr8`mFmiqC3K@y9KJ4&$lNn%OnPxiGRx=EQRAhaGz+3)>%-BMWEI z`a^Q$%UDjf;Jo1WIjz_@C~V1X#b4&(+Gm$zHt+)53(9d{dGz+gyh)(x3%vPBpy>;| zdFM*r3rs3>p+4X1w4*lOv~_2e(-Eg2mQPG@DegG0rn0yjRYSt++_H%yAr8{>nkZX% zURLD{D)tpWx{^!9_iQ`n5TR^>@|GXX=h#kX6ywY%*^XywSDG)eU6v~x%*Wd7mTw^w zmaBd>?`T84Q=T**Y{R`%{cV=EA-$CadY{8NK%S?I!xqaE`CiuDwx?AWe`EjnTR7o4 zKAM}(ZCL*H%n@PNW{Fa=%P!3>BQ5>->UHq9Ep0N}>7pXde&v}fw=RwPY${vud)0!c z?^h*`Kd+MgreSDVO8F+9edWa9LGxa={V46IZcX;3;{D2=1&Cm1_J@P!&B4D)JV44m zFq=LQ_N#O@Z#{HOW(+`)S)PWK79Jvf#GhZetqpZYF_TlAO>3nbk_~IzdqGFAPJ`3t zR^!Ii?q!~D!@eV5;L@}4<+MZc%ltVFnfK6t8eN&&Y~&ZzkK70o;sxD_>us`oK`WD1 zYodE2_exNjU^b-9lQdJ3@$VNSr?m6?jj~>rHqnX`|jz<0H-<#z#Wg z^89FGHwz~%Fbb@D8K_dAad`+C;#>lL1vHLb7ESK*WvzNw z3nWy3F>j0pCHiB)(V38yG9B+z>!v>4V*hJx5$M4TK5#f?*0dLb0O^R@2ht}F2Z#QcTR`%J<1!sE9AIx zmSR2{1N%5jaZbiAbz?v3D*37OXJKds>!S3SFs=fY&M#rKUlZdl$20)#&rO8bi6 zqL&$J`tm&y{}{M^rJg7+!`!~?YM0nrAI?Ic_4)0PMOYYvAv>TR2QwHk0}?FM!QdJ4 zQVU@aikCM+8Npc_c9_y58?{W10y%n|GEv7A(FycTGGB`)BV-hH-LO8YWW*^&;V5X; z+NIP^6Le|`KPy57r)~H;N`YQ1a+*#Ylhwjo!hbaPfD5e=HJvnBasmoz0+(a%8Z!l3 zwQe^-n7PQ3A2oXb_fnAuH8oBzYai*WMfgI9?QUU(7lJ~Uku|jtA}mK)euaVWFu(Bw z+d-f()Hp}*LoX^{by~1p>v5X)r!Kb9>$K|>9j=kGl=_n{u+d{Fh!b|PBKuh%r0;p4 zJW548;&I#OP*HKbx$U_F=(V*Q^vgv){eJK88;t0V%1H0JEgOci!Uj~^<~=KoCp&(! zm1~`cZ|7qY~x&!<( zz`p?eyXoM+#=1?6-cN&+gz=GizZgFf@C05O+14&LE<#~3YuLyLNG8nBCYO+oLY2$} z?cVPBx(hGD(W9A9#+j==G@tN(_1A1%D{;QwN#mIo93t`H^DB3@VU~kdYPiNhJF6PC zoHC0W0tIW~Ct;|rfuU-DM^ViDvCZjDZ7dYRc$O2_a8t5f27<4`l6yA{RhM^UCl1|2 zET?VPJ%*texEJb4gL{4VO80A~^afnT{ls@{NbSU|=Z7}T?8JTMdt`Asfp`#?mDY*h z!cVQNAH=+)LGceHcY6jMyorx>a!s5VstsIJ2SK{qF+QY9euL*@)egEA>>JbdC7ziS z%>+aN*nk*-KVSkN%-NLK?Npyk^|KI-y)nG#5a;Z=9Wh_;|IFKO>{py;xQgh{{cZ`9 z3`NuHVpQp*h0#+iVaIs;zd0XE*>CJroNw_K(Z{oH2^ScOZYpC`e}YGlCF~^_yTRxq zqC0|duAwLlj7HMJ4u4BnGZ@b)&KJU!pSfdcrx#?IYA_pyb#kKW^|cFKWca``wX^L95)NM(_Bbagta3 zlq}wWeJOE|PQ@B8PKPedP`Vb%3Kh>9fU5dGb#GX45YI-eQ0_N}H#&BQuavLjd0QcT zqf}O_TY6oTs#^8t7Eo{6$xV;O?jq;H3e2fH@|fJkK(HQ$ccG%l>=*5^i?)h01-4sI z(0D_b&;|vKN}+F?KVAAO2-~rmB{Sh&I4cZ&C~*GaNozua9o1Dibk6qHFv;zvbBM)( z^O5&5enml5u_QKq72;6P@5pk!fJ|H`eNhboa;-hzk? zT;&uSaD)&Wbl34}I*z_NeF1fKCSV$1<^t+)8elpg126*s_F=FOgMAq61h5mpP9XmV zy%bOcQm8(w!+}6KrLXM3)j^6mt;4yF{6=5Vfvh8W=nFgS>nQv5;-T;spcd6y;-b=* zVs}E&lD`z6Yjlpvd<(D1h^XYZxba5ssA+Gp=YbBI`WC;+h>V))iTT&)+s^TXRn#Xz zqYVj5QXN@D4Wr%AjT3o>xd!PRMC8-d5T8 z{fQStPBVh)S5&?nv-#|{o|sU$RGdG4GCzq4e+%YDMScIAFn8ia@x#*t*n7?QpPbov z$tTC1M0=f|olSLI)b8;bM+$BvlW3&u=!TR_moIZOTJu`5t-NB6ZO2dE^`GCZ+w|3A z!=`ylZ-`6YuLyf^dHAPSYi^Bozgu<2KmFmw8BH(5NBz^A{GY!Hw{EMz&ro#f(@oy{ z$zHle(U|?DWc?u_BPMi`w8yZZa>i1ijOJHzmqL?<`tNzH2-P7Stphz0zE*Zh=&B9O zxscxU;!>K^C}~sA9{*pQL{0J*<9ovR zG$>5(6tdES0;R*kalOoA5)9NMQtxsCqrJ-M<2m$bN;&O3Y>_+$STCU@F{0P^rF6eA zp%>D?147?kKf1JA7~LDxESYW0X($Urch&x-mJ}kFyN2q$gfwmLX&lz*Ub(x_(V=#Y zy1mdvsc=%aF^2M+{EddRtoSqNEEZrKAOsK#2n0+5M4UlauG95w@_!W+ocMb5+XMd- zwkdy1O>f#Tx~+#jB6`26B=q{GZ>b~yj#*QGC)AFG57oDhy-(cO7@OX7hBo%5XX@vk zO$!%|eY1x41kZIdWV(h~1%d=^0`4U|_T4oe*lMnxPlU6!FZ{h%1mhxLSy< zQY~?6^8d_ft!VL2_i02VP^aipEt9MrY}6O!IWr|2IZUaS&jj9hSv-_LtkEM()arZ!4e#R!`O3!|W@ytmlvAik`PL8mBw z6SSt?QEZ901I2~;QP6C89*-N9zYFu6w?3*g9u=qv5op99b$3?si^jJF(!?h;=$61s z^4FH+sAK|S{5)?-(U1st{`o40mxgWjH@B-iZ&H>(_JS5h+@MPm5yQwqI-$rrjPyXC z(|9hretWN7vueL!3ma4cG=d-4`1~8kJKW-+LRSCKc2l}WII+-Y?3oVN;k~qO8exPv zNt!8)>-Ti^>1VB#{3s0S4_qtt0g-{Jqh$R|2(TtkSn|sl#e{mRRH5EN;N+mK0#wrD zKqcL!hUTR&HMxxhUXpCog!cP9@Q7hn$>c*P@Y{GRDhr2^sn9Kaoe4TDeA7h(fueqz z+lcQ~M37J|*=bo)nNjUH&$^;y6xKW(tV=OT?Fp?4^ZQf(J=iq?iXWm zL(*w{urZ|}V=3x{k=_8!uNE2CHq3a7cx{Ym;J!uLTU;Bm-(vR|5*ms;5k=6@ewHUx zG-X$+Vw}&ZD9mj!*p?@JFowxW?R z;0rwx_#s(Yt;lt?tJMv?P~=nxv=)L=d0O3~#Zo~#3Od5POx`^y%X%+ZV%WM9uL^!&@DUy zDnJ7K*f9J+HRA!>#)cb<;6^J-n$0`4VcbL$y*lKfbW}6dHhnb)4YiR!!9oFw(syg( z7$8J)mKO=^OQ)-(jWF@~MWqd6pF&||`=HBK1rjJWC;|nouLLF;sbTM--9DMkFaA-E z0r~WQ#UYo}N7}v=p7TGzo7h)$5A$A8te$2Z`~6Mx^~XiaXgfzD$Lu1xPuf~+3;yaE z)mqa&kBwFx%Xv8V^T{9X{QGL(;q$QJx895;d_oO9#cIv{qSh? z)l<`^W6y~WLjN1v_Wg*`uhsnGk{%+q#b zZ-w&Q&00)zY_Jql7zeqxtXHY@_x3n_K4TR1~&jOBcl=wp%cSPuy;-ZMb78 z7jN*Nv89)O{PUuSTboDdo3^?o?{}ITF={z}A;z_7&VCp4HZ4(DT0(egQB3pw*Z7w; ze211i2{E)z{D^gC>tjyI^W!_U-WPF0GsPZ(%QtUK8hG()4(TAU(Sip9o22hpQwCx9 z9b`ePsaDh#-eT+3G<21!(~NF(9wxokZ)2ftY5tOWeo9|KIqHz&rutAXDuGtS-PGt* z9k?8c=B>5<)Qh}IoTA^&a%Her%Fn|3_Cl}YRnlj8GV-I+Uf`eBK7zUc1cB5gKWuT1 znmzyp0W!g6tz#W^i;kVmsE$-hOd2-BPbuxt#4v&~Btshi7HEl9tC`T^|0}%N!&+Fs zLac0gROSGvmu`U0blx|qpQJ^WnyA_FpkC@AI3p(Bq_{{wYgmO$Z&=Uy2^!llbXBUJ zJj5sr@y7oK-Rpi5lTQY5WzhH>a&pL>mZCoV)G4K&af!*b||7nwSGeuh@UPJRRanEnqtu@w@-qO!Xr-C}w z`8LQ%ebk@TAp1kzWlwp2?K3CfzVa!RoCy#W8(*0=0it4#mAp<&k1F5XRsm75NoK8> zGUEGCMu8R;Ii2X^hDYYk3YS|DmzilI?WY}pVz%-BE4|^nRsu&Z0*aHH25}LpcV^`v za+E$*KMM+R0;{BNEE}!$gHFp8F1k!p(9Pwqznww)(|mxHJFlT+7#nN|VjdmD=hV*R zE(S?|(jjT55Rn#?fPW3aB)N_3uaGj2*c{41nEs~4qk&)Tcn(DV_t~5WSUCAxpoyd;r4APy^8|r1pX;LzmLT>|vmnQ|57z(ar-9OpY^PDv!6=IVjW35z%f` zaxUL{*a^^inG#BqwAF)&Cwmm_=rieAW)b~ z@37CK@6+dk2n6e*?4;16EwBc2-?%m_c?4t$z0}S9_KQ_>)I0i}4$?R1##_3b9AoGlThsCEaeE@O5m{)y64DY~-PAS>+fXkV~1@=r&9%(gm?X2ZPQ5kt1B))9ai-nW959 zQmP|XN+Ws8p$!EZr!3GF06YJJ*s0pD6#rCtNzfohR|owq)oT(MEO*IajV~k6UHVKD z&G6kTY19M*4Nv->zbdM@6ETlBEh@hg^&BX8rJYEMVV^()(ucKOx^k2Abm%yBLNm1` zFjn$fMq6HlztSopgq805;%+y!59q2 zRbXt6nJWEJRE2tE8OGGq-KF$Lyqo^Z4iK&LvP87!QgN4gr1rQ7hsu4C{&AAod?<{o zsWZQ_MMGQG8+J9@ef;`85>u?RM;Q96@Z~oc)p#&C|6EV)g=C%F2LSFU;@3cuF)&x&-hBu*q@~H5nY2|GX#uubvgp$HwoJx5B0;@MkDt zi%;_nge?#&Eg%rKK;zQfvBrWI|Y=L5XnG0YGL@B49hb@ptIYdqA(XQpRe_;#c zGXQccZ(-BpONT8`bZ3J&+gd zfuNcVSGl|2&H;j*b35#*q28$?>1b`cY0O~N>KKn?1s(K##SEoQzkB5TH5 z+&a}%v-i+=ZGk89FOWA)5K#vrcS!LDQ5JUu=}isNC0qR4*fT(`f00!Y1IiJJ`XiPw z@$?a_x9ck%#S$;hDM9>TI_6W!G5Es!xY%WB%$X%l@{Ig}ViVKb$;=EHNSFy>3dj@{MMX?BK@emT1P3ri zMVyc*Diy>GiWyYE5$70frH-IfsZvea1gW)()hex2(`YNLR-v^@E46Q>zxUp!|A+sF zZO&P1pL1^#FZbr0z1Lo2nLg0&{P>rNVLMcdB_jt8raRq6VJ%9NODBJ%dasy`-EG%W z`gf*NcJ{#jZqHJVL{x&Tmr$L0y^-7k>1wKxRnDKLPBXff$KF7uJEHDiV&!9^;nNOI zyZ29`OnFFo#7o*4D^mwrOXuemd3`HwhOA5dvEYfhy?Ic&{$_Pz7-+vy!8bvdfnE*zQ_!8D>uy%J za?4VRF*+7B8+0b<4?&*?{Q+nmXpBA$dM4;)vaZ!B#Q^~HeqQ(WPf=TkFh;k_Mr(3i z&iL3|)&*jWt%ndwJZ}2+5ff;>^OxruYQXG=+C0wz`lh$4@p}x>waUUA@ za-?l9hVh$JD04LNnRr2E>1YB+JfkviG-)s5 z;AbISU7R^^sho0Fci*%xj!dOI(Gu$-P5}@&88@JP0XKD#ZI&SE8`{>0x_j_$IMI^POQwGbz|-H6;0i ztLNUN9i*7*z$*;0;El9=PFN-3V=KyT z{Ff$3s>Tj(f+obImocj|mQxe-cWpj$YG=$X)dQpoT~7A;Rp(~&kkjum_lh>iDWkoM zL{pmLJ(k3OqweC7%6Saoj3%Va?GvtULiy%d!ptV0cE)$YWpcOjuvMzDlK7sPPjMqo zF&yf4d&K@rSR)_N&;1=Y;xRp_J9NnUn=MFA>*u{BztX7;r#jrKn45*`@_b)=ON2Rj ztj;K%vecMdJ#UxOXOfHoC7<%Q<{R6TJZde(Pa7acT-4{0N`I@UvzHEh?8uINXIhL$ zRAGu!D#r)Ax}t#(tDW6hJ_yH}j~g+zkYXU&att?O_u8mnn%c^gYN{FT_#c@#$nuRp ziEP;z-{_OdJmdJG(tF63ot~I>kGMdxA~E|O;feL`62`mx+PIj+ycFDwpP3d8rZn?P z(|7jXdGA$9zH7;pUat1L>3vSI&t90A*-0%!S+u}B&P|_{iVuvz%?ZD%DMmsu-yfRa z^x{~5b%fCc1$0!4jDE%O`_(>13JU0`3XML+akJG)MvvkEnqOgC(Z=I59rfeZo}3Gy~ZT>!aJ8)rM%>v~JS!loLc&t*L{ zM+`DMV%I80V7G713u2MAqH_8R!UOAVTgaeWM`#^oto708`G35L^GuIPzM&#XHH`0Yx_rLVwBh*L^v1uaJxC0c|<5&SrcG5WW}oK!D0Qd{Des{D;(TB3hd%(naG&KXTy zBmS?L)nWQ{^98JeWRIrZ*0XJtJB&{LY~^0@GDk>gDSf4?NHS+&f3;g@{P)Vs_7wy5 z<*3q;ZMfR+?yp%VoN@;$6R%WVM(n{}R(@o}9_)I>&&H_o&_|>=NkY%GPOsT07rkxB zsWbX-#YOv+fjvXc?GUoQgyfFWTH<(3o#EIJtBUcDXfc!nrn)msdZbg6+uufs6UR1jTP^nsqT~z-F$4U1lGo;DXz-Ghs0Zn|DdGK z41xb^&H~e7S*$O&OL^N`J+Qgi=_-q977AQyBO=x3@Mmyav+Gr#yC$w6s5Yt$QmB!> zyfWoc*%;r*pAp>|?~CQ;CfR>kN3X1t6KBT~7g%J8Gh+!)EcuD0u_WgIABvqg>ojqO zWocsJX~JF0?8K7Oq*0dji8GcX;v+3_&MfoO4QWByap$Cfi(2teqJ9Mp2&&1L4wn zeUy<<3Wn^#c@2*X*@N?1nT`Fw+&RxY1X+A2jL-9b3Z>xSJoZzcpMy^X#(CW#y{_`Xr_GLr9*s?CWIB*t zj)nu-ipEzC3Cjy!~t^vlK`LPeqQp726(s;@CXe1 zYd-zu_(?p#)4%or5%Czhp$H>N97Ct0@q?o=1&xVlygTeX zc2G!=P9bf+41b!0WW%Iz-@c`F>|EFNnfz$su z_IQ_6Bgr6IM8ud zMVFtTggwc2OS2wg*M_W{ojCqwyELnBiMh9fq>{^Nu08x4O)!v~G=js^z}DjF3IA1~hAQGMZ`Cw=iXufTjs z)ARmO%;a}92b_O<74qbS)5YuaQih`6pEYkExv6R63->)Z#X_6bZ{5Ig19ole-d6SA*|(-x2X=iQ{WKDx?{y4+0@0=|e>{*kkF zbY*;+e{x8e$6QSlHNGpIv{!aq`IX=s({A4-wpXyFaEVLv?+6a_7-oif^l7%RDn|w9 zf6fu@n|NGtoLA7gLz`=!7C)IXVzaZ)>0JQw9Y7p_Z2;Nrm%T=R`+4A~2y55VsZU<8080Or8`Cl1^XphE;( z;pl8ZX95@>7CMe`lfk&^bImcl$&^zq0Av7k4j>f3aRB)Mra6Ex0H*=00`QWJ@eSzI zV6xqqdH3CS+wgA*PIiprWX1pQ*>iC^pTW=Z9`iBFG{*^l3c&HPOujo_z-2PrzS9!+ zHTFKtK0PHo{Qec@HC;yfa%EJV&(6kTcFu52WN6!r!$XBxlVyLV44!JeT~%w zuKYn(dS_IlgDQQ2Q{qN=7|6X`I{&UIL(J?(Dyfir@r8O%2QRaUyrStyRTZI?( zID7_W_~Q5DvtG6zA4)WUNC$wAZP`Hp0svR>@h0VOa=l-P4|WPZZx4LfDXmko4 zZazmmm_%Hk151rd(@7I0gTzzX})a$mxoTCkYs+>2R z=1BS%d=@@A4>#6H^aZRw`enKT?X2<#dL zfLS=)1hAJKCxT%7j&n@_(paV#A0FE=Mf%`Fx-9|s!kTetTA{oFF0Moqz@^Eqli>Qu(Q&wP;JOj6B)EEZec_$Vf@>v!FaUK8TS6;G!@Uje z*Ks1lnAs)$O144?7?kYLI;Y_Sq=6Uj@#i4Rt6vwK)eIMH~M@f-ggn+ zcM0=o!y5T0TpzypVxA4oD$%PRIoC|l)5x1ON$T@m) z$COPcr)ASm#(WCkDI3640Hpx_0?_AhzXtb*=&-zxo?LYWoe2&mdUD~126V1C7{<|) z`NbGV$2f@u029Dm04@L`0PJ#j%m&~GV37lW$Er&hU*ni;1Sac5=bfXIFuCw20^EGi zN#Uat>gZrhN-R2#L+o}iIJKVy=rrha3qP2=>Ew-_c#koT&NLjy2TUQx6gbYL1b_#C zBmnmuXEFys5P%$qdm6@Gz}UlZ{oQdE98BNoIB*195*#iPxSWDZsl(+mTrQ*Y2%krO z4rbZ_HxG;%%{qGWn{)sR08DoPJ^-=+tOgJVpxxo&IK5>6RyYph_!!S%!b=X;G5bYy z-eC6e__lt*Nqo2yz%9q&=osrbkt^t2)`5)#>-au`0jvk`f#YNnF!L*%^Dl9D10-}d zVCGuKy9q-l#KGXx{)vgsKMn>TmPD&QAx>0QMr@$6pzn zT)*_B$AshQrSS#h=;ZTr00aZTvta075_Hb-3ew-`(7`S2%TzG$p4i+yH9hB(`IEo0 zH#*1Zzu>jUZU-<_vT2Vsk3O4yU0gmZu;FgpP+0aQoA_Xb`OD-VR5Rq4DJPy(x!tn- z!XEZJF{g42$a5f8gLnoa9>m=!3Mt}6z zV#be$HkLUWbTno<8q6OL{Ts*Y{Nr}~=M(-7bTp#TnCoc7p^@Tfj6kCnjcbLQ*1i8r zQvGb>0q0l4ZkmBx|E{H%4nGS0T>p52q@rAFt3IyXHU8t3anM&7|b zy|%JAA^!9EpKWq}_Qwuh;Fp=&%J77^&zm~8I@7M4=LNpFp-r6lD30nQn*n|A8mlz7 z)Sd8Fd(>7Za8KdZt6gQ}>fEhlFKr%bvj(R?m}n}5GBUQJXsg^N5Co^hM=GK$;(_DM z8uATdOD*A^zH*2cakZ?Mi9&Mc(bsnJ#pu@bm~?1m*%n1-k*7#tQN-%97^|~LVI76?pCj>k~XJ%)$84* zo6?=aBx#AzVtK5;DQ)j2c4>ZZysjec626IL7mhj=(_fI~eTw!vYNih{Eb$>;&p|YVI`!pV+5AHbk zMfs}{Z-OKr?9-IlM0WyH!e=XV_UQwy0{@r=Zg#nE9LeiIG%2xr&^l%Ql?v zcR$Q{g(Y9NmY7GBZ^cEqB~_#(@w(g@ubn;-=j6`mr2VQXwJjM;txkJQy^V^UtBqdO zrL`!P7oy~#%yYyYPG@W?;hd(`f9)L4joy z8Q6y6JK7=kXdkt3)L>9~JXOt>IhRM*Bhk;dJg#0n27hv9Y$dP2{^_2f;CALQ^Fx_? zc|w=UQx@3I?^0*Wi2bpVs&$s|9!VDUl`h(Fsu^;nOAJ?l$4+(FQHdty0jutzmGThA9W%T|LY;}%>(UTKPIig!+xK@mSi4i8JHb!myOl5*) z>A;7@2$=Z9Hloe5HtxQ9rG+|hrWfjHA*OXQCc;$Jp)xn$n2W0UvH;)sI+W{Jo0vaL zPSXb2YTB4v*;gPz9I(}=#*{ob$~U170Tbt>#$N2vJT@(C^U6XIpaQv57L#VKk~`n@ z-eS&@lW#JvslT)Ho5Qv%KeZ<}N4R&qJ-Q3heM4@s z*e!RJ2cKqf5SmUu&E9MBm;0UeDKd>899x`lR^?&$@8zFWhgc>_cXklQ=nqKs>G``T z{2i(lJinp5aN0wO>%h)*m$k?jLVU?u?P%$xbhkH}D%;|w;9(>R&65y&M~p-eQ)Fun z()%Rr%KtC5uaD@de__*f6Y5a@Bd-Z1aXvN6n`pzKzpI#z+`bCRf4O~qxGagb962t_ zmrfhC6U^iCybp5LDcy|T9eh`HrqQ`0R;LLLWJTk=dxT5c6WEGz?6{$>uJGh^YS44@Y4jk{t{q&YCdeF0lcUf@~DuL6h zDBnuP=1!@iB}u$;GhdTGvDO&2_frnDU#S<1S0a+hDJAM}WTYIG#R~I$JNY`rUUA;Q zc|Nt2ZZ)4WlB@9%pVQ|X&hkATc`p)9%wykcm{0S!w30j)^eWQ?FN9Bg*BUb1C-jW2 z4=QJW;W--gY|wF_XM%nXdb<0Bfik#^sSm0i0XNPUo}EEoc(%iJJY0j|nhn=yzH4oV z;GPNh3b-fL2lZpdD!8qO+eEm%mGvr11usnR;Qk8kYPjdXeLgG?CdaU`^+7}77>c9R z`hVg18pBid^J!E}apZa{X&zqZb+wX6nC={=JBQbmcwLEC5vE=Tv-z%-rn^r_#C!6^ z8MtGLGz<%aOCIRAuzt8?!3FQh7f0F(mq@rU;gSLRDd=gS@gABnLl)>V(4#=l0{sGX zHt3>S=byC=rrJS4G52rPW;?Sr{WVFC3VGUouRXfkrjY`tSk4i(kKL^`zt&}@Rw?~t z$n`XRp86AeKx=lb+kL%Asvh!O&QhDbWX`?e^{TIBzP-G9^%)ttH!@8XV&%7%)Oyu1 zj+s3L)EBG;=6Hc83MZR`1%zSzJ)CC=hoq?c8(p+*EOdsMcTIHyh+d5{i3H3*#s$-S zK|mmVwds{CJ~`%R zZLSA7r_7W#>Ri?`b3~ixT=sLbPa9!4Zj9=bomM%0Iq`wDY@nf+SfM#%`>1zjY+&++ z??^jzzj5~sxrB2j2wmHl$5~f|F>L|I*)>A{!BOcW>IlDB&T&8MbsMR9EL8QMm6LoYo}eXAX9t7~GXaKBNy@+Ma2E_CwJ zk|Do{D>lnUZ}KZ(%rYHAmKW-qH0bA;n8@;By>QqYNrSQF{KKSevfq_mg1>}2n`;eAvQm3uBSXrA zcY3vJzNF3WdP+J2`ab9b&9%0#;j$ttbzmmk;v++adaA$~i(wmMuIzNDqWWdU5;;L-t?TDXKphBRZ=EVx91o&ow}(CwhtgAN0Y z8E%3u1HBsbr=UAQ*JY))aw9{EF*+7B8+0b<4?&*?{Q+nkzZj!WGk!8Hm5(hBdr4Sk z{Y-j@k8q`TCaQc=FF)965~7fIR(mf;qCuRI*BcW|eP{`@P0e$GS}3%@7f82M2fkqC zC`#-Zt#b;fHI^BuC`TFQom9<}B()Y5(5`9yrAJy^-sorB6fGW}y79KgYX89P6PU@2 zb&&%#efvy#gDJ_8rB2tadYjiEBRRB`8f6_}+g0sz5FyB;kQRHQ#qE}EEP{rElcRoB z|6wF_aQ#(!+>gTj)n6H1I(Yk4*AR(ZIGXlA><0Nx^1qsyw)%393f-89$wNaw)53jL zh(->w)3ImIvae{&Z>N1MrZvv4A}USNftKjbJ_Z+DY z=IZ-h&ggb1b+Lqry99A7&*eZrBlYSnX0kPf(w<`{YjVbUmqmAoLg< zkH81jY`aJ9oO`4-;td1s8AKYd8Fq^h&?maH*IAbtzFu8?}~yU)5k44=p&azjYoqW*hxAbCMzl zDf07{Q;#6tK+)@(%6W*8rKtlS_9Aiph^a_MLw-E@v8Kc}r!BypQDa(XUz}JxOsv<; zLxv{Dop}uk!6Ck(Z`4h8CtsB1eq{|!oE=DAV4)|@9QN$e9+P$^QzJD`q{bmq7P77u z${AVo6Q%;We-?AGscJB)IrNrlpFJTl^C0Ph^@GHzbDdUOC5bt6iGNrZwF>7F+^mnK zO&zXm{W7Vx!*h>rBeFD6g>J0bwdpu3N0;t8Q@c?5NxJ8K4NH0^-Q~WvK>As_#~96M z>9KUTI`3bQ&>p;;?Pp>)IbGCkl^*CO*Rl4Q*EUgx!_TXNcmci96@)9|wXJiWI@O4? zp<6+zqkn2X$MfnP@jD)cQ(JTTT;J%2ZHf+$K-N0N`S&XyJfe91^@>H@B8jLJr?}Q} z8kEQE^2)3f!ghU>Im9?JIrK0wO5#&Ft$=bul2n;iK-;MEx1DZrd9NcelR31=%2dxR z+vLDzlQlU}nJJeN_da>!dDik<&~VVDb(KjKuWYmX9-05FPAB|pnc~_(_lmZar5xYo zsyBxb(__{nz<&b*{Hai#K!86fU+X3MuWVx~bqT@+vulap=ti;^;=eF!TGK(cKml%Ed;X3u_Mlzo_O=UE)_>t4pcB4B#^oDAOF_0he zlKP=_qG4+XXP3`UqH*$&jyZi^FX>*28VM!0G{yNP9^KzbBm;_S-vaLj1x*q$&{)kX zU^O5@_z9kj$t~fB$ql+2HbiJv&n+P2pb%h2o<{-uTc}h}TX;)VCynfu$ffFEjh-!` zhp9={QHDL$?0f9n%JKFO2HL9$DPg7L+q%7`)%HaLoz;|1ZYd=JlE#_%V|l*C4Pi=( zBFV&o{ViUl91mg19q-Oif8~0kpao?;{*#4#0a?hpp5j0xLW_C|0*PNk17dC5~Ag4e4mhu*YoAE!o z#3C6u+d;mp`^s>jgLqkgP2?r_dChuYsNL@;on z?O}7F6w?lr+>A*~F7xqaTyS;ARP~tV3rs=BkZ!mXz-0qm#=`|i-U^p4xIBT23tTL4 z$p<~I%%>SMWP$z&^j*+?pl^bn2^#0#jL|ni{|x#M&=k<0gU-7d(~9F4^Y$w~G)5Ok z?pOX~99JAVTd~_1Q5-Q_`K>Xj7@BFH*r&H5=SbD%v@s|^<7J%K8$Mp~wK1%h zH(q(hII1`D5n+-pUV5dPGaP(#phJpCMqW1_4WN5wP@ge0TB* zOOdU8$p0Yyv0{?-lI^rF`8@Mh z9%uCeTZn+&=l#Sq9dg2drjP$HE7`p!#wo+PzA6XAX|)j;teG(##XBmAgFk-Yd$M zQ=T$5s{8DySbi3o_NZ9?o^VMQz`d3}XU`Xn=`>f(Yunl;LSHDubcpw#%IXzyS#J75 z!e+_LT=7DZ1ZgA9-JUvqpkY(D%QW3w!>(?RY5J7^i%Yk~B?e1bqP&2V@JN*oC4P#t zs12HELw;?Y=@@QYSy{9Oy`RlRz)XoQQ{Iq`sh)O|P-vN(TX>W7t)&(cF37Z;oI4|y z_=yF9{IP^Jmi&SCV(MY~SLO>cN_F;W@@;(~s`~hM^6xA5T4oQNFQ%1hL&>GvV0u_X zDEZeeZ{kXeH}OxIv+{4jCZXDQvSGe^Xb->MyF74;^Juv5hs)QXe+I1wy$Ey+=%6Xi z?erS+0f@lPd_h{N{l|cc;E&ieQH|WW%6p4ws+?Sf#hF`ne)ZHqQi(-AaCV4PWFDlv(q9vdx5$kCZg4zU)~LarCnHY_-;&XJu~ z*9q>MPYCW~q4>G&g70&waO;|ZPdl(soN+_YtT`?j;AX7n3 z25G_Q3^?qB!$+D<>B(-|N7^~&s-}>e-j|TFm z`rcSckQn*Ju{vdhF}XNqnqrYLyf}UuDr}4{hRji+F}67FhBC=s(K@q_bl-Z$|8&Eg zq+ah!OIrmM-`cix6so7oPf-s%ndx!Sa>jr8Hjt6Q)JeLjrnPx4!{Nb{33xg_fv00e zj^YPA9ZxNwPST$+B^X_Y3JWMTlB9tHEuL@ibiDE47?U!2g+eX=-f4?CsIoZ4W4mUt z>6Lv}WkCvUyY`t`K1dvz(&?P7*=x!e9GM(Zir=)SY+I{6hNDYqizOukdm$Xd{L}P7 zUdUW;50m7M_guy{)1o`RbLrDfkB!kCG5eLvuv!y8Td@%W_fy&t#{Ya(XnHNjX@_pC zXyhGsIA^7jWeiG>enkCPT!TkrrzPU4cr-@kx5bU6ourYPcrG&aM(WPu(U>|?{}PYJ zg!8(?+ym8KeZ2Kp9^TM6SSS8hZ3Xz4!b(E0{Uv~>Ub3}{}8QdbGqm4CYs*ne2<|Qt!N|P zgPi_dY}};9VpqopyE>?4I}^J)OR=j%DrGFgu1-+3x zNGq(V1ABVCzSoj$E9BnooGn6{+_#D~RTwomp+9yz7Ka5rl0e!k-6Ua=oLI$-60MWF zzDAsC4zdYQVEHr4tbwc8v|&Fp(d;SxVetwtWF0kikW<-vMf2p8THbr=KbFFQk2|P; z>z~_3p&&Z9LU9|3dYjW%jh!F6L#4z*>a=j$JUz=6(8Q=6k*^f-l7_O66V#H~iQ?m= zDU$VxSqq)MkPv-CN2;25Oy7u+>Q@qW;_QXcFc_0Kb0O`C*fX*8DVZsbPt1Et-6;-E zob{A4L!6pe*ys9@c328ExSQS-QLax5Og zczN!zY^#}(M~wA}Fptc0jpcl3cFm)nW-T(uj@DoIObHjW|rcB3aZrHHA{g zF2rRSgUgasBUyvXlJEw5QrB82o|^A%h8B`1_N0FN_XO>VZof)L-EXVQ#BQzg+Q~HW zh|1h{>K1W8WpO)YDhfarw9~GOX_YAT6(x?U%&&rm9H(-270MQjt(;kf8qZ#prLV~x zaYAL@YwBKcNaZZ(Ns1>`7QUuEusBtgxRb|PxRt2Ke90b_^XhEUOiTq1&vuL zo;&nMxtptr$5~%M<2>*Wu8+F+mmvIow$0(3PT4Y)4f+JKS!}B zaVIJ)4;q?LX27BTQ;jv`SIT@DgC7^E9w~F>kN8Nn3bhGPTMX$o*mm_UVB8fg5|9e$ zqeO*+^p?m}WWhxl>W5hOSVxqzW$c!?GWB@->fEAQ0>c`Zn{$)$r!}QV7)bJvJX*SI z)>G0t?XaPV@AQ&QF;Bz-d{MaKop^pvF^lk4Z?qBe+(*-`q8WL_(aZ?58cA^R)6`qU zegmz1x7iR<_>k{4TkC50q{aDr%{0TA7WeP9F^11NsN*&FzKy<+4*8JfhSh;S{fw!U z%UyZO3i)_L%|Xw8dd4ZqzOW^RqHvGb+m9<#n@0DH-9i0ZvPt-_#@pAMP9%j*mgb6` z-M2d{r}8G-?f_-bi4BrXrYH2!#Dc1puO4^@nG^50xN{Dfo$pXmPwb7)mu3dyDvKxw` z1veBA@cIC+W9=&Q0lC?Brb7xvg~aM8SGTUI1?Ekfm}cKk$gk4k%r$wLiP1}4BB#cg zceO+``vXOj1~hJ|OX}9ys$b9yTk2AlHiq%n>2B&!@_9n4cDW+w7|V8{pU~_x(dojI zi{@wYhcvFi7^sZYL`e!U(og=}yHA7pKfHf^=5r z*w&Os0Yl?qk+3na_(uW7^j99Ix4xy$wAPSLJ^4(TL}#^*Q4#M;a!r3byY_mE2mxVy z=?pSu`Svr^+K@ROL!lS_2No<(vYqH%YT5(454+v=tzw?+6yaLeOj4cx40XA~8qQE( zXhJ>f8bUqSc6rk_YW}k2v^l-NepyAE^9#D(Tsjyrlvzu8&(1{gis+%DSkk}RpQJ~I z{9kY$D@gV^mASPvPpyxwwb*65rqI?>jQsW_TSu{5wq}j(c(GTu*2C7`>wHTy-FCXy z{g(EX`GY}H2TCt;tT_+|BF5{nO*`Z_*XNpInZ2m8WSCl^S%D>G&kAjj_EJ&Ozn91v zmh8rYVZsh1TCZwzJMInjqBh!bMh$-Ra?-=zQN#tLNIu-Er9{zryN9;z6z5y*t6NmK@0E-%?Mu6Vtsp zqWN-UzU~#}$&vY5B$CUK`T9VVAt&u(j1?`ByX~T{ySKoo4L2N2cfFxsXi%kl#^_jv z9qBGH`T~P4-Qy%`xb06zwdE>9eY)3Dox5RAxARhcmSKCh`>(oKZr(!5pW-pO^A^%P z#GXC5Ps!_ab8Ydcd%^es={yM?Q=gLF>V|Epc}}Bo>HcH%t`>$XB8`dVF*-#V){1jk zq^~9Nfkr+dm>nT<%X4jKeJC1{M{Q>>5(VUWw&O?lggin!$45lVbE#tO7DeSzs@R1h zPM$}V&$ptnd88^%lE^F1?KSHYQ9>SS6RZ)1G&%pRTW>hhg8VhAR8j6sebn{upRrZf z{*Pi)#+1_KZUJ*ByH;_J>qOIGwyiRa{pF;D03=~q^f?Bc^8LL)w^ZR#S!(YZ)Lv4VfAV+ zt8?qjtw`R_lOFPg%;hV^pC~KG?NV)%1@?w_VP~4$IxCAj2OBycS95A3Be4bK+8gNlBxZ|FzrLo#Y_M49Rb8M`;EO#PY6vp4Ez)jzV}WbV&uwTysLdbF$FZsyQ7=h|qt z`kdt*u1Vr{NJHecu>zT2ndEJO2fas_GTImi8K+E3+VHz}nQ7Kw=+NxblxL6}3TX2@ z$O%!eL_HeOa;L}IZP?XznG4;f5QutZEXNP;9P^?j+nK| zWwNnD|E1KGR!(_A8f&eq%nWq8WEE9Tt@V6~r`U;d=RVFn70o_kAUBZOV4)9ONp=m^ zFR*#Z1BautQK&9sKzxw65t*ORRCrHcY`$h+HgF`Fc38VoxYhk%(lb%kV4Nl*UeRlx z;61h0%Z`ff;|58ZsCXp`=`;%GQeCV$+@>MFaP~FSY(HnC$SL9UB2m8FBOGg#PwnH= zLn}}ZHZnb;LV3?VCOzz>f@3Xd#BY~hEE^h2Q=I%PQyTM9u#5c4v5R~ji#wV2oW{ao z%6V-R_X-poVh`hQNJ!(1VYgM;^=xsp^RS~SFcQxB@g9pby{XkA87KW+}GZ* zEf|Cnau;es#`f~NAn%njB+ha=U=_DYvWQ1?>&%N~BYR^$Qml~0^u~Xr43drSjV@D^ z$Rc~=%9ImjV|r1ZdV?&!H{oX`3A?Pmp{4g8o1WPx3~U}E1TyxT_S)Z#+%;QH4`feL zh1o|Y`}=c}*|1<39p(q~fd#>sumFF~(D>2umGPtF2d0vw!`2;@D_>1{ zLgc_EZR(=LZ|lu>$%Nsn1zAkFenoP9>95bPsfe?rSN<(`3dnFJkM_FqEuj1 zMLi7t3iMy1{}TO|=)Xii82w=MgB>$_ZF5U;%#70<8ikpQFmnd%6=uH+n}pNZhy(l! z=fj)33dh70jfwY04ZAjRr1zYKRJoY#UDQcF%$y}kY4Wgez7r7#N2c>vDa5>}^w?F{ z3auDWRpWB~K$t%mlOFlI>Zv`c5q0jOC3TI{Sf~X1oV$(hT*}&vTt469Oo?!P9&4eu zRhWj9Jw}AE%u()cCE^~U8w+<)zZ3g4mh7S==vQ&K@V!>)T)BH&oLA|m*?bU}MK6R9 z#PmkoMb2rLa*wol{;u~oC|X=fIiCozn8jkO5zf!!u;_mZ^9I@c$Y0fVJh@LE?Gz!I zf>c)WG;Nomy_|SM^O50nIW~}gHguK~Vi4eAET_b1?;DT|pPAyM2N$~~n22i@_whxGr6cSxNP>s5anIn{GsQ$55XJ-M$b+r*Q4ieJ;F zTb!W2iOP@Mp1JPSIE!~rzB}cNC9!9=J8gxR#b#%3aG;>E&WtnWn*<)fRk{5nx*0M!!ivV46GV(i}BL{g=$Gn43y@ zrM+#M3?V+oLzAp6s54=$A_8gI!WYC$=;vjT;jO<}rgw zz45n{K?t>&5gv0rnyocg45svkl{(c(7_B+sly{PmmBMfuP2xK6X*w3;J~o9m`PW8& z1Fb^6tu@_kJ7S|+(!I8C9`CY!%XpXUO|W_x`q}8;LjM-}S3TD-4!W&j)G~h!PY(Gt zd}z$QjLOh^83QFPJ8kcBqs&&A*U@ZMCM;yiA@W|>Bur9=fx`?8NWy>!nBz4L1Lt7i zdRQ8)tR>$z6SfwX4Xc2a!s=im*nC(X>}~FcrYEqFqtld=rtBte+#EwWyeWqA-m}m( z#iP)5n0b;lG`1jmV4kntHmmvJPsX46`=|n|*gG?e*k--L-QMhOM;ysGfsciGS6C|u z3ilo*oGf65GcE{af`Amn)p0Cy8^t$C8P?jytXQmL*Kju%JLl^p+}*`k$9^l?KVXm9 z;qs$Z*$%6My@t8Nw!*StH({}`y`Sqzv%b)izQgNxcum;Cp{?2ktA}YLxR;Ou&fB9( z!2(lZEbRlU>%jhEm*2I8+-t=iu9_s<8Wb_WcIISzSZ?uYlEiY|&;rd)t%sqb*Lj*| zy5aZ`xfAuQ@?~!PxKzp_%VNXnUe_Di6^71U&lpXR!Px5(qb)IS4qEFBt50WPUAJ1hGRqY zD#l)9urvF4b<`4Tg5kiBPZg&Ki^`5oAz{K`K!4m>tRz$WM^vcl?Ck!83N^v*>C1nq z64`@&V_%|9E5SD=ST)~HMy!_2s;8z97FZrjPglEkX*Zgx2NRmP z?@3JSsKg9Qi$%;7m>1IBvgmE*0y-^=DK}RQ1~!MaTfYdwHdVBf1o< zw#D?u{H|JIPiifBN}3?9gOZce70Yw!CBE|;&1C60zWW<3PkN1y>Xb5@f$y0Lz_iZA2bQlq@pQ^H8GXCzBvAI1uU^E_w8WSlWut^E-$|EWg3XWQ63NlO$DU z7tkJS{B4~rE?0d%F;VgYpoyh;B`K-QyGNRY!tfD!A;bJf)V&hYz|L~#I`%fKfC+{Q zM-z&m15-Gf^Z*&{m0yG&D2uXUD0d-={R$gXuZH~q4xz*nt-;Dv079n@LEX! z!<;E2bjLg*eP@~6SQ1N2&|A4%szaW#GKKMjgzmUKsv^tC#;LJb&-KAJu}dlQlrXi; z|0!pgkT4ipU2+5k3fkx_&H{}>%bFviqCvO*$U4do zR-c|Jb14(8Nj;gv)b)rWYv>^SjVgdgIw*hZA4(M+h!wsfZS0^?_3xybYNvYL7HM-e zxgLcCod^X_EhbfQfIVRu1vA!b?tK{~O#L{86NX@?{@t}JaLl85HAkIph zWg*TGFHbDA5blcSCYGe&9$GE^NaIzeB}*@+JO8W^L*E~Y5YNoDDA~c?p?E9KZ_N!S z>BXyBi;pA9W0zE$jwp|hA!bXBWhSV8;>8t*exuyYn^+t%Qt>VH|HDQqy(DF=GZzv< zw0lkCn|x|x&ny4rP3Yy8QUf5Gl<|~&L^D^4svQ?KMWz!xuc53?*NeJN>49pG<($7v zo=qVySQJzI;P~D+R~42Mdq=pcHyE9J6Lcz)(Knf|Q{R@j3~c7RcxuO*_8M73({@p} zNc<|ryC_p7<0`Xu(XLAsOwt21H|u2I81 zQ0#nOFR?9Z^L^_5N_|k~(jAeCsL1>QT`~1{%}=(%HsVvC5$0twA4t2Yhh-joZYm;v zKQS1FoLP+L!uf*&KJUKTC?oMB$EfTwCObxv~A9Ydv zyNuStU5g!EPD}V&^$nR<3-1l23{!IpU(mj_5OPZb$w`)HhL47b_ZVA*D+VK4!mbk6 zSm)>F1QJ$ij~T8eQy;Ofi%4?fXjYUcOzt`wN+3)*b+pf7(I~m+XsjBt?Or|k!<5+25#6H&L27Mhxzl)ky+L1&pouQSfpP>*d}P>CPF=72 z*|4{quwK8%&`?hKTX)}Zq@47({vwvFXjI)BX=A?=Rli-T=_l9gZb_T_iS_!k(oOx; zG~G+-u6{zA{;)0Rj@wrHXXfHND7LY|Tz$tY%lVi`mOIS#u6t)dB(piNp5DxW`NP<- zU>F_d2lIgi!I-cB7zY+oPcQbar&lMqhqWfc_^@R6uz@%G@Xa7 z8eEYSspx>t^ea=$;Kbs%I;Ulp1ltrj1(E#bsdoS3sI%$@s|Tu)lLIVqs76jbUSW*af}u@5vJ;^OT3|F4(bA{a~NhI(3-#Mz>gcM3Z;O zg)38$cvF|EN7#d5qNXeF%Y=t5!m1Jhf3@V~RlBUvBlMqs&!6-^ai==Iu z3hkstrTV?+^F64{O^?cmI*CoD z`(!}4#mhfceJPD}7^!Vu$rV7?260u1r ze%{ibxt6|u`kX1Uk<%hRYl>|Ir}m3O5iw+9k8-H|Qvw9s2t_}I@ZzpK^A3x%%$+=! z4x0`6SROUUbOY0uw`gw71H^vVxh>*j{}Lv9*6Objs%L7>Tjj|v2e(bf)J(-|XDsGy zPAcY*?w#7vnjc733!BF?}isnn=-E@2($hd;HFJsMagd12(rluorhSr$&{m zEMwM6o~$3$oK1(XN0WolL=qc)l4H*SCK` zsTx~2(4-p3s#1TtM#w%V>g5kYgj|C|%rgwE@EGXXt*Zs=-K}DV3ULR%)JP%n6xbMlR^ggms(qk4G>)tBQp_}%BN74 ziU8G9D1jV637`N_0mz?1 zZP}5GFMTx3u{}&BcSWRTnleD~t!#S{Q)z1fV9!&;)m|FrD$wkNbm!6~%w6#m_8$OJ zzeCt#Th=oP|7{?z2x0=;0NvU`rjkn0Yosrn^YxC*unbJjh^fSy(9szyR-#VRjWeQF zVv^~^j74;lITxioSQ;Z=Kor|aZ{_K9K1$5uqq`OV$mH6*%P%=@nXgB8%P@loA}9E& z))zXHQ(YB7t}r zJ_#$BT7SvMgN4zG*XT{0N3{Rb;r#_RIJ`Fnr5pvGEgv@1{duN*P|7g}kAz{BGON6o zf!Z?SELiyLF;(7BY+rxKRB;0g5c^D3-xVv=*YV|^;}6nyg=%)f4hjZ#P(oJ1ccz8I z5i7Albmifd4^X%1R>Lt5FjjQk;S~?i=jm?4QJv^<&ae9OIohodR(I!!TDIC=n(v54 zHa>`!JVMCE-KMP`(aBb~qHP<&e^onATRx)o6`xMqG(!4{`$$_mvf!(_E^YS+PD$;k zkT#;JgkK|!8zCy;o(V%nbd}WIgc&31rO@mBnw3f+;!8?1^y+Z79k`Sa|FDl`QMWCl z$7%lu>?;V@;;DmC@5k9L(*Y;R>*89RR2n$yac7<68@OR{SDciSI1X_QPKrs~3q5I> zDnp>EcUiKe%7n4_)$Nd~q&Uy2BypGRZDB8f2ao`M$Ja280jj-@$bddai-npEX$2s<>%hyVx$+Len2%>cc^_qkYm z1X~NcQI~jn9k3hqStK*vr6Ffs>?y|DX~f`{3ipH3qU9duF;T|!MGZtA&ameKgV15% z!#*d`%?K(*T;n#2uV`R5LKKOHDw&O3uDHDl^@+2sXN{faQ}r<6E<559wfn-QpeuxT z5PoJ*b1ajX9-_4A(^bg7_^cjvJJors3HE8&j`Hoq{v|5*>}KF{sHDXuJNSABm{kmU z4lG`q`&X#>T0%hj%@B|tA>kgRK!6cE=ON{I1QHLr%#3Ez5*v3}8_pIAcTU5I_}r41blXNyzoYvz{VYPv^4fbAr9$?ma>)HlCK)>>Kv-RvmQ;UDa z9mvt{w9v}`FF1=9^9;|fidvKZmS)AYl6sWoN_>U+G@LfF{Mz8`1 zPFTd#i?H~`-Y=4A3RXhtfk9oDhSDOAe%uKSg+<(WvAUg38p%*dvcu<^`!Mf{QkqtE zBHOukaaT1Iw{kM$PHU)c<;urhs)El<%i=ENkUywb^yJy0b=1OoJnfWotsB@jBBcz! zA=KL9NU;SN)RD4;I6DZ?GAp#R)6*d<3gu@OIGK@HVGNv;6^ZR|{0!?N;KI@{^rTl9 z`b9y5!W{@}*{vKzjFAf>=8|j7=iD73ti0o@>7^-W#VY_ehFA8y0W60LkHLl4;G#U~ z1@ZuCsu)C^C)JpDECApDngAj|7oZN%1_0v@y&CfzHGl?y00p^0Ax;2aDCBO&^Qh@0 z)7r(;Mu2Tjo5bq@$1kXgV$%(s)sVtnVC~K?^^K0|JgG< zQ$7Lwqi)TFUDr=+9SLs0YyoI)EH8^*0tV-&Df|6KiYrW4u&8w-t&|JC_W6%s9lksP z{j>j8ef|JCmVAN5GVm}pQegJNI{JSbDcsDLfOUetdHw;Oehz43o}%l8M14gk(mg`L zl~8X)Y9XNVMHFd-gmx;LbI;VbL@1r*{OCEtkmqZK+wiI3tal7$J`VnB0*Hr<`MQlh zAto_<>l^*XYg%AC_Y!R9dI;2}w?^pKGyTw7#SiMs{g7HEWKZ>SFnxbZb0}Zns0vm- zYMPz_5a4XBcR!R3$c4Rk~qF8 zVo%{YoDz9cKJMi`l%e-}Tl1LHajm9`&*jNh8iqI7%`X)&ujt;ku`6ZO>4~y?)Yr1+Bo<{5`A)tcSLUaK=EBF*PA8RE zH4_>>6K_O3O|#F`G9o9_6f#LC32WIaB|3gq-H7#~?J&`jP7=v9ml<6@n{M!b>|GW* zFJsRQpj@28CEyPC1V~IkS3;?UyOt;1|LXRh6l;#f$eh~h6J~~u-hF=aq}!I6Zd&OQ zA4f$0?Uaz>=#eGFODCEwKGE+-KHa;gql0{VN2bSFl*IFaj;N0x$MFqS#a;8=;=N>F z)ZRHma}UW~z9IJ-ZR_%f>eDTA+OO4?dj`*_f`u^pa{q2IaYpGi&Tf2oop)f_YLV;( z?il452mG~m)aU1@bXrT8ECY8_BYb|ZfxfB1yL=u`*_7%6HcN`8`d7eaNjnD&>`&2E zVK_h~(rrS*zhd6N;1K&2O@zS#BHtbq$%I5Ip;n0~(+wI*XN&dgYc#-CFus0|hROzr zi+D%_LX3pggXjNu#oK6Zc9>4%>#Sd3kr-VHVM9>EA%Up9;JG5AQ?Z6KSbwHUwg$$R z%T<^t&fEG^Rfs5Vb$weEEV>`ppRYoWb1&C-RbgE?KkH9K$hdHS(2|?gvT-G})y*2& z>Yv%481hs4?lNxuD~1CskzM_phkXSyyZZfymxFOo{{~x{0D@jM8HA7D!@a)LV)Vh(=w?5y~1F`D8OCisH{NssBD@XKnb95 zR5lmy0&%MI(9y80I@6)xuKq|EKBGNGZDfCxV8-+}vW`kfW5yfV&m<}kqn6brSukeu ziTy`{aH1?=u_d^rH&ExBwy?e!Xq#HJu(9;Kg}|qO7t1JbD38q zcA0nLG>b2bFInvA6-pG5AA*+_7mn2ib`?)0hxBCmtneCdL5}%z+2!09+6^OOyS89qGLIw0&HqnPLB`}=UMaWE){1C=8s|`W)fWT&r zK!^cEXjba9IK<{M&>A-3tY!vL!)laO4sVDt0_4*E8*vb#5mT&Oe{4+W)U`4EscUnN z{<~*1r>N~LYesbCU&d;{Ho$U#O$ux%O)z#>{*{!N{^>ssI2`(` zh*KQb3?a6PZ^kkCvJ=Jc;@bI$q~e;mD|~cA@pxPdc&LK)e<$c(5|xFn5M0Pui;3ge zX_(irdw6IK()L-Q>=He z8+fWImcLkgczS#r408v=mT$NxKZ;?>*Wbh3z;NQjd#k@hH^j3P@kSI8;!}z|CCUkb zZO>xvU_GZwR)Kp)yv0uWHTga$=4-#kWr3PL`88oyc#GjaKqci{LTDVL=P;My!DLn@ zmJr~%p;_sq+Buqivz8I)M$Sr>miY8+6v^_1G&m7(4dqR~b+->*KTCCS> ztdQGm1#kl(m*8D{kj;uu)vulql79oRJV4LF8RrhLyuHR*8Y(U^1e^e@6T4>WC|EW1k7#kMZ+r-uzO(@etZD&oaEdSZ9wLOH?lT*Y{=41 z%vo-(7@T6PB%x{RLp5~1^mQ1BmG@W5tle?)zx~4g`Iax@CSP-=A4?R zdhFR5Cf?kUjLK8c>tP@3^PLtSp2jViTbPSdZ7kR??s*7D9VB-a_F5qveamnqKb5U*2KhH8;FR5#iH%_vCzuan_qXvJ9q<;wT=SAPgm}#e{chI{Fo?M34 zF<0_D@e`kE@^YWnoa@t2?!}I;@D?$;Un6elwT|(o`;_~hLylj z^8=4t1cs@f*&gOfhLfL_PrjqU#%)nGIVvZKGc*^R-bu1N4O6a`K;Y7-YGJxyt4xS@p$pm`<}h;HM!vBq&DaVgEKT zg<0@`K=!nnLGrDv!SvfV1U?Uc6D=28%{cJE0oNw597ATJ9SN&pBU0Isbd5=sxTKhG z%ukX~Q>-^KNs>h=W}ouICDtjr;c_X4pV;jZM2g7*)^`bRijoKWkn4t*%_Ce&JUq!@ z&TU?ozfrPjc+C>Do5-X7^c?vs{vY#sd^y`azWfK3+-HFOL$@4WE5ab@I<~g2wKWJ| zl$BI|V)hi|B9|`hX2$Ek5KfnEilYxKwzY?B;uqyo$bK&W6LTF%)&WT-kjw#z7m(P! z)~+HnXyz;qbg+#SV8cWh+f`{0--T+sCJo~tB0JZnaXY&j(LSKb_P$CyW^dbsW zjw_79Cx5*?A?VbleAa$1K5L8q%AOm5UutLLmddSavMVqjBX|*W@m|C|K!kSM98rCbufXw!nOD3BaJh+)2t&69D8o zK)w&ieSv%(kk0@)9mws046`wnC$L-qwg7LY6k`~!(`x{`;1aun4SIQv zka-F+ZU8nzMi?Lq5CBL8BI5${4lOVEu5uh+&5kQH7KNY}L%ol{W#{3Ntw3S|B-&oY zr;z;#vQ;6w=(r=inmMvwEM1S<57=FBPwubMDcn5K-!KR7Z#d^#=`-yJSZ=yk{31lF zXEzWh8|*wb<)G~GO~%e!MJ?bps@dCG4Yma{VJ3Di$BMvaY|g;Oj}nWN_jWIX*qK~! z1o$n`?W8C`4_5O7yN?8(sh@Q*o4`YX4XVr;P<4%}M69PrPV-Dv?}3i%Q9kNk$xRA7 z2O`3)@}!1BQ0#>?QmA65(FV4FXFWiD$Na!^&x!0*-ptuUIh}&q%%xH;r9f!3+nx(X zWIN5Hn4P?Z;J^enC|%3ef;JCKds~`S2m}wNmn1m^Tz(XT<#}i(kpSxS5`$f9^CVzW zZe|ZE>`5W!g2f>&04gAyfO*p^LB8>}3-PSP5WSs4%q)#UoB`e|QJeOxLZ6iajLCLH zFzS5(4SdXo!f%)jMc***I5qVfBXa9wBXY%7q1Xqi7PwB`aDx=eb6I8BK-}94@J0?B z2||Y*0fCN~+kGsw73?osc{>c73u1>|06wj#^u86CkG%kI?O}aE>aZPPSu6IaH+I-N z8@0yUWH=}r^URw(yfho_1_9gxvawy>s>8ltL7&}bc==b%A8$Qd+E+Bv+XJH9LX0LE zTlx@oX}_#(pe3rW-@w+(5@Xo^JFYT9)~7^O=*rO4Fbsz+Nuq{{JK|M;lC5VNLLIz- z9hievY&&a^p3?TcGxVyAzq21pWU35RSeGSPw##!76D3RI zm~;3}lWtkX{`e+89YhIuBL%IBL!4Kz*9gX*CO!6@E4B3fVm;Xw#DZ^_C+N9q@TscRsCH(^rMVd|)jIZnL zxK-j>4!Ro@54Yp6xfT+ZqQrUnC- z#jDGeVsL{!tIB0#)XjP}84x?HSFp7Wlsn8~QI|kfA^Ie8o0qTbHg8OZO}0^nN4C*4 zEdin0A0RFt0Pif1h3m?7=W)+><$Ls9Rh?d)^8-;=uuV_=dHNG_iSlQ6&|eSB-wm&v z{|dDbb%hhdHop!n!m5QiBAe-1YSFEzOuB{I>Q=;E?p8{j22xUT{!e5eL)*`^olR$8 z{Vcb#CgI~KYfSk7$BlAkOz{AB7v=Jp>Ix2$a!SKC|3yl6q4&S-$2J!-uKOK)zq@{k zpB00=Pt$NO2N_E!qD9RZ`X`_;FU3#A z3p3SUt6!q!jkLxHG|+U1=_~wL_wZ5{4`XU{=*~XkiKYllG3O0v&mxMs2kSc`(Egl< z^(P~c&*fgE2MaV#^=?C+>f44)gftP-H;}&RFREunfPIQe0HZ%5(!ghq=(a`s*_-8a z9)GnAfBiePMz`n9O7rXgW>!n4zPygOE&BJ~<4;#$^X7lQ9~sZRSlO1wOA#*Fxyat< zndsl_i+9KV9bP-~WAC?Xf7w<4et6uL9PEsKx4TjPe9$sUyZ7(3I_X5(%)d(}g6ID{OavL1|4pigzxtQa zdqDKl<7m_6xZ+9E(AK44M*Fs=6i=Set&tR_6gBwaKMoU?!I43vG;crT5k^#k%I(LG zo2~G6b@YBGB~k|>8y`3tmmZPf=&kgUrEp0?DO?gLy~G(VX`#D1_6JCh7D|t#48Fr9 zInun%kmr2|js}Rp;IdSLgVG}@^9xeu&!v=BK>0;_-CsB|Rg>oV!cmX(hyX{0a2?MN z3SPNyQN*F?zJn=O8+@Oawx<@K9oZTbYBk3)b9rPUbcF>v(2P)-_CZp0kr#RDKxG*vTr2>A+j) zWHAnkv#uGzt)MuoBJP}$>n0u*qGwd+f<=3`wiKpn$0$9DX?gUHKhfpDOZghpW~!auEPpui>)e(OlJH`a&xzBm0awdtfg^4^s-l%( z7jj^#dXpVaM07ae+t=>}D`}aT{u?8&UP~OxLO$%Rb0M!~iX_=zGp@|3bW-xTb@Aj& zV4$+|EC1>o*@%3%ZIV!8L^+j%JBhaelQLqN4*_LU%%hw)RWYypI*Rq1EZMPHFjaoN{=9D#D{#Sj;>~>b|0rHo-;@J}Eft=jcE&q4M+Bn#`yE5P z0i#e9mDbgfN@&Dp zryT=PovLSxjYlqyN!Tf7C8tIVpD;A}W`}&2Z!MCOY3si^g~(`DctAYo>DElWVk;`D z?~c%Ri?()`RTf>D!hKa+SYIBY=XM6ZM^YK)A8`6|t~*>%UhwI2{imuCCy|6Q^x6vg>df5b^G z9jAu%RGr!0T0zT2?BFgP*@K)ho>Dh(Q6OY$d{y`5eN>c~YEbrhU)2$1+WOVXu=@v7 zk_()O{b3sD5e&?zO624dyGW8$+ZDAX?dv$3gejTs<8Aug=yjsPlq_GEJZt9`l#4)4_o=!g5U=^+@4N$YY^=IkA@TSZG$R zTT8NGI~ZWW7dH$M*5HeKU;C|s4I1f(aoZsp{3`Iujefy>B>Z8Z*J1jFtw%>>EbCOs zQz8;#NQJ`XJlnZQ0^+~@PGZ0CU`TWic)^STrv#fM${A}aWf#-eXM|NEF3}@1Tr1-; zd@HfrK$Cd+O4JW;<7wJTjH)+r+OJbSPxYYI64IyD%s~>=d{UxD8=(VNU;qz4p5kZ+|Iie;a?WO6AXox_WIE!b-F{xqB@tplAQTYWt zV?U!PrU=%>5dYeS(@x>0U=I|3r&LeL94OgGDV##BD855EI0YK^jg-Appke>aGYaCm zs=Ima7y%9YE>E8k(6Ha-nKS|#_J2UbUSVosS?_`-?l73346I; zZn{`Q{tG8myz2k#%dn^E6asz?FOcfF)nA`oNVXs_;sN%aci)Lag=?P7{S+|wwN^@;iZ-uUkZPv~v?4+4Ale0;` zY2;?TY$BGnI8!Yfyy7m9C=Q3|$aaB+&80bouec&`!h(Ws>bW~pNlEQS4=qzx32)L9 zm#LtHJKYlky;D88Cu3%zhW;I9xkTI6zZQD}9CPVrB1&;Dn9Y)%w!tx|SN*DStPT{l zSg*$@Q?t_|4|Xx`#ud+@1BusI>m>?R){QJpiB=W(les8TwvB#@$`V=Gu6_zW8gy;f zK1C~v+@|X~R7u6yxbhr1Qi(4F0dS2lSOBljdU0pw)GgI_Gv7de)c=dIfd1$|j|?x_ z-J><5Hy5%5nd)7-ub`L%Mrsk_bvSJSIa7$ik<$ownL!2%=IA2q5pUThZzI&PV!GI& zTqBKmmz^#_H?1*3xPnT5m=W?SsPspl1W$ziO{-7J{-$qeT6+?K1#7f=KSg))TOrxt z&%afV{}fHee9qLl^h`S_oNDMn?X$h+dv;Zrg+=9t#L1H@&gfK*m>(r55`5C7nlhX{R+@$ntq~|xnd9_p^{UkmAV;or5Nm<{KvcLu_{$M+J z*@T#VMI;wuh0ZT~dcH4Slx>4NTTmq2{xUwpGY1tzkn|Y849$qlfp>{tOpj+>O8l)q z?8P3RVlgpWe%P}=e!JJDG_77I^S8G`XtG3Ewjh~SKW)i3Q$n>BObQqF&Qknx5D!Qg zW@_PXrb};}nq{Y4qk63DBgH=28hu=WT%V^~JgUPpNAm&s0F6JbkV5HH&{DX-)GjQq zFQ0ZvvFt?D$eo~ty$n*LcA{(KPxJ)!Y7EC{DE1a!@>F~Y+IN1K^99>H3#J#OSd}8v z3kbvEb24-VJ=Rt)^Aww0l#AT4{C&MS!^;(h?yj<_}CILu*aMwFt<+{o7mu_Q!{dv4@mf7@Z0(o3Cfs8+P8{4b8NKfu} zy1SY`KxHhKqSN}5Ha7wULlelCCP!2YmI6T$WbT8^`LtQ)bO~G)3K{DlG~aSyIq44E(B3iC8~wcy9Ol-hP6fO13D1HmW|)B*=RxOx>}5(uq!0+;-3*$15Wax58jo3?yTY7Z8~qmaxcZ6$I(+3tteIb z^TNK~m}yQKT2i=O92)PQ6Br@$Oa3v%GeYjKzNPFx0*QU2P-l2;glwJKA(lyDqS#EI ztfY2`eY%h+){HmK2_HZr3s;Gq<6&w8Z(V9%dMJx6mDbg6?vvH{um^1`QdW%PYmz3`9x5w}7cSPzFW=}cb3t(} zbNAJs5CkGn_C$f>bc3yCr*RY;HN|VcqQNS$vR*>2Kt--YmEY*4O^RL1@ec4Kd z^F4^;%1)-OXb%N9TJ^Nk+~Bjn?4_T+>*;L0^iRy0Cd@s4mdjrI^!8$>cN@EV?6%D+ z=WSX1LfmonC&mQMnr?%GlW=hD@{vu`SK$xw(~-qaZ@Ra$?@Rx@QnBJ^7m;eW0J4fm z^Dp6eGyLCHM2g7(KwbCyczI9m)7JtE@!6|oK4Zty-+IaB^$s2W zdC69BuHZ3+Hh^OGUaD`f#Xi7Kuu=;w)r^LaZG}I@QSk`AVFEiYUcVza0@X++v9EZk z$l(s<@915T!ERD|K;G=hZ_;s83(w!v>z{F=467x7j2Asi6<(t(sL>!!tj7Qo;Q6 zy6l3R{7Qd*)z%OkaRuT{*n&4JR1(8Ti7POt!nA@;$~)GrFe^N;UTH6{ypqm!ti+7a zv}k|Kn36L#-x{2XPiYi4eEPqZf&KFS^#AuVrvG1M1m|C}8{3L}LW+CxBlXCx^r8#t z@7uaM+nJBHejE>96U|M&_1R~{&z~of*|hj*`tggqvXFcaV^mInBu%&0DzD^3MDg({ znN2oF(&H|!Ni8RMzC=7{IYt*I1>E&Atzwzl3ZE=XdU7M}ZUk}Fio(0mDoLrrw3n+j z53Z0W{_flr)4u)I)-+;>`-A?V zO7kki_LWBeJ?-y5w&sm(Rekab7xc{R=8Nd=8S;Unk5)va<%Byd_V%K{FDc&ER+`#5 zIXdQyAAKfYd-vfl?DR3E>tjF4+_VDPZPzJ3#(Nz|P#x_4oN83yMevf-zpBsWUX~+A z!ry|j0=0j$sdWZ7SuP2P`Ov;^in<$|E+D|Ue%3D0SI4f`ABsnW@(WFUMp5r7o>-&+5H)@Ygq&Xy) zI3F2$92Z>bd~PT*=?)`{RORrfHgY0xaq?N`ilOSbI}usW7bX`tFCEg13)aipQFXt+ zR;5R8?Vb5~Stji>fl2F5n7Mnd7s-5ieDe0hWuJukEH;N$zrBBMvc?R_WW9NHtf)rs z2`_Cv%Vc(m%~$uGHItv0Zn`L3vRuyX?&7T>sXYwaByU}xwY0={dTqH-+!CbcldAk``&PN&4dSYd7s|SOU%a;--;%Thh0QE&%oi)mO~nHS3<(cxGl}f zC8n=h=)fd}47Ez}=+G8%X^|i^kx zxpF;t!fNqH=jv5M2`=KA&GzT2@Gq_}e3RgG-muZkbNAZ-veb~Mx~o!-(1moTaZvk$kO6ee^Zc&JQ&mSS?hFUZ8PdQg>%s|LT8wizbAq}(GKM1TL| zt}K*tuO3vYY|DtVk4{{;kN@OD0_O7iyZh}khkUDhfGSWYOrrK5?>!RWojH`SXE17W zoc)u;E!fa)mnNvp*+o6-G`*hfn2S~XaDpiM5${%XRO#i2jO20Pz3 zxS3o$QazL+m3ncQWaoc!?Ck+LwmMX3TBMrg4}LiKa2NgACKHD{(pn;2?C0e9KQck? zU4h(JkZJ}W$xppG_+cH@A#`+wY=yJwxgySvvET`$^cF51N^lneI~6tw<{`-W@&#teWZ} z9jN4lW0)lQze?Wj2Wf&GDymIV*E0ue4?fJIyFn#i1nmr-&~d1~E3IKxAXgEdkY;c+ z2c)T8N4@AbdJj=?nR1TI-7y$Ep(w3k$xs4G`k2Yp_`}kcRohN@R9SI(AaEieGC(zO zqD2s^|8A9Qb>hbHEc?|}gO)d-;6_{HM(NXbN;j5Pp|E<$Jo1)I;6#le*5sYCYxP4Z z@uTWNf%H){Iv6)frFF8xNIBmLOgbt^L{Vpp1ka7$S-Dn!lM*kk9?UHSDW4|zKb1a@ zjPXa(dl!^subpV6Zd?7NWk(Tz+sB0N%=>k_59})XS@9uAN}$^yy8Yh`>6hNUZB>K0 z7OBhn_KT@50q|Ju`EwzQ~Z>cybZ7MY6ersw|6d%ipI z*<*W({gY?#BuzDIhg77L8+sQ1RspGBwjPx-xOUSa`LMuEK9HKZIaJwj!wT2xXHx0o zhZ6pl0Lub9<4@8mJEhyeeNn$2m~>2#h^AHq)&)9+8h%LVmOgoTC|3`7$|w6T`JbwK zLQ&M(>LLBeTbMv<;gae~dQiH_Ec?j!+Ccv#`y%PXtPJG({`W9lrA_Q)0(JI;AQ4Ng z@Tm)o;x$~VaGrp6QgNtyC{xPJDoi5(UrqC*t@fUMg|k`eazw=-WjWO_)Zxis?Pd^U z5FWB4$XQWtHk14x^&M#o(5!~`)TSd$Xc?&3et`e@L&BZQZwne1UfrkE{n)0%=fXF$ zqon!n*^Y*J-`F#xdB->C$XoK2RZH(wRq!vTbIH$Ilex~-_}%>r6Q!xm%bFHZZ6Nn> zq7^xWvA}siVG^ z=thSkip^#=K~d5a(P$Q6*+Ux3ozX&>r}=i)+Y1LsrhQYMZq}Q^)XOSD*st?2H?FLGXuF_^?+{-3@`< z^$AvZ)8>ZSW>RSC6a0NCRVq;R0aaTS{=SqdDv+z0aGnw(3D|tyg?>IcU}&nrEkMv$ zStb9d(s(r9f2b60%6nVmr1CZ|8rSBQ=6m(F<5n}vq~b%@5lF>%4`VEn3UB<%ox2>ydAzor@q`eH+*^gul0FBYK~CiqVq$(tD8<$o(n37Y+>~S!vOlVG@UyaDr*` zsoG{@=#w$Lp|qi$ufi)ziwY0q7D}IjMT4lfC0xmLCdheRG_U=VF$qQt8jRt!m7FB$al+TnE9aF92#SqH5e@vq(mS@TLDVA6bq!-U zOL-nOYwi@dVJpW1?u;Gb?@H$qVRgXms>WML#eW{iJt!4_Q-f$S@jM}f;o-b)H&3P2 zID9LJ|2^4Xzyh&Wdsr` ztCHj|FiTC$#}^H17O8*Jjb^|aB2tpkAR>eK(sUdI%ybHq1hkOQl};<}I2H3xq;t1C z&Gzzqald@s(EBZkR$6i{;o&!MTRN4a?gs6|=-xo>iX6Qu>uECZj?T+VVUNO?cFoen z{+gw>SnE%s^RmkBc`0$b@6dB>@cAC}JD3lnA3Y1`CYt441k zJx>opNZO+t*^8i(o*qf8ZfYtqzuqBX3pF(Paea_<`BWV^{3!9ffm(LE;Lh=DacRR1 z8^;}{bf{C>E#dNVF3ZCw)weK}$A>_)qjyp1)~R=qp5&YF40zVa z1>*dEWH9mLLO2Xte^PO?zgMTmg#G>7#`*c@++M*d4D}-YPDdsG)`x`W*)TRn-VAK8 zg0b;pWp$t{jEzZV4%OeKQ^1NO`%QyU#5ns~Z%QpVS8fJ3NQdbm1vo98MlE1NN4+08dRDd~(keM-=>rYdYHR6)kqTteIdK%oq)P=sJoUaV%n6Zwfel51 zE*Y33Yct(K7sG1nKWXj;Hjt&#)Kv$|(-J1M-_(4?xpF%=so==>KT$P93D2eE5?GK)xk^*%Gy`d;Me0J} ze%Rp?yx-i`ZYqtY!H>_**8R@OZ0y}BtRO~B$YJgbIFbk@~ z^%E3_>bEW5@wU}{o39(v|BM7D?UCL~T#~(mR2)NKC%rlQY%8jzD;1r1szdbXJDCb+ zYVwlc2gg1nOu*zasFO^c)r4AR!F(PgW$WZnyWa4 z*I!|<{*u=6G%T&8wG6hah?F**S_XBtQ1B2*&GNaEbBKR!Y|s)qNrm&Rz$AGodzk5A z9tobXbf~tGig$4+;n3jtEn&i)Tbed%-&VU;zme9KTs0_wmD<{+SA_EmPabRu*XtM! zXxUNCzxFtF&6@_d3*YRs&Y#N++J63Ub?O?!ROS5CF7tDbIKQrTjkawZpN+a;_ecv? zOQ~JgW8S+&rpm>e*u$N>aKy9_atfl(ut@`H>1{xqmFUZ9*S`L&n^4Vc3sNh)N7Bm z9=J!9kNn%jZ5u1v9_6P7nXgT?=TR>`y7CM}NVT7c+9n1OD(oKpIx1L*Xk6&kJxZVl zC0^Ka`pEarQNmE$CutQL;u^PH5_GA=q;B{bbzg1a(XP>dQW=8!V%{$R8B(<-qJG?r z3KK`&zxAkw$*=y<_9^Rr9sIpK`dNAlHLFse*`#igc~leGJ!(n6z2U;Qd}#reLv0J- z*|sIcH-0Mbvj0CzqCylY*?`K zye}+A+a?%|-+ZM4SWiUlAB<8IN6p@RRI{J|^JCir7=7XI@G*#z(keJ)ykWccSDuu|A^BhD1Z`+mc-g~Z`mX1guGbB2?C=Cu?4h=; z`%&k0da&mW&aL7`8O}YFmtCE3$xS?3N_ZAkzW-`J@9$5x_2Z9fSDyKHNkV60x%}PR z;}O4}2g*;}ZoGN(N$Hns7hV;b^&C!Zxi?eps#9UfRCWC~dSGld`}2+!(`zpUIiNq|=u z&wrI|8)?JlEl~&g57UODVQxxUMV(5Ie6NB!Z+&hdC+Zfa>lM^}G>-MxIMpq`-sa|p zblzyQ=VxeH^=;MlKN8NHsI@imh zJ@sxzZK6z_YZ`eq0|fl}nMDf{%QUMWtJvOXrM_VuIc^s4I*I`(oJCzP9h7`%^c)j57H0Z+Ty0 zn@2|1rdvCF542tEdj5K&%klRgd4}v`h`nWP2-$2pu{kaxOwc5dssQQX zHhtRg@fMd-zG2$15_E`le{3h#?ed8U%M<8Pa|L&e&MjrW*EM^8!f$ls`woYzZ&CkR z1AO<{T}Uo(i>D1Yx47)%ze*oAf%V{UX5g@(Ws#1Yi)XkA{@YS*$0Kk{UCQNa){Oed zc$y5pOW@_fEtQHHoWzW=#bdu3U74m^BJ|1*TS4c{KvcYr}f+csu>R^pZgp&pk!k4e`tlmDx!FON$q zjrvb>0T)Cmn^aOOX)!fRtuPmabTZeRkTi2QMa>qV2E!CdTvDgfTpCTZ(1wdE*rcOo zrlyWXBj|)_i)DBlZ4ojl?7jSc?;r2K-_PaT?>Xmr&htIbb1&Bsw>TnAw32BajrdvY zkRsBAyq9@lWV>6xY(P?40DEh&%q0<;mPsu(N&qNa%^Y|HVrw(vvrVCG7~F&-u3$*|%~NF9s&o$SX~7k! z=Vat=+@VL(^Hws<(J4Q1TB_)(nn40acDB_;1J-eXc-KVX0X?#>cFYzf5CHyK%#+t7 z^90pn9Xs`CJ$ZuZJtWoj6jil$6g2!0;_B3)jqnq-wtlfjQi_2E8*s@bai7|N14{50 z;IGMCD3h!vxW#1?)uYvDC(d?Q8fPUlYNeMq_@s(_)eNFBvc*;xZJ4qS*suwNn+sYY z$LN>gVF$+DHJK}$d0X=6QXM`BF{qAXc3UNfWYu_#fa`0k+i*N}WKNXVNa9n?kn(j$ z-2%l0E{TQ^1NnZGfD14Tv{Xlk4#tXn!>|!(4s<=#Vg!%7YcN+ZywE)Qlnx(iJ+hC- zGrKn@k7d>LjDUu<(*oRkXw9zKQ(i-jPt`%n1CMqc5WBi0x~a{YqU7;MZ@{%whln3c z6y1hlP**zB{3GV?X(RgcRqS!wU)oVZlM*~*IbYXMZ&CCixDyIzM9Fs zn$5+Kp~T;T&`K!rIl!nklY1?jyM^p+E~rqW)o|&t@mUSr?jhW6l;WVd;F21xfJ+ZU zC#$%&VO*QBVw<_3RE-wGrD5aly3lCPp5077X)Y*Kqu1a{E?~EcIeR60)&?ANNeovr zgVI?A*l96m%d$~xsdf6KnUnGA7ggYFh~6)rt6eti}0&=`7WmS7-TpG?R8s zc-vFddPa1Hz>mtNLCYC##1WVNNLuD8%0DCef5rC8{}$WyA?Yrw#I^epkJJ*|aOvuy zex#y?5tq6TDd?~e=maM}t6``|s+pfCqqSWVrmVFPxCFodw??H#rG6rf)^-zESSTW_ zxIvLB%2JmlH;l~cXt*|%R^8N}#ojJ5ND{9XSfePp0AGjMyU-SrhJP!*r6^Z`ApF&b%qr)LBWHi>W4v#W~G2aA_f z#b2&Ysp<~U@#!(CcdP{K5vc*<>sUcq70n>^G3(2KbQdns)OVnjVmLA{lKnBqFSw#a=!2w|AkZi3(RiPooSBw(91?5Bi_OIbumhSQQnBTtJksP=7`j*jCsf_S zZ+QmMhx^ImfK(G!zyP?WHeies0y!#MgQ096chUE%kEQAapO_OR(WH*hDuYEQhih@# zTCnVfMT*P-qKRe0tMY_=rpTiOd$U2GBHN(`Z^Ekzg{e%@B~k=I+>fOcLEIxAvL5$K zX*ih)U~dDSL1Ujl-0kDNems;Sa56ohaWhCpV_!gEW1QEI2hsqX%nWF>0qN+D`)V-W zYh6rgnH6OlKHS2GN+EEypKLoY zk78{Y;P~Xy{n(A|(9eC!Mb4y22bfzCJ`kxWK|~}&1Oj(*-Z#@ck#sY5wKcd8-7yVO zt&aBE6E$CBCEt(e@)RG!QtBZ0yY912K9E}DWbqdCOAQkgSppO&`X%@jQ`pB8z27U> z2~L|6@u4`&bx<9K$v#?~yQgL7+UYUQX#X$b2Y*(3UX^^Cr7u1=8H75WGhXaIS4m(uo)GiK9Z{)%%6ov zp`7y&ZZQyu+RgE4p?M=K*RV00z)q~Z9P-tFfVE*zdK8zaXHj{z;SN;>hkUKP3U|vB zo@I)h_Bz=GkG&U#K)yQJq3CAG@3cAVPbGTSCX91VsHd4XM!sX*9_H2dQUnl28}U!n z;}r0d{R%{^Va?v>?8~bRXCGIO=zSHU0#fH@*l0F>(Zsn2(WNH3xQkQPLOY5KTg5ir zOpZp=`=O+m#(6cx%;#GPUlNrb46i1$PVc9T&fMVyr00}&dTv{AIQ&=Ila@0^Tb<+WgyJNJ z<})4%VJp4E+2!{f99llZwhH5Y(I3XlY>Tr#U-4W1H$Cid!~VPqQ%hjKwy$Bu=RB;P zDX|)QwxHs31=h}mSiSmoqu=teDpOrshnU&?{{G>Stq%WYzg`S;`_7EG`4`>gqr3Tv zTVJx#ksoLykD^fK;3w@23&WwA@-+|LioSk5eg22HM^Q5?%^l(K{!?VQ+%BHPeAJT+ep6mhxn%!-^JQV(aCF{&W6KMj=S^O+ zEhpa1Z+ZWB&4f`p@i*BJHoWRO()QDZ{{pY>o4qkpc;gUrUHZ+<)r5Z)g|ENt{PWN> zZ3e`Dkl%kaxPNcAcg01Kl_>VYAz->pr>pzYk1iYia8tO?bLd=Uv-Q~<@;3Ut|6KS% z^i`u0?q z!M#yW^$%E6!?3^`rkRnN0kPLN5<~q7U;{!<&6O{v&zTWb0(S{7;dt0M-g{1rsG!T z3T(_0r3~?Z*GJi=p<2&dR(tIcQ#AZ^VKN6t)ml!^Qwq*cS5KDa zIF&1Uk<@|YW^2ip%1?U9ES(~L8tc{USkJwI>bT7?X;d)<91u;k*k<$>iV z56Nhi_W5)FXm+@9U6CDi+=6KAjs*9`9Yv+BFV7YSv_3h7<&F79-9GmBg`5x*+qbda z+b)&rdCqO@jERsWt&r}(N+RQY_fW%D5vPkQY)x}ZeLa_tjDNm?wS(D~W_|ATTgF$J zUM@At{3n7d530Wubz3ipK3sAf6a)dkti5o$W>3cH_xR(_mEPaoyPRk|`16c^=L4UA zgxxiu@$|d5w(K#BERiKEYYFE+PDWl%T=VHm>*cx5$Zxe7!5aQ)ZG+!FZU8T{v^ew3G4Ag{^+z5K z4|?v~77}o0YCT>8WOdif8SPZv z%k0jbJH+Y+=C+qNZmDUCQf}uoC3S4)zSoz%FYm_5Zd5kr%;{(&pQ8JTlzR>rM4v+|Yg3GRsThr<&uoxzs>Ng~Dg!~N@*dIqa>!1Gs|kp0%+YBaICprSbFX4LJxmmwiHkzebM zw|JGOW%1`{RlSa1Pys5_Pw;p3Utc+|-TxQi>noet4bz#&TiHwNGtQ63%u;LYiD86$ zusdE~3x30Db&GCWue0W3S1fpT+GH#MH8MKXV@5o_7TFs}*ho&G9<$`}waKPHf(_Y) z`g|47Lr?r4X%r{^9sH^fv>P|vYp%TUtBdnPFi_LkhzKo3H?b=wyi{#+24ET`ZfaJx zdD`Vlya;wcQO^L`H7iVumqD=mqo}8soSqeC!0XQo^Fm)NkRUXLn~8^vC6VYo55ON< z0DZzUeuy`)G7Xr>ME&1M77CorV>jX@(ZLW|%R zA%p%c(jzt32g%yC#9szIq7>`0l+KDXfY=!wZB{^Mh> zOi3#Lnv7hD8G(PNC_F}SE-Or*C#?7;)#F`+iLoSA?!6{{i!`DplWaPNrPdt68hT0A2aQwdAp#VhhXU6Ygg9u7+T;2 zNtM&^;*S{`Y2G%-JX+`&+!Hc5-y#jH$tadUr*MB1xkd?lJXIRJ(Q@1G9smN_Fxnzz zCe7zcvNVM@#BgJw5WB#V;4~FJK)W><2>!X7sH-n-C#foaMV#veky%m%Z$3|oL!avh zgR+z!04;9ey#oo23381V?mipY8BP7ubDk+_B&ZIcf8feC$;gsR|QRA5Fyu zpJktE=K^E4WcQzLriZ<#6{j#t|yo)B(ZtRA9$x%&(17L3jdQtZq z@Y=M&jlhb(xF0;fm-r4Qwjo6fKc6rrRoMoWMN{{kz2p-^t@ez_l9Uo6ZWsAPD;z#% zW0X&pNQDw-LWH@=ayF*UvCH%0Zu|E;yQ)RzPHsA5`r2}DzqE~VQ~%+N0fgcna=+zv1=E{%VA!j(2s;sy%fq@x-orz%=Qt6Yq2d6}(&-2X!B z{T~dQ)mZ$tO;?h1t)&&Ql^r)6O_inMss2CS{_^PkkKzFFo)51KfCWtld_Dd+@5DzV%PJcO{5!>n$j>!Z zdDg;#Q2cwt%DcAa_VCZEJ4syxu`QO;u9oOfhtezEfRh~oj&A}D(bx${4IYp6dKYbX z3`s}v?&i{c*egkp!c24IEVi_VeqJ0Tb@_-PE$5&X^TqIpOd*2_$vg%@yah|)KsFf< zS@sX488}%8;JyjeM=S5D!2y>2fK&q~^B^$}UTk-E+C|B$a=D~rc^AlY>`Rk_e~^5d zOl|4X_m;+clT@!FR0-efEXrQ7F9e-Ri*diZgn*_eN@fH%C(?S2Frx70sy;su6 zg{pqD4k8j`hidkbXa%h^b9@$_ZENVho02J01Y(_t&Bn!YBuSSFPVu{A4ts3exWnIrnla zeb@_XQGBmlR7G;NfsGd67mbCPh$)CFe$kQB*+L6Lh9TLe8_CA#jzP74kMZhLHyR)t zUtl8q6EUT3zY2D7zBSW`$S_Uz_050uAg0nF@X#)fZZjiNmwK!gd4_<&QI7j}SMEr7_ER)|7KojYLsd_2!sfk)G&5Km&P*OMr9pP_@`9~4Wm zasUb_e~4AxCq44<19^GLXjMOlniuBr`s;pL6MQAY&d}>w+Ry>jIic7nzMDMp=3x2Y zN9}G0uS=0HJ2Nd;sJ)AI(Q^CAGUf8=rvW#rEm0SseT%oef>5=W&%aQ1l zB!*Quy0@vw32-mr7rhSkF(+CM5eGl=Vhwr4@w}O@l2193Ev*vxcaYErhCBl|XHZ+C z6%{E|y&kNjS$V7-TNqgdnXwM9>TP=L1gvZduj+4l>;iO`@E^YleP>3D93sB^#Jgxl zbQ>b@7MCSG20ZsxiS~EUtB<_n8MrY+k=92}c?QO0Q1hali&CiDdOH4YHVbX<_&qWr znpC(YJYuM+aThR9#&4Vro%o5!i036QOQ<;#tyYQRJE+q~_Id_-XHc(2J6}nm?(XU6 zZ>}V@ca%m>C6E|C?HyH-Q_&>5_~aw+gduM(j`!}XWHCn~ZN}nr2RH8zz4E$UA4qdltuA`YQRBBl)jYqWc}h_mL6Lz)cy7 zmT2et6a~CT39&iUuDl${fXvv1GkTj=Vo9T$!WlzN-MfIp*FeG?k8o_J`O z7_!JqGT_~g<0UOiC^<(iJ|ojJoU@~yJ=-g953rT`M2mJJt|9FD2wqwSe!`L literal 899264 zcmd42WmsIzx-E*k2lwFat|2%9g1ZNIcXxLQG!DVt-JRg>?(Q@}E}yKk*F8Jm+UK5M zx1VCXHO81#-St*=*X-F$HA`0&R!-5+#P1JdeI}+qA~Bafv+MF$#+uCsarFK;)3|UY z`QjL_EygZhhl`)qgi-CFSc6CwcxZ9vhG@_vB_P)>@l>NGQ;A7q ztyPdws>+3{nDcsaaf27zS~kTcB;f!{d!?xUvrW5;WAn-p)|xXfBZoOHQ0nmR zuF)5_$x@Yyp5hP>w}Y^^t??^Kmq{Ntqa$4w5CpIgvCT~w^r%l;Fc49nHeu*+{enoD zOd+76iG@hNBX2qxLX3sv_&j@20qk$|JJF19Jhb4j27JMDAczw|15tcYpiq)Q0*yfQ zVLo9)p}>NMJ{b7=s_{f@aiWBfgCXD$3XA!E?EfYK%jC)j_0_j~iL|uNI8Yz~Cf*`-NcpB*E>5n2DVoJ{PeRn25SB3@nO1sDY%f z8YXctM5sP!d@M*aF%nGdj~lx-&?_~P?l`;6Aqm@jd@cnv{7mo=%I+;LTP`&G5kFx` zTNr4hkKOv*wrXhj!QdeuyW?y(n}{QaxHK+04<_OEV-DFE!oi@0sr9I!5psM#;xo}f zB8-Ce%5^c}Gois@6N!NORkIQGTcAXc!=dAVhev{mj`))N__T#1=L&}&4H}g9DF=Zn z5iE!)8wCzM88qm}Cw*)=Y&djS@NfqqPt6xoJBuHl?yYOb^(`5bO=92Rt6O4Lm<eB3$8A06e(NSygc@OslOk8ow?3`vUg)!VTjT7 zUSAdD_dUJ=)fgR{{JC;>cUiPfxVxd0a*mXIQ0b-q0^>d9o;WDHpWHPhfcZ%Tf*Mx{ zPJD9`1|Q!q7nKUvFW1Ab$K!8wX9GhP_q7gzvk3|R6aB42z-&U|b5IR!AxuS4nn*%L zNvmujprX3EP{~XoU~&CK8-?L*LNMDIt1i9#L?6K5FCa13;OW}1uy4;NyIew`){qd} z2ykzC$BE#HNx=RV%VD4@V4%ujBEUieHc4L|7t|n*`%0uKpz}ls=zuP~s5;`ceF~S+?LLC% z@B%HgsXo%QeS!jXfEH%y&2+i~eZE^iA@30A)T(zYMQeo-;V#8m*^A z68nT=s|XOJ|BU!#d3?NW`1CW_P(f#HU4X|8cYrrxO7}Z*sSb+#T|s}E`d913m0V5Xf z-P~*TQ17*U%qd9X=Mc*G|jKLViox} zZ?zx++d4eCZ{?LYv>7w1EYI>5x6^+%!;Pu{q`-xh#Rpc#8=UO_ASDUtn@`9+F_tO> zn6a%%U$vx89~qAn0;n^r3p{^IqGdU?+14Cg*Bl*NjvgInrj81BW@N+*0nuMM2{NpC z1pYP@j~o^AjtaJ&{-^*Qe~<6h(LMmzs-yT3STH@tnPk&Z?06%y2e6@8^Hc=x1Ee@N zlsf+1isIN%diMOI)yTWm0xY{3IUn2ocvjT8;L+YYyaf6Oj$@I%KiA(D3(wlm+#}=Qg|SFkPF8`npNEdDJ2Sr{`8P9CY=Y&R zFLj>}3NZu(%O`^Hh=lrCCUS$q}=5py<$ zyQQ(81t+v?!0}w!h5e_62SBUKLS^&?Co&P^Mmvvp?TeMe>#vC^AK;VsL+{f0=pd2J z#rmyG>)MOE-PP9U(*E}C*@|FHbab@M#>To;Wpq~iI;UVdpU>0T z`d~=!_=L?aaRUF}uVlI^PHpFUnR z-~~1cFoQwxbL=Gn)6U^o{|hURHfph?->4}!XQ7loIkl@~$^O2hXcfiOy5!y}TQq=S zr(nThhRZr_P-dsejF2;Gr@-wGec$dcan-XnWsLLJ6VP~YjFZ=|UrDlx z43bfDZZ*9EW}a-Bw>TZZ#VBE<6FcuA)Z-?!?e>odhtHW838>Bl`0W^9HsNqtL&f~J z>XO~+snP1`Npsi=7TJ0S@zyX3PmLsCoyNrs+`&xv z!GFS%pM`VoSt?vvDty1kdmm+`nS6D(yOCxjCVO+WPkbYLvt5spH zLeaAK?M^ht66|G4){NSn>_drYJvA3t|yb*4jAF4!5257GuiE8ow zDf#x+;e#?;P1J;(?@P5j67a9X{&_tE0|&;A!jblkD>nFf@2Y;_Wc>9C>e2y<7eW-8-FFJDpg! z|A?b)#FY>JkvIN7Z~hZ%?L)e?V;QDn8D{<`++|@LI%y}~X(PUA`zOrqI)e|d@e-=` z)|K15hlI5G&w(r&pgu6BH8Iv_$Lh8!jnA1d_}{AkCm&Y)iBzp2XeSpr5a)zam*>9^ zo>WIRM+MmOzuka1xmo`B=B)WuQye{r^e!jng|ge@{uJjIGh9(g;uldysYDTRC~5y5 z^6mm^<>iq3<}=+2L{qSXDLKoNOWKr5LgMu1Kw?A7PWx4n zdP4H};enZ$LtJI9HoP;&35(K0oWy}pLr5hB(k018el!?At;$Sio4=4Rp$Dm%@OuTd8FKx{*bi4l_1Kp?{E9Z{!esk(xQ|evQZxP6iQ*Wl=BPsE+ zRw6!1aXXWuQ2PdJYJTTk09CvmF+4N3%N=5yfoWDew5R0QO_2j_RaB4*&mvi0R32V} zOJhN+q=KKMjF_a1^pBVmJYpI&(j|{$UWCA{6pTwFjFj}Q#R?l0<*@9^{UsZ-EA6Wk z{p6yl#igmm|2^J~(`X|mt-~cn=S|Pa9oZK-vMaUV|DiF2NT~|{7|r=hmNqYvE|*KS zRia>5qTv2LG5{vK%{A-zmjp+T>5i{#R|(E5>%fTyEYvrhcfc5T>S->=ig-PjDmv7g zI+qhUJw&3NDNH(De{JteUMvB3SlVA}CFeMeggAQ1(wI~F8PC$HU+f)$Cf{|k*h=5p zy9yD%WOis6=P(+4z3{eDy%Yb&N#n`&Qa+kFVZF{)`YT|ve3YZY5`0uvYYCQarMZTH zj@QA+r0R5Y1gYw@CrP`jhE~-Xa`fE6=%Kp?T5IVh=c^{8>bfqid^G^eT5n4XGqbDb z;M><_j1Km;TL{hfbF#F`AS=xZ<~^OD7jxYE_1;zwU9G$IaZ?{Ic*iy$!u{ufr587s z%5z;$v*Ik&6M$LS#XRTvnw#gt;F!l+-uh0>vPQXnxwB%qS$%y$aD4&5yuPxKh~L%K z=iALnlwd(h2vI%@(W4JnZl|-DU?yMV`Jth`Gw#eClJ}b$qXq>?gP!xUf5Rq;A11v7 zxEf@{2N2Ck+4o>N?JrfDy-ib82S-!k|G6}KlZL7e4z9vKTN$MZ&R<1nlO|g=1Xh=T z!rkfn<($536&xldNJDnUdzj3J6f5nr#lS8H>s6l2Q=a?_^OQI1#BEnexz14WA7ErX zAO`vUX9&CPVm4D%ga(vj%`gl#Acc7QyD|(WWtnXYvFj8OR;i)R(7|28|3Pm4dVzI& z_2Mtkt~)uX{HYR&wS(v9?eXP4gvy$~=*7;}%AAXyzGnsKfe&Z}DAtuGA4JeSG~@&H ze@3BS0bMUbldr_KJ}9?t2Ac0ZaA*}^dCGcC zaFHs0TQrALG>3m;6SJRkqaKJ&8sec$Eohq=M>d9>9wfR3D5T1#91OiGut*i(Zn&-m zuwvv&$UjrJrlDsCCZq939<(6;Ov$I#-oVygk=EY64}Q)eur48C?nJ3yZi z0q+)$mnni6$~AqLdz-qdO&KZ98b{$T7jZv)SX>h>NM|UAAO(dOZe38|UkUoMW$ZQ! zxJ(uCo2ZeN(V?xw133C4J~Tn~zJc76CffdXr#9w(zs7y0y=1>KgmI_TFsvY{On4m| zl4wqYEJ-Ng$jRZ(mu~B4IIwNti~EH=-BF&5s=9AXZ;UUOJZIx zZlf)xlVYS-JVMX9lf#DbS*!A5O&-O@x&rHC>9yH3o~hI)Rj4=1hvE%|TD1aeJQOgrU=^y-^dgEtjVRYsP%+GSLHADYrD?OP|yS_)z5*-gy0R-S9T*6j+ID zEV43gNhsp~i5X*iKV)k36&h<8eb}U%$-LHXcBI1;`DsnsWaN?K?NE;|voCoYkou?{ z5k2rCpViN+v6Q_!#8;ZgzkErnY+xd`Q#AvdN7cLWxPmLWULpO8I8XhmT$L@jp+#Yf zdB-AOnY1T)iB;H(@aB^Iyf7X)&XtO=fj)9`4)F##r%XL|vBKB+*;av8euMY%vyn}v zQw2*#cRz;7`i}(Eh(q;|hC+E)v8WANB`<=QIv9Ti5*VonVlHz3%{rLR??f_!*jNgJ zd4?o32~DW14#uER1cjI0pNkr`@7=PNg0N$Uy*5jX4q5{6O~1DdBc`JF-%Nz@pLuT^ zModQU|NZ??=?G#KDTwN6lF$q^q0>5;?R*gwN_zjMck4y^2k}&51`v{OYu?vHv|5QO-mGAOcTUM}+MZyb z@)v!Ux1i&+?>;x*3uCpuj+15SsXE$s@5550vM2m3`bO0@@Jy8bDrFPAaeyOm)duCI zb@lA8>+Y$5a-qU;c!OHJRCfBNpw@K4kstO5BC^e)aRKuo6+u?_`@QE+3!@mYnDGkN zl%p;EANOR6sV|W(=vl7y_SYO2HQ*YhoNgg*eySG6;o_iow9kk4C7yzaNGw+>s~XJC z(oW5-J%S2U6BnaJKMndB4Zu69SwS6A;s{pZd@ILHJM;?nPQmzeK22QNbJr`p@_ zhCFOG&hA7X?qwp{9`2J`P*2u7-1m~2WUkI8Ri8Qf8%aCypC502>Qa2SoHodDB<=h@ z!RjMP0#y2Oq&?&AF;B?wxOx4Sx7L0|rh@n|@L}P6-4*TTrTwa}{?|!B11 zbISH~7Sccld}i;SV+Sd|6J=YTIxX+ftuQX$kBw0zs8M@U$NJUC(X2Ybv}@#;tci#m z<#S}*Ad~+4!)@fA-1EeFESfz7)lv>!gqGXpJ{jLCzK%gRh>)as@fd;=h^34SIJONq z%EDG@9~|=60yG=H1LJgTACaNYkvC`s%wy-+XuvbdL&W=pn;_V`z(2)Y#4|T~p70F!1VXCpr$cEeeI0kb0v?Q$y$!BqG zDY1_cI_t!|XR>Iy&n7)LUt~zu`%fCY_ZNVp#(SG{(6mIi_7SV)STnCU8CpmMACb8b zaR~S|k}fbGYeIEw>f*gLR#@UN7N&MoczYSFTw13{Np;s4YFqIF3qrH0No>Qh(@3BS zC99i( zXOoP;HJ*V>5-XGJF_P5=H9jAC%Xyl04DJL2D1}K7w-O9s(lqbr*$9hvk87s9cvQ|1eeX^>BL0= z?=Q(O0TEei!&^_acTNj#{*U+Z#LXD}rOBqnM?}+7&w*=?saZ+cM?j%xo{pHJU+K|i z*G15+V34Ppuk1`)zcG~an!E0=i(f^$jGEhp%O-TdWc=6GSTH|G+a`DYHOoRAbqF8CU z@Ul~W1&6+hbve|_o9OhW;h0>aAJTGRW#c|6l5L_hvxy?eq6#UBY@#EYhQ}VTBD7%+ zZ(79t|1q>+4tKr3vj6+QZNsD{er3+`V_5j(6aO+!wUCJX^;7A_=9_GoOk8Jhp=)XD zM~l4KQVtMG;QN0HBuITBWP0<5jHLIpZ zHhw1eW0fE4)!_xV>B|ZpZ1>uS+K1HvKGWY0^x5EW$}y$tt{%x=MR78^Av12p>s;%6 zCWp!m8mJ#N@wMpjp})472&PI(Sm@j+-!wTs1`<9-Y0^JCMnQ23Vp%>m|8d^%jnP;= z3_59PV&9IIm)i#?0ZF{>0-OWDH_2f3KrL75kXzlN?Fc8q?u{wCYVXsRt3w%?rMk!` zo2lYjKql4T8d%jL7hNN#rmgZ`ME)!dIdq8uvq1`!*+BUtV46$+O~iSt-j1q>#LPXxx3l z1P6kT)X1fB>7Xe)vV55TYob(N8 z)~%`&8ArlB2cw0B*N>npY10R(WleYrdv_Q8kIjpT#&>5vUQcDSoo16wny#QGc>N{wa3`H z%o3Q&|GpdJ5^FjQvD8o=iwzp3jeMgSo0*F(41VpyefnT=__ZuYusZma4EHHP>%l~8 zQ%l>js-Yy%QJ2mlcArBu7LQ9a+m$t7MN|GvWX4F^0HRuxjLaOrAc#E9#>C^j62G7B#5-b&l8b!ew2?yZY-K zJgyEkkX-QjB?0f(eVWisllN?!sLe${yH}^qnum>3`LFhNW3NhiDrk?PO&^wl?TK|V z*EhYjwNH(fcnmrSD;awij2f4@_0!&-zocsg5s-6-7mWL>9{obc#4hb;!)`R{2q~W; z5Z$x2?wJust}c#bIpA+dvS{H%S=7k<`rWo1CBuQ1(+3}0Rex>62FgKj!}C<0MCA6fc#~Az;?p|rChvcS52RP^r=F;m z0E-~65&^T2(xZnhqxW;VzJS-uf*Rcsf{WhWR6~;8-7LUgjSpC{x24Sb5HR7c4HjwG zr3NK!*j0eA7!SI_q9<-@=}I#*76DlSfj#V7jqrWQR|cnw9K6A(D;i-j3G{$$m3jx2 zZbz05Lwz7a?RSM`P1{qIGwpimmeC5`2r&1lt3YVW-(#es~1NM4zL ziPWSG9-5$ zx|Tr!Qb+?S=ztWKK#KIX`n|g&^JWDL>%)FdM#~szW~IYXaYZq4Je_s*8$>wN+q+)8 zYlA~PUA#CeP{~H$ADWA%^tB~HOh=y_Ihz!y`mX3;{Hx8*2-*NYUB1Fp*^FIT2d8V4g=ab$#Fw!_HQf9|wiae9wZ+{Gkd z^vxFqgOpICmvTqk*$OK)Z=CdZva&S`MI;|Y(CRyW>%CLnv3Hk^NQvy{H-Ig#od2&8 zanuwM>G>bY^BSP{KhRkl12l__{YhN%whJq!%(?P|uElSwv{r1TT7u~r(qMgKVPGPB zLt%!{LDfl!H6eCGeYH^KWI+N&@%{d}2t~G4vk#$mHGzF!rP#SfQ@q9GL=DT1MS+9Q zkq~HwEZMcRJhi#fF2;sX_^d$&vn;NFG=dBo~b`g7MjkQ;p6h9t9>S2qCE7 z02T)h{x`+E^!$m)(WR&HcVE<*-yg2aa~Ya3c*AbNSk<7s)d>KuXk@HR7$N6&7L^y} zyD&L2-w;A1^2~;%ys2dibYlrAl4J+9_*xA&PCPtW6fy~vja`yXQXi(dHD#G-5$Eb5 z2Jd!wp)U<3>ks+_>lGE&<5dHLr^fboF|+Q{>mC>F&^Men{g2l|muzgp=%I0{Zw$110OWIRaPe4m`b=T98u?A;ufTgEuQf-AWg_aEI5K(?GNPpEzsXj6h*ub?6RBT!<^j5UJ7wuMGH*+SOd0;E1TwEiHwETukSTN&_l-pYq{Y1^ z|G-=RfsP~^3LxRxK(eOTKPjNLShlB+z`L#Ds_S52bRS&Mdri=)5wE@W99c!@#z1h_ z2KsFCbSis|_rn~n{)zf!DBtQaxbVFn-invr;ug5@lV5YMinL+S@KSKtX_p9D!Z)j4 z5pu;3t5&gxND{YKF0KUDRnBT;GS&E)eM=?uXGsmKaI5Q}tI1YJ)^o|@HS~?gIwsI$ z;&pq@?I%*X&Md%0k#i&w>(70N4J6lOQ^U?8>qsIx?{o+^_w~-R=DU{e*uw5k9Yg7!-SvkB#^6trjm?5O0AsBT>{H}QYsZa zBFsMW_s27@H*GNFnlqR8K$hb*n^N3pA6+uNTiU!cWcFlVZ&LAbOrwibVN$NYW>R+A zx!(=WM4~jDIy&<0AoQ^OaW_O zZ+swr|HS^GbHR&KtODTih~;K0rp)lLx~v>SPIl)SgDMs`qJrzfBUR==qleDlu|>znUG-kXOIB!gar zYT0s>R9%uz!CXmZpwF087HB%jSZgR7`L!7KFk{HvVeo3*%y;ARWzYnfp=Fw5a8Bq} zWuvwsXq}IZ{OdUARq;nEC zS#;8Xlo>mh{va;-rieiATzi+g)tT~mN+}mR3J)pt^M>TsjA))*`@(7MsSBmi3^*6% z&JWx>r=Bjkd$N6zwHW6HkV`7f0m;0fh}21~J2b1xd9sGuyv-OXJMUe_8WUhCH;tAlM*U&N zIYD@*URWC~d;%8YOv3RFoj+2KvnKr%AiNV?GSzi^9z9#5oz`ML^fE&N?sr5tGyYUA zg=b_fY~Ig|Zl)YN60~H(`uY+U8w35kQBjTgLtt@0Jy8aH-TmO)HE-QuY3=HEjG|#3 zPLuv9!O4;zv0*(v3cjPW`@yom(OsH@eIzxwnu?Y~uB=n5c~w$iu|Qq@%gOLrCMAeV z7LuCcdL1JL||LhG$ z>z)!u2^(?}lp_w6@XQ6Xy#ra@b$^c7MHq#XpEvk#2jSE37vDj8ga5v>2^6GJI3C<*vVI0BhFj>I&AWhsl? zIxJG+2RTOY{`SpJUNRI!Fe*mGbEBW^YWd`hi7{{%m7_pPQvBG)y3U`X;u<^L(t|f! zyuupcXdcMdX^4>h3*PjRgr1_znwhJuao6eHd^G**nikVsJqYhl%9%^YDDqOiVG6uo zG4hPilj-L3XYcP!s0e%89~U!!&VQ{P@I^h?dYI0beRN_l^nu0M{d|8%{0ql0c0p>& zoEFlM^;7iQ^>KxC_%6)-RT;%03X!D_`|eq%2wRi}#4GDY2F1zn@#=~jsKu%=s* z9RZi9UQJpN(W0CRM!j{xa!%08R-pBJ)WHrUz*D2nQOl8|B~^yOWGBTE4Wpch2(Hz{ zM*6U!MSe&lO8`bQK&5fmUyN0+ZC(7?6(zXvB{Zn}irJ!_&Fs~^u1QOpVQ`@lp2J^% zN`>BeJ`^oQmQ1T+ad$cv@hzfXmosVt(dh~_L=|2P*-8S*3MPc34znNc8vKs%;jn%w zJE3pDmKjh3B*zm7XTw6V!FO&)UW7u{s!y%VMR0OLHOzl_AE}0GZ+M0iYmKp~WPfCtjo3UZ=}B6g z)6b!0W5Z$`bpx^apLC?g#yHym@PLNm8|b3nK1XX{-1>50>+rZi8=al9`bFp(b1{J8n*) zgI2$4hp&M%!u*@GM78|SdHok$y)XC&j5hnH2F~8Y6pV|P=FAz)k5ZM7E#L4fG;Ojt zz*KnmWRdr`!wRV9xa)w2 z?)G=_h!Y`|12d(MJ3elv{t+wOsjGcQtU#!|BVDbD;BWWt&ehlx4Dti(C#m=zZ-Fx} z4zCN2_~67*omh%jlYBjo5gvL1QJrSjP^AyzR|_cG;TB(Q&p;Q}Ki9j^G~EkSw1-$+ zY%lXR-E&m%TOV^b6(4LCWCj4-IjoPDnu<+!3NoX9OT_IIW3~$j`(^mU0q*FWcU0EL z@~g9XO~rp3-7@_C0Cxz^I~eO@)YVzicf(dOX0w3sFT)q${!c~k1!<RRV{Lm)mZ?yA36H6S``XL0Se|3 zSD)^uSfw~@H^f>N@voW6xXiT=5dz3d8>06vu`gDJKBrgWu`i%Y8$EX+a5bGVr!nb1 zzP~G&_OI$gK$DLLSwZPO|BcM6et%7Zt7wn0xY$~5ZMsLQXpge^YIg=(!N0lup0^l) zyMXmE@I9lyCvNY~RzYS6z@5j}=z6u^`8_4+` zLN_-RTDz( z!eG;Eq`Hy6A4_*EOZOy8_o9)%-3Y`^GVB2DhsgJzq(*!asc*{^ral#$N)(%#7Mq?b zOmY3lwfiEvlN3I{h#^y4^F5I!Lh^qI$utqv&zv-4wmi+awZn6$b;k#VULm za(v7ZcMmahzT+YM{N~~sDn?%*nh|QM4hRrGsL$-vfRhgERL5_lwkvlvNhy%M}$z`<1Ohhj@UQ<@Dq9;+xmq z@to{E>FCau>`j@npg9{}W2Fs6>QTYeBpFr#=LmGMyr9R0=fS4w#by2!TPvl0>$hdf z@_D;OH!r>Akh_P*i+SFRr}dTX+JfqpGMAt0SMrp60(=`sE<03=_0nwE#?41q9B(b% z%8T<29F+2Dcynvl4i=2#E*c@N7X%adiPrms2j}bTF+2yn2|^26g)ir9fRs?-YHW1v zJUKA9{z5rExc(n<_yO4>FWx*SkOe6?C#+y`h(9lRqGo2v2A=$B=E!JpzfCh*K;nrm z>qhqY!kGCuwTw=C&lOM86agN%(;YFJstOvo*Yf%4eTK;gQ*XX%ButHnk5cW00W<( zin-PWM;K$>i#{XfeJ0KDK{hUPK&K$kPJsyZ#ylt}QdXxKvO5%aGrTs$jwuA<9DYjK z|Ja{Oq^pRpcd`NVvZ5P+dndbAw?4ljL(l5VUNNdCBWDM0sl1VbdIO6*8 zQ^Y2!mXKx1X<1btfo)=n{I|_&S{U$?gM<3A$NC4C*)w!4mWy;|E;f$iBPqZrvx9z< z3Fd38;r_)yyIH~RLzi)Z-s5R1hND)=Og|WPJ8=v|<3t!9s2P0GH6a9^p5CLV;cdSu zJuk@l#!t{&+Rn=B><!$drFH1frfs`H@302;v$NMrjhfeLMmL7ZBYU##A8DA?O@T?pzbEQ`pr|P`J3g*7B zS$Ip>j2_nZk)!P zY4<-mT!-MBkNQQ^)AnuS-yw(FG#UT*|K%rqfX zW6+nLO4Q_LG#Aar++LkRn<8MQ$Y#a1`|{ z3V-Yh45{^NmVI9@lEjkdbvEt+Tv9e4`Y@;|uE%9T>*=!_g7aG=0xA-Q>9RAQjlegn zmWHIO0K02e0(H*|;M>0KmN<^j{sm+JA4Lz`Z~T}qjQZofqz4y91Z9E8!s>gXSWi@? zEnI^pTl3eb*lNHi+QGq5mmL-{FPhT!mc|`)1CM#Nz=_Tz0>++#(RQA-{sh+b(Jzb* zpkDE+IvazIGy=32&IVrSDLN~X_d*?PqwTg5c0uS1AXj@jQu$4v!-Yo;Nc#!$nofx_cCJJ+DfbiI}Xa<`$LISbdG8vfe-9q2~66@3GIN=Y>^v;deK6hurnV zUe;mdcQ>~#e8)&Rym&icruB->=V7QWA&=E=AO>>l%twPMo_coSb7@t}Qh~O%J=#Xr{{GuQ& z86M^=r>P)a6cnDko`CLP1Xc8U<(S$}ty@r<#lQQFzZLxM6zR5>w<>GR@8%R~)x>4< zUDI_X@DwckrSt4jz4HC)Dq(rsKy#($8&PCt%O|yk_{Ia+<(u8JX8(IFH;?FSe)088 zdee#I#zM$5GdB;Z$;|jh6WHb2*L&LX6>&17fO0{%i#^iXOI^3NJ~dJ>D}JIkBJ8Uz zNgyK?BW)_s0sWhu4NBha#lfL^wgwhLn#l<%x)Hk(kzWYQ=t}SScG51tC2=XvGo!>1 zNt92RVcEeo>E_SQFNcGlhBQ<L+UN2LX!^SH1TrYw;Lw)C`+26ps3 zktjCx%)Q2M>m=|+w@uLoDeUmk2FdOC&;}{(z|cZwk=crgOcewt^S!erUp^%~`BB_~ z%N#?e{b?NH-f|c=zxf5o<45l6*_H<0A%fydcXiKFVXtiKRi!j0(L+>imDx~)#`UVN zsdRx+V@lM1;(*`Ps**aGkpPWS`W9yyA*QgkBZ-$gc@d~|!E!e3-%ytgPEEFZd59}A z7g7XeH4I+=6aI~YtQ9k6z_p&K2AA|i&#<4e%r!=VK(agO)-H$yT_vck2+nN^y#L4BIHgZ-nwY0UoV>h4sn%;K-f!$so?}NCo6<9zTHfr+tCL&YE~4lWR&b9db4H%F{DrMP#nhZ} z>MvsfhEr(Nk>x|dcc!tx9952!Vj~JVy&iLs?^ewEkr9Vd1vq?lcZN5d&yy-QV_NCk z+iX}S4tC?dn$lT6;fLCAQ%p1s*9SUD+A(Z|Ia=sTyi#HZhL*9_q1E&@yH}nMe6otp z!0S0*ee7pfSQQEnDBvr)uzKbdj7iiU)=_2n^iBECol2Wq z+<6b5JIP^JWjs!mTU_A%W>>*#FVMG=ZH`7Ok(I}3~#QG49w4z8n47*r(p4y|3w8XSJ^hQ!l!&8CvJH zuUTMWnFLH0vdREr((E~>+L(Pe=wSnGcs~&LJ(K1*Run(=d$cHie(Ul4Bb^-kZ2kXt z6J+RcsT}&Re*c^yi(%6cScg=m7}%TCDqq-ARQ-njK<0DNhRAXF$%dG5ctop}!!|xy zJG-=q+Gehh2#02_n241oMi5(L9>dHp`)-DWzaAkCb)rUB+A6`j6weB;y*nPZ1KLit z@jZ4Ld_y8Ct>A5$?yCHfwbSqS%eiA1L%``mpy{AZ8$e>R@0pYRl-JvS9EL4}7T~`Y z7oE=Id1o$5(f_%DR5EcW;M;`(1q(f30)KnLZ^*{=$IV77&MO?4!M}(d*pcNN0w~?e zo8!o73g*)}aC#C_YIBHR=oGYfa%>$*;nPm` z@4XimEdC(SUfL`U>+;R>k!|ymU*LXAEOG6Yk}z!L4POdbobm!c2Dvgin2*qD1G0@5 z;N)R5*ljqE54aUOc=3H`tz|&oaNhCNy*1fDauZCKakFt3NQIo5lh0(h2v1`K7}rHc zz(hub;$X#DX^BMJp*9bQ?!J?^4^|>Z12fGMQaWS6JMBAHVcLbFvQfuowX5{JReteLGpIR25HY1Cse@1v3)`_kzakpcrDMz-5jRZ4r zgbe4EHQR9wk|Ia67*axPYWV}!InB-;Sh7PObMr%+esZ8zUUrSyaZiSi_o}lVroG=C zT_kTYK(<%1pDR&u;QvMO5tof0F|7hhMm(UPTqwb8a&`;jBwCBLbF1;@1XwuDU0;>B zGB-xV+`oOKH;_k+l*XIa?W-%YwtnOEBAg=$y=DO8_*C(ZN& zt!%!n?ATaO*-(bTo+j1VvG;_f^M$fNgo|fM78U_ID~nKVtP-!#$X;u1-eR)M=4j4j zFzt>v?apiLDbv_XwYDQqePh0C!h5R3hQB1~Yfag%nf!#ChTVx!xhwlYgcJ2h{K4vz zx>svu{4c{ylbS8|bhlKi39WYL)Oy$y+OM2T$I7oa_v_MyTr<>2JpE2w^lrEtM@lAx z8gslFb6(}gnJP}Ir7d~NYx5=JbtNa|92&IrrsYoA_49u78qgXR1pkff$Qo37mnpX8 zDXuP1pC6&SIEC}_^jl?Yzdy+#`hxt{@nBNNQF!}1Y4nyC+fd)$F0_G_3|&e7`Hn2_H|vU*Ktf7NhG9)@09`Ee2cwI$9F zSR!LLXGET0!F=NRG1=uVAi37M!g<^(YFRpu!*o_<&Cj}ig)(9cBSgUsnx?$GsmSt4 zX5BG;-e->H4Tu%V(@7m2`w*~R$C#&D5cF^fg9 zz?|9;FG8_{jpd#pk(QqjZ?OyZvICL3OnJ80Ea#x3kr7~>sgtLniwem~0>^4R)Xkb_^KdIuQWw)@gpKjmMK8P!BD}2^+^7FmGC|+35zOT6 z1R{TFTgnky_UANBv+}ltBN->Zr}{r)j&&o z6WG>*Y3=Pvq>wq9MkAh0=MYTaTuL5!hc14S4UgZ>JP1{yvGPE&B-RDPVV~@US$zDq5k`T{+3$_1j+TG*et@xgw4zxQnFH>ytt>Z{3=0{n;w*qyI0kPFiM# zhSwJ^%ogc`7s`|gz;H zcUH=oIa&oskFu=~q2c<$5MDpN@Jh5^FSyaUj9CbKg{&3YFT6C#nF~$U08Zg~?hl6B zll8dkM@usl;a{DY;{IVirJbudswl8)ysN00 zc&cIVuX1Dr$|eRzH+oR-8frYuwe4gb(u|X?ubm7pUM#{bnh9Sy3b$L0DMH)Wm}}}4 zqHb?(_?4raGY=afTRWrSg1)d=MZ(%E%O~U@=_Y14wK9s1C0T#pE#Z^01B!kT<^Ex= zmF%qd7R}lc&QlBp%?XWtg@Miu!ZmumOkeAPSqpv2QEqXyVyHrX3|}{!e4K!*6phPH z4A@4x2Ktw5UuM{1Sr8}cYphYC)?r4&bV7Wkq$I&zmaqMtXFr;B};G%u%2rg~Hv};gw6%l2D zYTI2@Gb!{0+0KfTo@^Tw1#7~FsuxGqZQ8xyJVEOwM z;WTe_GUSM96+1#=v6UBYnM}a#TT~E1&H76A3KA(HgpD(YZVecPO11!0l4duFO4Tq1 zb~9)Ut*S~W>=|KvR+C@y1qwh*ze8lS@%v*$GE$%C$t{Zn)|4&gWw$NkUc1_?`4H3q zuj^20%l2#cCQpH7`&raq_-Pn^TxN|(II<(x;E=3P8uA2MCdHhg)VxyN zk-$x~zA`A4UDy@tP^*$V5*M(1edi7?y@* zPPtIo*V@L=zLTF6{w)4jVk~!H6q<-l6}rpKtFN8)}prVlf)b$LNi$VYLPs+XNZg+-Om5-2GY^+lb3N0#ef-T6J>D zm4EK2t}egZJD~^jJ!*K*!VQjH>`*?eAKvT|OIlh{`#i!@M78Wp5xum(9vo9+-`~l< zhbT6GHKHzcU+qAm!}O#H8?)W#!Pjm!cT=s?kCc@8GT|r!_6=90V5yiDDq%l&n)h33 zwDd{#bdmRn;% zUjB4;YtvU2{?$*ggOH%?F?wKDme~Oaj@5pI?306#&Q*ScXs3M*liz*i3=c)|G7huR zv>bCo-Xitk5+t(W$jB&#z6CZwVT4y6>N3QaN$1{zt zvMz0qZF&N<*)i^4mGQtmG_82>q+52-i&rFF$PR8%o4z3<_WE|hP}2rp zYEmITub9v`*Zo7-F$i@DRQ7*6ZDmk23FFncDN(pMI*}1Y;sZ)#JHm?Abs281@r@p?E-BIIfcYZ!D2pNA1|t=qDhdU|i+@IJZz8+fdT|7gT)o z(Ki#u8`9bUhXv5WhyZ{&KEq;pCyuj9Y z+YnT1#U31r^y!?4=^VkMFWHXNU%1h!31H(9A*4e<3q<_>(5_pPGMW!eB&{MtcQ8wY zBr6oZ|5>HQj6p964tZ~lL_^hVoJzbQ)VO?vST2%p;oIlrCu*t68Cgs)HNzTz zqoo3L9=RHt7V0XisjM&PXU|>DP=n-W;-ZhTli{GQ9iFp z^_3@ruK!N5ny+w?P{ndW*>Xa`aze?nvS3kLqnfWD5I~bj^!e&VH|zjPkyjvj`>`8+ z0;$l|oAJM;O`xQruJU8KBsx%^yKo*~#bR99VqC#uT*;!MU|v(BoU5QV5naVAzv-B) zUx|pO;z`nOP9m(7|EuQhkL{A^{}+^Q}Zhm&HZ@Q8*@%k>ZDRKtEp_+!xnT zNtbiPFE7WdFUM!^JJM{Are)q)8y%7A7;cwPUlV<-QZy{c0K>?1Q&W!bXn$bT0DBjV$g7|}`z9Vt zwnYR_X7=???=dghQic4-y#n#Ec9Qf7ns%!DTQ_mcjxHC2*U+zXQYJLRqr}eStkCe` zJwyJHU23<&jpx#B!vri7{a`CMcSO_2y;C=BSvf={lP^w|BN~f(gVookIKtk1TRP_R znd1N{l8>MY>|JaPM^QYi9K2u?u2?60dV5Rt#*gL|SR)BT<5*k`2O6r6 z> zJlney%C}nOB^_Q-mM%kd`BF668$-lpyz?IO-M@gt&~#;O;R!BP*X+u`G&stHc(>T> z$0+~ae%#{IZ1|Nl<{Z-%x4Z#*MjHqS zr)}CPH~BCqKR@!pZyCN=R?x~a!c1hYULcpY=IPlY?&#+^y-sCl5{dDhbZZ0rkr7+7 zD*ref*Qq1gteH~0+bwfe#Wyxcj^SzHf3I?An^XI z4%eC|bcKmF8XJQ>F6B(UJs4V>_CC?)k4r;Ag1TN+?`2@~HUYO9e+K7$Z>_5Mp-xz; z_&ML0Y+uO!z0JJ0pL5*u0ADZVj-UjWKO_AEzZ}YVdw-!RuTQ@kO?>HV=X~fmyx%5& z2r{;N^!~oX`FXGM_F1S+C5syAS7!hTTSUmwMf%P|p#wh@tGEw3-1wdQ4j2QBDE?{@ zkwsxK;fXv}Y4d7)Q5L*tXBN|1G-$~%S9|8T0~4Q5iz*D9oS-eJCxb1g9|Dza$K+?m z)?~iKjpfq)U3&@@539nxi4W2FCj|T(K{=G&RavCU!Mky%E4cd;%WGE47*B@*M&2-J%?5d^8+4jk0#PSf*uez%$620!y@){zC;j@H1qD~A zdoG;l%``S%RZAW64cXt+I1US!UPNQP=S-(#nNXC%-@uoeuP$ACuWTGMJn_RbliW{w zpRi(C`LAd47Q^peVm;CcL#Z)lmrp`oe^C1^ipXW1QrL-F6AK%7RgK>JIXQQQ+#x

4%3B3VLtF8c9~ITOpzAnuwi`|-;1#1xs)%D<0D5)sB4big${ z(%S;GboW~Y_gkwHa^B4f;Rts4Oz|#+MqH6Jclc~0?z`C6!K~Y$MqQDHT#*{=uYDq} z1v}CuAFgrSv_F+DSPdtM;+%o6Kv0=trB|`bc^br{&DlUA^^nVoR&Kn9+zloHXOQGw zxuPdgZ4=`}2TKvVuXUBKUn{rQ-?DXHe*Atc1oa0Va48I zz}{iO?rjn9?!ww3@X=3V>K*GYGM_5%C}rwq)zp2()P2y@eZll8&GjAXgV$F&euP-j zGM!#}`TM+0p>}E|_b)nSvlidtD*6z_Ab459nyheb57;gj#6QFt;WOb#j7E^{51_0J zpzsW!v<{#&Mvz(KNmy!*8w~968vo~F2Y5(F0>FFe+cxf`6=&9rE&p?LB+-vscDkPW z1%uf4b>v+(28##e>|lVJkHtrwSv-8c;(G?v`D5U6s-jQVO?X2r``K~LhohQ~Z|qMx zseTJX5{x{Xv4VIBf-K?d+(va zc)xTw;+b*QquC>#N=L{M{2$n(O=N|v(?Z&uj^0Z5Nh=vX;mIGeW=_7vfq(%rMn)RX8iR||T^Z-T%?5%a&qXZ(Du^)QmcO=6`&J^NiWx$xI`+N0><>kWr&D)2oJfPSg z2^RBts>}+)EUoX_uufdE*-gT2fYUAKPZ3)t-?-d!eD+M7JBruq5Q=E7_xcgW?$ve ztME(u`=t#577hNzHHeap2-8-6j#@Dl0aFySP20p;vo91e6%e>8FVaQUO{y5bTfQhb z8+Z4gYkQ^o%zPL)LSIo|B}+@nmOh%P4aduBQ=Z;;k{==>oBMh)aiyqW1Z=v3!pU}V z+xoMoXG2igZh<4FcLk%_a)Jb)bs3Nb42t^yd#m9GLvx(`V8tp3hp?Fog3sz33At(d zAsCXF^#diU^;*MWHpp_e2FImd(;Ot7CmwPOf~F^47gb)s@53Ix)lGf4X})v2H1uZn z@nF`?)apy&;1j#|EqLFO`Z0$juboq*10^>Zj8-=ZjxT_y>58X%$! z3Irc^ag;NyY2S71v_9SaZ8w#J8Mx-yT86C6&NuYn11z@YgLDfj87gOWxC4;}mU7dn zIpB2MDbG4-mH<`KK1dR0#wrn(UeIcP5cbe4-^Hq}ok3k57+H;OXfw$R4`szxBJcHQ z?hXlgp0lf?UKW?T%`+<0CIFO6=4h1qQE`^+PT!u?k9eM=Yn=t6=wF`c1oep_0hYWp zh$}W{yZy(TQ^2Lk)DJE)8H|xMhP%me`=#3WGjC>6PR1@WRx_+Ryk@!IE|Rh`CNQmi z2njZPnlfm|(`f1U@6Xpa#vwLRHn=)2Ve~%Q_O%CdLWdo^PDArZChT zdF&L_#9!O>m_J6Vw+;^>Q$p++Le_6+ThPN{^hk&3c4b@SIFNv&dRJmM?A&yBT}(UMTO8NMJ!8ve7iyV*X&+H0gUy2yMuIF-FC%Xy_(;D!dKwH07)KIy)Pbe=hhnBxV+bygn!&Q_?&*pHk9%sQm}=y*OAi2kub&l;C+Jq`eJmj7Of| zDjve_y6In1YGnHr9`-RksUsAMyMe*WLvVJQ&AB{PoSpW3R(kZ^!Uo4Ha9JYl`iS1t zeKH@@rzKNvw{x(G<4&pOrX*1#hk)jrVyBCTo2$Ga9Mn(ik?lqOU(au?kQt>tT+vrWk&hsa|&ev;X)%7ocm3GT9#HFu*v! z^Pd!p$?E(ML^}m@x6ev7k)1tLFUDz|@7OX9bx&5z91!}RAS611i&)545PeUes1Km1 z+7MhTh(iTBg176=6waQ6o0dmgGV2cqkViRS%Z zRN?OQ=oX{vk%{JofzC;<`eLcYm8D0TX{Wo5LVj!BQ@hwJcIAXzICh z0hK2p{Zq-a&$TKkCaUfnG9?Fq2E5{yB8- zDhg0QcaXsTBjhCW^f3Mr`d3kc{|LYc#!&*G@H;~992zM6Z7S^ERi?0J19XQ$U@C=C zg1ls&0Y;Yy{d4U8Ri@xS0x%WRA3>bSB|`6y0E~cl7z7Hy2!mvv-vTh=UsH86y7=p# zgZHn(1UI9hJ5W*Y%Q22-WGC|oF}e8b{Sm^N(cu3GUq&-@{}O-^y{j-l0T_Y3F9#HW z5&xQMTtAs7T>t!2SaU7*{&?qeQw=Jh03;XXo zW-b02;0d?P#EBL0il^g3IbMx*7fM@K?wa3jdwrR$Tsiy>6+Yh1VOi~IfK<>EP#l@Bux zpMbMKMjPz3re6rXm*5&2Q+fXa7(Yd@m6?#XN> zF!(KagKIydy3)~H-r(fqq<0A@7~lK(@;mvu??7T9?p^WgEjkbRKdlmhSKEFUAPs=6Zpja31>WVN6*3DMRV@`Dw%{O8U(N-&J=uF-h1>m za;l!ucyPQ{oY@XRrL_c=Ac9MrJ$Z7zQhn=B;pi`Es!IDcj(IXYf8v<25-|LOk8_B- zXF3&S~&*zyU2(Aq+-G1 z$e}2u5I@J_C;uh5?{*0|(-u-m%8*KiqvTUy=2M{NQ=sRI!A<9an~?~qlb3l)eN_r3 zS2B|P|EKt(6bueb_U{UQr34zB`q`hUSW5L}mI?LI_Zah<%-46;=4{;c0gf5$#UDaQ z@uj!E;D*536+?%F*-`6iQ*eH}fKBQFi4hY_1g&9>!2nf5iNOFRf)g^ zik|E161Cj9z^R6ys>YCF(*#Fm`rXp(k|*?&HZDzj(b&MuQz3*af|FJAhiye&s~23% z)C^fB==5-7^w7gVoWup+()j*>W_RaA)qi$WK3n^CyNsE>64|1vnb@qQa+KRKL~9CK zdtQEVAB0dw(AQY@Da4gC7=p|yalGQ%UaxT$a=?v#?=E6MR=uouq#%P1ORbtd5iW$T z`QUBo+PHeX;zLEEQ6kI5dFiLhRgsqNh-c5K{((rM`*csABbL17c;I#^Tlkw_0!6Cn zKWLWCHBeU)oat zYC^lOaCU-OAtvYih7`ig`EArq*TW@{icoZw?g!cTID_S8?1O)2K&X?b(^`&bG zh2sFNLIpg%()U?=H4y0|KC*MT;1k`6fmqUAOR^RN1HENKi}VTpLiHwxi)Cx5)2I8K zuxF~lnXBQP*2tT4%F2b#hxl*Qk=d+Xoy8m#ZELewDsx$el)pgGvk6v1Ce zp(z5t5Uc$}4+I_df*AJl-{lvw&mwM?k6ERXJVztHhlza#|3gF2_)d!mqJsAk6ElIL zk`R-C=As}6`3lDVu%{ntNj{hhh=hYh_Z2J&0i~dh5%SYVrxx}TMwiSJgoP013J~T3 z%Sre9GA>vgNb#))_16jX9({c)xypQSkwFO>a=xtME2|rHoZScwz zPKkz27sc8}`O!1l{W~^?XA*~J6o+Rfhv(qt*TCl2b-eu-*d~xZgfFWf#t@*JK~Ok| z_aU*Z1$z)S#|`^4hQoN`FkC2U&p#`#rj#|YsM(p+Z2yW-@Q(n8-24evppxb?+k8YqmsPy9ROTrh;KCrd>b$_2JTuKSXRz*&bC6P;NR)fd^a&bl;*>QvpnoL z*|8w7x`9)_!38l9GPn}b!Vnf-z=QNuQNqfAdH&PF&R;T>m_jxtk&-%|k~)@>I+1cn z5-na5ZT9)6hLDPx)kV~URid8HiRkFIaR)~2uz6aCeE2lG#Zebz>@KUr;sp=fT%mMh zYd+Ff`VGMdRMb4t?+Us7)G+0*rO(4l8(owP>+cH5)msI5vv-3N+vEvDlT;)pFyowptL^9 zbC!YXLf-i%W5Oc;YzGT)pNWtJE|PM=jj|1ytBQU?)Djh}0rRq`_URmParj!Tp3hYg zW?I<44%`PLuV7&q6+O?J|atnlOdK?;w+%up=aNG#fLEZVQwJfmTSn%$UBT-`+6 zaV#<;DbF9i;Js9@pbB?rI<;DDU@~8eJ?ojCb*t~uIjPh+( zXfAgAHmimiZmjTJa8dPwbQ(19?QeUN`b@yyjA!4z)x zLNLP+GMTzw@mP%ZzM*k?W50fDmnjjCWBq4)x-iTY4F)lWS3)t5L+58U>1j7SM|Zrp zTP&~S?{qwF-biBn`Q%~x{ca|obw&>_9l5hIfzYf<>ppv*OVn0c{FU23PI53fw! z*J&P8uhT!B#0J}GPUdS)`;)$u_0V8vXBNN5Mc=`mv$Bo zGEC$4S>+QKD&%Lrc;1U_EjHPfG2nG`l>&ITXhupHa~{Q1nay8wQDV#Fj>0P09r40* zn-SfF!;!B> z2GkHTW}~p)WBS$MrdSByql5cIM7DED>?UK7S;<}1t8bMI$d?LpKb5M`;)W+0x}UUcg;nZe7L8$vbz$>n7*?(7DGMLfJC*9zl&9|0#XKGcm2VN1 zTW!yrw_-O~184;fp}J2o=}N-=jama}loRX5!7T^$%}EYwe*pA0IuY&pvAD8A(kCRw z7sSRFM8;hnGu)i10o~O|>6<-aQEHa|@wJ?-d!vzUOEP49 zx=W!1!;>R((Tpx*-uy<@OfW{LF>A~Wtu(iR*$@lY@TZ@PbFXWtK2|@!qh-z&thsx) z?!Dyiy^OmIJwloDZ-6z&-im6!HfulTk%fIM4)oi`vfEwFF)3e=bHRH;i? zHby1C6cp@m*g=ztzta^q7AG9$FetXr<(5gCss&->NtjRpR~~B6(&d9vodBD!We~bW z)Z25fve#1OB2pd?NN~{G!&l`3r8TL%9f~}fdN}-c^HH(7S-_K0n?Rx^zpwT2!O*cK zStjL6-e(_MZbj0{o9_|EEI+$$Xy7qxYq>IRCgKwoT7m)xq#Fm8hHZ1h=;jO1d3~0# z$cnnRPYY(JX04rFTK0z#wz&3|Aat%j&L%=rl$!P{Qc_)8ph=--YE3sqXrIv5)Ri>^ zQ8$&sdEu@I(~aa?AAiGg{7`QxNC#TH_rbi`SDi3B5vh0%V)husoWQ=T!BQw}DMlas z>!=^P14%!xBooosGB}#~0Q7**$e=02;LJkMhW^My;3P3H!o+BSf|SsH|0UoLf!h(I z^#Oe-W}&}xf<7Z-F$-w|4c3|0Qg8q%tC+XgnULOVixiQ9#$`FhpcZI zC&0^YsBu}MuU2v;tgEGLA1tCUMOR60I$Z12!#c*hsO1zf%^5*&Bt?Jw7}i6c+bWa( zV?9GjbirK7(n}@7O-`wL@B6;Y%8GC~d&fK_DSwgFC&%;UuVs%P-LaKkxXTs`_A&cv z9_*f{3}yOb${eaAa%8pI=QTZfcM^c(p8V$gv|h|o!iN3f94Rfejs@kD%meyY8_I=p zW513A)@&;Zi96E~3pNdzGx>S2FSMtHd>$-W5oU`HG7Q+fK97;(jXqHwYwSFRuG{>W zw0B;bnUNBVj(Uqg{qjoEEat88=4q1mw0v&`aRHAhXQG$kGT(okt@=IdyVIAx>(`(~ zTapHJJ@@;-PtQc+kE^gTx5^Kz(pmQIo0m&SkmtiSysCp!LiiajyPYdg1gDXT9yaN* z?RLk&nu|@kyT{J#242xxyWR40k)2#PZ)q5hPqpm#M@%{Z-SWPM<7neys?8M3My^dq zf5juFhY!a}?$KLI@G}7Z{s8xUf@3wyph-Z#Nnpg*XMl(a1u&tF41mh*d+CMIe-k2M zdY{Zp_VEu+_Nj?K73nlxeAMOI(X+Tyd%ag%4QMw_vzcPr$hGJYoA3KlOAtqTW)mdpycb!glRd2+&OdqYNEn$kqYY8UTu z@>}`mtd^N}lMYm>y)?jlpaj{ip>oTJKeaM2vW>oUV^I=fjhrhC#f}^a!@L?BjMQUQ z#!6_>beCFuud8lxUBTuzD+AvZ*18(I>!llIfg=tkjH+GO_0_`jr9dlP*Fj-7C=;b>y6|64xUCD%~~3nv!7Z z_lMMC?t3<>nFYXhDzly~+s11oNk$c`NkT1Igwky#rb*s&g8ub1EvOEX;~5j7HXn`zT(tSNmZBxUE8mSSW7*pb!5~h$WLi3B>A8Tbd4=nW0)IKadZFRd zzA@?UemFn-^3ubTXZ!fck=_DFof(e~M@VKro1%9Gl(){1U%iH2y@pV|rq^bYvOEZD zY#>~`V>+7huhx*P1kMRkJt{^`ZJHZR7bpB@P-wq+pT8LqSE#C{=qEx_Od=E+EEE|e zl##84(3l4M={&y4hx*hpv*(J+PKFohv7kcyyapJ6^TQZRPMcY*({Qx!nt zNAQfm`B@DEL+iXkf!&3Vg0p>vnN#aCnDjW$OdggOcgl*FVE|^|=;OAil zE-dBokOZf;>Z*T!^*s^3+D0q{9wPWFRe28qnZlH=-D6XZmhD_PxU_WQ| z=rZx7%}Bj}R&y)YkTflGv`Ei@rz%;bXJt!mHG8s1T+4a_ovi_>ht5s}E8YV&ck@-} z&sKIRmoL?Ivlnrdb93%aYJ2)l_>*+KT%MR;+Kn=Jc}ZPuW`2bdW`f>KQ=G^wF2x!Co9`!8gi z*{=*>1ZTXNrfNroIyhqy`$#A5mdvYAlz!J>(+KsYj(W`b5Q9T8BWIj?Qp{`m-UtAHI{*}lM~A?M(E7p>>3F4u<{)6_z@uQJq_98#<#OU6 z;L6_ntkML_#_IUM?b)Uj(48r;bT<)=sFQ(zmW8xz^W>(qyTj4(kxEAZxM34t-5}|$ z<94a%-pQU5h*I*iSTpced?otSyC0qald^G3cCEInnf|(~&ylS~`DG>NFe&;-2E3&& zWv}~CDUm7qfR>-I0-2pQH8K^$#w=&kw29%dWwUG=YO79~cq_7M91x4JN(!2*vuit7 zQrX<7#ZizY2B34*e#cFMPSb);HG)pTe#a5N1T!gfV5N$MaZ6%gl!k&o?h0c_i(vc` z#=s5!h;GhoT0EScLm3d93Xl8u4h$R4=i*^qVOdBtbLQkPaovHb@RYNLjKH9ONFuV3 zc;?KDKna+Ieb%rFC?T-nSOTN|lA3^0P%1qAtf4JXf@8zcEFRtjCd4vl{)c2%JRAcI z{#~T{+$bHMIu2%a@O!S$t!!-Ycs5tNyw|T(-P#}6KA*1~1k7N)T^=R~@Go(#ae3BP zJ2@ZA-$lI+j|;V~WpykbzRgZG$gv~6bjzo$NYvCepSj#SN4JF3KfV;ak4<^E>fL9( zmqu?@DBmYx@q}bqw>?i}`P{ufFG6aV7~3YMBT>%ni90QMXHeiNO})OBtl7?4|GaMY zNt-APV%V*93fG^jaH=uSQ*~1Cbeq0?!8%z&a%yLy8Nlm*@SJ=lWU#@El-I{b(vyVW zA%Tz7;|PxJZZTft$%1xe;%=oEM_I%c!X;y50*yo= zXYzM_Xv<96B9tK^{8V=EJ2I3CWgfu1YCzK$7k=V~b6yR6xSlnmCY|!LXh>xz#f^

P!w=vpm&T^!~juOXmfw&IrBLkZSK>-dIs@ zqW+D5ET81D7NiU{Ru&x&H^ry58L-Y)jl&6JitAdn?~f!8(#y`vyqq1KMGJ4<&ua@C zP&&P@P!DzA9f>voNkz0e7-y9$M48qgNh9W(z%7y=cV^1B-W=k8wXB_#4a#SVwYR;T z%#`QQr&W-7dtRfL*xg(5%A#8FJeA-l%`_TaHBzYwt$@FMtb7$yqhCHSoZ^+$U{_I6 z(|IgRm7_GeZGCq~xc%g#@f7Zo#(iD#@tX3U9wd7n1-NrZ0ZsK+5@l}k>?NV-Ny@B; ze4FeWgxL@E*gk&>dP3= z=nol+`WHRT0RF*6*>aD;v!oL1yOI9<=4+bKq6Hhh4!=iO0K`6;Y!>QseAMEz?DyB4 z_{B#Toa(QJ6IQOvWH+Rh>pt^LxG) zOWe6?KbTj$&lQ2KwcXv`v`!>zA84ZMDP~@TV9N9NWu5rm;BfpC)M{* z6sewH0TcdvkyULdi5xw_uJrK?B%UyyjRRM*Cl7^Ao-^DXW&|5`Q8wxaaU1ul+a<-G z+DeaBjRr@IHT^@7WVGU#5fQh+QH5p%kj?@b zMc3u_H3KOGNrq*F(50Mw1yxq!_jXu*M`Ch0o6uE+Ji#tAstWG@7=Qtp=82$taWSzC zxGNaN>!#g|7LsrcBGD%4rOkJR2vMnWg$Pjj(gu27w=D;EUbp!-fXwmqSlkmz&zKKq z=;#+uzj+9nNUsb0DGdv`MethUJW(sib!1yB>i{IxhM_1)W;5x4>F)s4ohCc!U!i>+WXs?#R0N63 zaUe$#@r<)>Z!%bfHUtwfBmM4We8j~D%%E!2+^J7D1fJcXiYCAbex6yiS2v-XInnGQ zvHkflU)u+MYvp6sa|tz8mt|R+k&=6y-C?{4526)U-PYuHMLcIzN(e&sW!`dj7+pD!5LRK@iI^zc5 zH|k3D2tGG{+8uizIq7Cgt|!mBXA761tYQ&uj+xfMv!;I6d+hLuL4XwGxS|bHg zgL|7LP-EI;t8PmuV-H5248=ErB=Zcnovrz*D(O24AZ>DODu3>&8wKczamXb3>U zVU&HVD)1-3IQ_XP2x0QgcHNlhXIARcjdy45(zmkl4VHZUy2~8FG?rg1=Fn+4={;_{*1W&U}2RgXJ&(uj)ts@-X?*?U|B?y1%O8`eeKC zo{N|&UE8p#njqn{x^@tsDt!B~EJNf=dyD3_W{xk-oF3}9J=F>L%L%iTqvcBni{^G_ zj<3vaAL`tVJxDI~pfvq_X_0LqKq~~hFrb@2{S*YZddF=cm^J<2Rfu~rhW@H5bnOSK zgqHO=fvi{nP&XE8qQtbYR$gn%L1gY!YkFhp63bL;bcYMacHP)?=2ryhKd}scnle_k z?~3J1JgqVer=U&zq*{{`TOUGkpvt_Tt&a%n%nr_j*OP%@DTew_7OSkY`e0xm4LkmG zGXmE7w!lBcWe(j*({g_by}Torf!-P7%v*)rxVq%Th+tu*UyC(sCFq5UxZj23#)YJD zv6R-JS@Rpi!Le6qd9^w@*Rk@33gB9<>EhN=L0R685oe$~+tMnCmmsr$c<;(6OaAWn zNjiL5(i<-4+t%qIDv-9eI+lwXd`|^FrT$o!PfG^FATsA#3d8zMrh{T97j$BsXFj1x z3rtO%oJ5*0dzTnvikf`vcJJ8^4mU3cP55lLD-W+8r%vMIJY*&rKSWFnH*l7m#2Yex zv;x$)$yUjUNk08_B6OJcT#+)0gOIy1s`3J=m=K#6h5f_CU)4B3U_jEEZy?hxi z*T^^iW3AoBYq}yFsv8LNP6736y7hQvjsa{Vb&K7`>>35$Rgte^8Y<6-M9VrBe4<1Z zjENKX(g5C`E9en2bq|@YEYj3%5)dI<|1D^-$NRCC@B8na2Age*UJ9>a*qMD-Ga(AR zmN)7$1W84JYqyIB*_dyytJ^qDB`)1@TVqqh4L{dASGQvzp%J>|e-wa3LAv51n+l49 z+2dZK*?>M1iLr13lfOwLBfeuNtyqwHy4thh3}iL!tosb}ub+vR+;Xe4uH_sN$|9M% z2Nxcgx?iBB&<96iv1>26jtuayuh(ArMNTX}q(_>RE6uAL{Vmj&)hl;-*_BQ(r2Dw? zoXb-_-_+*Ph|ehXg9SoTBUG_=O?{9D14S4z6_f*0_X6FK|LeTA!Nbw;XZKE^FB)@t z%ub+oUnC2!uxsEo@MXo1zT_pn42_W3<@ImWaBEHYTUfbv)>yY5S+R0!rL$?=J8NiL zOKkj$99%hbZ6&a2+&Ob@TZ?L3xpL-NxBf%&*)$%U-L)fAM`5a<$p4Y%hms2RF|v& z-gwC`|6yOSCiv;+)8@?8IFw-4v@fq;54F@*vxA! z!?IzHEapE06UV1)sDlGrZ^#2E&W+wj#PLSkj-E%*gWBjm-15l}Pdt-^2t#JTj~6Jy zE$x>k4C+-_bZZFMM$jM)zn*{4$SoMqYp{XV z5WwHuu^VB`a`c3`T>zi|MqzRLeeK5DQxxA{429m?FEY4aR&rt)OUzD1k~T@68_q@1{YY9~_v7Za{>kI3fCHyM001ogV#^cip!e+_ zARyZFjz8Lqb>`^vhd6r%Io{wzcDqts@=&Tp%9`XrADyN6hUTLkxx50TGjb9&nIZMT z=fwf*lfpL3#5q|$A~vE*WhJ-vw)IhoL8`$@?X|`N$zznt}%O3ED zwxj!*-nbUt#rJ1{H+TM)<+Af%bGgql?|t`-I(i*lo`cs~AOMz8j^r#|@4!dfPQ>$t z?pN+6orCq5mt5VelzzN$`A_^c4_(`Q;VPI6pEAqYYv+s`mPeJ-mdsf+tc**?EbCML zBGnB_*&6;1miv^`7R}K#tn^D|E$hFRvsceiHvC1BX;>MSMq1YYC}*#k6K_}^QpQ^{ z|3e~J*87#SSIt2-EO#m6Et-RASm~DjA?Iu7+#A#^JMgg)z0Ps#J?S-)|cb5f)?I?d0Lv6h_(yOo`TF}bNHeok0 zACWDpuq9V!t@Sx09|ne3aGyF;ERT+W$D1qK(R)ULt`}$k8uQnoohDGCR=+`SSR#+$ z$NuQcmd$lWs&_N({AiuU9f5*q9W%co2tQ0w^%zsXDgV!Khfb0Nbja$0No9`1(*F~H zvt(x|JX#FplTMFf`Lt?^WbPb?|Bz5EyMjshhp}K<_SrIsj>CTRT$2=kkw>v)TK4%e zQI5ku=((mTBwBVQlkoqLxiWH&!{6z-gebCn+Dx5enG3R#O@5{sX~>^A)oX5yasju` zR-K!r;TFj7R%Gk=Tt__ZF9^p=_eGt!uB#e!4?-9&9@AAF*;FVW;yWfb9kq8ZPnXQd zLnCpMkX^ta0tg5Bs%{g>He?5s=hT-Fyvsri2Dz(kbe$yh3!y6|k>6Ixr=1SmooP`) z$e%ep(^F^rQY)a>#64u<265w&({tPTp`&l>88rkL-(g|~B3i-dC7B>v{ zg@83$kinDwJ*!9`sL2vX<;qe!;F_hdLpX@7osJrfY&Y}xx6d=~YX{HuuQ(loM>qv| z((=@Nxi`Mke9|iM^wb3IX=yq-tbC<}$pyww6=(A`b2UbY?|a6}vkOihx6X0|Z)F|j zSSwFZfdX9ES+dd+JwbuAF!$uVv6rGfVE+$kZvkA#lC+76nVA_aIuuiTI+cGPA3?>vTbO<;iSV4zwIe&f9yRL~EY1 zk;1hPVm)T?4U30gk+RCpf%>Cf=+q})SJ%RS*W1<{oPFfc*oh1vhTx<9`58B%V(~FJ zU~KHhE?wHJh}5Y}oA9d2#_xQ{$J;S=me1xn5O2x{wn{%urj~g)ZYTw`t~PizA9Xeq-`+20>>Di%fym|o8z9rn)a5Dp3l<%zOXXB*rVeI6CCL?o_J(C~#5 zLgM;JDGXu4iG>S9B%s2l-=d^Pj6lSRx(vZNXFy^&{m2nEFTpvTKtd5V4=;q4CJA09 zG0qnfh%I_4shTvL^z|4rT=zIexlG-?@)=x3bXZ^TTe}c(IdE(wfI}n151-dY;WtSW zsE!V@y3=eY9$Y@O(($dVIgXpYJT@xEpsE+?Wtw-IH~9 z@0|boP;!F&aRkqWE8n>*uJ;~#f4k~1%^DdB3?POVnEE+cPlD5ZS1ayPrYAax8jVQO zjbtka*mtPmRcjSU;W7W_kj&&JxgyX5AD1oE;C~8HOFo1|vKnXwxeQj@>?ucH;_zPr zyu{((!I(JgfkH>3=^x!XmZFVhORUGyR=%+UXk|O~4YQkpzBVa^epq!-GaAIv&i^zm9oR-2iZ;$;a326}?!9xIdZx4L!pS0EXWFKX_C|+p!(M}~Uc2X^ zV}7f7OYnJHRqq?(vr*lPlI?G#QtH_lp0bV9$T8U0o>;R%M5nKMz0cPpc)jt1f3$CmVDoDW_do>6-Hx?eXwSp#xv@KaN7!L&WUG3=PX6#fKEE+B z@~&t2G<=g7D?jrNk^?Q?!v~9vR!PAdcPRnvE+u;S$LzXwrMN};GCiG}#ALf(RQYUDiixE}z0BCQl7W7Rh;qbqy45Rksh zSKe4G8*ZC@@tWaVIXmNT7g%1--959^(v$OfdM;<_NM~|nYtCXPE<3K1<7@Zw$($5d zB~qO~Kvon~v$5%P4JykuH-1agboRoXT4{}(-_ zUH=ZXrCk;pnDwBCs1rs@;~je$?V z6Y4&6+Jzxd#lhOgPpdNI_oP&-|D^C=qWY7TS#QczzUlJhk6M`|A?y94a}>$ru0DDSC*#Bq6z=Y zq-|xX8(7P~OMq7ZKf{Drp@XScM=`G=nbH%=YY!0gg!I3M1N*-afOtZJ0!y4?LM+k2 zRI8&HRFQP)3Hh}L{1K=@hX2=qRfGHwh*yLBFM+BC`EQ}8E_k87dH4oL(5~-a_&{fO z>jN3HUez`Ig30O82`2u3FYE@Ebn66z2A13eR@I%Te0Sc_`|4nFmGxZB}R*XBPr{0;ku<7n8eaJ<4)vt{ni(YyT z0pp(Fb%OzbkuX33=v`4DEFdz-fVCQoIz8FJ#fNeI58fnU8-XO#POH3xVE3lk@qqeu z$pxd?X|Ie`fk~<|$@G(HFWS@t3xQEN8;>voXG!~U!H8C0qm1tKR#`;n!Npw4>dD1s z4>m2?>-9~*#aS|*N#?oq67r2WpXT0`(Y#I+1&I`h5ge>Uf}bd?M4X=+Y*?b725eYg z7#kY-f<%-C!)K#kePQ!xbxL*OX-p+ey3`E5bqsQDQ};Ar{fcX-3@ z6n~i-Z z%5S~s zaKCu*+A|Wen~C1hqAyoV z1T#}L@<|?hZIH6fe{qRPmG8|yVZKcM3U4iIt1vroc1>?x0Pp_!Z8p)+Q7u>h z;4E+_dn4Dmc=YH?j@sz_^TcC=Uapa<^!GSu=(P*aW*!0;*ABi@Lc~e#Z;1tpkadHe zSN3mSJRRxP_i)Ib0y(nR{ih8bT7ESvLae$vvXhI*HLHOY*X8uXVRgR_Sn>1^j>4jE zzOw4>9r>~|zwxQg2wfcRT&})e)vs*p5_uj4uScLE z+<-8@e7!L8idO}WYmv2)Nl`JMANWrJtb%uK+o^p-TB$h~zQ~P^7sj0&I0#7ie2x!4 zJ({G$J|H|F`R`+{^;`jCp@XgMZQd)y2m%*(&)P4@RX3ZrySq&rUUqBJs_XPC$vmkS zWQCSWOt~y0!g#w|DjRzX1Kw6_z8)wOp$d+dp1K0$ZinvH>K|_a+^Sml6TkZk@RmlT z$Nd9^-kVr9ClG09J0}o%=rAWgQD_(^kZ0~w3_`z36LIz0Liq9N;Qhnqp=syM!=F9V z%E5(n%d^4zN5VI^I=e^Qm$xcgM?ZUJlTU#hxBO{G#k>=eDdjJDB@u$^4sQKSx9|F( ztYaJi{cbP#o1>c(L!Uni7B(O^r-+SD@|#D(!YxI`k)+maqjpBe+RW`)%D{H_bp)iY6KCTBNvlAtLO9Go+}+j{f%l6-RqHfzo-(;bpYnV;%sVI8`*ubNRvyI`ix9)<0ov?<>P(%6HrHLpDBPobD?FGUbJU#N9)5u^rb$VM}(E zboT6vBxOggO3#aAe`l`BZ(stX#$F`90I9hR_5>+Mmggj?6*nmR9o(R3vrUd1|5Z0A zmmS=+XtO*Z@w_P3_tR$Gw^@U&Xti|YY_zJu{E4gL1FYOu`}uDbc|&8PKZ?BdA2;#f zn{Usbx3h6O4z<6wbAr)p(hcKZR3?B@DlvbPR15JgI@+gft<>7K(Y>VnYX9!dGp*V~D%o%RCnPH4S+bK%8R8Pv7hHFM+86?tehBA?|;I0dDcX zhgdZNxkd;oeJ@OkA;c&k;44lybCdz@ANB>FXk}p+DjY#U7bx6mVHXtS0!_8BKP=?^ zwupb`UTuOa$CClsa+6!Kl;DWV`yTF;DGSR$T@E3lTuhGj!_LO5sf!Yi&BNKDtnrdf z`}vAdyfs2>%g+5&P8N}CW}?<eCmxqZblFYrimV&kAk?-)C?FZz^ks)u5AN!O} zFFW~XSgW?Kb7#b>E+>)<2~!csE5B^D zclfF}Iu0tVU3!t|-)nYks=Phe8^&M6CP%vAIqQ4!VMBdfiuxj_`vdkZgn0OB3fK8F zOq;b=&PFC0atEK+V7SejEa+D6LykfX@55=!}JS4mw4p(T#cEx+zUww#|R91f0 zKdaL3Fzfg~pLkv@-%jF*FKU;CXLqebshUxXo(9Ebqg11Nxda$eo4W)UQCBb48&z5S z2VAdzMnC@T7lx1G01js3H;D-NJj%5n`%#6bjsK|_y z&<%7gl+g`B3s(?El_C+o`a&ZXRPcpHDoB_N;{uy~M6q?L^>PGX&wZS(%55)Pef=d} zxnrV~sHQZu{1~*XWwO*pW1g+7#YmM}&3T{@^0_{Eq}`F5zQt(@7%V)b z-yzm@m@cS~J@=Jgyj?QCt^1@6n!iRqRQmCbwJSK?O57TrSNZDQRz3woz2>{$NiKA2 zFMd*UygG3CI4lQvr|}kCnB?jbHJy$IwrUTH-(L`CxgHhVAT(s_7ujU*H!Pa-XQa(RmU+gP&X<<(_Ext*`{#RwA!HiA{-x@yK^JSpl$1zd12KsvF4Q`XPIf0 z=yH=OLZ0B1!;Q^!c3MiJ%W#2hcGDkn`p!3>xKc8w%O?S4jTCfliryi8pnnVyEW*UmD z-v2of$_EpH4EcQXi2n8M5-CFYLXN%D&Jvc!c{vQGf zMuvZfp~wT_A1yevXyn00MS@Ygk?~PDl9BOAIN^N~dRfQx=5-EwuUDCmj2PM& zZD+Rp!+HY_&llCMPX(VYRAr?HZPa5@Wk*h)VEI1C{J{_DOuf(F-S2g$H_ATd+c6t6 z=OTVyQ`%n5SSEB2)r-x41;iszC3QnE4a;Bt+*2HRNH$TQ%fACSt=LQAq{JHpL%b zVR~byUSZykseoYenT+~Thfd}qJR}FR1k2CUU5(DlBUFPPmc7}3@d(rV=3SG9tU!>j zt4npn+@X6VFH8yi~qUS508 z2_h23YJH)L?_5J+?(?_^U-lF)AJt zWUAitmxP<{As6U1IW9gp<1neFLDDQe-*LBQ z5Zp8**2OVekjTXW4lv}qZ*AviHAoaNE}p_kdmWp4cxVsM|Up|gu`SNo$6 z=6iT;x>~8Hp4@dAf;z~(s?I1JVD=&?93b}ID2skgczvoQj5JjkG*vK3>JTGzV0)PU z*Aas5U-H_>6!cL4V((g${^o|lH>@Uw!Z)bqhx!K4i0=M`kOI)?E-bxv^33#>x?tS* zYl7>eL{Wcqkb2!C1;yYL_7}n66!iDTSjy|dgH)pdal?(brEu4&= zKN22%VlH z39{QeINjO#GGmZ(TTf=#zMAFcWcl20S>8UEe|(*MiHuCWf8Bnq>FjX3K6{|LQa`xg zOH90&JRRQK8$NQCpUlzKi(a@JGp&>TrrTU?@o+d@h?fPH?mmIw=;;d)-7Oks_ptT{qT)FH) zkF;|C(U`hI7hSfRQ(SsiS>1)DYNBh}YN=VtzQ*IESyy1^t*nOr`C20?Dt zyO9Zln3|CZ8o9WVNd|qqrXO*J-Od)^an)$IOZ zcGPy_>~{OQna}(EczJI*vP?0#ie1+1WotCXcL36?#H?hpgx{}VO#O>RRoMhJt#3Sx z;mNf_iC`{P1jJ^9JZKv>Y~EysmZz>S<;&$u|4D}-o3(!7h4t#4WCzoBy;W;sN25TQ zsf#6#=QnadY!@%&z6D^?&;4^+s-HV;8VA%(heydatyY7Ar0ttL%Blq(X53TDQb8 z7FXHDfqmKDidxeb1ofphMiy6%^AOk}az5jH5-O4Sx&=?pb`S zrjZ32qk?K06O8`&mendQh*hftjN@ZRFDrCp)q>||5aa3Ka2OR7yGTO zaI|cC04rFzLA&DZu2yHo(&w?>V$JSog|YU0Z*h&U%C?+twRUx*s{Nb8N%?j&!_{y0 z=bc`uwvG;-j^8aKRpQu<6=y#inuBMo1YjFtK4Cbit`v)PHB409GL0-8v(?&p3Uz-~ zF(6uoZXnEbtvr8ObNCjis=Tr=&xKxa__5tcc2nG_UzQHgz9?LZ2wqvP-+fNGqUrR zU|&C>zKn(BqSQ=gqqzu=$xWR?ymOTsQeQSw8s&!4xq$iNlAZs8*oG2~>xV-KCXpt? zfm?jFNnzvY?LF{Xezg3Ss=CTd79%C}3L~idgA2JpbNLtD&B+*pRO`44{k@j@E6ldI zr~osQM1@WHi@z;Yubbzf*NczWU1MV#EteVWGw;a8JHyH087yTX+urEgi{*0?yO_Tc zhIteiB?)jz6bwqR3HTH*=8phZq(Gu^BgQ2Xb=`uq&^CxmeyFSVg6*v}o&%5;mA?1JUN4UTCo@a-CpZjhn6Y3M&Yze(aNAKr1apUyKX&63V*K!U zVUzLEK5pxnZYKW}`6!;MFwYkdg%X z(KLAPt)<@F;&cuSHOgc(HW>0g*XvWBnf0pkf_%)j6j-e@ult^i=oM)b^)NS%T5h~W z@MP{iwbb>t8fVK==7mGBruaGVQQH6ZOpj`1QqgDi?V;3XbIhzGti}<1x92=@kPBiG z*WL4OALIJm8x}e>CQxj;7KJ|Dc#@yozJ*U_in}vC?QEVzD zTYUuY-OEDUyx^;_F> z0T`HqLG=HL#o>M9A&4#G-Mn>GeKH_uY2Jcz``UP-8m?dq(kDTORLpZ2HTD zkT+-mCa4>Pw32S%WQ&@H4UFZkzuX~kqpV`hUiLPeWe%B#RN{2L_+0?t9U0JR*FSH* z6?=m57TZwXUN2B&+p)a#^jas-6DT1JLxw~OgD#B19Ymr6BcuQ$B-)AA$L5G~W7&b{ z7vooMK0f9Uyp}CchQ~8`yuw6i4qA+6kN~2b$G;N};z-{m1DO^M!h&Uh2U_fh+z-Z& z0sp8#zbPWt6&mG-j0;N4490H);WY&F7>9aEO8zf_1t{?h7=IC@mjwJ{9M&Z%!={K< zS7^N-@;?O-UJ|g6acGyMl$#<_U7<;S$h4ruO286-2~c1k|1J1#)h@6B)NZ>tu`*Xf z5V28L5`p5Dyh>Z~LE>a}BC?WRc0 zzuNJC-0|Nu<^QzzK_okW$&bA-pY(4Mv8F1{B>c#KMK>3;6KhhPh{7me%j4p2}E4Qsy=3F zXq&%0Ygl`*0srGeBSc(nXmwf@ekE^(61pgdh~84@*9Vrb0H&A^Q6K`_7lbB3AVnfc z<6qQ?uuIO5Vp|*-i-235J^8_a-M_UmQMhMy*AkJlG(WWq$5QRCrl2fMUELH z_Q^cj2?g&ckBwQ#+$3Ou21&S((>ywXgjEViAPN`WMzTIjy3{@hIb={1LIk4(!I8oc z3Zqa3k!+&`{22q7NZYfl=LV_76bF(sLO)OfrbrRUx5H~{ zras1WIPBa#@6b~*BPM^V$(nE)|M4qd`{P$WxYpVsWXsoaOu<6og8iByQ{2lm8YLM) z^;1Lc(25o$3FT@Oekfr^ufF}*d83TwjHTW?_B^0Sv_G7R`To`4z6e*F1m?~6AZv}! z6C^+b_gOxNmsV_1k$^tpY)7bl!?Mw(O1pwIwQ!+&eRVYpgQ@6(j&Wyx#fm8)7{RPn z{iN>XZCo02pu4E)dZ*Ea3wtJV-b*QJ+k!x%pCUI7%p_Q&Q>I*>Y{51B4zh;dSG=(E za+fSg**j0={a2R9I(N$Yu@NqQNv)shHti_b@e~!|FejUzA~?aep(^=;S>JPv_?)fC z&(_NG#u*lo+JNq)&uM5-J4OJoz%3kvUIV~R$UGqJ6I9?Z7(yZ>4i!8=2FoCgz6+q~ zXIKm3D2I}U7o-%d@CiiK>`{gypH12tqsySy<*0$OZ_!4Zy(ixXptE=B~FV5C1^N&-VX ze}uCNh);i^l(Py5OCS;gi|KS4_K?tmk1+{2SS&Hd=nNV+Ijih$+QqutdXlm5AaCH* z`|Wfvd+k*b230>3{7)iK`m{%=K%rfDvlPd_%m|_~0=4Or7zhu~KQjLZ_wR}B?}Cee zYUt@#{mfVyVQ;S*6A1IMDy6LiJzzr-wEEx3+wE#0__PR(KWJO2;CnZUx|7Sxl1`# zYn!#oOY~m7_@gJ*`A#o$S2$>qTB57gYENY%2)T|II&DUu-E?d_4u8EE*Hz8i5H+my zN-8oieWjROH_q?C9-99`FLGosoO+z%1xfkh-*_E3UZK~0Bmi>iQ|CEnIjZKZlNyM9 zr>Naw6Aiyo)cvTyjvV`JY^>h&Bo!YndFSa-hNWClY;q`VCMF-bf4xiAqBot$8WOtt z#E{e$B%X3L1V^OVPyWrW$nH{tJ(N@)EYYW%x89dvthLdmw(q9oN@ZY+Y^tuGYm861 zAjt10Eu2wvHUMOz;()q%Yiu|ou>UiCjIsT;C&I+7)VOD8zV!%|E?fR{BoPce3z|%^ zo{-(Aw9-U?+P*g778v@%qenYofH{c>I2R1(d^;503_dWwMT%*j7YUUz)y0gbAp~A# zZeSfw^oW!-v?+>24aYehj4o|XHi$lIX9Ou%-V=UFPra!rm-nfZ<`q;sWCLW2$bnU1 z?6a9|hiwbue#<(4tiLGY677DRC~yqF1Af`1864`s*|7MT`jA7-ESjivn} zhui7bnRBF>0=%p&blOzj$Hn#BD0<1ch-fb*uw&`B;=l6N28gR&4Xxni!et?((SuV> z`q45QwBQ1yaRH;a06JVi87_cjNQ5_#x9|TWAq(WCSjx2qupN!lEPF2zBO|x!-pE!= zXZk2RET0mw>&Ha#jZ}Fa3_CV9L!}+qhmPV5b*cz-f6&Eje0wlCNj@D4botOe0GgB7 zCIeB)=*TsQ$hxmlU~@txE_p?TcS+I6kmK!cP+&Qb<7xIt(Z2JF7G#A=B;BBdOA(PN z4vSEfx`&CfA;KuV?%V z{coMVf}}`|-#%SkTsxWazK@LuVKaI7%LncEmUb!)ktkL6gqDAyH(C| zpsx9L_sgaCjs)Ti8GH4HzpK)xs$J=5QBIuKjAT}|IlAM~gR@1eOK}sQr(?tx*tvhw z9?ww*d<@I^7BNg`u2!5Ca;Rd2QqR$YH@p5);YlKKr&73{lBI|uH+*2s{Z>)qX4pyZ z>*LkW@;s~cvtO-;4!83RDNovJlS%Kv{L- zV-3Ex9^X1F?X4u6ji4pVHW6QQ3cWgO=2vs~qgc{%dZR$V+1W5sn**i!`H`n%lgK1J|#g4=9E z3F6R2l|{>4jBZkL*LIP*FxjmBy006x{+hAED_pHZhE6{}xoT!)k*dCTg!Io+pQWLX za?;xOOlkDST;l`O9Hh$Sd40I99{2ioQqg1e<^G0XOE`LS31k=N8#f%e1A49Xd}O^eTVBPgqg?|H%vg+c-sn+^ZlJP?45>( zCYS7_6e-FsT2EP?t+abObGo>&gl5EUl(KR+j|t&4Ov91Z*^eBb5jXx; zw!Psq!UEQ_^o;Z3SOD8aGGY8}H=>mWng%?he6BGXseYr<&X(|226dvJz+D0~$hYiR z^=6gEPx?j5Ph{D2T#sXX$hj|d1WR3x27yEVh&D)8G<#hk4oFtjz9)mRx!g`NcEWX@ z11M+dMK_^Ivx?&Ftrwr=&mQR&MoCiIJ#Ho>@&h;M$J|%?Xb8N}{$el-c9n0f$hdLW(F_+H~^1Mb^ zQ8t;%*>2$Ld>x-;fgYD5!T)qCANXc{6V1w(Tz)`(aaUj6Ol_vbJHujjEi<$zvV7+9 z%ydVPVKpMw{#3hWOL-v|0e#l7Zi^6)Xf5oZV)i?Q!;^h7b57VamTTp;qmG4ZtVxk~ z=4S9`2IkyY))>=mWa1a04<6a~Ostd={{zh1{USGJfz;=?)dO|eh+)jW0PKDbZCUJ_ zc}westEo)Ln@YOWGCSM>)4?K6OGLOrxsSZnlt9B0^{J}J@$=DVV~|MNYCR#1~z zJ{0_}L88Y(kn2IBFhql$*adJ-t2GF~leG)$JsBr=+*@x=Ljm7RiGA?Ev;-0lIG5GK ztq&JBO&UX?X%B@bM=Q^B9PpD`cKg0S-{Q}2I`25f&qwc{2W~ODjiZhtLk4kX7coCP zuWLTX?9V><^Ddt==fuQ*U6$3Mrx5Q`ckm_})#TJp7q`5F+J`}Jicr2>T%mUqqJ-_~ zHOriVUT;6IXYUAiFl?Ujhfk9F?Zr12L-eLaaP~f$P&mx!{OfL{N!JBF+_AThD@wjM z#iC7qs^q*=;B#&Q(4x#e|28Sk^XrZ1Wuk0ro+cfno@sR)UtnnDdWMO}q!Wpw_2cb! zO(tZ!s&DdK!1~EzL1S6%o2lagOPkWu9KkWs_t!b8l2?C5-9+&`1iW&3T}^2@lpjI( z7QurmSRYw-I~NZyjanj%;tVX->o#%`*fS59saKZP4YI^AK;0iZe#Kg^)C4Prsz2bI z{CXxgeWIGnV z?47+O)$P36JC4=fO-N3HzzJl@5KgmuF zwf|-&Klqk&+BhiR>Gf=7`+(g!>-)&!%kp;U7?)^**md_xwsH=W@~)N3)R$;fp5oBK zn~MFdnN=U*6&=!KXX^Dg{kLD&dt^F?*9V`%WbOziIpiB(1Wcod(Ej!vjl<-RwP8)t zc2x{#;L3~Kaeb@Uc$GpBi5Bd3CeR;LHpYZhXLn1+3i}YuX;{h3I#KUMQCg`1oy%sH z$nsrYtzJ~1jUv~Tvq)kVql5SLe4#?y^UdPIy3Y0B1UlE-{bk}T(pTVdJBKZ|)%jN~ zG5`7oU8|`v(s8AiIlJ@WWNx+fucL*Ejg8f$Z#-@9c5b^ZBdjL_^o!ID91r&oUhSX1 zsB*D*zg_0rnqd*V0a!Ne+PPnSOhwiR1>OZ>ayHB2V-~LxDlH&NS$e!=t5lgk8qOtAY1T=9xS)Mr=eE^yyiHMS5^uQ8 zWaTIQUZ}y*C1P{X;A^aL-hYcs zc7E}ksFdl{`}M`T?2JRsHcfNa%3?9rY7f4#wuAF9D>N*_=uu1@MRfsL$e>JiYvJ9= zqZd8IT=TKOhr5ar=(%YX^4+A&5az>8PiEQ8@pNpfdKS~3CPD-i>5C)H=g+UHjSh^#+n_S#J)g|0c|Y-?geHT zlXG->3aqIWV9L2al?_;x3k5A8zAMsLe-K$X^9VO8#4-E-0MPv=5Jw$9F2*hbY=anhz#wGW4%nFeKiHs- zI{yw|nCy!oW0P(qaAT9MC17JQZX`0qflgmn5_LJtwK{LJ=?u2{wVKeo#62|7K_3H2 zpt7`6Ng%SHr;7ZG@!uq0)n=Dm4(?sYE!!;DpZEchi8Y*Dk#&OhqI_TNiZji{DG*AT zg?r4=sHhCl(5T+rUB-&bPPXb|aaHY;t#c>kfI+?|oVqgum2GYBk5xaQoC>jVJBj9q zD^o=Rk9F>%YPJaocvja>k*g{fWj@aw&)lsNGa`+Fxmta^-m%@WQFOOvX75kYt%ukpN#jbUk zDgBooTxu4r2y`c*-_1d54d)%Gi)p2lt5!p?dBG1ku-TYHEzYgFH6waGezjuw4$ey@ zmwdJU08uFiM)j<+Kdt8DBVtsWJr_XSc+y}TDz%$hD5oepoH{=Gbv4E8YcqGdMt1Apr~To zYAj+F36t6yvjmz}u8&rsZB!I%P9tl)D31bO&pv0?B`qSbB%%Hw(5JRh=SANNtlz!g7>p32!nrT zRS*N;V?|;38JsdFENz)j`D-dc%UqJ7z6eW83I1PfS!v4(fTxh5=h3&cP&HzIch)iV zUS8O-#2#MUvBX~9EF>)RI$?iyI*k85IUt#^&|O`vujQ;hhKVfH%u4H*)W}NeAJ)jq z>etMwF#-etXDi^;7UFJ{2Awc|-ba8TM^l&sA7f-6)IUuxH@4l?Q2x*J{i8e>je!M7 z3UfL^x>rE({&uD3Wch2Q*L4K$#tztflN(N+2h4q4?PF;-r)FtC3O1V%>SAotw+^Beoo)?{q#iGAzrtWWu*^P>KQt7}pIa`ajp zG4aJQBSWXaVkKXtHedTooi&?EX1%P)!k(dpWtqo^$(dM*XKbiD*ciHD4%)tEH}AK! zgP^^5uzh;~GJP&&$|TsRng3p0*R`g>6MeN8mIl8k5Dx^qwDkt|28HDGat8Kfk;=84 zbXaEN+j%Q}2|SQvI|jg&fOibtAP43q2`~w_ui4A{S6TSKuM)`SqtDeGrH}bFwv7SY z@!odBqORtQ@2Azw!i=mlwO7y`?N;+9u$mwI?-Q(8Pm6O4B{^rg=$zyeTGeRw4U&N$ z&6OFZGrX$3<;pAOpw3)Br1Kb&ogshF3G411>{p(Yj&dJFx3QO;4s>)97kUgOvKK%m z?xoQmirvbnxeXg*3MPVQK$u?(DnH)xW!&>sX2=l}%O7nsk85UrQpZ~$*2a;ub(ra~ z08|jkmZgTw!!sb1MIO0e&z^NKubtEB;;J#pKC~!hTR4BNIF~IGpFIwFX>)AxFje(d zqs|a_Rt)yLgb*bmAp=!JLkU~1p(2sw+t3~z zl6|n7Wfb-O0CdOh6&;i@$nVW08StlOg&f#vW=7Sy(fir7zziTay1R`9@-rzUvFbst}=AHQMunwr@612Zes~|r;2#8!nm2B z_}@6(FU!EfeZ1(|PgYL**~95(1$BQe^}jOB@==g<-@3xt46G4h7W`)LP%1$8XQ#Bm zcUgcRjhFc)Hy(|&u%p1s0x4rr7Ko*e6*jJdxV)>A?y+FA;F0BHsNwyjy?UKuEKuKW zc*p)AZ#@ybewf7Qy`Or*YT09mHM2Md)1L~}; zox{zK6a<0Jj}#1nvdivzY<&|BtOpgv-wy>W03{`;cx(Nn`{eou{~oKw;%_}l1*=i~ zkB5tg9;^?&_rCh)mHOwAdJhXNz6>?w;`#Q!p0i9DHpfMcN9XUvZ)=^Rt-Ly^H`&Av zw{NT4FDEzxcJv&`2jUjSkrItz8yRGkr9R8VSRoxR$`9{MyLN;;5j~_B0SazGWF#1< z`822zn8Gz;G;;hRMQYs!oKPY-2$Kd7P~^-wl!3sxZUF&`{7Z0rL63x@VbKqOk_ry( zrzi|eu?V<-u_q-I|2?L=B>oeIB&PoZ650^QD752PB!1A4)0o>)l{p(q?=azo1#pt>Aupdbz8fjKmVlv@&j2X^H(h8_Rb=wDHlJ)2X&i6XWv+ z3#CwWOnrM%b#G&$IT4S92lVnx=gJ1jhso!Jkc*mt)bIxX5C_FPekRtxKBc*yh@mHrC}<`67$D&QV#b!zu=^H6f(BC zzBp z?+g@l6&$Pq8o~-0W{C);nvcZ5^Pd9LRdBKfXi+QVFrc7@kHpARus72l4)w!A=m}P-+{Uy9m=0F+zP~g`?7ATlvB{_(8+*(8?w^0m97 z)$#lLo#2+$0Oc@kUNvwi5;5*A8=QK7>Y7XY-6#1E9_T;ODlCi6E@zqkh&0 z(d@J(+$WC%S`8J?E;>EGnzJ)*-gBr|N(>VxS!>%99_XinSc-A;Y40d64RSIyiK;)SiZow0*^pMBjRHWlYE2YujblHcSLZ>hY$mzHzy6 zu+u{1uwDt!d~4i^>(hJ`>br_@N9H2?qev(C+RLk^A;tOSV0ai7 zq2Z(mHhS(rRGW6EY5w3MZYPCtHLpmAK0Vagt5{;J*TdSgx9)pOayRzRdbw>+dh3tt zEAYw1+fimUq363=ICzrk$kzIG`n`$E0K+R(&Vbw;3*imyQ4szSXAP{qy$zksPsLmF zshgy2{K)=Y{Vj5aX|*~$`Zs>PP9*rI9$j@+F<&UZ;J4JD1X$@`1$pZXsew+m*p>W1 zc1$7Sa`5MN7!>>&l24~j+}vdhZl>?2=nX3NmctwVvv(i-QDoJJ>J7Qw`|AtZawnSq zS*zusVdAGE1*-5lr0Fi?4%Hu#(zNK@iY-%ZUwr_?8}(@Zi~fv89J~?<{?>3-vj&-< ztMp6zUF^QLma8bWwf&sGcVoQ2M*0fcS(VyL5Xs3NwJNn7quIONFS7LU<*q2k=C*&i zlPrDnbpOU>7Uj+Uq$t%3U1?vyTFDjzPfxFCPRTzICN>T~_lRLugTLR{DYFiHBDK96 z@2G}AT}=~4{^D#Y&Q&>!%;lI0vRoev6J>92)`sKX6;;JL#@6@%lSV3!Vs;u=t1b5h zf5=PwZGh8|NtpVJs&m4$;4v(ylS_Kf`wDDSA|I9gKFv77_hCi6*cr~GfdW>j@6$BV z!WOj~q&Iw;!3B8Fj?)OxRv{{T63ODF1WI4yKLx5-6iJb)4q>P|7Qv;T{VhIuf~t&% z|G-5}Mpw)Ua}Z6UEI>g09!~Q`^eZyW58a0};bo=`V<@Wntgjs2Uz!aTrR&aL-gF5C z6TvcYq-6(RSRIlE3R#i9*U&@@S+GhKDrB7UZ%3;2D>+2<8B-vU*WiSAOR$O+0MG_r zzr$;5suvJQ87txi&Y1t%I#?!;GnMNZlSs=7y82@PqlYz?z>)2tK?|`5y?>4gHG>#2 zQeWI*OhHpm*a6yEYdPb)!)r@u;u|~jd1cLWs-eRAYv^MP?O7uWH3-Byv1y$PIFn8p zcTxsI!-mzD=x3!!&)H=uci#_{SRo5&PzL5RPG&J3e(n3E#{;-vcO-Gddo<7n;s6;z ziWCUO>j|IM?`3DJzD2FJ61c8p_?%?F(qVp=yYM`BGRPAz2uH5+U)G=#9;`jG>+&U7Ug*K#4J7E!_q$vRbYQfc&ocfFUCk<7A~?QQ zNDXYEpZl7}i0DlFgU+~RjNmv!NO!7@YN+vZGc z+nN{?+qP{xnRw#6=bZE14+r<}&3abVtFG?d*{iF&x^}&7|A6XL+uyQ`C$^X|oJOzC z9>1STHE5(xGD@eOHmo4Ea2viLx}Z-A+x4Ivou{%xG|P0j3eW7g)csQc50RIMSq; zPBM_4VoXt=9hD`&=b)+tC|%zeigH#hwR?n0<=|IGxUaShL)q){W#Av_cKPxT^t*id z2LO%#1A{JK{u&bNDCrfg_U)lkLR5u;b&|-peifX9? zBV)Qa%dW4b(2^xV0!=b-!(A;f6^dq={HIhJ$@$K36*p$S@_ zER!|vQR6pOIui%uQ(Um{Xf7q@E|z94hZeuee}m!ny-PB39V=+PIp|mUZ@_V>ekd3= z1{u3n8UxD1EaT*~UjXF)01)tt!J1#VRsv*y2R!8nuX0HE)Q;n;2?w5r# z$@QtbKdi1Qsq*XEi3jWuJ^d7-$PMcUODq+vyeP;7z@^0Z_u|^#&m6&dCalPE=w_}XByX*I_!t=SGe}#D1 z^nLjePJ8pAMGvjy}-p?LI<2h`w}uuZng@k*N6ROQzZ{rSaLH^$e4pHYTs zZx)#=4z5ph4R_TPgV*p;Rh$v8`VqZqIty1$5FJ4~3>0JXfbmdrR1>9?q8?yWz&I%w zYQs||))EY*qgtw-aabaz%0YF_7r+-^{cEB=E8ll){3Td~GjK>35YSd2zx5B@HedAq zFw32RlevJPv;u{#f9SXQV!+B*jh(Xu`@0#Y6)0%^L$A#jeb%n;*m_H_bZ6igE+D^~ zqt`$DJzu`8{|(gEQPkEKe5QGeC2diIv#CcB!+sl@Jx1j5#!^Y9HNf$SjOzW^aF#x) zLTgUJE*ZL0h>SGj_U1j`$dgU9(hg^Ulan0HxArPG9L&6%n@fvE-wsxvxFG6)q{pD& z``UiU{#C_W3uSkLYUQYx{>2)|it+onzcu@kN}HgL@q?;id5a-7W7A}}H}C`bnyFoO z@H6mQaW>OtfgkT=d=+=SS?GH zSk9d`xrEIiqo0W&KWBlGS;7p z8ln_60p|Rd+x9TZh=MLLhX`UlVq7Bn2qAjFZ$EHE;@Sz@l8n4(-*=ZxOKB0SsCf!a z%4Mr4ACoT>vjp+p7slaNns}@XAAi2wry~UO;bh>Ccos}-d3j?cB}~*miTX-P7^tWs!h!Pg|0_C2gmH)nf6C8`l9wMW zE(Yv?p5g=p6<}^T;{-MgltY0Oj*Uo!tY@b zlZ91K1pa4{qM!)sxJ1CCG$!j$BA*9EOcqo@5%6)z|B4)9vY!fy;ARvdj!XP+=lDHN z@VT91vH<4wnP7@b97^zfJvs+)B07w$ZaQ#5Oc;GS9RKRu+>z8PH`UkgJNWEfok#{Kr9#V$fh1Egk<@#8bDFax47RyowC`8x-8y@+k^UyS&V9jqBr`$u!fpn{HA3i5Wdt>&+-i zR*T+fGCVGq+AaF4oHcs1kJrmMJUkwE+w0qv>!#}VYA^54=S9)*rd5~S_quRdfyInF zR^H;3x$_PU1p{KRUoS#%iR>{#^@)4L7{Y`oBS7P;dyLBIFe#-5p#CjVv<8T&pbW(U zlat5z0S}WS$o|SdO@<(kzZ||y@M^;-ao}3O6I8^~X{c+B7-iw1b2fh#W#g4gaaI<; zSgUBTxTc1Y9~;=CPaG5r3HW!!c?HH&(Z?YXk<-QD5M5z>Br$Z98aPOa7^lZP{b%Sf zPyZgvln7?20W1yMx{sb$;>b zWVtgz4?srTSPf60Y$n{7s01PQgy8&k$P`ob6$jRcDaH)HOVjRf+-d)y=n;Ob19qJx z=!)0_%K&+z1tsn^$Wc1F__-FxpE{V{xVWebghAAF zv-V<(L8~s+kN3Z%oDPQ01{c-j4PyZP< z^V7e_GNX=JW(AAXIx@atKwNXTpu&D;fzAAPb69-CPC*5mIi<|Xe~SiI4OwKA5k{ea zAL@&Ljorft9Rzgx1{k4qah~H#SEHvh1Np-13xe%7J?8Z?^E_vRyc-il%UM>zQ}Cy` zosaTIWh5AYVO7AO$sU>Xl^3qG?)l`YTHv<&T0KD)A%?aAPUIt|bUW-ixqmksR;)df zfroTxv{NT+;=4ZLkO6);h&tC$oIe%Vkb)nTWQ_40c!D$LM@H3amt!>gER54!iP>>4 zlbXI(D%Aph-u27zqW9pzZZ6z|Vf`%6_Mk`I1v7$IF8IAClC{RhD-8PAWtSJ}Nj02m zwt*csv_V~l4Liu=xT_=(P$cr0sOz~tb;pO%^1-3?RyG6s2*99pl&QS~T9KZ;1e)pa zPI;voeM&dilXFMtC7dkxdUK>GFz)BwSe)oR_ks*j3b%qdQH^V{vWK?fu`eXAO!4NM zK{m)miJn#hL2`6afdnXUxj%m0K>%(e9u0H=PvWP2U)VtHG5WUg;o$A}5JZ9O6C8`w z1jevG(%TaEwZ$Ubi2Pe&bFUU6Q<+38Nu4A4=lcT{GB@ znzn!gRX*Q=%-pG~)E7L}cDg zBkozSLToSz|IVx#P_ayCHICM4Fn*8zjVy-uue()dK$^#KKvMKIC6u7&@D$HW_C-9& z9Bn6{6Qs~Q%IHDH>XR!e>mp+OK2`KOa+Kl^AK$24(uAzh6V+mF%6C%7_P9J8t2PI( z#F{q?qJvy&Emh!oI()rf?3D5D2kS22?(&_^WCqJf(|jDc>RxZ{oXb~D-rn-(Y*e+A z7gTN20pHH$x*N*ES|!^`+{h9Sg?jD!i?oFHCdb1G3og7Olg^fhWq)|ycF)U;yIsI4 z$Kj;GS15E@*QRLEu1xsvbKpmA((x@Wn=<1AA^ASZyM8~QOW|MLT5MT{tL?a;Nx$0# z1m;s^4D}4Fv9UuJ>1`*_sul4=>|SkR)SJ$Kv#7<4s|BZ%#a9CvvMpv_#ydHuVJA!= z6#yedagZiQq(I7LAXEbUU_V4||FSQ>NKipNbCxrCphV+~Ntk)@ll`X5?3nUHy!vxH zI#j9>zQ9LBiBP#%$V9|k2SO$OfEtU=hitA-aU;N%&{Huu zu#m}eIY1v_#dMcHL<%OmNWV8f@A&WAJ%WrXoxk+X7p9f&5g|jTCDBy_BabpxmrGo} zs(p?tT%ap;_gWW zT#^bIpUEfUP)xz`spb~dWBIc4r%J}?oA`aA4 z+^?3nTRm=zO584qnC%ZU@MPToG7$&-H&lkM>52#yo!-LDU(ab~x9#UO^Ol%>PAcz; z+gGcBkwmLD3j2&qrQQ|iPK8;;PSp4t4eUgJPvUCEh_y^VOOZjkV)b~*(t(ndBSix{ z>S{*VzsybJ|m=Ie%3PGEJb?hir>e{m;Nw=G_wDq;cv0!A-J8Sj)OI2 z4L0QUt;IWByePBr#7KI_*2-qO%eQwwpmLV(OqWr(pTn|^$9*n%d}th^&un*Jf)RXj z5F4kz>wMcpxVtyG=DMdV@Say~HA$&8ce(L*pZQLeZpd6W`?xRJQE!NK$Xc+1o4e_< z0J&Q7Jn@8Xe@615_qd;$p)IS!_Q{+_bIj9FbAA+l^SpFs-Qx+GeNy-Qcb_JW zY*}ds8u!`bZa}hk8P(b2X(ta8HtjgZj4W)~hr(I^V<-B|%EDRLhgR1l%Z+rG4Ayft z+ZFqby4^NLotxC-+qEB7iYYEy`YZN<=7Xq(pHzP-Cv|}(vuK6S?M&;J-;R9%^AkMH zTQ((UUv<(h9%h_6larp;Jr%Pb3VAN2y*JXI+F0-0ZBK4^jCD1oss`txMzf=W4_O|X zO~T5awUm9cN=cPhl}{_(&825qO%>Bl7KM%r)-$!_V$f3d-oAIxHdh8=8uK)@S*8Wu z9k<1>9RGjtr|`RQ9D;^Du~PMr0qL1BEI|9U8k4x>c&6;XmOa0fHj zO^GZDF^nh>Nm7WcS}0I~PJmo4cP~QDL5U2LC;)llxtx=$m!qFzI5X(UOg$|7Hg1 zH3Jzj`+t;z8^979z`vKfE2My(0ROfbcdr@uh}nOaid-X#T!J^;5;xqxmwyps*6s-o z_3h|TKwgAg$$d0a>caU7w%5V1s<5JD^@dEA!};uylKcY-)y zApLW^m%r?B_30RYrS+3nMHa^jdKH#bomW-Plti(^FxEpf)p9Oki3{4sKssXr*|=DL zJC*+M>F$i(Gpa-O4i*C0YeV9OM>p+4W+rj)wg0Tmv(>q!t&QUHV089j-@>QeqbXN& ztTG8beEWQ1{#dxN8|0RI@C)EVp%S z#oM{=b#&rlqR1DId-)PKuJc8wHOzk=&)ZdpCEWat``+L}#r|czOl`c#*Tq+#c4Ac9 zZL^|fJ2GZv6YeIwmO*zz%Cy!;IW11j%jjxg2PFJ~YNPsRP#{tqrHjixIy2{1lFZEqwES)q z(H<`@!*piOuQZvPk7!AgS7ki002sfyf7`6$(bl028h2NYIp=GDSOzk}9*V-}{CWmc zqZz~f2yrAM*z@V*;hfV}lLLUjHIn~|7>}eBjrs%lC`tgjL)J)qfGiY{HONB~_^n{e zYfaaCe*m@B^ou_?QpOkcJCq=UiC=d|pqizDhgf1h@w)&oV;VK$r(>&%L{SnACdTek z`27Z&Lpo@TH9n^wAl(JykPSZzYb7E39}Lw-VdAJZ$E*^6u>x5}G$IA$#c+YHX|_)s z{uf>Li2(j$H=#JH04$q$7doED);%Z8c#Y2)6V)yGii6~KdG8jt?$(1(ZI~102m4E& zW^FHkgN`31pgU|Y1?R~C`Hr(z2BLrsDdA9-$m|NbLV-;^OvD~=I(<&v&a=i?0Vf64 z2qNa3pJf^O3T}|pQ%5ozn5ODr-L6_IVSA@WQwJFj@(YcH5}=pc`!}D)?R}H4th& z7&^Y&S7>jr%T~=IV}(Jw5RH5aFu#>!Kkr@BG+d4v)$O^|?Qv;1U{SM2C0XTv4xWyC zH5<06+dJ^c*kiz?Z2CmW7LA%Q02O5jwyM^xU#`ci@*SJ9sksEF4e*DdqcdJ8*oZ~c za;xfW=t^4^6rGrz+HjaWI&YWkrR-VMaYj>^H`bc|v>N$nQHlTrCPprvq-B$q{}|m`3Un$BEYd|rdm8*DVMLE zE7B?u=@N)_4#m8(Z&^3GXqH?xO{1Hy-67KI73re>Cz`R&9RQ&gb))lU$z{_ts`=W| zkuJ>4EAw+^s*1lW-yWY`gSBK9dFOm(nmem-s)DY!Q@;4H%_;h-5@cK1tm0_D-1`x7 z<@O^pWX1dl2qDGDLihn1P0Gf#4Ut9ruG@p2yg3JHZW*wLMNlFlR*q=EEFchxpi9&@ z4{81u7@Qy;7||dgQW+CVVblkOsX{=cH1q?NK`Sug;8XHd|F=(_z2x6~{Xeg1vviNI z(N>PTfm{qGuJ_AsMsBtddcd+TZ?3MeQ+O`kJTiiv4(~d6J-a>s6xA_)?X;5#zd>4? za7w||)^g5+c*Z=eW4rQ))yOcnmk*cJ&|28hptiZz(%cmpb2P|8zwrZ12h~BjiAzcD zZ&MjVe%|i)ajVADISWSrv&erYitvos=L1@e_rIdP0k70qaOf*tH6F=*Z=X-f5@cd2OzBOS6BfJ zsJWou+!MYtff+VoJ&&07kCh;?3U~$dwmJeGi}vJ}tzXSuexq^w)tqMuw9Ei2*S4?yQ|B~=mj~;)eyq3QGm|R4 zPosl1Y%v7rs>Y8UR6cbkq^_E_n$t_2ax%ddJ_$oY#lqwyd6=ydsuI!CcViMd?VDsRUYnHHPe)xOT{|lM}6gF13OXuVD+Q zPfEmBEoXvK=D^}L@akZvTkrwr@EHwoT1)QuR}o6oH$5qCJI8G=zyH;(Aw9h@U~QEB z%ypYxF_%Ho<@RCFA!8Qw;mQVxrLu^=C*r^jX+p`G)rPry2?tmB1#E#Nv-+tgjnFI? zkZ@QBM5EYnE13t)P&+i(*d()W9Cdn^O9>SA)3@|%G~xE1broFA&T?J zMRy_@7GHmvGzn}Hg=Id8+-QTBwZfn^!3=1F$J6+KOzzG|0(ZoWXn{G%Y=vA<+hj_1 z4PSC*b2uYhyV4Tou#c;AEhD>K3v>_@UJo?55U?k`i%~k+XA*B;wd=EHJ7K|b#GbfP zf^6I_Shed|wfnkicNewFaE~)c6tycqc$Kp2wr0<@&6eeaGwF&$Ylkyg%~NdXEjRd- z6LrV`>C~TN0m8W){zMIL?q3eQsJm{QQ-Aga2*+~x12w#{YMvBBZ@R&!KOCG35U%C$ z7ixHG)jUmx-gbjeTYxP9P5tiJR>SM5<_R$Lh8%oCh`J-dITd7E2(~MS|J_kw=q)z* z6a#R4Iu+zt2zJt%oO%D|+~&-bTH3pie!619@$qn$?(v=~EdQ!Yf6Dnbz10jkIDOk| zAI^m#dU5v*2s$DMS3pi<5E4rd^p4(7A|eNvh-?sYgw9VPA_pTZ4j$q(XL}Orbl_w0pJ?DPrvebL!Rxu%hXaEjL?;VhW zL{P{VGK^@z5RigGKq4~kNp|uA?ul`UDJCJ_(VZ`X7pCfpO=E{W;fgKO0!z9Uv}@B# z-?&}8YFA*6OoO;cp%GCOF5cwch|vsX_;6%IaW}p|qJk9G0&V<|V2*V{5^~Kq> zHGaYJgk8#@DvA2k!lG5dbhOjM@n*vE!U1L5Fl{<*XW!_tO}95s*rF?(&xXZ14@5&$ zb-CE<{!>LaC|CG?nh?S)_LR1o5E-79sT+Fyhk#<+6fiB5D6}*pW#3@F2o+DLXr!7K z5H52b)>TF{p#-?>5sYjkN>wFh78Yif5m_Ghc1bLNosw9BUrrp^NPr(lKGH32+fCrm zElwX$hB!wSi*Ugsx>@YGS)c$2iQ`A%$T9-WnqoK5-u|z({E=H+teZfx zTO1;w42kPTZV^%<($SXeYY{1r@qj0As%vsUsehn2gU9lBaa+3#8XPqALsioQgZmD= z=)1oIXY6{T(GGoQ{o=+Eo#a|w(>m1)EBgeO&Epm#=@W_I(tJPXyM1tX%OZ05#lW@e zJLlHYC&)86ViyP=7YHC1h`Y{yw6x$25dO=rc@JGvo?A!Ukhi$R9`HOK@IW5$M`?xs zh{;jfKX7oA_79*PrTqg*M`?dh9_&!H-MAos*h_rSo0<9ic6AI`72I99v;33x^h%f^LYVkQnD{RYe4xR> zIs0FJjD8>hF!G^$^h5W^2ma9y{39Q>U$F;ltE7D=FUHTL+1e{2Z5$`e+I1>XUkunn zkwV;YI&jPM*T}nUuFrxCOtvae2swz94oIAB^S?a4dIea-P zeF@3*rDZm#@{S|9*5qF%pLg=yuM(?zK|S`Sq?n=97aqU|vCvAyyNW@@6kIQ|zpKch zQWf_2cg(e6LgqXvVBo3Vq{?&N_CYDRT@^jMZL+&8{ITfbM)!Lafl2KPmVtM6XIth5 z%`9d|CABXy$>ptaA4eJvEV`{ldy-!(1+#Whxf89Y@r1U-;^X4XgjHIb!>;q&;502Q zmpLyyM3umBuTg$w8ZP2ya2ad$MVtF{yHu2%>P_yY@*pKx{N>?i!Bo*3InSRC-rCO| zZ0S*D`O-C2MR1wa$&}V7^MG0MTE$9IyL;G+^**OHPuF$xaI~I9+9YM+#!Ohkr_Rc+ zeArzN#yOequRJhJi3<-V1)1*-OfzRhRSzC&!%d&73iD6D7n(a$7*Qx6zk12IH+h4$-QF=3XCzrWwL%}Nl!V-p- zDDQCnyzj=Yx>>nKb&0mS?SW^s}X2ZX(MQQ1PV*IR-o zmp0-zL0i1&N`Jt>wL6NcjcUC9QQ-Mc=Q-scXd8PO@B zOlmv@gDHh)d-^5{Fk>jn@p^h4G+;e?PHd>mf+{#S!V?eUN8kGq-^UOKa;?C}nZ%5@ zY?%8H?c z*PBas`O0Zh$+&`Hzeb=`8i&TAl(8qgcq45PXkZ!hHnf_Dk<++2iI%5 z=!7>^D>Q9XWt1iQ7iR_!F@fC(H!$@f>?!W#;c{h$hJs{xs`!cn^Pwe0b*YyQVC+eS zvuy4tYs&8R!s8E0z6S787IdecatfX!W8+G$&wC10(2*W0w| zJ-27{?J$aW56RJbE}BJ?5b%dKOeKSzKOwbztWZZ18pS4;id8pfF|Y6IM@3G#b*<_> zn9dsG+S_i8Pm^2SO@`$t-~}I#uJ&T5z3SwXG!I^nym^lvAU+`l6cx$7i@lQhLin@R zdrd$>W-WD{J}G;5<(Os3W1!T3%_GU@BiqfP-eO|JCOc0ng~vcylr!ZcvjOR6EM_E2 zpqmvv#V6AT`Fwjj%>g5kb_^mQj!jll1P`^Jo(KxLzsmRMarZ?4%mlWO2a@9ZSE$=# zpgVoJyS74L1f_NGQX2~je7c+4YbCH&Qhz*KKI*es#$i7POjTRH<`C56`#bQIQH*DC z4jd}k!>yD2H*Ee23ryGqs*U}w1&Ukx|*ZNvtQC0P>_d%LZntg%p)Eak6vEPAD zVm%(YdYXz8Hx(zxh!Re_FWDnTM=s4T9&m<)Z@jus!ZjYbzme#6J>^kNqDw{3ja-s5 zJ_0to){1tLu`K2GvBP8D<{dbV zin3O<0*PY&qaB=MRj`4&J2$fqe3sz(9c}JsTjRS@Wscw}OZ>vjH?XOul*H-UvxYh7 zBVhz05>-A5%5+nqLnsMh=}JAup>nA#`Ao_q7>nq4s5DB-aMR_?!m6(3oggR|;O=r5 zo%)et;uwjP7Qaj$I!aH~ikg-kJ__>_C>Msw^0iEHZB?L{5!PnEOb$9qSJRG(#19H! zK~QR{DhHJs#!6EyrRkWU3yF!1wJllvdfN8q=iK4x!Y}wLG6c01nUgFtriBs~O1z{Hrq{ zQtJpaU?Gu-5mGa=IkC~P#1x^4!*O#Ds$s_t5ZW<;Y6n=NbG22D;Se?^;b}LZyjoT#Y~a#d}f#P?-^MYJ~%&=gM~6F)^q+` z-lk0_4OT&EV}fvS4)B33GD67DB!ETzzEaVqEd~g6*=!=C zQ&riZ@jB0&(lWQ@_5XD?06U!Qa!&N*{LK~72;%seJDxH{SDex|IcoxSs*0H28LZ63 zeE&S|s0CQ1wE*yWl>m*DWbu=sGAezyNCqgWg%ll<)0^&J%H-R=8e^RW&u{t!NZwr^ zUh?+Lb8z#(;brYUA>ZAs+X9Kk@s2CExut=#5YbOM0$uptyW$>k(6XS+b_IoF(R|!9 zc=Uz^-ZfCs8e3Z=>+ql2^eI23JYsU7q^gy~_)v1YEP~Au+7m{>xoQTY68LuSuRWR5 z9H*kBke$l!xS#Hbk0-LPDgXF{Gi2JYH0jz-(++*xzpGdHaTEFcF=~?@Ug}{>mnR`g zZDt=AeDc$HHWKixyd;N37K-2O{zIK2Eyy&zB!{DJIwI7!(N7g{fxAg|1M??(4Qq>C zvlLXz5si;}4KErLUuwi9Ssb}hzbt$0wr#aNCRug3Y(DUQdGrY%Xc%->2!9?PY#y85 zz?F)uh(<1jY?TX z42|2a3O^>hzIF+N&*coA)zYzuImhQ?#^Mmhvk{LcbMGqD9@z^C6PkiE&o9;o9(qJI zuP?Sz2+>6)k6kcU3=yc#v7)Xn8y0ij`@qk!qN6FBBi1(;2O&VnA||vLr}|~i@(4NU ztgRT5oYxDlpiSOGL@8u$jo$)YT5A@(q&aeqhUr`KId$Gmp7174do+0}%QAIVo^WS# zX08lkG`k00)`5x-MbFUNdUWu@bFE{1kJHn3)*XC0mFhA#U;^GWpug&t)#=B-mZ|GW@+R8K1*jaEoS zv*NAHw7#zGf#2Pu9z)7FI2AN{hrpMubNj0?Abev37QloY?MvI$0ZbY>y(2%CQ*bA~ z=i{6Y{5u0^mriaZ-|X zRMhvLwmd>F&X+eoE}9P~s@x0)Em+`F=H2wqHB5DWfW5|bK5Tf-|0I-I)33iyZ+Znt*`9`}jnNqoV(%H^*a31txC=&0qG6}gl6~_8QT!r;BX-D%s z%aCRQ)B0ERCL^|MYw{Lh=WuCON?J>hm&!I(rnVFjz<00%EbHWj04&M?VKvda4d7t5!! zy}TxOT=!7V6!CS5(~XZvA4inGFrelrffb_(e35BYSkXPk1T{LyO3vW{HF=k|8pbuG zSA52X$wF;W(UX^Bvi{)5QisF(0i6_MXDUi|R))3<(b-N2E^4R?k(@1$1yrP55M&_- zNZO1K1WS$&J;{7tZwcA@So$SE!@F;CaK3 zbG|p+&!Yok7kIo(cAz*;pg5qwa3sKR^{4DF$YCJ!^f3q+Vi*`= zDUf1xL0>@!`GEcDFv0a1PBfw4brtE zV+4@XK`QDY6n5cDeeI?P3);}vJNg9vs~U%q3z z9#{AYE&R&kdHpINUlj~yO3~dcVW<7MN$#UfF(|8KSGNkEbQY5_30DCSJoy%Zvzr(k z{O}e5IadKVocQUF7-lyyw};#x7lPaC!9A_;fv$K^mpmvN-ehghWPCdM69S4V_9t?%69SJC>qhM6E&{(`XSSOx`RXYHyXI)Im8A&s zkb%{7IM?+r7kxMPAm{@Q@|vTR-Q-^>AZv~=08bb9;D-mCzfcgjvWU94MZb7P0sKH0 z?7(Y|piPI6c9VZNARcf&tvNc`O-^@ni~fbe)^uoRH@Ur))!WS-?C%K&2!Xp`$60gy z-JuU~ba4lN^@Ia?zyW+3m^DX?rb9Zr$v+%^o^W6fI8YbtC~J;nO^0%Jlet@2f`Fy~ zj;&K35?N6Gzxi(eT=ed0L$bLBu08wgBtch?{9U!^Yx^}#JFkfa8rZ~f~?fh(GNXYJ6=1R*vrxyP*Z+bp83kHT#nwwaqYb(A$YFP+D5tVy_q@4k??DEy*kO0JWHQ`l7TYBnZi3WpJI%DpYY)Xc9iphw z+)7tVg35PJaZHFy*_4F7R?BsNqM^U(KrBPT$0XX9>oj0ST(`DAhOPBK0X!djq^yVuy1c`?Fdjl;&0QV$IOH zOAiEV5mf4QHX+rA^C;{`G&+(^b7zFF<))C5>UO)gW~`h#XM)A8sYCb zZhXW%JRiH~0GG#^ykoMJ2cPc-yj+cS%j;)6`~69(1eQXZFI;$%Nz}K`$5V=W^thf_ z=CDKCGtmAPoIj9cFFMCvLXqJny;$vM=?O9WjkZA+bEwRsZCN6$(C`JNAmiP68z*qTu3!@YHIG)@I^%1GwaletIXYKG|hGQ zCq4Uh99w$76TAx>(N!p`*dEuxQamhrzY$8S_YT7Z@a`oF$?)!a9Mc<9wby57Uw5as(-MQ0 zw>KtjUh?YpAE94wW8=)@JZd;^%K0o^<@27?FglBW#?b}SaN*@-K@0Z<672LK+D{|e zBZf3#gfyjuG|eH{mrU9{Dt}uvPZ^SvGQuEXz(L0RgpBz$Gy*_qs1z(RrWD*59Xnm` zUKdO}2;*NXIPoBk01rJo-QZr=|0*}?5N~!Z_(Za}mr0ca7^F$2Nd{6;H0eiK2}jgo zPNNmeLe5um`?%zVZP=Qefpx6Z(w>_LSDim9&VD*#P+mvdbO<@YT`?<5y&*H~+gnEC?1YYg#$yAclQDB3$jnPaD{d!d zbB810=~5$dqwI=8Umx5|@Qm1Ggh9BWSjm^E z;jooZDz{j085ynRm=3(GqS2b#o0{caUhl+dw?>TYL!l#ZcgzSsCoo0h#u{8tZ&xqL zf=gKNy|H`3naYa_lhQ#W9C?UJ`Y6bL80=tq>{JPU5*WRQB#LBj|dnn|HKpXrJU>cMakiEbN~&CJL)jhEJLB1 z)L|S4a`F-UJK``TGWpB#!ATBGA_dwp`r-^?&NL;Jx}*(;D7LqIB;<&|tNk#3HPWpn z3^TAsr(cZjUtNw7F{9t3ru>^B2f@EO>^FlJU^l%1B|0z%GX`XzNX8QT=~$&w$olju ziNWvLWF-rh<7~2MG_niAk!({6O*801;)ejEralSA3V^+WXj3 z*QSZxmB+uRQp{i;YMtW^l21jf67w>V)r{Q;sV7ksky}X1uZn(d($coc=-YtF>sdH&ZMrYU@x2+Hvl}Ptk z2&rmt1L?Imh8q1fzgteZOV^M3Yr$HZs$%3yiAl~?f%z2qb_6n=}!!&xmwg#b;908N1aO@kl_ zpt}V+B&(9Sy8o;4N>(+)IYz}2^#~k@?eM^PEu%^jZ6W)>*FDcaUFJWd7OJ4JVNfAJ z)g(YwAV5_oKy?RItz?E13l&MEkZUib7N|y%&{`+(C_tG&TPM&V83}NcyHf78mJ7V~ zxCN@+{uW*hwNFzecX9n&)$s#rKfL3KW2+NvRQz(v%Gby0!ZrG7@Y!LoTmP_W(>%8# z-q60!%DG{RW(5!DQyBO3utBmr{)fh?;py`Z;x4qFRoFdn&duc5xNGX_*YwSt3wdbu z3&_BU#NDj?+(YnOTXq|aoa)2;tG@KS*vqzL2w}dt6a3Zs2@)2l49CT^wgi1BQS(7M z!_8I!!_zU>AhVrJ&R**|ciUF7wjU;k=sUdF>ZV^=Qv+GBmXS}v-LG?yb4pNk@JT}` zl)0q*80$zTQf&k8A>C^cxZ67r`2Db5-L^d|Z|cjXlq7I6!gg~>Pw&rm#qh(hcTwPG zL+ydT@?z%VJCPtendD1)Vi8gzD7PiOOSj|O8O4Wv=-^I+wQi>LvZuUsvr(#o?Q_Qj zX@4Neb+%dTBTLPDNV*h)0J#;&gUE~Z#(u0BCS^4{@l>2l9;^tBpqelfVFL4iVGt32 zIApWnUe4?cQNrbYgRpUHGMlPKssn{l!#ziB2C1Kv8m1a3waJ)OC zrAa=nB1T)mtVtO8-XxJmn>=7-o<*JXw%yi1)~ukVOCXcn(A*)EVL{m+sk|epxvoYM z6|Y{o-<*3Xoi{8t!CO;cm+}}YFd@HMe7R~+2pP-kSYfG;Qmx$F+zG?7H5;t8*p z8aKzST#uHz?+0V=pAO%3GB!`gU?B&~`Y~U;pg*y6hG{yXAEyNsc27hf#J#^sQCbdj zbn;PuSBw12Gk-6E&}|$N!ysgaNmveps1pOe{pBRI$_%bU@laDxPr=Whw4Bte6j=KPTUcJA?&0n*7BxIrxE&;lmu ztAQ0%znpbM3k6UKEz;Z#6L^Vf4wI6+83iMjyByiE2}W8?vqDQgHJb*F>o+E7k!2ln z$?m;t)sYlXh_@)RvN$y+K64SY{@{e|#^{w|gz3aQkuwp4;m4E{A03Rwn2`(+8H z-DKF5(xxS`&?JnKiQGwrwM@ywGahIWEu$<7bdOdIu|R@#($2GKu{9WT>+@ptdyc9^ z&_Pxd$_o(*{d}y61VYP7A1S}P=orbdDCc!3NH>B^*2|6IkdIu9DAvLZ?^kkJ@(U!a zCl-}w?K!As8Q&FjRNItN7KPQ6IK&wY8JBWd{vXobJRa)y`yUS_gNzUgBPF9EON*sJ zQKGD=tYJ{JF{12SMso|m;|#kHMhD9cuEo zE~lUUw%w$alcFa7@N&A7`s3C8VzlF&g8CjYJ1h5+H;J5D@xQ(LruG*#zbGA4DLtO| zZqVIPccPy2&3mI>KPKr~ zcE;|z8|nV9F*W(Og)NR-4@ocm4=xx|7r@=7uiN5l_Dt7YT_{4x7UK5Y| z_1dzk)u{tJjXqpXv||h;?KA%P*EP8+>f6FPVOQ@?xt*n=Fa>M5-; z9lpFmeYc#^hbT?m-Mjk|E-m}uxiH!6e>-+;RjkKKrw^M2|L}ztz8*1+9j<5g)$3Wm z=x==Y>{whyN#9-OCks=P`QBYiU$M{p$gjLzp*Oazm12MG^zWdp#7JB9OWa>EI=o{~ zRrZ-aGujh(OMavDx=?@5!mqA3S;rNVNdC_T;-zzDN@~jEbz9%QNZ%uO>AXzH#*ZIl zbcC1v(++-#jQsls-E0Swc!k4#+=CsXtg++0kIFxta4XUMBp;Z0e0-z!-sfKjbLnFG zy$cJY(wC-&ZylNHD{5*BS=S)rc&0?+@wThv9MW#WZuJ5Fd{T7RVa zbcp@BY}S1IDwmd_or5~{54s{B{xOejji_At*A16?zuWiPhhE%>x?{wGo!%;Wh1`F@ z7f_tP6wdA)80m9x`Q80g?DC_gt!M3*%RCml{IF^3m`VCl{V2thTaC&WduJFYL|Ys1 zmI=m9LT{fgsrTrM$&t%k6?c5)_^t+gy=Nb5uCZ8kTk=i(s+$wCZ?hDgG=v}TYdreC za7W;yS<`EY|71Na+?MQpN{hJ6$X38=f7HIvH#YM>JPlPT-C2I~?2Znzq5GNArTFhP zpVXJfHVpR%tDc&k-5$1K$$0goFJTN>}E1{Ob@sjIo=sdqTq>d5x_xyDfN!Mwvw_R%{ed<=A6*h>BU zhcPkNIH7!>=j{4kHPC>4V%f)2$_cJHa&K*=-mcULov~W@u;GTpI}4lA8l87OVPVVe zUk^SwsJ(2+>qz)*F1IS~O;^Zh(vz}?omyjaEq647w6{O(HrLk4Q3bd2bqWoaiJSgp zTO|7>&b8!g29b7`Xh{{NuO8bPH`xnbsyDWEKKH$ixW>ryulJbZqq`SmfK!RIf_ zLId0b6$*0JI1CdKYd@b7z2mekxZA*1tI_D|WXS`jr^w6Q&f?ie{<%9le5pXxi**Qh zZ`N`Z;cs+}tP3Nt{BUi?D$Uk;wT#4#hMxAdkF_n?;YHph8Pj>)Ny#qnRdVk)DS2k9 z2E0>pm{ot%^Tlc2QulV{8(cT`$_DT-gC z)4EfAM|Ai8Er0iae!abLL@84`BIf$PT!wZI-$tFA z)Bzi&{uND{#EzTP)mJoQz%S)`My2_xdY$+XddKVivtM>$_7`%lq&@n@si&+9*hdrZ z5x*r!RgD$DD}HOKvDEc-p(hS}a!g6I6I2rZe1FXz%iVva?%eBscE?b`HR;aR>zk`T zUiIv${dBfxztt+2C-lkhY29;q1N#rJzx$AL@awkMqT5d~yYF6B{Av7Ld9Tacb#Ls8 zH|_hRm$1Ro>DAgT&NiD_4c+bOSM7>rWtt?qeP7q5a)mz~RQvV1xp9 z?v|05y5SYUT?{_iZA)l^nm76Slb6ihR$Ee6hSSxJ5wmjNB`MBtwW}Ogwly`{dtFRJ zh2S>6Wet0Thiaw5)oexzH5b3{ix$$<<(n=pE8DkT!0oR8h(&kDjhgbUsj=ScmQU>w zGn5V-DZCk*yi8%PH%@RxG=neDXUWJpZ9&C&*>Gi#5l38X!+zO?Ez;8tYdbt+qLY{L zM}FN83|`;@Qv$+6@ltbJq~jX)P^`T=BFoB#J+!9ZIRMERpub^{SWdh=39lPW=u3Wl zb@8e41@{G}p2IGoTFc`!KW4!FQ+ zO=Z4Y9~ZPwc=$4QK|46eYh_H}oa5A^jR!+!RkPrj}o>Qh#j+_xQct6c|4gDunCPD($)U2_y(Oor zS6%PYZqXB~KbKiG;c|K4jr{k3)%Gq`%O3cjIPUK6-%9)ce%^DrxLIan?W*f%Puz2v zVz}H z^vX*U!h7Xt(qfO@v;yC?EcF~U@BXms`9#md+|>G*IR4Gcb_fru7Thn}xQy-Fb-}*p z)ryA7#Lvs_Zr-m0zGWMF>9c3)!5{M}tI-W8~9Q?bLYzVNsdA_N{JGr3?Z6jHpSgRnYFyHkL7phv=0BABs96~*TKRB)p{QMcwat?p z^iw?l;nD-v<=Pen4<+)e#no8UN1O7iQ<~Fhxiv1f8@?!6Z={&U*n#h^Hru)Hiz!^1 z`n37aFsI0o6h2Zf->xJQW z2k>koOLs$;MPY6(b3Xa=#B%Oa=8SjXeEEDb_(_>x-Lfc4k23wPh<*xkOUq|Gn*@v6 z?H?bnI8zlFYwLdNxrk*}?v`50(Z`o2wrjU1J3j4StuC)W88FXxsqSpJinZ3*Qt*9R zkbSpnYF}!W*Wk{-DwMwGV6gdGPVM2V)in;Go4y%szNUO>L%h4XMZvIR!7Fu#G@);= zZd=!cuJe`(-XBAhYPRjT!XGBA2EJ!oaImAfVV$cQ?)f_-0p7A+fq;>gNK3kF2cZm~ z(Aiz-eR9Jqd%+8Gs=n?;G4GBEbNoF8@n7+5u+|Rb^D~p;&bA8K~N!K@T=YzPV@aJ zBj9P9Wxsjah`a6%S=O~m{%J=@+!gzp65CU6U(cwhJv{dHBJbg`_CkSnO?l~{W24N@ ztCeyIL27N!r>|62M?Rg^qZqepY^v>ytK6KRBi+!t$EEjI$#CWL{iDh4qlzB63$1zg zpJXl=t9_A(P+l++2Gvr0fx9Zvo>FXFyV)y+Npe%`a0zClj0iJ9M?;P6POm!HtU^Zf%(veU!56!%LzHOT>6@i-X&ePAjj`7h54myC_E!e6`?tp@-oS zk{1>G`Xen?@l}uWRqrnGANg_zy`~RVZTK9q-T5?KykC9CL80ybMzq$fkL6(jdcl5M zmJipTE8|XHj4aN@4IM1e5pUV$FTh$ceXVbiU$pnIkwJ+XySAJh?ChmX(3Zd}#M6>0 zSm008?HzK8ALOr)Z~L7d$*U=w81>NpqSFz5)VFNqySefmMU5}KD+O8|U9VS&zThYY zz6}3lf0=1)N@m;_NY>K6&o-V6A zwR;r)^-7}32QG1;t76}VeY0ho6uMru|MbsMyT|VY!(8i~f4lA3Q)6zuU{%7e zSKOps_x0_vAs5j#AB(fIjc$&UbJjfT&x=3lN56Pr|4 z4*n!}S$2ELulaqrn={yS<`^yY>bk}8KV6X)3qP`o=2PUus*78n5G(hZU0pZ3@qC?@ zjM0otx@dRRf1Lw%=z0bJ{Nr-U@7E5g6$SRc_?%V7oBisabOuXX z{8ELk@#&3MyLH8hly_B+URa+=5SRch{aTM`|a8D!U*A>)SE-Lhl}{gAOa5fnd0bX4&CEf0Wx;9{cP)#uImfb`27Vou`(^T8`Ii;80@XibY{Q&2PsYog z;yb=jP@5v#RR^El2^`g)J{l;qX^^v>aknHJ-u zBexAir*8f_Kp480d&=ZVi|{4K)8VS`Sq4{oE=IjBynjB(M8-At18z~huR{c?T;?CO=n)1!x-2s% zvS&Yj-?Emy!hZYFGSA~?^Ew_{GAe~fLS|iX6|4=y=MKc_+w9gFn_g42xWqV2=MwAA zFK$rT9Dkig`P{LnUFIeVkIr+Sja!>8N`^s7iY~0XXt^bR-9>78y2q-O54Y9cDvIw? zTza*LI`PY&Sbw%h#;NkJ<%4pQ$$zl*rXArw^nt9nNo0!szX2C0pw{(2a3tzECk3I1#`*%67 za(9}D@|*Cf6WzN9@@0#ePK65}$28wk4t+}y;8h%9-a3;tabErBq}tCX4ljUHGnzMYpXyO{U3a-32`p~jVZby>e&uzXn3x`#ta-I)i^NP0)*}XIkG6Zu?qaKJfGh&phFK zoA%^WqR@fUeP)e|HEyORL?I)0(``GGtj<=4XBI>xdYwF7otK&v^tgD-|#oJnE$+R?$7o6r%t&^|Blq!T%!8HDw7%dl7-&7LIjU1kp+j)|ma($<26VE4KBh^;+V9tYKO`o57e6k%tn66N zH_`TMbNAMo13I5>Ghhlm7k+mee`od1?OijRhU2Hai6>s4qbDC5#edrQg{F~}FWqy+ z&Pwm!|7ob_&OfpBoxJ$^)-m4Jy81aEqYsgfz5Z5z@Urb$_56FTK9f82xvucjqBO1Z z$Yz~}^;K)FFA|&D@7Qj=YX;)hWd^ZY=bwn=e)3UzqfC_L0|KcdnCic z-q7Oa-+%6BW5K?!iBnG5mBZ}bXaS*+RLo?p$*!&YoQCX#r#gd$)6dp!yifo4f5Y_~ z>p~PiKQ%h{din(W7=EmEty7T`Db5ji^KFq7_d()-TI*B0jN^VUqU3awJr6GE5vup( z(!Jur@9J4xq82!H^S>QZT3gBBX7$gnpn}6+S5=S3{&S0vx&QF)OM$6djxPHxEF>hP zI#DLDvRQ5^-*4f`wy@z1g({OigYTz&Jc^|GN2Wb8^8v5gPi8J0d_JbJ{q@}6@zNvKZaWV} zt_;1eUYZ&C>E|(0^WWOnYp$*RvY+}>pK&>EWLJ0jH=?c1y!@`b`;zBhi4P@{QmFm1 zWSQ)OM{yLl?il6M2`l>tsDjeWKdH;pYy{SC`+`eSqdKq0E0cF7HaZZu6^i>_1~2-f z#q`xD2Z?a1Y6Q&l;#W>K;w;sv15j>xVxt9d@Pv|!T*zA7P7i9YJ|ixUatJoG+1gf`Si&*<0wa<*^4U$e^Uk2saqlRphQgvqG+MG739@G zlWCK!S!b-feQcLRrU#XyPo~CE&O);+q4npOyQP`&&{v|Vt^+agnfQ)<0AN6(rV}x- zQ2a8~AT7%g&({2A+$;;ss%tTIvo+g{9TdTX$C^xrKKa7$_xFI~%_8e9zTnc;s9&Mu zYu3x(lT>jQdITL`VVZGE@}85>X81teRM&+lPgYWcy3fm!Gp#awmE<8L5KYl+%_d_9 zNUMS-Q!QKbm$8Ese4u5_knD935&;UxeuQxud@&&h^&}Mxnt$v zu2gpksAkJ@RI>{jjWY;9!BI`-y6l2xV_gzp;jIVtrsSy4EALA+>b zt0vt2EJE@!LXEVbhkEeJytv&CBCb@m_aV3!9eZnc=u7aTHKWg5oU+L+6nM%H!z&OUlG?s*{d$Gv@bW0pUQTL}~_JxNync0mRh^AZ4B$Ho_S zG&1${IWA2CU1b74n5sYl|4Yp^r^*vj?!M;50IeaR*A;y@q-;vb@4}FdnA2o6pdiLc zmi7|(@!4d>O<*!7=iCx?mz$DXwnYT59oh9tlMg7^rEXCH{P6nyNvsi=w6+e9mfrFi zCzEApyfS#Lb4DUia8vr8JMiP#c(jNQFnOQrx=ZAGAN7ExqB4$@qAnkU$&isu1|G@W zj{-8ksUJZ#@B`>u3lVfR1f6i6AHfop^5F<)Q|`u5oS@5M$mNU#MnOB zpS%*cf~M-Kfv+|q`ykoR#yjLNcR0I5zV}g2sZ-OTDG|)nI?U7}#^8e`H{%8ZnG@1X z9Ax<)&Q?}xmj1vC~O1f3i4`baefWZO@ z#9%%XF({z53k07OVI}Cpk)C6e*njmbC(`EYh*Q{KfDAU#+lPGgbS zYKO@fiuiDd`kedH%uE<{6%_S?)hO!ef+FiiX}DLYUSDjwuR zwjeyCCY;f0@oO;Q*(~s^jR+Uxr+g{K&r-l)u2oUHvBMg`VUZBR?gEpj<}=F&$q>Q? z)JZob2`|Jwpe=38mL9T&UyCJkGw#JmidAs4v4cERBaEp@LTXHim>LS7I_Z{VBG5;rS0^zfb6$vVf_j2r-sHvY#PmqwFg^Lv(m9`T)}RYQnJG*r43p_v zg2`+IGM{h_PD0ONxTY|FX0dP`Ux{S=EMlZ{ns5!yLR+B>9+RPAGJ<@VjA^vAYa>p> zNvH|RBxAj&8nze5P+K9?dqiw*#$69&ew1degc(o7dQUpmpTw7-knUaH$lR>YIV?gx z2}7|Og<=G@4y6O7Gg##;U(v`srO!DhLOu&+mSHmMkjx@&Intveb2CmSkeMaTyaO|J zGwNZS!$8#dny)sZa5tZN@mfx7>84*n5hHp$zcy=P3n-GpY21f@eiA4TLN77!7 z+_0Ly8mGAq)c8mk_PXWp$I&QQ#x^2Rz|Kpn=@w&IX#i(>EA-N7S_C;;ibbrHtRBvg zEO{Y*5t6cie2`v1?Q|d)v+Cv`;`W=`?jW>W5Q@MOxG7yy1uQg9tiV)CpW?jM=LAVJ z%b>SG*z2H2%xfC}_c2a+M^>EVOzCs*(#!?O%9)3|K?1AB^?$tyGA8g02I-```kXCs z?t`(cIPkYw4DoV085(?~f-E)jo#Hg;b2OxlOQEI9$P$?dEk*eWB9Xkfmm~JsBRV1s zYY-s@>>$8?EK;%sdjOaV${xXsFzkT@*&8uefYEXkX89N6i2S&yerlAXkO9o@6)1+r zCWzZ4?13kc7@$5?rw&0pDwrcWNa7u=+`Kr00_l=ZxOOL@qfmz>rg$ro2*b$lbr5O) zO&wOJvY`%Xq=T^m`uOAsrcfh4PTfdDXxwU*MISs8d@%NZ!qV0*bxWam0pN}lpV+NYZk)j>yJYj5$dTvm?wGunYa_|&401xQv%h${fl*w z1qYEgR(Z#iitWll?3N5lfyfKblJDC%6TcLSw>|8LA;rxX+JU^*Xf*$ul4%o?3vzcstvWy7lr7)q!PFH*#E#!ZoRScAuGw- zjqGas$D}}xv-jpHwe#z-JB%~{!ZeZPTj8qDco|nv)Dcs>va1lv4A=~szW9VYqfT8f zLa33`;(NF9PaybB)QnqDMiR-Kk~qI!zmIxZnwbyv$X{&z2izwRMaZG$0wL>FJaw1} zyS_^enhxHU)OQxL1JuZAt$MfeXrQsZ2>BqC5v%s4f}~nsAot0V82DPe3gFT4SaW`T zXdg94nwbIBT3|A(o0FRDiQ~`2O{JlX3XmC~8cH(@piCVT>G{!|)b~j8ucJ^bv}Jq9 zGA~tV{v30O2zgg|>|>2kmY>zvrJ*M5^j*WR>K|unHr}wg?z-=grRzUCSFLmI6%~58uvMm?3yfCIskgAfm&tg$ht3&=JeML zkb{2{YLDCCKNb*7`-%Q3agFyoVg|{2-(qEALUje9_*2Cr?goi!ttLN2`^g)=vC99N zgBzhx8B&TtJ`*Ui!B=}21h4Er6#2L+RJSM)e{5?WZMy^h_C&g@r_#7;@LD(PC(vT! zJKg~Fa(tE*nos+5H%v8ax54SMP}xVh6}lpfFp&N%Q{+EI9@=-cCIB*CVG1oB@Ldz> zx?ha@j*RSD2q|2RkkF$iKm`!2Dne!5-qN0}{=zbMz)Oy&XZR=ust2zP)BRWM0u&q8 zu&NBru)*X`IN;OA({<~N`wjwPDEb&+0Sqv^A~fR=Cg%v`CekxJlmfRveR7!DSWF)q z=ySp3LLBgRz`vI9r=cK=o)R0XA!5(3BL5F@<>G{0#GFGN`sCtct(hk;3Y6N?QW!hCJO$|LRtagRwURgQIA8wcnt8qe*w!l z;0rOp`w9uyK=QwcYkUC-)WXaifIlLlMs>fjK7Tg2Qh$?>Qx@uQFSp{X2*bQwU?z0T z97&uX+X#QoFN|#a#(MUzWO=9qBe!C|2*V!&evSdwgL3b4!U+T9y%=B(cZ2-T)}0O_ z3|pX)TbY0fZh(RlBii3s4>5hNK;PrsiZvn(GSv476WfmLM~&)#WA$TlZNNiNP5L5? z-B2zIlT$}_BZC3aXE3|N!0vZzkJvbyQi%8{W{-fSOt9hrIRcYYbT>Ht#kvzzrz=8i zf-E-9uZKSYVy$niD$KHBd1&*U+=}BO3}0yZz5{-7{oL|(XD%iF_r0CwvEZLCTSH#3 zzY?nbx$<7Mhtjs~PrIUQZolD1T*q1%`^uh1$ z}*ZI&}rTQ@0}E{->>Uj5nJRB=k>??vTqGpOcAT5iN5-DbyL z9X`Tj0O5s12WJVFk=$+)C`gj;CXEO9-HI|Zo}`L46U6+{Wliqx%}VxTyscbDE+)ny ziBkML+|8JkxYY#)bIg#&{n51B^yQDK^bZYB=`_Ab{HN6;lti9p&HMOrCEO1QZTB!; zHWHJBV)7pv%-O89rL;cLVBN|P+0M3##uTarZgtTJx1@WjT^H|!W2LoQL;ps?r?YvQ z6~jvU0)&MQl4y`$=gSmo0#eCBDvk7jxUO|h4@q>qYCEr3p2Ib>N(NK4UD5}2Ir zBmo3`gb@uKkQ+%!+aDs!nG(tlaj*s%fx9@4;1_i%~DEh%0gs+ zBe~Uj_}i^nvXW^jhDrjjXbI50k(7Ae&8yKoljCfb4+2ud43QmZt0+pLzJTmKI}6!U zl7qIV5)$>PEl73WWx{kJcE-`=t#M&$(8$JLmWjrMra2c`O-Al3n zEPI$S_0|KU2ar+9MyQVafkda_Fp^hu$!TGd=_V5FHLDBSWkMhqBNRP^c+8)mGGnmE zyNtDpPTM$SX|ck5x~V4mkCG9({G^-Yv!Nr>e-)Q}37K@M56S3lt8iArc_#t{PdMOu z8S5p8*iyRUlP;1457Em{r~MwXtP?VyW&vfKP6vc^s)*N2lolbt}wuPk5D0Qnn)BEd(PN}rv%%^|UL`UQlCj)IGzGGpI(8C=3Q~=@HUue}tkImAa zQn^M`mfr#DLA3$7E{xbEDt3_6Fm^A|X{&}T^F_^dy}ZgI-AFY^jE2H*6VEGPB031Y zr2Mmf9_P%MyPPX<18kS`vegOMZ@wZtEt6_qA2*Q^LK2DY*uP*i=x* zk|;MwA7Mq!Fg9|@37D=f(&=-4o#`pm;Uu6d6En38i{~{u?J-KB#!I|{`uFkHCK4qe zOu0m7C}wyd(hoM9G9Cv+aR(yT=VQop%lmi@V~O*i^bB5fL2^yPplx=#f-XK6<(kPp zHtYP9N{OiXbZt%ad`VvgiYFTjSPrkC6~*%{g>b$|XY{ZcQw+va28DZM9p>8;I!yud zEs0mq^gjM6@NFANp+2moUPsg#jdzd+F*>g6;oE_-GiJ>5P?zre&DtOuS=E6u#eL%{}G37s~K2`X~Q*TW=@E-Ihi3aw&^%ZIXvxcn^P zJl12@vRS@UDw9;@a1WTcqP-~D#8E6ndq{(msM^VN+WR5PIjOXQuOQdRy3iKE6>`@R zsda%@@Ey_N$|VhgT#GVeR+|c*#;-?RO%v8>G>_%OW*MRg$g)CoJK8GBQ>f}t$`g~i zjEbN#n9btDiXfIp0p}1)36}-J&i$YR#iorUa?4Oa$?LeB6qFwpog{gGzs~C^R7lap^y#WL(tUmeKtc_DDks{^} z-k_igQjjTh3KhAj^^)jgh}jKvS`%QFkXBFy!|75B;rWFJ(;xsY>m9g^lBgh&M*&U2 z$VB1@G^)l$t_fp#yIBwKjk&gp%gGOuJXuu4FuBlm-bF8&6FbthLTAxzTIL_!)c(k|nM zj&AaDl$#};B(G6KFgP_%4_Ug%rxnz|bMF+&>~Pc;<~yC)CRkgTzRXK$eIGBcgcE@X zN-%oySWM6R6P{s5P%@oHAF}KgHg|A`F~yoBI8>Z)MQ(#Vq;9N|b9M1=TC<9jmB~*) zlO(-?XG?VZ-B-C-O!?R*z9=bmYeH(e+A5AI;R0Zr{Ex{E3=6q>_z;XXA+FNXFv;sQ zP`!S@b7Aa%< z6P|$5`35*R3fBIU7x*V-Y>;PY}i$y)WBj<&=sqKnf#D!J+Kg0*~B| z$W$871{-0wbdjXMA#^Q;>JO26xkASxO-6g5I+7w7*)Cy~1x7ZHA^ez{sXL)K>9F3FiqA3@4mI8BS40#=*!Yg-yg@WRn2OR;FqGgsJ;~k8BJo zQU*r0D}jEU>=ddkY=Anb3>a|!=i}0{bnzQdYhws;8CSw2gW{AEAst0GP)pbg`$Lgi z3t+SdWf7>!{UASr%$O87EhVF>V6l0s#m`43JX{G^sj6pPPvS{SAb1i=v~!<);3LuhhD5lLM^ zZ6VSh&t>Ezpe-LmRJmTo-5a<+|Di~@Q&{;iv4~jcOp3ArpCW)sii)sU)|2eR= zXb?I`hel9a00Y~lAxn~MT4Mw3yWy}skJyaOnqU##7+TI0M+S zPGWVubY9^qCTTZFuh?)2K!f|06){CAa4}EGw@~~U4dR_d>maII5 zw8nbK8FpXegw20G04A1ek9G0qfRtQXBOEFi+Yz%rkyK>xWu6Uo3-R+Tq5qA}sD&9b z0Y?1~DwW0@*s*5!E@zt&_QZmZ9iRdw0{)Fz-BV~{17j|i^aZP_Ep(dc zkYz>+)e36UfYv%VdttQL=|}bONmvfaag{i2d%9Anmr*o>P&A9NA&@|)VTD&*7vcl% zfuAek6d+@+7#EKq7cCm0X9<}YWg2V~yD62-IOP}M)-kLP&TG*$LnuX8{0pS8+YBSN zNx+nf6IJ=e|2?g>Xb1u+?EG2FHaP&KfG#xUE{8F9B9`J&I?iZb;Wd6n>3Gqf@Dt3E zE@sA?VZY^|_yi|G(sWVyP|2W!w&v%r=(Dg!4%;%+_cc4uh$3mY|PSlQP@H8{`k( z+y}M|O{@#qVFh5(Lkh&k%@cIm7I14Lp4QlmiZcNgr^ncAAdEu}9?WY;QJlcM#&{Ga z*=j5y0i*dG<%T9al>tn7wuwE0nej2C4|LlDN;oiL&6i|UBiCMIgJLeP5u4?uDTGi! z*;zB=^^meS#DxQzbml#@xC|QVL24XQF^KsiCEN;Fbk`6W90im=_~EkzS8V7wMyKTr zS&j>v`=B|24m?_d-;BY`2m2aqPB=`bwE-!ddEP0nGDGVpaP}UzjCA|=p2dJ|;)eh@ z005xzEv8WQA}xyVtL`_su=O@8P`*x#>1UEhVL6#>UKlyl_zq$_u=G z{nN>*qi%~68c_>pKD*^^8zr8ZGoPCB>$L6B#$}3e z@AUJ_Jk8oaO1c7sZvlj=W*x0>M8^Bi0{3XcdiaC5kr4sz?oCQ|b3eeAsB2Q3&WD%N z!*>m4$quJCTK(p0VyUoW5Al;u_ma#ucqJM+>*Bjw2p6_?kT-M57a}AjBP5(QLwD<^ z-Cp|>?nt;Mj8MsHf4RU~*G8Pytfc?3;kc>g(-mEU(ZZIpDMP$T56!Y}u z50(b&mW8D3FPraN3sykLqGqDjl*Kk1Eoe)I82A(w!d=K4s(dT4Z( zBMMeU$S4>+GfuQu>@>tBT_tE5%vFKw(KK)rJXlI6Huw{czcpA2mRKQdmfEb!@mb|< z2Sdx|N{XQG(X<2vq^Ke!qX*#xH@^XcSs4TAjW#e0!`Njeh7-?b$-ky`hz1t{liuwW z?+kG(t^kuRU4XN?=>elX!N9c{B`v)kat`uM`G8eOhF^OHe=hYeWF#%k4pO27PNGfV zbd;jgW)LMQX}p5QlK81`iGEcB_Z*@f3~F0)t{r4>B+`rpwo1sq6MFcB!K~>rBI6Z| zLm=|ctO5ELGA77otwa8S<>NF^mmDr}aw80?7tD<^6D+B`dcjfzDRb1tEB?|Mjkh+o zyt~{2?}$<}B?<5hjbLg8n^6g3|^367rSI~ldtECW*H0z8$wl>}i={Sby zu(=HB;ISTq#Tuf+CWkkY9U-|mYMysUuUy1zGi}3u*RfuQ-xRO@sNHiJAylioqsA zd#8(cMs11X3)Wh*Dv{&ns`tjDLvl{T8l2u3>C1-knq@5GlCzM}7u}@Oul+n|*2cEb zD44vU(M)J`%0v$Ax{%Q#8dy}7#2+=p?FHpkssTnX7*((ofJHM&r)8r^G{*1>ewD-< z7~AqlAlNZI z_wy*VHr@?ATZyQZ!f-Cv#m^#~3^^_*Izn=JgoH0>A|z|W8h}q%%E+h!!?_b&M%qYq zA-bpAD^})G!y#>K=Td009l)@1Z~n2qvJY{!YqkTH^jYxbdV~6PtmkU zC`^a@RXPO~)&iYoH)%L999E{s%Z zWkW3d8Eiyq2+_sPEik#Vf9m+NiAq_}w{pxk*dwB+SmJD!BZ_EnJde^=5-(zib3}D| z5k-`942u;@7th5A0lVkDvnpF=l)Y@h$)HI`e5{8yi`){sNiKm1f-Rl)anf>bC_Tjy zHfbLOArXtH<~1JW2P)?(uoVF14025cMzjl+vt|tRjK|u;X05_JyUL?{Er~y6i2DsZ zYe-Lr#-{&#$8cKol3cKU^j!}hZ~o0v`M{W&*?mA9Ss3O<>AWEPF-&_vU#_f6g6B*Y zou)jik~XV+=P*2Hz`_rdfL|$$M%lcFK3C}j@~*9ybf>^?-Cb+rHPAaSN=rbK&7Z ziF7CnF~eddqKE%Im}NGco&qW%Bqux>sG*q5%^6}C_R?vAD3jnCEjB`Ob%aD3I6gwB zVN+OwSA=Of5;>g#)?)~QA&2Dk%x@j&Ah$jX^iEZJpg4rrVn}gZrF4`^;Z-3u9_@QT z3t0-09zbyz`S%0_TQoq+QbJr+~2ejGy$iFJf+!Z)iT{8yQt3}Xu3;{%6PBpTo5!1%_% zS_l~5q@MZRDzIk0F#|FEU*j7MjBmy$RA79wsVIrRWr*_zCUb~Wu+vrcY!iQkK(``9mE69^JeO(*=q=Uw&k1fS z0ZecbSb~UvB~FCo-3SRQ)BiTXT{(+r1FcDW((=lLx$a6>r(o#fjRINCKmW83SkpA4jyKk9Gg{nIIS#y3ASE;IQ1;SPcJG% z?;=w(E{xe=Z6xjPP0}GSvYgMQ#zOy;!DBc~orM~QWH!Pb32f#{8@LQG)dm5L|A4S6 ztwLcvh}9q%;PQG=9KZng^-oqFC%wQ3_RIeq;AS`=lg^R2H@Ab$_!QtJVNQjJ=4&VW@MaB(WZSr4y{o#P}f<7$ND;|Pfzu9+_t&qQeVO#e1W~Z z%)|i8-ypESK;>W(0TvjuDnmST2T7oA_7)$C!WxK|QtDF?(udu*CF$Xh0toFy@;L~> z5=Ei$3WP9Q3A)G@RL>M0u)m0qOtoe{gf){1gU|ujWpK)qrqiAvsm3(kO>hrbMkF7D zy8d%`<#2$Y z3B1z@yF(xv%I7H>;3A?Uu$MFr8a|k$dQe1%;E7OXA_JwtlotS&C1}dCXPa2hst_j3 zr}bf7{R0p#!K3t;trP%T5DY;Qukm9^e08|QH5m7iwTLtVvN_iX;`kBe8UfgR<=5$- zOMMC>+EZaS~Ks%1R-HZAb3L+P7XOer12osd}F{TX@Jpz^a5Q-2pZr zOHRQA!N*v@;G1BGOMukL!W)2S+DeQO)3XFY>3NrDErVnr~(C1Rrk7~uSYx+(Jv1z4vZXo4g3Vyy;j z1ZBDCSm5d6CBfdIFI{spj8+h8HJZ85=4|DKD2WA;pLY&&{_6+Kwt?Td3Rh=E*P8xT zkG8%DG8afoyt;99x~RF2c$%pBy}mQ4!e5>L5&ocdgSO|`{wn2E<#_PHv$Q>Fj%E^; z{UoEHg~jEh3`!V$JyyE7W8p3_TlC7pi5v63GlaDd z^)4=)*ljv_f6=SOZD_G!`QAlOcn>l9_VELk*E` zE#bxq2XTD(535Hs!7}Yodqu1k&RTc%hyh5(0+3Xr^yQDJkDs-Jm5m9DkOpq2z}%!)9o^Ly7(htd8fiH-^4gMx$`Pd zQ+rTJPk_+YNg_S;>nzNma;yZy@qPioY6p1@6my!K72|R~A$1w-kYJbg3P~*-eka87 z5#d-3ZMP`4b&*Jf$rP{(+zLK0Hf~8;oeoZDzIN6u@-3qhBADk0uvvMSvicC&$KZXE z4C->=+tdfV9`McDJC_R~kA%b2Qb6j!+@AAEXY{lMa~?VeG7VzT0?vVtY0S`R-b_TE zB%2lRS0yLeyr|(r^kPI|G~i+hKKCrt7Fn3W8*xFzfoWd$wqIvl1~n{ObebUT5211b z*s+KC+cZi#NQt;GGqAj?q|*xjs<@-rQ)`0B{r*U%=SC4 zjnD7<`#nD2KVBZr&htF)w{u>{Twd-Vf%|nllF-q)FRAmWmAD;n^!a?yryUfJEXwCz zO9fPsTKxzg&6+ewRF}`e{T;SR^7EZ~{_{XqugAp;kg(sN6=+9r9OWUwV=s8H;{pKd z*7K)^v~gRFAQQB?fEP`Wy};YW8ouU0kylwV7|(K3o`SHI@HBUnZ+vf{xeYwc{d->X z!1K(JTMSEgQYXwxTnKWJmwb{^e5-y1D0o(N z**n+~o+Pi;ttS~}O(q#OOajgZ`W%NRASYqfZ=(jq@>Dn57GL}za-zC8l>@2H0b&ZA zWAknA5TiNGQ%DDRp%%Yn;CRh#h9@XE4zqOcbsXlo+)9->H7J0O4IJIpfoojFsU{F} zv6IMR{g8?{DnxW87@Y93`7-1)>bH|RYpulnpp*kn&mgcx9&a443P!y)Pa%J&k*2_v z4tqEULJ9$u{iOJ3Y7%6GM$~Li{2^st2rh%WfZt1*NQ27Ud;zXw{5lYPl&skpA-)jc z7L+1iCoY2>!AbIg-Fm-ct;q@YS9xa0ft&^Hs6G&XNS%i+JxcTDOeu4tfetV|x7EIY z2bKT!yQYX<$d<iP3nZmVAt(iE4nm~KoG1fokSota%DUnY-H)8eEl!nySR0(? zA=?5W8`au+Uh^Q|-1gCAW5l^_Bs8IaeVi^K8bwD&kuuT=^vL2K6UC{ zI1kht#Wz9)WCUu52$EnfmoI$C?unH_Wi?1iE}oE=A%F9I_c}QC72|1Ryeu8- z$X+z)FUZ|(4`t~}8JfxLKIUGM@Ms4G3#18D^`L!Vj+-X=cc$w{H{}w7V;1vgu zb##F5mtc4NjprbZg$yrO(vFYCsj*NFNr3{C2DW`by^HBOW$7FFp#ZMx+-lAEVI^+J zvnjYn@=)$&zaxp~<_rqhD8JyND`nKbXw5hmEcXTSx6uwj?s9s<7AX4XlfYe2em{a4 zbdgGu|JtorHUoJtnqN{~cqtl>zRvLCXI1c${LGKi5p#pe9H@eTn;%dwQp}H2N?H0X z9&?t-3@?yX{{rTqj08?YAs^qscT3H0$PavX>#>({m?<3rwtoR3o8%({L4Wi5%C!mP ztSm2sc!JY$pxhV_XA?sqPSSZ>poyTOSq8Y`0o56BT0D#30fK89XMUF6lh2RJhR}hx zY7lSN`0fjK5nuScfx{d>Qs-kUaWjxqNlM^Q7|PM6d}CRFn-lz)u?LqtmkeTa-A++J zm7&Q&^P1g!_oahIy@97)Gzf-taISws?iN&dJ$SjH1KekT0ua2y|I7DZa76p(_ug5B z{GkD0`5-%UhqQS%Yv7;#>vPJ!*);NJe+-alENWLD`pv`d`Usy|ASuvkCvq; z{nfDj#j>;w;A>L)(oLwxm&;rc#Cl;SlT)yA-5a106pBS z7Ef=Whm-ofR~YDjcy#5kgZUZ5c$gAQEio~GHB+m+mz^#(1$cd}PscF0}$$}R% z^{ITfth1Nd%j+A1jH#9PTQfGCkdubW2lEz>ITA1EF?2I(sHE(?{Jq1|145`hU#~L`qJd)|iEiA!pK# zH^r$*0NG+6)WD^lcuK_cDq!NF_V`oldFb#lnn%8u+HW=piiC1VA6BoHUZj7;@x=&C6-zg(17> zogU8TAm+~T`c*HU<`%QgZIRL78NU+TQ}#%b59`vKs7g#6cUP6`Eo}bF4 z_#&wH#?Q#{v?~RU+y3mm0BisyxF}hki>UJTVPDJ`+Qbu78VEXU7wzrgd=ZM&1usa@ zuFq8P9t>*qY=Q3i4NJ!snWCA}nf3WzP;sk-OfR5$jbC=bAzl=}QmXgG&)ko!^7C-^ z1?U#Lym>BX4@Dwq^iG1qFP;cPWsK{?cJCYvDl!I|$C+;T$WT3WC|~< zj$42R?y)ql{{i_`=+9n%wS2EZsB=K_^a0Kvp*RKYo1`QU3bb!dfM%AP5foKqo)bkm z^3@?>FO$Mo$D3)Jf5R7|KyRj2rRjY;^v=Bx+i%ZWD4iF#`yp=Ux`MBICdm{()|6WM zC-rC*Cw<0L2;*#LPC2+OD9XdRWYC&yc!4Sx@m@ZyQx9?RrT~hfYfg#XM!8m;ngd!9 zdGl$xxexMlnQkK>Wuqu^=9GwSl=9+Kd<pi2Z7Faph};qPfV%}?@aJ$es;1}cWq-IXg1v$NfppeMi( z?)nopTq0CkiGw4WHqXXvceIBm8fg&Z3t}_%O;f7j?^JIv3AT%#GjH^f_YJeJxg9Ej zxPzNhjJHv~7pIaSlKy@A$ZA8RT7JDQK=Ae!j1KRd(mUaAt2|w^0=Gm z9X)1vtaw<#-8sOTT(I_v;=CAT`TS;( zvbSI_1c<^1wK{L3d{Nv-#f)9wLhq2-KN}gOPs9qv7*2hQB%%zvEso=`#Cm^XQ0rFF zm1IUFc@XP|!UAkAJZw3mtoiR$BES{}VZ&!QaFbTphpniAg*@=-LGE@GHgC5^@P0h-LI><)3}=@Wb+Px&_I6fE6NCDlidB!nbd5vc=SEqKKMWKAZ)^a} zpi&*MS1_DfD{9>v2)rSNYVm={HJxT}idQkR=St79U6)uDJ!N=P3n39IsqZ!yhv7^V zGq3{?cw96Ud%ps&H_h(ifk(`->wYteZf@nGdLO#0|F7qZCv5D%7th^>75n%$q{)Ag z{AMJry;s=jfVOQjUR(P=VW+JEX$DogM){I4;aA~CRoa5Ouj9VIUfj6xSmsr+-Rsxy zaNUvGyzkS);-o_-KTX6vCcgF@WMmK8l|+u)rO)a)e5Q8(q@%5CFrm1*a9W{8YYXjL zpI{&?A6dSk1lc{Up`0!i$=szfgBq+ef15g1eSh10AU7kOtM2}~7^7N<-ykj_ioKrA z)gYoCQzG37$?e?T-Kchk=NTqA{yt8Fyu%~d1f?@>q^5Jvq;?jXbBH1it-SRKknp*f*a_)`#Ib~e|Lh_9k3 zi35zeh4i|GM9-5VC{JjvEPMX#z=F*wW)rk-GL)T-MCGTU%pgvjx`_jfXQ!Ax(3E^A z+rC_@j*=@0ka*_NpDnP%RXMbEijtfV6_f*!SV>ugIQG0jH!H`cq>(Hj6u#Z~8&v8C zT7oc8NNpd}j?NaA;=YAe8Cqk^%PWp{ctTux7SS0rcIhVe6f`BNg0dhIYbkMJV2W5k zXE4};s+eVH%2WlfO~ku)5S2TV+bhf_l_ppXXP8Qm8e>A(1SI}y8de9Q?AMLYp(=f( zdBOl?Y9F%;&H1Iuxewt;RKeR5@h%-G1W;U{_9Ur~G5;S;Mic-l^)vO+7&j^GE=V~y zvI8@z%eL%u0>FS>)|k`ffjc__Ap-{LP8NM*eY0i1fTr$RW8%xP4QY5IKpF4RjZdeN z9#AYG?k$Sw^OLN_vrMTJz@kYA8;0hjsA5*M0Uvu*xH{!rEh+pZSwO5Xn`=p|yF)=K z0?7i+CjF*Zxu=*iB2SLfhI^TXXiTUS_X@P^hzcG~#2)Ba50G8I@00W3UZWIhP?ERBMb+ zImx2~Yj{;8g^SCcr?TE|Vh1<@?VPj5NR*TIcVP9w6#iJZ=M?LaExRZgpmzvie?sDO z(nwpNDWC4hH;k{RnM4>sAJ@n9q_h93VoD+O5h~o%(1q6sM zpjS+?G&Zr_A!4tsISeE|KMlJX!syvOKfnkaq7j7w;Nlym#RA)0m2(5aD4>GMpu%j~ z9nh+}5Vkha^Al|mf|KcI9*f6hrr}K>QC?(6GT247?6(k{i#6vBlB*zvJpt6gRa4>m zmUC~V;Y|S`_E7hHHzRPERs`X|7t)&qL60w0Dcr$49 zPd2HDy7-DH{V#^69%4*xss` zYKX0h5cWGHHaiUq{`5?e6R(0bC2G}DsJo#Ac(_}O$S^#`EQeBFUQ1Zo_PFj#z627@8H?R!b&&)z|f~D}$ z5R(cjSaD+Dzem6wa$qUn?!azF(OKrlJP`5Q=(-$uIevdf-7PRTUr6_(vp=eGQXnKM zA?!^^?4vX+79hdwRKaQx1M4V7djK_}-ee@1rM8JJ2LYCZviBmf&(Z=dK}|qqW#e&F z?7tMMCjiXN8f@6#d^DaDR^o$2nYA+9VRBE%8Aem7b?6cTwS}Ohid)Gz6lD@pXdFJN z@bWC;uYPd*qw9NX>Qnqi?t71vUKMF@jx8aW2Lv_jq`cW-+Nf~87x9+{4?KhTs|P@j z_aXi+z?W^|&GJvk2OFJg>MQ*0?|6?Cf`x<}Yg`=~m!gWh4bhk?Fiw1HqW+)J?C#Fw zqZES@f?W$CD2MPD!YWr~@_V;0^QNDDl{ff@XA(C`84Q1GTy+b1n7hxgsfnhr00t4DJWoE*1dH>Sg+& zIlfZZe*i}AW|azq6XvaUS;;5@1bU#G*v7Cq!|YfA#JfV+9!S))G}Hi?!Zv4D;HW*P znJ&DkP&T@}0&v2U&83^z&3FcKIW!g5&)kA;y-Ts9fe0b#Pm z3o87K?s(s$^du+f9^6^ub=|Mgwc6`*E^vd-GjQC~JA_9q&OtfO<^LXviu@J=cSYmA z+QK7!+mjPaESA%a`b`k;jV_qviY#U`BT5LrTAZVE2xq@RzOkz&3RV|YyOZ*90eHix zNpoOhmY-3jH~N*j;^?BpV&7k9w}8rmok5-|rj3h)6>AZ#I?au5=uWNorb>4@(RVC)W5?r3XjSFjjt|K-cHkW@FFrOXV*_*(;X2Cz13B- zH8%n@2Z>rYer0C15H_gd4vGW++xYJBJ-x3A-@mQDbZNs^g~E8;MpaxVv?lkz$M2{rT~|eiLMk_oKqA&s5 zUO7MdVE8$g0e|s~{lZ))g<6L%D8!fy#=Br&4f_~ov6RcN9Bmw`RpoT`?)uvMC@oy7 zOTOjonCY#U-JjZ$t+qTeUM-yYXF%N_&ZGZiutillsj9$Na^Ene;xQYfxa1@-dJ)R* zM&dKl@HI#f6&fmdV`5|trOpU=AIS3j%Gvh~_|eaPrWqRJ{*lQD0vPk@^6?lG{s3h> z*)YvIcVLp34!k*VwQx=*0_;*D>{>J?K?<8K145}Xn`=v)zeCAg04VJ8=%DQ+o?&i) z0CPgwR5WIn6t)-w%*f^Dz&R8AjyK}u_&HnqRQE$ z1td0EtDB?Hsv~Q4a|r-`?PZR|bJSEh!4Tk474F`0?#(p(5Cpidd%m5KaE8f%bm-Z~ ze2wOORpt0YfC(yGjdIe_j*4G^DeRGMEU1m|XkidWhhFA9oxQk;{T<>eEQH;P#8;%D z-U5u=huK^K;(XmJu0;+Qm1T2vh+22}Ba+ot?D37qWr^I~9g#=ZNeqV8bJ zV_$Q+&K=G<@ZhOXRM7KvhsYg}8JWWQ`UBpF_PSrvDlJeqK*LI0HrlCZ_L< ze%FH$`dCeUf#0E;Bk#iii6Jm5^E(96%X^4~R0&~EKv3aMiWEe`E)@PC9=AyqH>U`4 zOJpD7(*pdbExhh1Ao2UU@k6S@=6j#wjzFUV3NKgZTFiWy1%4y{ zdZW7_5*j6huoePU6;}lDed!INm8|gcG$PTKZxkPtFp6pyRh z1b5@jg~HR(xJZBnvYBInvHG+^>qn!OQ$QD%+iRjy{p;ETIK zk=8CDL8eag`lO1i-pishr)~Eai~r3a}fRRUc_S>+|RdX>JYFD zxbKsycs1|=Ip@8)=m`k#zMAV-{Ce+sp9+OW0X5e%{CXdH?}j|3Slg$UVA6RSaRf4| zM}cuC1AcfD+zjH*pbzns4*$Ff{uUx)@CI?72EVzpJrY9VS`#Hy7gfEpvILUxK#B9d z0E$75vk%1TX=|KtfKJiQ$_!}E&>A<|;;fnDd;*&LPOvef!Q(fR<>XHe`Jo%1G&R5ro?GvUtH_>76@Tlv2)J&AhzX?f<4 zndF(5n?6XGN#0Ugxiq?d5g)y68kab|%dg4CxrC=nuqw;@DlQ_Q7WNN@55Sv{ZiwI$|a}t3fVvFf@n^R#%vsY-^xYx3pRrOi~tWlx} zB?um-bKuVP7dbsXH=H+%<$Co>SIXhkWjFdXu6I^|i_2=>y)NVI3m4HrDn^&Gz6v=v zsrkL|3Q%4->AUTABxT(79c$Bmflcw4M~$}9r57>9pZ}sYi)Qb(1hBK_&OI4;vU&c{ zu8CrC^@{8E)AWhJ3z6<2*Dl8QT$1a_CNux(v}#b|RwW$W81s@?f3Gc9wAwDus5+*TL^%y#be`E>sgoLJ zWP62Xin}RWUOlAhybG?OgY=|`h}||4*1k|Pq(G2Bgo|11N2@q1!RwrNR}D!yUncC5 zO{Tz>AJa@r365gNI&#^v`;F8uA5X6k-Hy&6IEh*KFjWaA2x%vDA;C^IpgKy~*$z%} zM&Bl^7aP-$QyV?ElH(O%mQe3mCqO;6p*bcgpNiLSig}$Un7_9#p#Mh~erEhrzk0&L zwby#ru*s8O<$COS`nnt^sbM36A6IJ~$JIH~{%9t?)=HmCqHtHpKT{6$afSoZ2Y%mX zP*JIGU~R)~_K7c*xAx85`LM8SeL#usW8pE?naDxU*AISo*C=y~YLx@1FRz}pqI_~F zHpfU1dJvbkD?3*jrdA>@IVta?oD=IXZV?I+#*NGF+>cfw7{QaYvukLYsaA;bbjzF0 zfnt+Jzf&yB2{2g$uNj#jU7U=LN0sF|LI_+)$D`6xjPQXrDV%y5A?m_RCG^PF_$Uho ziQ+0{PwrP14N}BWWKa4i3j|5yZpcpWR~8A<#J!W9_E8oJ62Lu?Rot%(3tEq(%PRU5 zuL}~#Wys##Uo0G?g6osL=~FBiB!asydvJfTXpjQVQ~P0!r3OJ2ZlnG1uBAL-EBu-E z!&*xm>=X@HaZMVkG13BW^+ZsmBlpoklcTn=jX=6I8_l_aBl7vcO%G{fnFT)t;@b7a$10 z)pQbFgXB|FC=Oa;fj%RG6j_|7PNGYYNoogW*+`7EpQ%n*4-edKzb8m7^#rBaT8xCN z=ytZ>7i5?!PjT2HX4tX#OLiY_p01tfNpTme?TEw5V#HA2lcNk01H~vkw0v{1u#UKF z*+E>z1t+F4`yUAc3;Gb14vqDpaUI3*n5=m!G|nM-izykar_da5^VIWBUpfc1|3jII}69O9yIdb-R}7cjw9`-+0;5yg5j7YA0u{oazzIMUbLSk zf5VxQi(rRWc{P?LSIC7p%3GJe+Cn)4$K=U4K6^s9xj69snH9+{;ErX0w^e}Pd1pm6 zl%^qKLVK!GR)%sCepqMf?sX|=NBC!*soLv8&H`}7)MP}&PV%++}6idQz_t!zZ?btUI$I7@rfNe3Km8zU}9DdCc3_jommIJ?1d z+67fnCc;`c!sc?a10r(-{qMQ5t6$Ln{q!X`Dki zan)d=O_#joh9PA~L2=S^^#FX-_$FLZCWJcHtP zTIa(*G|SW@h>iB3l~U08P(u?--HO<_#SRNE)cJ7NOT>8_Ja?;0nzOIiA7i9wr2y{C zpk=j}hVusaCT-gqulFjq5NDiD?V&`Ay*2ufMy~aOImf^~x1VyVG*7*W_~EqsADSM> zkUv~Nh7t`$n_vYs=9y-DGxHFEskc3J1M)>i{^q(bB+-s?ms0!*#bAyx$w{R zQ*jbW-qA8F!?yK!b|fY`(FFm7DXXIhu1~()ld(@stYmv12#%@nx6yp|{>U zWSu|p{u|d~zBklkMQJZX%4&%kr?{i1=IfL1vEi>YN+bTSzj8+|eD#MtpNkx}k#bvE z8M<}XmpgWJe$c!8-T0+Ae8*s`5?7S*$>DXlY^h!Mbk39b6XD~^|F>T`)r@ZZ(O)fj z2OWB*gPz163fELDP4D`@{mSh{4yZZ&;~2Yp1$AlnYrFG*&dq*OXuf#&jkub1&3N0C zq0#rJGm%OLl3D)6_1BISlmEQhH$pbuUwr#}ohy8>DwRSXHr;vWqS&=}SDChhVX_#} zA4hu1%@*LXjEVT14R%z$4sGnmX94E(WeWEDF#~x0`Lg0TmkW_wPp2l-zVDaq!TMj| z(oeI}E9koz^K)H{;hhokr>T)1%X|E(gPy4PFluDx+->iAhN0_XMcGX(dQkN(zCg)S zS*>aB-P`yrzf9bXKjWCq551{;_u59hn>;(iiTQ6q27dtYmINccy~rX~TQTFm_)To>Ilk2AaDpb$ z)No#M@J6=NzBf%#rT*?)MBhioZB>dWN-zNs@#!`C0FgvDnLWdifDk#Wy~RIQ^0ZTJ zGOMy`wE1Ncefxyv%G7PEM)$c#>M0U>C$7IgZ$4@^y#HGJ@2`NVgsJgLLerLp+Q<8Igm-n#8l>Ir8eGXLFF~h}!*V^^-ta?vx zQJO0{YYbTVwU0^=Iv@E>*#k8{q+4gQK1*OzCgF6-0bPVPg!9T*`uF^l##`M z3mXS}+JEQAX~OU~fgt3KeachM%Oqs_UK9XsV{2n5c5A2}08LGboQOJifqN04DiQ!} z!AOtdSdn+EnCBo(X~Eumj>oGbbs?rM@|n^s{2!)HtYP}`@67mNfa$~q?p}b&j|4Eu zkj}-jA}g)X$p2SUXlF=MrF=~xjsHhewUDM7jXBtL;;(N={I9PQZJ>X;+%*cjuHdUE zN?U1>wni5C{I2 ze{XVM{&x!%)$rrP=$8h^#^1AXo-5oBvp>5ZnjR4ueQ+nibzwHvzoQNr`TVVC|gtr!Xg@3XWV(u&)aj2%U>iH-?P5e zWHm76g15tp=$vnP_+-X#KHFuq+0&X`u_VpW)td0qV4LD;i_L-D%;rK3|7#a4b6iXD z6w^@HuWq+ehp2|9DX|f+(1!Eo(ifsc<^zpcCR?#deW(wwmysP@+&tNwC3{8Vd4~@y zZZaYi=BKOSapK*yX4&1@J7e~zV=U$l#tsecRpJgdyRXym?^^bA=j<6IZC{XynQtAS z)zLLZ4YhRZQ)1CS$e7Vbv*a`Xq?|VW!WIfMb~t6X?+aT#&cxvqwI+)@F{Xb-`ti4w zKsQeRAjxY%CT_lUkcORfKyP>4aUAxG_Q7ds|DB_s+v~1N*E~68LpaI@1Tt#~tXrBThuY0run(r{ezG6wbH%+ANgJD90tAI?2-G12 zCVT`vs|ZY1RiO3n!rasCum%PvP!GF}8o7Z*-AVuTd}`@!Tz%e}4ho}z$?@8d8BZ## zn(^7jRWtfcj(xi5KD)Y=^=A{{EZnpNzRsgJDNf`_=^=>5uI_3liMM<%`E4X9(bF@?rX_ zCO@qyLbRn>aHxHyg)7sCf6vO=!;y+#Jf8p4g4CY2pd;vTD!o>EGXZFwbA{*5Ing(P z7lWuUXgvDa$-(Z}XhEEx@K$gxO%2|{HK!79uu0T02Tm#eQ~<2BFI{Z*jz;;`5q02t zGh^Yf_lKT;7F_km@C*drW9edGyUi zICeXPiNf=bB`?&Ak=n!i@I9<7cTUa)-!xQDT>a}cTWCPx z_jxyugY~N%%%%dI&tu+q-Hwk_xRcVwlvXTaC)~!TPLT>0kxu6ee{0O@uKA-M(BkoE zo)%}<{4sV-i<&LX8;9EWC&Jv_Ii%b8f2K%fi|RYh7t%Fmjn@!ZKnPCr5#+3z;cxq@ zL$|gxs}8k4O@zI1<0K6R?pfd#FS2)@_oZpft_AgREQDYS4}tpSRRq$Vs|cK0nzs(M zXC}f-+&FTK(M6*^l2N9XiQD6ittlzrT+}z(`Z6RuOI@PkPM-SrTam+}MfJqozqpfxWku#p9Od?X>`YH80~V|QN;#babPG|t zCBC-EX#AL(Sb`5`?yVkHiK?Z?ip=0GBBUo(tuERNT$Q(|Z*@@;eEzXe>T7+};=w%p zGlon7+pan8kq!G?;z(_Ox|n3MM)@b((MOWaw@V+FTx%{2YKZX}$(oIAyB+jrVtpUS z(pS)+8U!_Tyx%Ior*L+9P3`jWaYEX&+n7y@1?*q9@pV&p$IJ@X-H*o|XAMEf@q}7c zz_A$k+`+W5!M#DK!Z@m9cn(J=_$xV$EJj8u83u73zI-gY_(^QPYxq zl@Krn^AW?E1X;Z4R9hjS|I)B@-s`F?3)$nB$I_`w_tP8VA zrjUK^Hoj;Izd2Lu-0sH|$60FcDt}F@`is$A<&SGPA0e2UB#c_Tk%!M=0MUkb(5t2T zHhoE7NnBOMt97fYFb8fA>}))%M4zkKKGH*r(K2Bjb->E>VJ{+3U#|O4CXQI#T$Rc_ zYE`QJYf`DqM~J2-AyJFsdH6y`ToK#Pl}GAb`pWrLQnzw>&hUPdAISJTXE%wDi_xCV zXEu4>WSiZ_mrmiWc~aeXob_AN`o}e?q*kTE-;8TmM{vxkSiaClBCwkGa7=g#yhZ6~ zg^KOSFWU3RYYP0ira-BdW_{VeCG62KH_r4R$#sF4JF!bG@9ayNN z43B27dTk_S?9t_VtvAFK`##bD%fX%VrW&%<*75X}gKG+uT2){KKe~)(<7jiAwvTv{ zsg8aNb{9GO^0-fpFwb?G_ALbAeO4KqTZ<|zs69MgG{?uOY0nxmVovnu&LX_pmPOd376t6F+t_psZAC(l`(zMXGd1t6-MU=tKfFxA z>uKrIzP5mj^`_B*@s%yb`er^Czy)fKWW+`+ZRWz~=Oj~Cc4Fr2+&Ha+s3Qw_L{}U= z@28^HUq4VsF||)R-pAbSWHJ*Bc(gFe5<(tG8;Dr{S;0#R;WhW#;jI3ybGD>+`rQ zBhH7FNYdsN8VPh^_K6NiDX!W0n1(V(sDN{t^a zMl~#?2RPWR3^fbKnSjq?-pjn6XUvsvhiOexIgL|V-`MZ)Q6TpkZ5ye7ux?w>7dJP5Ax@OWY@>9TZdCvhdT4>iW}%ws>OV!F&tUFwR9dI4c* z&E{bUT$2JM8)#>s;h)9pXMA9IfZ>XCYd>*iQy=y@qr#Ns*BnpHioz z;qmOmT8FZu6C`r1O^OrXKOpF*O~VYb6aWNPc~1!5G(M~_=HH&&{`X-I?(zX4qx zSr_yno4<_m`Ve4_d8pNmjrc*9;kRTLqc+UX$y}hF!^~yrb3Ldi--Wv9`SCs){-;Bu z8??(%+Q4JsB(E>_IK6Upl?5!+Z9*&@MK7+qlQK__3DjkUZQ-8I!=6KscDX&4NrW|k z(mhL-{`)H{8>jg6Cn_ z&&MFOieYt&_Jh1{6+>fIoDA6LWLGSo??bh*atqjzJLpLdrj|a$MKwT{FyFPc_+<6l zZL50xvSt7*zcIX@vW#9lekY{@2%*D*ZROhc;f*rq8$!o>`hSrzkU#l@z8ZKWmb~gu zo@>l2tr`{C)||dhgPmNlys;0}%j$9G*o`%RRN+`8!M^9hAw6v5Ns%1|b>=p2R|Hor zP_Mm;!L+kET~NcngP8PaN^6!aug5*=ooHdx)cRKg=>eHy80<8ky*nASY6=B@Q+hw; z^BN|jc}>v^G&RiJPd%O3LRc|vrpvhdbsjq;UMqq;B5QMSW| z88IYnR{B=%jy}A7=DddCuuN;pT@c~1Q9@voqqe$Fdt2~{vPM~Q4bz+EUF$SPdx=T; zQ(DvPd_As%cOub&5pX^N_6FK!kPUcRFwK2dfUW%yQS&=)j|pw=#&!eD#L7`UZWI*+ z0qNrW%acs(-;#SqpaRGrz3%bP=Tpz*2KS#NMAQ^y^tU7+u4kNU!8T86QP{xc6TB1g zo0>3xO74MOfTjG`8$9@pYw%}U5^S$$kkDM|KGc7#+C3b7u!pp6ie-5m04;NIhQ@A# z|H7Xi2K#TDAUmJ_9ec;OiS~9zjN^ALKo=W$bvTDe93_+^g|ptcleY~#bLt-))I zZ&U2;bR5SAF62gYs`a_)-icaf49Z)ac& z_wtn1PqvL7cR$ymuZcPpS_LIP5B2TVH_pV=rP;feh&Pw)H&CU|MQ=BNPt|jhw8F-uBW-zomPbJzTfPkYaw<@>tKw}KX8Lw%#%55RY`o!pJ3#*Z3UZ&p z?8!YPr+S(V1xt)N!m1ulq54_5h3tyPxNp|%Z%GE%K(Ah$@sy|4&zfsmg^h0$@7*Ed zD6gQ;-9bg|TfnMzRVWzxg;r!-;}KZTBj5neZFmG`2AU0pO70QDs{Wm-m}1B1al^dX zC1=n3E|$#j+`^ad7A9*VM6@KpuV<(x8l2g~F&@MwPX&G-|JY9({#!C*4vD;p4-Z>| zFK9|?i^Ce2ew+`pnh)aj=q2>-cnubto(s81+x$oOYN@+on z8(F}5&-Absi-x74%+lq0nF5*UUJ6$xY2O3#|8@>&yTv{P{iSnEYEQCBhZ(bX}dv9Yn^Cb12>jBG|3uX@+5K(a^}jw(C&9v07Lw4@1ILS+qeJc)Q4Y}`2aH=DTF6}kNXSrQADw60($yCT^n z6juT;S=Yv>V^ox5l{j+*fFW=yi^M^3bvQDCY;J<5<7=h{XPG+o4U48*3@&q5CIa*9 z7+ODiv9bNjcy^5c5}p||b)PxUJ+GSkW`Uz-6JK`oC#je?c^(_OKGz`FDz_$BJojX< zaqe{RXXBFhzXH*@yWHs<H6S(S^*+o{tk}HHWW8qWw({E;R0YI1&B&(A!DT zCuu!7!DhLoov&KhrLounMm@M8%n?BS#1nm6xu1|vXZL+Ezd|SKoQqwQe}zuMF`YoRFN-wOSb4_ zrr5cP({37xk1O8Yj`db;+0cJE&h@b6_5vXx*Lcg_2iB!@-9LWyo>*Gup5w*#Qj$uA z?PA^{*$CfuBX6l}#gFaE-a^?5o7(NYC9;)XvfacX%sVE zuat6kLayG!A3iQ$bY-#Y8(HX`aH7$z&Q@t|(O5FprK(AIvmAUf3w~Q1Mv1>N}~23S;eCqYV#P z%n7P>jvcR_5!jrO(01~jeE9ms2lJ9cYPpIRQhU9yAxg5Uawp@y~~Gu6|JhSK)ifn;&7DzZxO@J81g?eMX?Qu z+-`<;7Fr-g!6G?)LjU@)7RmEh{kHF;Z|v0%5NhiBshx`!no@{NPMk2i9@rvfy#wpD zy+sI~8Rw*9wGN>u4|KavEmicU@LofQ-QFoyJYnzlD3HXD?2BWq8>BX zl^Hq;Q?qinpP*YcZch|6M^q$db%v3HpQ!umI>L%FE!sjS+@qS9aNG5PDK!&nLmr6| zx3cE9OVGESGRCZX)R}wd1ylU_dQ{5G38kTZZ1|Tf(smf#VaABF?sjJd8BSMf-2ZT$ zUk1MYW3YbX&WD1-nIFkppNRTfd`ed9+!|GVNG3u~t?g~_hDO(L!G_GY zuxt!e7m&GHO?HZw1W}qYJ|T=gR3@Bz^|yet)(sKl#;3_WJ2ulAd!@@|x3uN!8lv}1 z33_IYl2vZNkR}Vst-4z1eN(WN9ir#}#5#+s$=_oPy~SGe;3-9}>uH%r@BoFbwzF0C z=wk)zBCqC=_j&U!M!GxGq1`@ON!emfRDOqyrT3_iK}PxUr;SP; z>tDAWh}J4Ln1j9V5;~rSmK{LITsd52S8S#!8q>Mq_~RL&>5N_NiFD;d+L|zlF8Sj( z&~m1Vo>%lIPS+nm3f;^IYfq@MKnEgWj4RP10l$Ch z1P>U00^?6$ycvu)gYjlC-VDYs!T2Q@zXao#U>pm^v0xnAwNE;>XP-1D zE-e;&n&qaQUMzV&(^$Kx7=AwMw03T>^g^bJc15xHLe^nzmjO``5LaFUBDhYZ*P#KJ zYp0;s0aM|wPF*j5Q&Ig+Ij{YuB6mBjy^gfN`mZiJ9i|Hy89I9SRWrp7$ZFMQ9ZVG5 znCa=HPgk+uYYHpuqTb153La1hOg=MV;&m)h>de*1?R)8F_w-wZUUV(qxyBUupnyt# z-{nb`q}$vx#0dQ9sv~>Q)lO++U||`kw$H)a?01E)lj_!Qabox&nrF%nI%8!VGz+uY23&G~}! z2#R`SB=}g~wf#?(a-$OhW|w)3Y~U?1YI-K+=njpj2lpf*$ucnH4?j$7F>ISvHzfn&v z*?ou>u4g)<2Bxx7MOMJa4Dc}yd>pK2iZ+&0Y*81N2*^kksROHq!Sqisodc#%f@u>l ztsyS4w2P1&2d0{D)F0mt{zyt0{K1eb_%k6e+zp1R;u2|KNCCrCrhGO6d&N6gMA?iZ zdZKfW<-v!7lbvTQ10D*Q!twah7(|@2Zmtw4Hzcepp#d6(KM$JB-7GbGZCR8<9IC_ zRA6lfXxLTWQCI@ZfGPLpTAtUV;}8BuSo0qruGJ18Rotz5mHtn8FoX9 zt?VPpMu0~hJaXVs1&<vt9ioppU> zanx|*4{iC*n?^MI(&$Y$AQ=FCk)xPhYz^vTuwupBs z>>7D}FH-Vp)~@Z+?_g7i+#2Z{XvrzX+!W-5_;o*|_(DeQb`0IN zSC)8LaN@9+*KZKAF?Z@`V3}7B+uTZ?Y0T04leX zmE;Fdxy`HuKY+@uVFmx7Q0?>JP_{rAaMW^T*pDpweP2U^%c8--|Mq3Nk;AQ2$~M$o zP76MBCNA?d+22Z|%<$x8M%TcBJ&0#*BEg#*y#@r7GMet6Fr2;{e7ji2TtT8OG+3w6 z-&AmKXYkMgQ-Qag`-l8Zh0Hn=?%YLdJ(ttE{At2vXlJ7Em&{xz2fFwv3r7)+&agYx zhorY=5Ze_dB%+BZ$8MLil3cr5SG{wLV3(P>t|F7q|n;EBwr>ED#2 zola2??1dxbcWn>5VP|*ReBp|@E~?QbGw~baPHh(N?)4Az*O{3y~-0+pp6PGM^jjo%{ zRBJ~@%NJWjh)Q&t9j|OuFaR!6+3H^VH9_0mxyFZQKUWsbt zA0e^@?0H}ZKZ_mqSm|C3_BpU0fc+QP+reH0b|~0TdcyY#cD->;<;iic*VO5fe=I3t z-UP-`b;#f|M&yPyVpELE&0XW39@LGJ$Cga-j0tRpivEI>jGS&l78}!A@_SH!7?-rn z=|N60mbB#dpf4ELwUkyP)*6#r3ae3Hj0;;Ts*$du&>+@1sZ2CA$Zxge2T@=Ud$m+6 ziVF((hBTSHvSz%-`kNB7(q7{an~+(_9+(9tpR5cI+*1=Ji|>Iws+y@6gv8Ua-BnNl)+}1-PzA zf+SkVALP+ci$bBTl3wK@#-E{~*65)lNW1MGp9OI6LbRhmT?$lhWCyWGlKzCiF|I<=D znDhbrS@E2gIYb@_?Z96)63vNYY+Xe^#>**EjyHvxea1pY!^_cR^*w{H#bGd|-`#OGubo3ck6Rzz36B>GBy6o*M-zSrAvyqjo5eNdlM6GN?c>2qnK&(d@IXidyt^q4WPwxEaj zoko1+sd1-tinsKbWnR?m2GkLCrhzo(+7`S=UTj$zRoZ}jrie6@jnUfzui)OB;wq)% zj4;|GsnD{%w`c;fN8xSA8KV{jG~(VWEA)R`3a!#{hlZd=yf=K+x@O6=D#wWpAqA3K zB6rL$^V~6quEpA2Q7m(6aDBiU4>`xTuBw)s4-DfB=ldLFZz1#u0*w%uaDhND1Xe;| zF9c3P;1L9_Lg1S%V5=eY9KV+hp*7X=$_0RY0MuAH8?X#82e1K<1egcN1we(Bv#RB5 z*KUT|9@qfKH5Il~VS5R-mtgy^G1l&r4L<=)0BF?_xFc{!;Euqz1K$pOJMitmGmNoq z+u`uqw%gI`+|%&};jy|IWxFy0Zwg&o=Ip{w5lm^x-G#p(z_ygO6W%FQtdm`scgo** zjoGgA6(Jf)7lEK!qG{=JB&gjqiY|MVszlS4I{o{@y4307!<)K@X{ry=xoOG|Ny%yI z4=ZO}SA3vmTn7z(U0JvNYJciEiS77HB?M2T@bnDIdL;m2Ow)xvZc2|MlYV+wYdfu;v@`)9lr*Cev`BIQ0q1byN z$XAL$-m9p)`y~e|sR)2deu2=40OZ-Wopjjw)wVMOcEW8tX|VIXZ6_Iamf3bPVCOH} z4j*z;1^RLULo!?!aCWybkz8U28s=70u z%Uid9`s57$2Af|2K3M@WALK;8+d~f@g1n&!cUzXtS!@_>J5!mSUJ?}>5bna<$!Kb}w+k?0Fw}V{*-Q@7@T5&rnU>CriV0w~ zF{n=IU@rta8th`Qt6MV~Z&F?eo|Uy`%)d#6od(#6aDtr~wjF2K5!rTZnH<}WEwi3| zaG;^0le*7aQOACt)VkfZ(eF0r$7W&t!f|ElHPUqYi?;tRVtYoHMSy(ECT|A$Dh*^< zm>E4$(m8L-BSjwe--6ChpVzR@UcJgZ_Rl{_{Y3M%`u`d-$4caf9-qZsHU2_u+*U}N zU_`RVr@Gb?J2v-nTIZIJFNZhj_rvKfOXu}Q z1h9&{spx8j5*hoG5ph5ylKjfy==Zd_R4z>?^@a!FhP|lh*9t%URN}%2!ZP>jP_et0({fDyH%oDhAU0QLDKz{_93e>F?w;kwXpn{Q3$VqU%qc^y1}S_c3w1T(l3^Mk5oSoyxz5_F*LyU^tz7!nOoNV&s4wuKjx+X zV=@l?&-~Qz?9PpWus;@M{MvLQqR~crUjL6Q+-M^Sh?Xfa(UWf3&$k60WA^1n`@X-! z|DVHMS&k_ip#VwvRiJURNac6+<`v&1NhxN(NI88U)KQ-O>&23-YYu9~S z<0ma2hB_PPKkQvJ-;45d|J+*x2{U(0IRXXS|0j0L`aj~89czE=XkB~V|NR!v8`NX6 z82I$>hQUuI8b1BmHUWNN?)rg11!0>_NCqJY1YZywY=Qs;76=ZP+u(y~g`e|E+ou$m zgMHNi@-`rISU_d~VZtxN#Ud!+51&m09X_sokpBfDG4K)mo(G>vG{k-d;j9w~IUt0B z@V!m2B?>^83c_C?tg9jYljapGPLtD9LQWC%?cn;=2fVXO8zkju7eh2TWm3fm4p6bhHa;eXr@k$bj? z4@71|WVtO;+L>6e5y)F;d0ipo5kSxobAY3bDFVR>ge03l1;KVWb|CnIu+5gR{SaM1 zm}e7giE! zskBvj6-ZCh0iWIwh`od|OD!Nk>%9>mdNIAWQ|}wJi|} z!W$6&g?5Lj(86+PVFR@N>DsSOTqiiHN)VFZ^K?|-xfaicGw*^Q!#ohStMeAd`{e9< z(K%kY?^y2|XO=p3!I2(zjP#W94(5794mD_N>TFFr2rFztI0(%k^n>uuCPade)ESV4 zY~9bp3YVbh&d0iQiaNW~D6QQgLSc6|jS4~;2=*ZOgRsk%C;`D0gatOimM8}S3j&PQ z$5vjQmp{ekP6P50 zYHtsQ%A`=)X^6Im=j1>20LKBG$#5VmPJr{?=0rlQ4+s%R863bq_w~c< z{QL+w&J`CR5&&dB9OvQyC}R^CAe7hye-O@s5DUV6n-B=XWe^-ehzH??AJj~MnhSwk zNQ0Vx2j>I@YW^N#uWXIOkvzpgB+eF@36WnRQe%r8hsXnn)WI3AxCA5uoHtI#x^L&h z8OK4HKjFMa;EbO}09gY^|H21|7m#T-Cln56FO>TmDjBi0g9L{R?W|3O3YOallvxXB zoaPOr+2*9f5j=)=I-s3*oly8F6i%@HAZ;JuEr`5;YM)L4@{i52RcresBW;CkhyM!{ zE{DT^*A0d5L8LzdA`TE)Zi~!<$ODLcwY3Z%68OApB$#;7AsI`)9@ z2MEt>f~}4Y5WaxG0HN4cM=J;>5cb#vTOIpA_-s2F+xep5WW3>IzQB+1`Zb6p+RpbF zL~cPO41QzZ*?vzVfi&1YolwYE!1;bjhWvTRUxDAPceY;?Z-`9Te*FSlL;R+z^L)O* zW%B2f?EKV5>aiK`E~V0UOc!*wOa$j_ei8|hP#X!)**yO+IQe~C`S0wrVRsdGP8Egb z{31G-8m|5bLM#ZwK_Kh|;n%)DW#NGD0m}e?0XzW*0doL<0yc^+Vb|L*?-KTJ*!~S$ zJZ$l>-3r^SuuXw&3T&^z_8M#hVH-H_((Fg6;g;3JQ;IynKu8Y7=Rm4((`z=Jvce_= zgRmEb5fI+lgisI;fiS_|a4RgS^X)#m(~IbTW$X>lDpEUdO%T-|_HCrJCccjhxxMI{ zN$~Z?RbA?#FMDmF9kx&!gl5@7uC`Dpgr3?${SYd&g>r?!v^M7aLjLGC!B_M7Q>oq) z1r%W2>8`_GYzL|5z9Chja#0VyuN98z8rptNJ9GA(=Bp#7NJ45@C7s~NC z!|>&~bDYo6zvE5ixSe6nn=W%naN?7^npilp&`JJ~VuXM2 zS!7j=yM3TMlBAfk~i^<@p@4yWmoKvT0hc#K+KGC}H~T$L;`oz$EhcSs0q#Q$UT zHm@BEtmjW)%W0wdS(fG2Q$_aWl;is2qL}*GJ;;;lvu1}r$Nu2E^7BTV_1v)CSM@=& zXCJXYbhR95@s5gm=SFf~Z!p^}j}pAbC;9$t=uKS_Rpx>6W#2WV)?%7eP5AG89~;_W zBBr1n@mLgJ7#J>!m)mm=8jNi>D|6BpMNK&p-RAt8Yx=eqq$8#}+;8*Z<*s1g0J{L} zsbEuobG-rfGqB%-jn(=W1(isJ+L)rS`x1X`cu~lG=>qMv`p6T>*2c1JX1UJIu(dWu z)@)gV@xJ!EU83?hrNOPxcRcJwa&H2J)7ewa|8T2{Gx*s(zESvk9Gv~n3>4qyWy z2`~?k3s?!5Rkpu!DWDW^iEF=Fk-Nk?Az<{*nvcCCjI}loIDS%iRGu8L|D-IdygK0E zs7Nqt28fQz26OKKdAq`5?g~O~SMD(Dg0LBi+vd)oT;B}|&#x_Fse|yRRPR^^x)7(- zxqQcL$`jgdUPP_iKzN8eK}#42?UprY-3B7N<)myh%_)Y@&nD8G&+_MH<7t#t{OsEJ zpn#hQlW~3vDny$4G|#m*HYoHa@~I#xt9&ww4pRhqwO(C8H}Sg#^Thjg zFpa~Mg;>~G`DLVTLIUo-+0Lu7{T?6m##F?%?Y*sT$ufLQ@=7`EjaOibd)l`8WdA~ zz~FCTh>z*$WdSwlz3Q79rOtCQeGV_W7GD){QO3}^R|Q{`7g#a|OGdEw6_@y>L+CTq z&yr8tz){v4tfw$?aOMd9gwtnvC=B?9jInej378X<%Z9U-x#ENMn6k*P5_jWw=HxLK z-OL2X8b+q1)VR}JFh1nS7t<)}64 zy?pW*L6_1`Sf{$Dzie4GIIAD|hPqt#x2e>O9E+{b`hX`Z*YK8)MbsCO5X}myrr8DK zMVA}qiR|jjNZ3rpT=PthM}mK{*b1Ygj6BtB1ua%N4HX*&J%W|3c|`Q}tlQ4dh$J1@jyZndJ1|!0SVh zoQa0YK06XcZOH1wkf^f^;yzN7(_3k)WkXb210q>XHn@yYTtX9ZkBxzq{o}NBr$bVu zXiA%3B7UcdS*aR#PN!E$7h9G_&1pa{R4g~7k9oHFU%{U?kt?^4Q*O|wOV?T!MO93o z;3Y|h8Ds86evNpw$-7cHP7R}Plr9iq>oX@D9x_7>coBU(s|V+;j4{vaV>GbuN;6IC ztow!$d(_*^EBovld}8I1CWdwQFyRX0fj&+&b-ds;;*s*8d3_&og0))iZJKTl?88rl zeUeoe6RpRG$&FOJ{;h>Ip5%ersQS?yS&Qj$N-;dLxD*9%m*-nBMd2B;7|X8x{Zuxw zDDaf*BintLbxOXCjUV<~A^Vlh9A>YOf6pcl2fUO0#r7Wdc_%-}M!$B(>T9x{CVUCf zY1*jaP?cnZHfT6fB}Hqw+@Lf`lGcwKmL~PpPUePOm&~h;L~p-#nZ%`ho@Aas^2?p{ z%pcdgwg~eu#X|p<+3mPf>g%knU8ohRZr0u|+zRzeR&y8fooYYpP#5-{`aUb^4iYPv zla_I-HKm$`L$EJ0`^N@YN3Dbm+se2>GCg;+}HYd`Ibm8 zYGb%_&cHP5IZa0uOtXG6rw<_~J9!$4wN!3t8S*Bj5U#5sgQ;Z>H|h7_x_Z{!Q0rM0 zb^@-e?r>dA7Pso`%ff2lx>~9~Y$*`$(>aty#LELLs|WMyV3O5Sb77QFqNvdv9(62H zPUSDHWmfsV!7US#2Qx;{_thO5xsF*!yUYvB)-m30g0&Ddp&=jELX^<(_Y}_Nl5FR) zz%=PA;bO5o2wg*4V3@7-&=v2(pH~pfckQN%OE-Crdd$VwH20$CuwUyvDXTS0L1N6s zUrZU6PW64QpF>$~eq%Rv(Dw(&5$at0y5wQ$df(T)lTf%~lc#R_4~_{=bManHy=by< zSo#ps|FxTHO@&Gz?X|5J-3;7->Ua8e9JgwxdHQ4yquTkgej&%JnnKkVa46N(o%+=r*Vj(D`V0>JwexTK z8jk0L??TBmQNVEMLg@xk>~Q2W30f4v4SFU`5+!oO7!qHR4>yD%od-+#BKAnAqF`?D zp5N1cQFwongjqJU@Mt)SMZ2*hRT2KV>0j zWlY##S6}5}`%vv6-*9wOTGq@S)KTT{no~vSZpB$mcM-l@`B8Im7_mgLO><-zvqbr; z=G-vqrQ&By_*Z6Hlr1%8a z?k07s{);85Dr~!iXv`M>hl`7FzGg64FgigyX0IxD$?0}wn0ZAjeKO?_UT&-BWa<>& z%2w(brwhDUt?p->*YcLOGS5)H@Jd^~&rn@8mxB=T3Yq4+AWS?==@POrWx*=haREns zHpuY=#b19`>!-_@jJl^@X>hP4zy4lHW-$vA^j5Y;Dk__<8FBcaT3cJwbm4xxBT(d?hteywT6Q zp0$IV;PNxxOZ5bO+4qb7N3dF<5=bYwfvkRlZcce84TOqzf^{r4(OL(k0>F0v8UGb3 zdk)QB1-SuapB?0WsH_|+i-b~zQ0h38It%tXuzkUH{Tcrd?B!s81M47IHGbYMPCw&u zP#M3qp;8Rg`DgqVpmTxN1Eu_oPX?L|6k2zo{*3=A`Q7hxr@+xYhJ)Ueni$2|K~9Hy zcLLo7GzKWRzX5Fm8oh&j1KiU<+kwUb1@}ErS!!Z$)DChOxN4v~fadK&{UTUw?Fd3Y zWG*n^wI1VHyI|6lV4hbSGA_u&98#s3qifxYB0}W1gmmlXF2WVs@A^Vmb69c*@kp`X zT$)X62wWn6EA+I=yNHdnqw+&WSM#E5@&x;(yu!5495;lRh`5Q`s5osnWbv@>t+FdH z&PQ#$HXju_Z;~|M($HHn8MoAkt^8i+)I()TKUze+{4!LmYL+3O)^#{60$(bi_U2E< zJ`hCr&Y6s#Dj@dep21uag!Y!6!TqafQjS}9eYgkEjc&zcDMx%r8ziZ?_Xz@YpH%Or{Gb??Bs8W|}HtEQ_ zXrJXd7GzdQg57n+R$lRt`z|V3{>}nxB0eCGs#5sEF_$hsFZ@wuAaB8#Ul+Rrm2dh@ zv%emf$GjzT(?)g$cc9M-re~EVkY6g8yg6fR?wo$iO;{SR&O*BB8K;^R8f zNI(SsppYuQR7JR`SZH<}@~ESS^Wuh_>zLo`4{Bp{v(6ybsLyG(R^i`J{^Dm2AxA>v zW#w9`F0%~zhFK`X8}nfaaZG(`8G4<1so_UUyj7v|`k+)Iy|w|=fMzAK118KmngA-m zb^!Pt;D3clv|A=4;vbkudp=JjVZxRP+r6;e3)@227Q*%(Z12G~4z_WyJrCRSu>A&; zZ&Lx601}vlt4t?F1qI+-Jg8_AG_eJ${}H191zQ33e6T~n_N1bl!2SvB$6$|vy$Ng) z*uep~HYlAA_7Sju1N#Noq_Kdu;D@Nw#s`(>M#;|(!(NdQ9!cfvoY0r#RZ$_^qpa=h%}zsg!NX}nyDPGhL8ZsEu)~fEFE=7 z{j-_K!8QaRk)1S7ww`Tsyh4fApBDv;XWT$NQvYGbbMO;E&t!*;304?lXrvJJCq+Kv zv%^qV)h08!k96nrqU()_ZyyR1;Tn5YPv}EUzzWMyQ(A9Y59+vSNpErwa;d4LH=_sr z%CxSRUyX1#CHKy(MjbFM?Db~^^u_?f0n-4!fM`G{U@9ZPni-Z}X~S|r1z=-Xx>*ub z7r%Z-5XljixAg`Qwkt$%>*}~&=?u57_8E#CxOLsI?(2f-3lYVF;+Fhf=syH&Tjt~; zrWlX0j&|9tP^PiYa~+;gcJs@#u}`S^{KjmD`HGjYA_7TJ?S~Z+Sb{o0qo^XNR0}n2 zRgNk(L$j&MK222scdia;>R3&ajB&@J3%qstYp&Kuo^M;6dv{1f(AE7;lS%-1>BXt6rsC(VrBb9)Gq&6`F#)ry9RV;i>5uwtuOfV@~gL zpX~Inr6Y8qw??K$ocdHQNU8_f->`g9C`a zgvdcY4dW^F8JtPO6)M=~v}{_Lze;*sSS#KZggim_H>}dqbmhBnae@M=yYF%R?ACnq zvw;xttod|Z%qhYMqZk{nMw(Z1oa!iYy{9N@G-QjU29_8_Y>`eiiN$+ekZ)kEC7DAQ z@lBR@h)BA^ChTP+SA58Y{Dw)@qeblctaKDv`LpJH8_J83Yw#7hjrtyur-FEXJ9<4zG0n8nzy!UE}YI4~j{m}wxynlqnXKjjpm z-?wNsJaLB zqwn#TV~1UfvB}8=aE;Bctea1Fp%!C*0$K~SVLrXhsTdmobSBUhK%v8?$F41E~yYCS&vs?8mZ4^k4$UfNu9*;+{w6&N}`@n>oZby`{8Mx%zn~4bs=v{ zJ)P!sQYwQ*!KwX7f;x^*8Dj*6Jj9vcX`Zrvbedufe^xCR0vE)WQYz)A@0fx!17u5NY{;-D#2e8~lSQ88q8B zH!B^pMm?u{CJM*< z16(D(qm-HZ>>{l77-l_NMoe%uU^`T1RA!1;x`?gQpQe;)mV*@`BYseuQMW*5f~57jem4WQ9PE=|$ANtS?C-%|jbV;@8?X>YO? z!Hv*=Eaa&43HvSTt9rXW6fFIhXN&w@!V9DvQH)FIT}iDd+$Hj^)Lt~LEhttpQ{>wg z7Ap-FMYn~VlPng6wndzix{9W@xqgSZp~^-qycUF5DWXX(a0vQ-7i?u?6RMY$j4X2b z4iN@_p+&V$VU(@IAcUE3+Z=o%F4s(Rz6RF!WXFDRc`A-+*Rco;r35OJtr}#&GZw`5dj|aQI8vM&pahW-j_Q{i8lH z+xsp2T&CZ-jW$`Lg~GOQLwY&U-SAEJ?QT#V6|R+5^|g-<#la3nRCzuM(o^ zJ2M+bZi^BeBPf}YS*D%lNicF-mf)~ov51#D7FHGTRrbs>WpKs^bhzogW_LaE3?ot& z3-d(<{iOTKLf(2Rp<5jmSy6zqvR8mx00o%OXheEqAU^z zPuj7>-?@-#oRi>5yC9vAgg&qOuQ`^3s$=-VlXkRGb}~F^=Q5bzg#FXV9h}pIU!$@& zM{p=3;Q>-F(Ij0;I&zvRPkgcsw@&%OoXA0sL@tD{$zfJan}e70O5Sn~Ga>AmG{x93 zm=cC)R&6$O`s@-S81g`)v$eB`(Bb@x{x{1sYg4^gV8*lBVbCepO>FC%ROp)_r=+(8t9#3yAP%Y9&BRtBJe4CqDe!`)a)?(bU-akM z)5kOBOWwk>!15rwM%re5rZ#H4n1;Nn(3%UgNfQAo`6GB1cx#aTH`-x+g?4h0&vnUF zcn&9L7y7thZEr~)VyW?1<@a57ca>?Cm$?qrPP+}s+1P65e8a+Qhic02hJtK#H8sYt zI-B^~>8v3m8~@sQm7%6Kemtce`AM;^@?;nJlQP=SIE3(US|pWQs>P}8Xh-!Hv!V*K zUA5A{srBMU+{6dMB+8_kwQilCZG8yQHm_!g_Jr~) zuYAbk2{oM8I0S=E1sX|}13?w5X{jO-)T`l}Fj=K?)U=Jlm{SJa!=j*f%5p3r&^sNj zE0*$#Yr~;;qMw>RLhnRE@5Inev!QpoLhnTGHhmAh(;<(3kRMY!wJYMH#LeQEm0O2B zD~QV~t;4T^`{fflBJ@t&B88WxLx;b^oMzZ(DIes0fQ9{kL+@-9Z?8u{@02B5e8eY4 z9d5!6^Lvx8rf(D-;nXZ6n&v_8sRzAKKQ%6hT2iB6xe74I&P4?p5T>BG@qwOY> z&nd}LVS)JI0PY0y4}$|6ugiXdiBp!D7q+^Ou-{2ah2`P{1NeCLgn4x<@*@1zb{umb z4Y@0?5&4crtd>rFd>FOHGzOc()X1lFK|Oi#3G;H(ey#VZ^%? z=cPzRlauYFTiKgR6;oiC7@z`d2PgsC0BS(<6#PT*AALr6~Ul4ZBoz6Ld$W=4p}%2=j|%+(d1Jd6u@dMA&`6+6 ztO1pc34;-O?eHAE1+W8fTLcH1wc z9yOr(Pi(aUKsza$ZHehE?sq%~3j+$q0)_(~q8^wYRBjz5uU4(mM~p=bhvOt0jlA9z z5^BAvvNw~2{M(cz=8@2NxNS;pLTrVvV}d4Bim6JR-h{knDiY6Vg7K*FpI&_WJu{Hc z;J|p)PF^g>bv`qf=g6Tyq5Z~-;CMb^MDx5j)F<@QyhM)s6Xr_ZmNp_mu~wsLBNLQZ zO>dE%N+HyA6_Hd*e~qq)kfvCm>8vO3a(*knZ9!)xH`rZQHS?y7ae^Wfk#yrxbKaP1 zSI{1Lnq{SUKRgA?sDSC%(5(Chhi>I^-YR$s*5?XL$9~rAfu~>@(`E6Nz^uXvQU>#? zBpODfJH{REFt5s^P2L*mIJMpX8)}i7ZuS_9s|qTRC5!0X!XDgnn4xRvV@zhef`{s+ z>9VR3OyxFsqTRlX9V?$@Vu<$*6C#)oc+@_aj-ASj?xRj-Ug8n^+|SVdl@y4`RWKwS zEAp-i{Ul#)K~zOL${a0Dqd|`H42zv^h6lD#HP0MU>rob-Az5miDgK`O6ou;&%w{A6U6*bZtQ{=PLy*;QSF2@@`i4kT zOpDE&Y}bT{Zb_gZ-`d%Q>u~%3m(0A`)}^bXIdhRJt&xG z`|tn$KlR0jsB53Gr$ck326l`K`?lmi>~NQW+tUBCr@8n{lC-jYUHm6WhuG0BzNaL8 z>`<4$Q_?Z^)Hc=%iIN@I=C?xnGdr#g{#YGjN45pLlfGb2Z}TBY_Gwvd{sieC+Nd^P zmE?jps4Y+>{i5X-vC<@)wSGl@Y4C{Le3b-zPs!b^J36bp9vWxsfq`zw? z75R2c&T2!70=uOjweiEOC6aBHir$%bFb9O;y}~=VQlV$>>^s;8!fCxpckok%=-yeA zF_(nCy(yD%>xI~mq9m|ury3d!P`A254`kz(NoT%pigJo^Loo^ZN2=>tB_ zgb>dnVa}Ua;uI2Yjmb%zNy2_MMOk?yJlSMtO>M$7n}V!@CS0b;#hTuPy=CHBXTVIj z31dx5$DB0zS(DRo%i#&AjCAZ<(_||@9q$dp6EkmM4w=HN!W*~>lZSQo4eTRRf;H&| ze!2-|ofU?;YGPYc!f+c+JK!JT8~hiIQY>I;iX2f&I;*$HUZ%)rbrm_tlrbz_5pjlM z6|1v|JVWWgIx}qdgJL$TXPESZGMv>vOkgXPv9!aEY^5jb)Udr)F^APX?4VUnV_h63 ziWD1IM~2BFC7N}PYiCg;u}*MF7Nsxi3YXxln8*4L*U?!?W%Y6GcPMgM$G8qVl+mmU zTw;!5CF?MkoTDVN&g$%LD`v62*O6{3Ls^$~gh`5}tnYM=la%hP(>nW8ic;2box>^R zRMsUOafM<%d}Sc7P~zbp-0qzsg>|x?^iCPbx>`>lC>Cin^)RQ*)b!Tds}zNru6hTR zGESqbC&KNw?T<{Fk_=N+Fyx)7=@}6(k<#3hQ2?@nYgXcKO> zz-2IR6ZTgDcd%>|K3sqq%n@L|7x)d92yn{;w7~)a_AkNY!EyoKQ$QNbHewD6!Ul_t zxH$rk!F(h3PeH=q93y_305zEFhq)|Z50?7jHVB*t3;nSF2qq0y_~Fq4LR3~KMlJ}6 zD(b`~3EZOcIJ4pWt!@UQzi^u)hfsqvkxpM+=ZqxirjaflpK^4YyK2i7KRF-wQZV6*N3iVBedy z3!@eU_ZIEK%@Vl6Bnk(0lBT%H z@NYk-!%LcW|L%2e!$sjm{>4j|lH8ClTHe6_Y6P8^FxA!B94CH>8>RKl_=%5Kp6`Eq z&8768ZHwMwZnG|aQZTa(HAAG=lwS?yL#Wr(aF`UL z55w{swAO(ebYDg`O*Q)tIW~mdmuCv_)?=e~iPVGo=h|ql-$hBJpwN1F6nDk>Ps2Se zemwaD=8>x0Jax#u0hY=9sEr)we?U)HeKQAg5FQk?;g-m0Tu8!QRr#3XI5ZFXkNUS1 znx}&;#``V}ZJe4B1svu=vO4EZN5X6>cLR(lGz7drH#z-<17p zoFaa3NNL_)cvF6RiPUa_!*%_J5eAF1G zKcGXl$>Lf+CjqZC5?hM;F*A*!EqQ`tF8*ngD0DyO5RX9d?4)scArxvSqmSoCaqpzp z^5QAXPUaX7NAd3Wah5b$Vp?YUVfMirZq%vp#ox#}kcv8`?qqFE#jQ|1VeL&tu2An{ zHK$_VsqU~2rJ~=d&uAp6c!KJKrey#DgJ?WTD}_ei$K$lR(wN0O`&Rlc+8?~&R?l6G zDLmI!>Mr^P-jr7NUCgySY%4R5_J!x)>Yc~H^61%4dGyV^m~59krjX~5P3sRGhgz<_u2FWO_N%%z`?_%Z z)h{)hyO1TSIDTk0^$g=I&pq4y41JY;sy3o3@TK&VHgS~YCfRNYADlTEb5Q6zD4dL& zV|t)DP=&e(3&gfo;nu01Y4%nj*Qxhtnyav1Rd+Rqs?cB6=PD)jcoOX|J!{B|#PHNR z4N*w+gZii;R}yoM-foEAMEg@8H00UDn5K6bqBhYl>$yYjP0S5?%n&o3_K)6g$UB{Z z*3&pn>2$e%GRGyInWQIiXg6p->cco5HyFNp503K<`gi&Sj@u39JUxm7kFq}2vpHU2 z465FlLkXkr)KB8LhB0&X1P;B2_M1M0KBPZ$IGhGTaBx&n}?BCXj2V^ zBIbC>YuqEnG;?Vmvmx-4^sNbR?u9i_PI!GYtbq!?GgIt$XQp*!*G}`^tU$xb|76G> z%{YijNjiwRx;5T@`{sE2Z+5GkJ;m=`8i3!j8ruJB=f=R%mIoXa}x;&@6yVI6m|Kc&oOU2<_) zq2RENx)4`vK5#tkUveD&-KGQRwLktUZTRmq-Z$||4No^ED{90eh*slBbBx2Qz!rin z0(%T>0oaqkrq?*`Vm#)#a=doYsk|v1$}Z+k9+u;pN6Y2;bLe@D-*|M6XC6J87sH|E zF;DXxIPU$y&m{Xr5ncYzr1wRMUA_#-MUhWeAVd09#HnKKk(`HDT4aU6RPu_!q8`L@ z^=^%_2)kePyJlZKb24)&ylBd+D)_Ff02UgT^q`j+*9{g_Bi_OqlY_&U`|4jc@?liG z>U+)3VO+fWFO7N_c~Nyxb6^;IQT?Z8>o9tq>au36>1`PUm#7~KU%-@h})Rh}7VG*KQ&`z-6D;5`G6 zVcno?oRUtPE}dzyYYS_{8N7jI(>oCy23%(#r3~$<@y14GU}W)s!`cf?9Gl^|N917~-`P*^n`2 z_u9GHP&0;kP02KrkC9$eZ^57xb~v(JhPKeTLE5}|DXK2BFU6rDObeG$lJ%IvK9Sm| zf6k8O`dQ#IT4p`0z+Z75Gu&e%$CLYUSLpVJHI`M@%c&Sgwb6`k#Y_b4kR1|C5)1tB z)73OHv(ZD&_BK)+cx7V@MWCB(TuVu1 zxykPtAF%#Yg(_#x=Cg-LevDuF&O<0adN_a55W$c6J)bay>!dB?hm1LY?=K5^#{DxCg}rmim;+(7R*Qq zH@2jZ5Nl1mmP``ri>We;M?zvvSy`zh^k$PdOVET6no_gUn@}cGRo09qq`#>sE3FBA z*i@gDoQ_yv5@cnhqn?`PXYtdKbW>i|%yjf_Q(czu1|r{-o;CXh>UUFhR?-dRa@7UR zkv9AiU4`w#N(*0(bcj34MCBnSRQJ8lj zktl~?-o?o!;0oeL(_VPx5}}D!A`-p#Dd|e~RBq%7 z$yGsynEwRDgz<}+Pmp_IJW}`sT_|i6&!!>n3HdNNgsD;dVD`?&jKI*^RapG$uaf^O zuosu^!mJSliwj}wLf|T{*o7qvrdVNRSF-?XEy}}W3jD2kdAM5wy0t718!3pf=H%f| z3bws$z4z!nSrM{CR;6VqB9_Qqw2q44m$D+Qmm>V7oU27DLLZ{W1W8U6lTr49dDi{) z?tZk{`d8ZERKNXFqjpLvyF}8d^-m2bkv`GJr25>K?9zs(`aeV-6HaYOK7(H@#J6PB zVO|IWTljTwxr|_aHwu@_8rJDixLkU%j*r6SvYd5k6fT#EtfQmE70O1|`BCya1(J2r z#qOPw&${YDA}D+`8W#dVIakx`;;2$kG+i$CDrL4t=i-p2;AlEsh-u1N%^4T+b%njA zr_JuVa;B!g4VF3wYqV{I5anXcsW!)Mg{!8!&AwY%thv|*FMyi@>)@GpXzzL99Pc{} zBG0qW=?-1Zo7U%YhdGOf?xXel?~!g1O{wxLko+X_uP^O^)l^Fc^ZJSVl`)zN_2e^7 zOZl_L607|0O7DuMRr$tB&WU{MQ>sya85a(gS0g=P#a4DT`k-<3VDW3j9Am~{{%h2q z#u|93B66Csd@%Pl`mzzPInPCWRme3bx%OX`ziF=Oa5%;KN{x<;Q{pRo>+QBEEHT3! zT8v9Tn(V(?nu|}Gyj4qb@xLw`(t5b~UYGZ2Q7(ZYvN5f58!JSv)DqhKx@A9W-P+jQ z@?%#43xdu>+ z=vyd7o?9sOaU|c~0Ftk@+$FhknM<j;M|Lhk(S^-q;7Z{f?j10<$EQrt^%A*HJ&k>fFyo>zJ#fbl$Py^-cg601e;)a0a*m7;b*` zUaO<(DF9d4r@PJnYarkhU)CieDFS|yjfy-JK0nFZL@0%SfNWUgtndwx>)7RM_J6vX=V6PU+`A6dUZOv^3uj|ss58>ci7Za z-%0W_Y+@=r`0#=4J^&9s#0eZ*VAx}yAfg3^J&FZhEimlyhaj;9hCQYTkS#FmaY5kI z0>d6_1(X&T_V^;;w7{?jR$!k6!ycOj!CBl1{;PLZi)U8e8MH~TKC7$_;cv`=7dWC1 z8&_nN^k5bkmt+;Rqn;WUXO*|Zh;?yRb~}2vacx%d1h!j2)O73Y;K3oyMIC90BGf#) zmVSqJj~8C+d55u49&f>Qg?>YRG|tYdm>{|-O3mS8-c><0@??u+RoENZdDFB(!3ch~ z2|bvefce$rJ2)c&7jB{srX^s%HxUPu`%%k;ch>cIQ#{X5IsEk$_d2Iq{yzjgBv!9*L(d-XhFE~{{p=E{Mzb~rQdoTcneedmu`nYKT zfli;I)`SLHS~`BTI7mo^WZ*AF)xe-aKJc@mcHovmG++ng-%`yn5>-GpOzR$-i`Yl_oES8@|)kLH+?*2KU?GzA05 z06zd75CotC0su@v!VxuA86)Q&(TJ7f<#BV=5wIJLnWLGlOqK_mskXl!PQxipzp{_W zk!I6Q_HjA3!k$!Q^@hTu$ouLjrMLW-1BzIA^jS@@a)La5xq5{?zaw)9_15r2abf`I z!v|m%F6^kN!zJr)TQgN23n`WAA7{|i@qiP6MSwp5L4bXL>3}DIiJ|e=%v5?-Cs>g^7-QQfje?4%8kky)aZt}|_jwPd>WROo)o z67#5(nB(ea8P%GZW`B1^5&nCzPc`R7fV=P>CQ2M%9TOgs68}m)+RRIdeWh7uPD@Gf zS6{J}IkZ(CJY%L~dliAVX%JH)qE-Vv`9XS{_O*GOyQ~W_R+Q_4n8ae^eMe0CC)CEQQqMI<)$&$pnC7vy(I3?% z<|U?H)pzGKf};Kq5fv?{;gf76ogk0TvQaVw3;8q~`Kq9tA84b73F=hHaMEt;0_S)M z_Y)Ll?qxT)nc<9c0?KfRBxbR0meW_ld!wZ}gC)^#bVW|2BtBT1&2pZ*M*bAaSuh5`sv{yKQ*epFdTngFPz^&^NcV z_hN4t9=G)LqGR+uE#_W)jA5kZG#7CkDg};nF~<$xwOrt$=0gI0vJ6xn?ZS8&s60jp zgJhuccvv`5hP%g{Ekw(Fdm?V=HkwgY;W654X3#b(f~YaQuc!#3#$)=*iy&(3(>JBZ zv(&Js<=Zx>@_W>Bq7D5NDi|loh+WLTg!q0$4Q+`g-yAv^=da-GSiy<&&tp7E-* zX^tWnumDg7SPjSm%mtJHRsaeBO8}Kn$smO4fl2U&khT*83D@yyU*HAs1$Y8{0Nw!N zb-eF}8f4{qz(&BAzi+BRK7;dTaQ+O=pTYU2j0@_JbO0O=z%eXzy5%+?0dNYi1khg( z`CEWo!gOUxnANE;36t+&NBm$SCj5@dhg%(m%SVIjLvSkxmo)eZh;8-mCf&i7g9{H_ zc7sbSxD@mK%c(OhU-20u@z*o~%2an&9%8Irx8wM-RJM(G1zBSH$?c4NmWv==8g@bbK~&N)wFdpx^hU975P9FUU!fbsCL5nBb_}AE zO_vp>LHt?cC&gYC;;c!d*u=stH(C|DS*YcvgNkh|+~3Au6bD$yG*Xy#E#E5;>I8&* zTpjnCcAG85)yX2JK@HqdCC8ojzv=|)n^2cYh1T6le|JtEbO`Ws1n{YKPz3kZw$OE{ z30O$y9e6$w$_1dj2URVK!%Q-X9n4PFNX#zvv-Co2Rz@NA%BCY;TQ?l>`s6X09!Q)_ zZ-(QD-=3J1FQ5a008~H#fC&iM6XT9eDy|L%@Bz_)aexTG7(hH=Vp6edMb&XfCg4C{ zk@ZKwm4nB$Wq{A{;B)Zc6>wYu#}9D)07oJmiE!Ko$8B&dfMWq1Z^Q96924M}0LN45 zg^Pk#20S_9Wj4MQCaG8=<|H-CnMEob!6+f)de-e{10jTNry{^N!wH+-It)d>4W?IW zy|m7&f_XqAaxSX}4q7&p^&NA2g;SrYUpUL^IjIxavul?Nj=R>Y@BQM5ocA3Sb!TG~ zrq%m4Qj)M1?FU@7jVZWM#^>xEDacW#9c)vI&uJrO(Kv!(5&P7lnNhuOc1~wQ?rJ26V}TB>dM^+qkch4TQ|~Zz(aNx zW?N=UtNxwuUs4hJu$Umtw4r8;1Eu-1KpsA_@4LB46WSa*%VE;>jxnHM(j^?%D`C>b z9ycs)xzL80ub=OjJRI2;JzrC5_HB!Qr5SHVwn2T)DrHhz!Znanj;qbxjP|jM`XqVC zE%rFq*(UEj`iPcea^F2rba;M%vht*TYTu~#ioTp7+zESHUnKlBxxK=bFW=QNePBz= z8h}pnZ0lUfEW|!rK+7_DXiF~On_j{NLtM+nUawX9g)K*WeODO*Th8@*eq8?-?jw{u z9Oy-V+_cjJr>|4A^b&C!#(3dOT7{mA*|_m1?~MkIqMPfLx&AAkOrulL$VFzFw!@!+h4jqeoO+Ypf^qatS@PjS4BaKsR!xX|V`XZ=L{9OEm+t~TVH zO;0>t>h~xX5A0FwZ}WM%X^j^{e?c*0pi9xvMr3RXBJ9$ivrOqH#Zk9dmiDvSNlSD) z&7SgzJ=&klezhe@_yrG!Xts_oEp-K+$?H zg#1);zu!X0Pxkk@&{8Vs1bqMlPX^=k!vg&{4gcSBijm|vDEhQjA3>wEw zzDFGgrz^0NTwk-i(wJ8*q5QzQ&`fm#C;{wbVK4jsPQ2_1@Bw%OfcZUxj~pSrM7d>o zs7Wx%Nt#%WxqSKv=ZL1#UgO%^gxqTU+VLTAsfEuE7zur;em;Yxp(=yjg*Wk0c2spv z9OkHme@If8_}241P=tuv}4wbw?y?K7ZGSJ9o7Ww*rnUGO*YWP{>v}gdp1TU5T4lxVV56 zc=^KtNrbhoI6x{OJrI$Qu?gPYO^cX*wqUWKXqc~$OhfkDkFqzq2{zgRo!m*%$6rRM zY`fVf-Cj0orOxdPs29|ES5Tj6);m|bE~F4Bh7f^rI84G>i^{f>>+?nk+f9`Mui;op z^jh>Wo2*@t5m32q;=Y{w(NRYGwN&Gy!vU)$Z0mHLTW}ov_Tcp z2zII78;BH8g_O%a*G+h*k7b|g_IhVnz`oM$>!rUU6spiP%4hX=&PXoj6IN`Gm*$Ny z{TA^@IzmSw?fZILXe+}0EP8C(JY6+u)IPhem&7E7ovBDQ7NLey?gZL)NUWrSu!*8n z(>?ld>Ye#EljI+ALRe6CkFhA4e0X9%&#d+1_%}tGb-xlT zi0St9KBzx?VpsNM!~xfNN?&dq`jUNVUsgK=r*rxW+EM@6=O+q!ym7`ciuzh2fw90! z8ct)y+}F%dPGs?t)nsKDD>_*tQ;ufEpH=gfv8>p$8kKSaD`C0XQyJC7T`qnn7{cwa z@0TppUs4<&U}iIikxjh6v(hDjh|zYdWU7H^9qNm=Gy@(3dH^E;E#NzV8Q=tL2iyUi z2D}3p0XG200j~fT4;HG&06wH2MJ&iTig>#zA9;TR0FKG)0UH5uo($(_;ruL|pM~?Y zaK0SQm&5sTIA0Fuf5Z9TaQ-)(r+Mr{4H5UD0^t})*oUfP!M2wRTVB;5&9MU>d=wsf z8yAhPVau8XzUtp;w8d=w`pg=f}y!V^>gK0wA{utJr(gPb&097U~h zj_Iom$E*Q9{5c79mYCJDSK@m?PixsB@f@!&YS}08d8B_2b!&)H{aC^1267VlYr)J0 zzn+NGx{n-uTkKNpBM!3-$l3lJVq1)t`i7a^#`V&?GbeIEMB|Q`%MH!ZD$O)5Cr6iV zCUDs|K%*uQ6l*li=25*s!fQ9j^u`_6Y&MUVB+S=zLEr@T@Qr4kg!f9*YEF}c`)k&l z`4VU@Ff5{yvXZc!qS2)3T__neN{YGg_9bk*<7+`-pg=UiC9Oey5YMeHsX?9-msIE0 zpvQ_=xXumwoHV34jDy}h!&--Ska!EKFAIV-y?Y_+5s-6ovr=!>|Q*gP50w9~EZ@eCHU06c+|O zj~J&bP7nA*8YecqXZ{=ZdxEd%PG#Wc)+=rjff}L-bv~DTG~NC(F1}M)N6ub195EPu z8Q!@E;QuTOe7Ayc==M*}tiGuum{L<1j9&rYz%4L75yn|n+)oG}+c4ax5Nt=`Ubo;G z&Cm{MoK)Prt@TPbEqk469JuC$|7S0jPANy*?SFy$UU2`Md8hKR5pG3%K|UwssiMG5 z2yR!wo(1-8LfkN>m0AFItpL{^aMj;|ahXs__UYl7br?>vKFX<1;qdekP7NfD^^p{H zNq<}fv=|}mH-tM360cyxEQdzo`^I<=lmpO<4PA~S68vIFx?Jc*oYdchJSU2$Z+G5y(8&L=JOC4dd z;6NJPvQ|bOqVBW=%lw9DKLN2Hy%2g%{b-IH;LZouWU@T=m2Qj~DUW{&jXN?r%9BvJ z;+Y+iB(%3^SzpHOLE{$|V~JGZZNGek03edU|6lkF*e z@;sD}eO8|!4}zgZ(yTo6x3(H7G}8IW76^$*>`q&%RCp8rs|_VBje`W{6e)DI5ZjkZ zfdYWF=hPRpBUjp1vv0g7bUAn=ju# z6MRRB%ORh#sg?zAKoWchr@?pdPq>!pSTjuNiK>8ayy&fMDwS_pN(LFCPK%Np5Jyv4 zQX3FA$)_~aIH66U75KX%PNFy*M-?wktY}5d6z3$CwxYts^ApQkkr_Z9gvv!6guxE< zVm|6`w(RZ&_EI1$aXrUFQQ)CopGQy}76eKe46HC$=8?qQAS{$&dg6`ROlWt&&e5zg zC$)v$P?wtHY6~0DKZ#dLD~fPYb)!#uabNHBcNCnuhl&y}lHOVJ4KaV^;n0+Le~o!< zSz-0H*m%pdehTgV&yTdrD(1mYlrQ_#v-{YQUw6KNpDg6kkBin=#HZflciRy4KP?GB z@iq~kT6Vgu0kv0`1fWlD+-4ZR?2hN#vk=nEWTuOgkYhz-T?Ot!-Cp4Ajjb#9SiGU& z7vKQ=8CN}_E*bk~{q4<`CoV>6H}k0$|KVzx%OR}w4o)R3vFfsZiLZ5~^k9km*PnA( z@48frOE@ug&EESrZChqFxm3JbzqDJ}Q{R1metOtZBpNHCdkT|=$Lo0%c)9ud=iWe>F#j6xnZZZr#S)KsMET4Fvqc%YK<_*g)i0J zfH{uyPJ5ga(iZtn_sWcD3-!{D6|1C$srYY2+RHaLcI*@G13c-NEtsf6-D9rP;+)~o zZ3W)!d41gW1%2{n?2=7l-#z+2TaLNClc-F=G8MUtv|Es?^6R3M2o|X5UE~LXGF4Dd z*eBc{HlMzV5%hTd-j+jdW)0<>VD50pAZM}eq|`J3R-Z_G>>m{aB=_9xQH!TIh^m#Z_oRIXRQ^?{i{Uk!B#QkB4Od5Y{7E5lSGe zC$qwwKv?IoqMSfjPirDE#x=13G(g}SMiT|#4`96W$s_)Qo3p+SJv!sEW?JYo%TWCi zMSkzt#IY{|nwal=Zi1NsW^XWy!R!QccJJ7Zq!$5lxH=BZQ`gVKP2C7EY_rTC=GT_g zq3-JotgD^NI;IAq-kMHX#t)B?CtOAb*v=^qxqTN>p6PycCJu1cBFgOB6novC3z=CK z-{H{%Ai8rAYE93%eTK+cz}=ZJ5Vci9w1p_Hr1<)-mtyf5ZCWB=8$|oJ!Lb021#r9# z$J=ns2raTQ0lNVufCqrFfOCKqfDeFQNXH$U0N(?S0wf;Au4RB+zyd&-M{#x1`WW}b z^)V@obovN69l|LGz4GDl>o!WUQSg{TxXtfyX&$&HgBvrQ-V83EybeA(usdRXOgAf? zUhZ|!i?>ONErEa9H{ky*_^*Q7uYha9;Pz4A<_EVANT)vr*H7LDy%vM}3UI#&?w7!Q zEx5DS$JD~zQo$t{%wIn6Q81qY^GYytzzlckfhSM8Ge{^V70*KTi{_A~&qDG=Q%Qxh z(4C^?q_P(Xl_;N7@&d>Mi%FF)ke;Fn*PdQH1z1L3$q?ZbtWYWQ2q$k4PLW~4sbb+$ z8KISA7v{)Nt&|Aie3@4(`LM85hHItH7Os-{&LaJ44KSm6xiQ*2GX>Ur>Nr^TLG|lG zGp-k^UjxmIUZ|fhH}iUdw~%T^_Qv|dI+5I)@Dzs?QKix(^ajx~sk{p#7R5pu5@{Ee zNz1#?5s;0XQiC}xijtPrAZLq8q*H6~zlw;`iuWkGXo*zz9=j7HTBZ%*i)~10aUkX= z+Z9MdV(05WTlPAW+oIoSOPy(LL1!N#DsFU~5j+800{qwURG{m4YAKs>Nwd*Bs*1)4 zse(o7Iq{-C(LMC!tLdm8zXRCITEz0=mf3)vRfN(_5x7U|0mdVaeQ<+|fYtq|8V?h( zh5(?P5dPLd5$*<*4Eqi;c0~*Z1475E_s`g(tpGeVj~=8lpcNY+>VoFejK8!R3IuRIst?YXuA2e)#XN}>ic>cz*{lehreB%J3frjeRB~C+TV`09(!|bG8DQriW~MG;I75)wJlMcN%1S z8MoT**HO@n4bd-y_m=3ZzhV)pkab)hL_Z^-^%EhDTw*!ujB)3cqYs!~JL=s5b)kzP zYBI?I%t;H!?u;JH_x9N8bdg_gDM@C{Ci^r~`mOWG=w>qCI*shzOzpI; zB;!XSoLX;Aa5vYf%jF=u<0{Z4qV($G9^aD&k>i2{1kJK7J`J>yuV2j zk{^&@7n|-mwo1@%j9rfX68sy}dxxeM5o{c5IaEzUZo4YOqL7Ezx{ zk|gRf;&=OQw$AO<6M7lvXCKSn;bzQYJk!KESJxK@!X!%sQtara-SF-=d|r9r;)X++ z*Bky^*NtClTq8DTUI$v*dAq|bJM@B_A*kS=UV4xFkBwVj*?5XFCI=})(txa$xn+t( zgxw(idwsu|Mjj{Vca1h25=S%E;%H1hL_VmEwBM#=nWp44L#ryWG_xEv+aC41SsWde zhW%B1dP={!h;&^jhHM4}M44oVrgU+OZF?aODjQeYINu!J#+$>_GY)TT!ak*)5l-gg z?@^Ws7x9Vr$bSl_cOve2Z#$b<+W7I8eu2(ad4_)E}sL>JjxSO(dsFkJXA_2D?}-%8RT8>ic<^cW1^sJ*3R4RSW> zPAV~jk(t^zPYH;Th6iYAJ_kkTLVFtf_B7z_MAjPI|>a!7YPJiVE3tq zpbLG0H4%a?y!#q51YM$&)iMaW#3zFS!)S=Ms8tYjNjM7%3=<&Q0tyUKP0$20S~<2U z=5O^pWdg)nG=5HWV&M?}j18Gs7KmA9+oU+@_P9w6(f#A3b_nXc7{=QcbK*UV6R;g{ z2XGqj4qyb_02~Lr0$iNYrWphHVB4lR;r8rF*a}31xj@uKC4-!3=5WA3_*U(Y&J`Wv zKy(?XitbJEJ!_&WHo2)ov?wc2U4X)3=u_DSfh7L&q02_@L$-O>@C zG|E`Z_s$TPq1!W!{=qWWndUA}MQpNVxHi;cF4MMIOPrqW(p2m-{ag?)46KW)fI9N7 z);Iz=XoRg)hlulBJM`GC`T@sWc@Tf|lN)!S zx*7Rij#Vkq>MK{Xk&iv1u&x3)*$dWBK2ca8?9yPd-U@_WaHWFlNv`J`!xYD5u1~Oj zl;a@RJJ_()(a9z52XV|}Z65m#IgayfgbIC(<8Yf-g<*bnSV8vzg}Tj*(?>qV^ou8x zGVY=H;zgv~d&o}lbW+wmv`V~&RFH%iwwJLrRUQ@8ErRrZRuAtf&LE=J%agF#qS5t5 zN%-p`@A~pC_}Pf9pVEapCJLx8?Sh|;3G5S9_&bKb*_Q?pqx4%HKK-7vX#0eb{n%NI z=|V=o&n!B~#`L3SF((Rr`@LV#E(v-4_!o>d!r*@53;KV;G(O@56D>qSV`rL97|O@c z7#Tt`pFpEu74rEg8Z%7j35}g;hlJ74*qJd?=*RbMq(2vq<0BiHR3Vn{Sw!0*jNoI7 z7==PQ9}3&=LX$~!5p%TAhwt4JJx8}k=?e^c?IUGy8weI%P$Gdrul=YbSFstOdQAZa zJ$8$2RG&N!zr;ib20ci=6t{dW_t|4wA@uIVbwR`Dsml1O_^0?qw()&a+wpJpKER;& zcx0Lk40^(NV>~eEy~djs0fXN6f-wjf^qvfaZ;lm)1vKCcnBPSM*Ko+FZQZpRe6uK5Y+AaeIz3&{;Oc3cs-=u#J z40_Z}*nnW2;c{-iM(j+I$K6s#IOF6ow=}bzsdC;YRICl^*UB;9*r0x`9QW23tJu;F zJ<1 z#n9+zlVH~}k6HN*xRKC1+UK00l+Zi6Nn%{}lpbWhVKc;wFt;Fx1og(Z4F3~E`b2qX z#WtYJY2&OB4c_I9^HzETz8tz5jsdYe=0DbFW<)pa?AD1wtD$@6XCue4i|h4?YPT$s z1wID(%jq)8W9nhc8kv78X|^Q;N{uPMT4u_EQ^|Bop^TggF{WiQzaCPtC09o8q5QO6 zynp8HN$lfoNV8!ql(kT8q;G*WA7G<=1+00djr^CO9LV!jA3@zP16cFg2cocgX%g;~ z=^U`;G2x8Kz?vt7(|-ikJSvr3SiA69*PTqHIEu6UIMIn^XT3+S1oC_V0r8Jr0IYcw z)~*27JaPk&=S2jFFI59;9$^RaeEMcoguMz_^T@+?xioV#dbYh5So4Tq?IK{!qv$}M z&&~sxi6W^u4_$1pkxsse_zB4K88=Z&j1Pe|kDhNh-*UDI|4RQ4u;vl33>$zokMY;r z9r2x*Bw8-8<_SrRAAmKF1=hUw2$1JN!tEuH=hNGf@%Gg%JApiJ`XCGd@;v=Du;$Ug znuocPI>=6jxzZb0^DtNbPXqLmK%S3W4s#`QFmyR+o)HHl{?F&~2s6I<_lr=EwqV51EcU84~7Ge^x;I{#VH+vQ;3n;v2dQof1)2yd} z!aI2uK1=NDy4dTv6=XSx4FQ47l@`wi=1BBA%?{3_ln5{NT{Al+%u92|oR|`2RR3w_ zx^ro0rbtySYeei8WmivYM3soT?Gs>tBq@p~?MkYz~^6+*$L7ILO6Jd{&=G?>`wg*ZxZ(?WL zQ>FPg@xR(pQehk@z;LA5ak!m!iWH=Ii|wPN&`$j)yO%Vh9kT@HyCZU+`Gyt3MV+{N z=l9&?&-ksDg z+C@QZXFwNYwIHCA*+u71sSo2sRz)c_e{+%t*-rIV4sS5rsky~T8{|;bCn4Q5IUMsu z3<<+<v-MXV7+!mt(fpBNH`tw^-EG*Qxu)`?doie@1)>;a0VUXP8Y zJjME6LZ&fJ(bx;G@lvKs`Uhha#ox<2lJYqyC_HaFp zn9>y+xr8}Jjskwj=a`g=tz6%iMv4Mj)4nuKQE0eO$}&pPz=cwlr3wQVN?E)VU$=Se zGUX^Xv=OEnV-!2vyr!DwJEqHm+R00o{X<^nK}1v6Yd1ZH0_ZBr{6u3hmxa5ON6u1c?OX z1~U=NSzvw+=4^OAJlh>rbu}0?6$k-tClVR%E zM(t@RhLF-A_~j|BXvEM((Nb9tJ`E&gav&!WcS9Wm$}+``kn`IFEM0sW<5!`N40(@6 z7sd~h+aMh~-aHBfq>*2Vi=_oc=)c5Y^?}x+ztP`OD5EA(z7@=r1tgKb5)?wi^vGA* zm*(KA&{w+KB6Q!BB+LO*ft6_{S4H^i?wdW`QeUMWM@$1~a$z8}N;b~3>#3gZ7Userjjd-qW=OF4b>?0=i`@Cm9 zu_F4>1lmL^yWg9@xMU^u#mJNZixNGWY2#m$7MclQeB!Y_D zXd5Q6Fb^1WA=bEIY7>aLjZ=D5pE|?Y_h4`})I*6LQ>r z!>@``N6S)ft;V+zxV8>Jn#Y zZG5n1oqeV&GZneSe)q*^yE$PIDLWP2U|&WO_aJ2UT+-woRKI-zDPzQ2X&lSmRqL%Z zeLk$H(M#A{^#rGp%Z4qk(^SRQ=%EaT#ct4hQcQBTLGQEOxI!?Bj1On3gkEH#NbhDJ zmLR4W*0aBrVD9K`>=P2y9YZVok_0zO|AKu;f*fV=sGrt~nI?*} zG28+E9_arQ1;sK(5j8~n3RK+XjUbkYl@=CZzO`kwoNWUJ?hOZ3hP_8G2I4&EH~l0; z5A&MuMzO;?7xGvc6cOr+yY|8JFld5nT6qGBnQ+;j1sIF)JXP7&}LH?d!q!eG`?r= z>P09`n<4q_X(N9vEN{TtsMCaX4L;$dhr;v*bU0<4P}$%ePChT3(tr=At`sg+p;}2% z!u2WGDaO0(ohj%krZem6&M>lGe=^M7G8+Y3Hn!UFh zc^V2~Hg#i{8h>W*?nW;)U1V?T#=kTE%RW#`8eZqqzkmM!t-Q{xv7>4qfh^qnoyDI| zuKxYYs;Kk@ab~nqjarS>7Tz<(zi`Gd3XR_YPi($?Erq1a#9r} zXcyU$effcyKkT7>!a!V*eG)q>5V_ALtJb=i&D^b;9X8d@trOnNYVe*VUR-VLMd#C3 z3g5e=Zt2x4`K56C-MjAC|#H#A8T;wg#{$6RO4f1ky!Dd1V zR0T7E%95^1?UpoxbbeS!iNYA_-nQ=s1=SfUzL zJ7tzIMCCOYn-9MfbNecrp%Rwoh*piSEp0~bC(qT+wNG=5>!+tCT+lE<$X2ZKt<7%s zu3-G8DG{xJpA>}7_KyO%(F8IRrgx6(csGkc-K~CLJFMvLCiL)l_%IvY;iHORMW2MA z!Z%i~%BMEB+&k0s+VZn=Rbu92#5H4pHAO{bB`n5KZSe|oH$9JX4hj+eP@L{Y_r$)z z6xyacCaT8PRz60jkv?dTIn!JRyF>D*M5qZ6CT65!<`_3wvz+9_+*H^pd~XRHPHBo= zrCn)eHYMywc#D>FNK-u-Kq#Bp&#dEo1YNdC?jiyr*H-8lJ)9(u*^fM6nrme`$GHyI z2FFno)W15#9qB!w>J9bq!=O}w(~bd7Mh*d#hC_k2PpgZqz&G_I_Ea=?v+)|)S9e_mM0jPm7HDT7$ z->RS4W&!t)ITCsVGHeq&a(jrMXd&t+ux`n%^Y~joPjRZr_iuxr;!2a}Cq{?{tz@Kt zWORnoHzn>Rhz_S#i*Dkx>?qeMxyM$6c;|Os|AwUD&fB8c=j6bUEf7|8VqQ=WXhxLL zTy`2BYiG99yD2or4+3&0@T+14{7wpu`HjG{)4!3nKoC7l=f+>g&9;qgX?HUksR>1q zR}Dsj9i?0A(QV(fK z5Av0vq2+QdHHici)?r3fc&6@}6K258Fayf_@;0M?wAc2f=ON;O;hvL+IsyB2sH9DX z*wNHHrx>7N1;a#2PwC_9G8Zg#`kXK%+VFv1N)}k z#2$qV1JhvOR~RVyGVnDF6vMzz&I#39!p4Cl&#*e&VYIoTX;)DKx z^^%iWeZWnB43!E*NVMvlL|6TQXE5WUCCpjiY8&tgrmoX`Zx4ogb?hZ*qPBj3xXN_J zy2FVW4D;1qc9I4`3gQz;_6h<);s20h-f%)~W+3{u@%i!kUqn1tPp?k}X{E))NvO?+ z<~bm*N|zxBG%VEQcn}yHpe6@H072|;%q$kD?miHCCYCp1PQkW%J=fJz&Ss`AOD87e$ zYq$XW9AX{&mF}1s>6Rv8?ux<^rzhb?i>Qf(N!Sp>9L26GhBZR@5Ffr|DT=kkuq$H-5N6`(`ItCl|Y@inZr z%upq`vmWEO>i>l#Ho{$!3a{#>obO_LV^_>}UnT z{s0=KK>MAU$mM+0n$02H$d5Xw62T4K4^iE_EseF1W4WyzZ|SUst>a8VA)k>%eJ)tW5AGsS1-X237iEWF!LV{WL(;qpm$tp+771;iesOxpxgZ=#OsA3BQo_!?N?%~J*s za$f50LaoC_xyLP<$uiA3v#+=gb-`eFEF6wb$%;e%2HDA#%IHB}8h)aU>8Kly=oZAG znZ{oooK94E+*EC@EvEXI+=oY9VENSUUmkrKy5}tU|MuH?8l^9HtZWGAVZT!| z#TBmYz4!{oKh{_?g2naH3e6-|oR==t?7@mLYD>iY#5@}Qlz{>TH=Y&D!xj&je-CJ4 zH~cTI;vw6xBVHD~nL)SwZ04}SVlS1$C6Z<$+(T#5kpRZmanw&W89!UK^ zQW&8om-?0UUo%LX-NP4((XNA49!CtBiVIbQIr>P&kt(k=%8!<~e$Zfxw*>Zs2HOcs zYCmYO`E>}okly054oMd}1UeB?`;l>sAnQ>MK90N(^0ktZiJ@!Lbii4=T0sN(ZK(^^pF^Y)?E!nSgQ*(hE-pu} zr9RL;vw!6vswNIZFGgInZE+N+r~~nfp-o4bC0G?Z5W5)tFRUr9bfZV&-(c{trfBH~ z#hDN4tIi77wr*k|`Gf9|6X`ltOA4eCi+xrc{5PaNHx;$ilqC!vX7$Dfv&T6`noGccYkv*ZAjnt7 zv4V+w%01>1Elx?R;yy)fu~qfSdq6#(-*SuvvM8#S^DJU1Xs{e^@+bujmTznEvuv~a zfXV*I7~QfDBvY7gb-qq?pDYhM&Y95%GD%VT-yI@8x;cD~c85KS4K;{`wuRNln?Szz zyd#NEtjRv`bbdSH_q*P=BnK+0=X`vxJ#*(Mvu5&vioW;dShB&g7%YE&u@rzM z3@rbEh4g$FKwfy?4AE;GSp@13JwkDJqq9lPEiL!CQPK<|!UbKLUB?}gYmk^?c-b3Ybo zE`D*@2QK@;<--@31aSEeT!Nv&s!oZlmBitQ5bz39de#=z;ew&V>T}pk7uF$H84|2i zrQcxuE?tz}t1qh^>MCM@mVx`v?hm;p?0(7u>s@6~ZDu?Ew$aBiu9KXakPIu~IiTYo z+!KCQz1u$3WgNiaAkWM03?hJ(bEq>av1kZ!%J>W@9QfMFLzt^}p6h~}x|wv*8sJPw zoH7KORF@Qu1Nf(uf2~!+V{6L-5i@PVL?BW=x6Meb2t-qDs-Mok74Z_YU*O*AQx&Is zy`R$mvCtYqAZ(%jAQnQr0&~Rp9mFdzc~r5rMnusI{nZ>Q5B1mVH1nb0PW_WPS{}AXb4s+#^=%dMGCAIQ&P;dbcj2H-+5fJ)`@@pi zAH0wfWSP@Xu1i>IVf1@0q(`Y2IYlmA3NS#UbRlBKNO(SSg6)`NZol6MCm(&q$a9QU zjdxFPMt&mA)$Vh~b>!Ay*BKWp&ZPv5MBGBIww+g;N+AwWpIF!S!^?XM64Y3Dc}am3 zrsk?s2`?`uki1)a$T`Y&syi@{T2e#|p{{6zov7Cf#@qX|{?>mGR1Bx}Mjg?PI5VVz zJXi+jNhLQ?8zB7x(Lb@hPMQ~ovD?3ISuZE#7z>2a!+zZOH2iGnP}45=y&w4EmdYuM3m~*HGvdPctP&R)mZ)9Cyr;#g9CCckzb0ecj>66!AUOQRAbQ zGi~U6>Q_RhjM&V3r5i0mS0AbJxJB6_DCuX_P$yd+{(isx2Nz|u<^1ny*xwA>1RrYd zYJ-)@DU+U99VkAtylL@VSPAd5+Lg%e@FzbzrgVCg?^;|_ecp{JZ+Nq+diI6a)?%e+ z_xLRcSOz1z)ryzhv2cUC+0!x0(!4fVD4zj<%ecw44R;h*jZ?et4+j-=E=iT<`D z!BLDFsqJ6C)!4YA!oV`VxMGIM;|Tt`+*eQYKjM z&U>esYdhe0n1r(~b0)az_81)4PG(ONF1$8-2%m6t9`shnTP#WAt!$MCA%7W-K>n;g zIIm4x0;G(%$#U186x_z6sACfynb5QymsVTQh<oBI^vAztHiQ6!&Ua5L_r?dKEEz5Na(X`d+L+)&WG+E&|9l85-$ChDlngUI&^3zF{_ zm{&%wmgFHf-Mx$%+3|OTL+?fePTos%EqTelf3?-xOyLM>7xG zD7+lg?QU*Z+HdA|x7M`OnakVC{Gpqhuxpu2*zPc!<=4d#okr6QE#m%CSy}sY^H%w7@$^oOX_YdJ`IkMC=db^DQNySf6W2SR`K!gxSzCRCOT0y)3rb|<8X&ejG>=NjDuOljaYw4mrv?JkL>am{ zVZPQ|6kmO?&4)+%%3?S3x`8LX+xXEjsvl9#^Vi0T!m1Bf5l&JjTj009J2MIW02;=R z??;snV*+nhPPQW=?f$eqfk9+K7V zZ!+|A(+Y0ds%QtI<|vblx2dD~{`TJtU$eF-Ga|rcVcRSi_Sp$nb{^ag-pNIyz++<$ z++;v{9WD%r`TwBvCsXFytUsSfKT*){(0mt_@Mj~bKx`3n+P92@Aa1tS9%*h z=iOECk(=&+`TyzK510L)u0e71jM4n4FNSf^V7Qt6@hJfPfHs2MeGe0w#z`6)7Sm#s*ffMnz4CqbVw&sHlh$3#h1| zsHmukli0?BWgJEsjj>@FCpgZ?D5LM^eBbxJf86_9_qXo5)^)ANv-juu>}Q`O?BtxY zpF+HGbFbh%o;noovGp$EJ?`Fs_xQ(|#OD+_E&h(K)p_W0f_j~xrV!Mb9|`Ifg1RFX z)SE8|sxLvECI*%JBSGa7)GH=}x{jbm5Y!5f9YEu%Z-P5Gc_v^xZ-UI&3=_|(*TL=^ zHw`xWW7|^EUM|`N{7+pZIIk7+z`x`87-RSNohIz0&n0X&bpQ7Q&hUuAQ)&o9N0SMo zIr}j|dC)zK?O>O}PLHx3Hs=c-!T$e)J}rFC;2&pN&-{H__XcgtD!iz=Q&m%k(og;k zgTjY#c-6xeVTOdEe*Yhve=fwZh%jQ<|NqUp_rz@fgkZM1r~kXjRS`|BA-wO=Uid%S zgLo~}A^+#i|79nHuv0aZFu#v@XQD?Ez<=BPpCc9xBSwrRM*JT(i{HP%hk2>{IzHId zZCmgmyPg?=4=ilyNIikSVFA(Y#sspCEc`XtFH=q(YHLgbh5Ty(CU=YhZ$;Snw*Ca_`a;6M9HO~wp8DYMAHMxs7yCRZWYCsbIM4s9+0#5@+Q$upPR&he zDBrhj-WvCzt8(_y0r9C#We$h?6V*kKO-@ zY~zZ$n3-+%13^wRrZp2I#GBtF*}QO2os9VKpBM8RVZ37y@nV({#-Gn8&ZFl)ulzh= zygZ6H>Hl-`m~oL;e&dcOOk`|^wRM~4Za5!NI~{ZEHJjwHD}F|s^CXlnJuI?n_B~zs zRy3&DbG5lw>RiStU6uNDzr_P)OnhPA&}P5YQ=jd(YPVk*KLPG}4qxNvv<;rFd#c_TO?sr1sdq;sAN-GMSw90s*Wx!tTaHw$ z(OMX}(V4ExEO&X{=qBajwF}FdMs;UbCfh1oO&vH&c&smS}CTYnh2%O9kEV>XS?TjpLWwVaw+N z-SF^}i{$aWIi&gN$H^UD0B!>~58y)@06zdv0DL6?FvK1Jv;fuva0f%|4d5<-tNbyh zjuNvK$}8&aC6osH)Px0htz!dr%HkSny+QevtKqVQf+}PlwZUPY=6+*{IH?`GBY$Hn zlN=j1vXaBM6=yD{gee>~KjGPgGTOqLTufb{Jc*r5TZ9Q?C-YA{e~saKt&p@CE5g$XNLN%=TC;Lyoqs5O zi_XX3Rvu6n97_E|`IDAaP7|jWSUln6BI5OD;o%M>3_C`_=(G-?eISNXJ8*s64L*vtsOp&cR-&*B9vm z!5Y(UE=ry@X+~l%G^_yuyeEbG#0cQMg8*Kd>4KO!ml-BKYMjvuzn|6iRPE9T^8tT4 zvXt4kjVn?dY_{(%(w>$#|>5v2)*!&bl(1PuC{wu`OaGxs6bH?rIB zisWD12~OF76r|#^j1)xq@XL@#;k$g9hKV~t_8*mN^sKU!&SkUnTzjaM1x9N1loZ-> z-N5brF%c)N-lW~6|AXf?@i%ESxXt?idp~|^8;ON*tjsoZ7UG+XM#qfAV7iaKNnM*q z!OdR0a~rFcy+^jtXjRtP?@-J6DW2Bmijw2;K5Y(@oQ}k^+gv8G=fn@kvz^e3^x@e1 zJlOB_0A)BI!ME1yAzW;!&3=*6FQTC(9v2xldZ(`BxwMV?$S(c>X{Yjc^^SffZtakZ zhf#vy=A)?JcB;N6-x6{25H6_ z1ItcyxJ=g%H?Yb>qO%L^MykeYOB?-qdCH|ezsj4m&PM05gJP$8p9V&uUZvh8cCN>k z^dg=@Vbw&RcS%OYGq*?VdJ5qWpSZ=i6LcMZG(lw*`YeKP{%FWmmy0L~w!;WZ{l*UTm-RD!H_IsMmPg%t1sZFx!7?H{;o8aPa zcsOi~W*+eb=l*`uvi;9UA5#7#^=wTdb;SJkm!VhN6XIF6%ixDQ@Y!F}vq;|E{_OfO0hYNc1 znMyzS)Q;wl3^#C9Tmt&;PAVfIZPX_+QGCgl#3(aqLu$!(CQ|?@sA~#u5Dm?WAJ) zHn@LT20I?rF03I3@dvd|Y^NRJ$F$}zCe7iG?P@xB$2GpV=6|_sAoayDb?2QUw`nu@ z%Q6x_k@Px&A!rSaBRihuO?oQ-2@YOl({8bS3)@b*#5lFJD3%hrKbaJ%ysGi-i|($! zOzDpc`2*h1w7IEsMs@qrrGxKXwmS_!hpV*|O}a6=)k{DzEy{nQl~#OMs97CBf6tz# zEf%@*$>&#cPw_HvSM0&FUc8cAaDf#cT3rdR}zLl0fW2})+e&&}NKzctu zW}9iwgc{QOv^iz{A^W+uf5VY)AT7(9@%7$Y*P?3HkJ_iDhis2ex$(jv%s->u%j(iT z8#X^|+TP^nPOjr1sn4ATc3laH-gf2p8PEP+*i%{1=zT3@yfn5k@>#3(jkq*{ey<6>${gu z9@uWHbDPl5mLIY^v9|xrD)SqvQgvmWZJ^Rwy}8aJkijyK`aOP|{avd`?DWdnjdsnU z4ag15TOr%o7}o6bMf&K?RqIza6Gqb?q(X>e`lU+G&Z%#5NiNE7>KZP|MRjY;@*j1P zUDz79rQ5!Iq1!LW1rwrM*fp_*bW}IKE5C)}oY^G)@cfbdocd@9>5*cc`ogusz|ZAw zeD|)*xku~1cGQ2Gf<%7xfoH2?5^mzlgJ$qF9p6y&8|UbC${ z@7wcl#cQU2f6XY&>tFM4b^q1xy6ELUt@*B-_0OS(=XYNJ^CxSyVZy-oL*M^x`TlPG zs~caRKK*v-``sOvesBE#_0Ga~-=ED|zBhhga@yDLpHHqGy<*_$nr)90P1ffpe>-%C z)j#_C+`@04_k?^)k2`*O^a_tPcRaqi-rTc&SpWBat6#tW{`Pm90r3Hb8#vGI6I zOozH`VEv20Nk49!+3()idPkd>5@fjGT9o?z#(UF&wRMgcYwZ{PxZOciWX=<UDQtPAteZT`M`QD5mVYyL>-sVDlcuj-$!={fZ6 z^VALL=QpYL?C6+h^JZ+YUHVv^(*6hCXHQE-2rRV?kBwqJzWlq#YID0x-QOmA!2s92 zQNHK$7*?BCMS$mO+w@7gFk;F%m~y}gQ(jsR^MjxHI>!8X!DHzURW1EPL0n3p#e_va z^!_GJ;UZp0_h5`zL+GUFMRPyyk7hw!Kko1Mdoixu8#3(0xV{C~wixrSZQ=EhMp=Dd zaAru9+s)+eg6JH+7^}Zp~Hd45}z{}{?c;qk~Sa;BKofR21G%a-8D;LrE0zJ zT6cQwlwEJ6iF4b{viLzrxoGo*<7|?aP!B3k8^+wVO>z8PyZA2oroEdsrG^^kuv-&c zPN@q?L*Vf^;jw7vn~syii|Z*)@+@uQUFTqjCir-8Op0i?V5eD$NP~NI}e_`NRF`=0E+B`^J%SF>Q~%DRspl z?U^_8%$^=N!)#&aA~Jb)Yf%9;Qa(qURE{K&$KdH<15Xbx1VO^n;}$$UXagSe;ps6C zo*ps%<9f(T-@bp-T>zFurdJKM#!sdm>1XGjP{JoPMJUzUGRbtkA zb`?B5%3F&+nXOS386;wgE9-*Rv(Gy^_={u>zqpM5i8>Y+R>!%dO^T+(<{;x|FF1P8 zU%+1@);I!=9(ErUocPt)5%wrT_})+IYB7!FL`5*IIg2ezh=?#_amFNA^ac6{tdmZ} zj&Qy;HlyCDTe##KiYQH=$lD+O8f=|EA_i!I@YgsY9yAI58rczWPktW1B*Ogx{54V| zm=EBuF|W_I8J<<=jD;ELNK}QWJgFEJ+XqXMkTozk4mTddx+bMCZt1MM3T~Q(z?u0# z9W7t+tNK(Ob%-dm#Osyxv?#8`&q?yP$g|hONxI26t=cONK9eH&OtR|zw@J3>W*Mfp zv8JP_IjfHrjiTlwRWl63+FTbigEVhMKG9=ZD6^D58-m;Di`m7}`NlbAr~B#kUKeSv zm4Cp|BcvCR?(U*d(Z#4@3ty_lHj4uIQmNoe<smuh!Ey8ymaQShbOXtXQa(rqyxzEmMdWp$+6W3fXwqyA^Zl5!ia=P}v> z`8!Q=`6zK#4=G78NIS)d0+~Gs={h5cFy@5k^w6&;9&5$rj5?1GW{&zo;pUD(on9Zv zTl5!*K*T!U2h`PKXujf)S1LA7VcW^<^j^Z9Vpa;LO6qJZ56}99`t3!+ZDPtzL}z>(iCu0>I1nmv5q<+tB$&-DV%XJ zd8%#2j?1oj+aL{)8pw`&j(J-lyCGZdIrfi#aQmv#_pM|BztD8F+3upFjpos(y`-O4 zdHmzi9OzDccki+J!=^LLxycK>y6c`KwFX?+C|rbv{TT~;3Y4c0LOEf9SHC9|E1{@@ zVl-C#dyMEGabcrj`=`jt;`@)yR}-vJ0IC4&2J1YAe@ofn`aW%k>%i6_Bf7Uhc0sm7 z_6!-(A2VZNnFk~s;tz?2^T2304{X|>&W_#*w}Pj&*R^f6*MFq`**J3{!u=R$^=8#$ zQ_Pm@y{pqZQ2_F~S|aAKSXuF&eeV9jPZ$C?Lp9e~DiwBc39+fQzn?HJf?De^G@-PQ z>F+gDdO{TG?}x;%`htw2n^d;0A|o@Hv{jdwF*%r$t-JbcK5LI;wy3b=>dKV7|hIx1hf$RaqLaU{`rwKgQtR7v7N@OZnhfCH*Q$H%WSF?)X|BBQh7~ zb<*6K3uI&Ud8RyUl|C;;U9Qs0l|>N0duwSI?yse#AGEOgvL8Ym4?vnMtmx=BjCXX3 z-R&DJ_Qj^~+?}n4v%{up|HMEJ28MxL1VU#$2tKKK;o%T}NHoM7G7N)e?`#$3Vc;nY zG(p~BFcT65174VU0gNOmY!Fh-+~BlJ^B5^UkgIQ+-YGn7F73HK67{}FzRKT)6Lj`C3Vl+>Z_6?HRX>$X7}AT^L3Gh_SxZ;8W$ATba> zNE9RtGWwRd)dLa^0moF13ASJYBcut&eM+yK9MvBT?J#K1UZY;LU#{KG8=MDE_?L~O zJWtEYt&R41K9;hd8_n~)l$FQt1yV1j9kK5BJvzkjxc!FY4*(AjX46|H9I?*ZljGd# zd311qUTSA%k}JXjD?=I`x&s4c^AI7Nuy)n2OEYZ-{4f9gyI&^ty?vqPj&ZR` z740~HfZeD3DARd(gm~U2$LN;}xA)q9!!^Ng#ucXR9pv}SsLBgQ-`4aV>%Ub~G*69) zvg)DRDj#b-%NfzZAI!eX&uf_FQPKE$|E^rAjVLefw&yJRm3CG)#^a2b`+`{|=`?0m zr^nJis;(xG?>e$r7qFbo{DW7>c*b!VlRhDVRdGhaCnT_1lQGenbU>G-ZZ|)AcnI&o zksHdclYxGT&)$leJ9A$OnuXc+VMsv7IvJ?go-hNhn7l;Vk;CSNEwg#GCk`Xe?>esK zY-Mgj2;8Qfj!Q?vZ91rk@fX~ti#y3K@*4GCxJ@JT?=-kg^O8`EZXW!l$+zG(jcWEG zdNKT^Nk{ekYUY;sL4CF*LB6u3aGNel!J1yF-X|XX0+C975$t-8I5R(GDg33~>Vs#l z9l5*C`Nn$VzOH!I$@p0jG3|7Hea2hIMcOwa_h?k$o`w5~;5PfkY*l6Xq?b=xNVQ~zOJWTV0aY9*59zE3Qr!hX6Eu`(r-G(7;Rt2F;WkEXk zvIE^##~i+De#S3tk}K&KrOYt0oLdlP$(g=W#WN&jvUhJ;L;SlnK(7ucBO%@*$LQ<`aw zk>Y{}2um*Pn(%;{F8`uFQ$lKB*&qta{1ba4q7ltLaZEu7x$zPqG(J2M^=vpraqWq@Aoe zO(-C7NVvAw`iXs$w5HKYoKOusM|hh?HpP;C6e*t8`7 zt~LslhVIjaT?Ts=UCXA^l~$)LGu?;`u-jy@Jnz)kwY_W81T zrN7Zf?}s8Ciio3+4NU;u2(W3%pn=T~=b7e!xd6Z=0;qX7uXQMf=K|aV@I35(a|qJ9 zw^_btAJN9O(cg25rI+--7$p64SJutoeYoUFYFEPmTkkH4*P~66Jb=+mSPXD+m z8mar;Um(iey^Z|>QSNbl)N5g#i`|d#k0Y|lUQqHU}JcRuTt`=(Z9q~Dc#l>UE(ud@(_7qea_ zY-%QrR45H*nHKf_fwC=pcG-z$%M*<*(Sk{gCZ!8pye!fjn@~r`DjIHcxA9zhs35m& zTQB{b@?=6(d1&;Mdd6+$D9u?Ty{w^wxtJ3rbvMo|yVyZ1z?}~VkCX+*rDbg$)B@fI zv+c_Bni zwcH5C2KFLtbcEdo&YxPdHc|@9O6%Q*R9|t(Y3^qzY~!XN3D9SqgXvfmadHou&G>-<$}B5Vdf8E;308r8fG53AA)`)`jO~ggyFg4AgPcErS=2W z_dL2AFi`}IWsR2!$HL%i7z~5K;w+con?beEj)E2%;Veil7J5FO0Avl$9nA&whX}BvhaH@;-ReaS~Pnl%B{%3(tb?zht^0KRo zC!x;O@QjQ}j34+m8F>$oLNX*{(gV7l@0L;Ufab#=l`-)F;{czUkw46A2Hz_qXBhn@ z-?6N-#PWt}rn<5OPwt%5n@cPL5i6@Ku{o?n-JC~~w~Zmqo{vybeN?m0NK{n!YW7+f zUwGHzfuo<~i;>?IzxL#98$tBDo@6vO5)D2YsYD|Mjax(`5seU{F&>ShXw);GwJaLM zygl*^8;uyE!9jz8#xfQfo6KkJj!~37{KpK)9{Nt^(7nv`V^ z&z=O5?uZc_f*1Md5A4TNpihVXOqIFcpfTNE}46=4g4_^;*?4JH}|M8gq{3N%9P(MTj31m`Nx zb?5+p?X-0&LG;Z2L*`elx68|JnuanrIft^J)jfMn=o6tYR+il?+d=46X#9YrvRirW zmvR+d--<#KzphMO!O`Tt`GHZ~^ovWymZCYPc`KG{m%U-r=G<4|o8%Xp7S7(r3khp; z{!U^lyLa(>ixxQTo&WCzbDMV|ykRY84tkrNKETFzNltw0D7?n2?IOvZdrI=s{cP$$ zeU7zhLVkqiIO@1xIsl*nz*qpchh5hmbNK#m%=tv-hjga0?EL-Kpex_}HV$hgBnlD+ z8NG3sX_-r@As!-xWI)OwdxqW9)Ijb+E|qSfY&wuSzMt2;e;2EI!dlCm`OLt)<@{#h zOZMK%t?2+(1E2w5v-VbICj*!YKtKRsWTyZq2jBx>E5R5KpcKFi0w5S^Yb_u4@g}w2 zP-SQjd*Fh;(VX2f9zY-g{Ld?VHm>j|wH_t_PcROGkq=-t0eAs824Dq%w*Y1nHueHY z0^mXbgpET0W&+qr0ECSL0CE821GpgvNZ2_F@MFUQYj(>*s0cXF2k=_JA^=JP@CG0O zPyyg0fE!@EtNVViJ3~7%5Y54OaqIEo-0b&Oo+`$RD*~`ak)i#Pg-#kemoVj*Y;^9x z#A*l73eky#W(vm5a{`bFUZzXT_=q!NH5xV!P@yqk`JlOatwyODUAIkSik zCVq<#;@x6&W)T|V-Ixp`=akU+LNgbd*Mue)n&pHB@6%g+R__Kjf1jb0SPca2ty;3z zd{v8z-#e`^IC1bh2j-W&?aHpJ=Tg?jJ$%Xg)OO4slmnm~At)iBxPbBtK}iGUFHq(a zlzpIJy-oX#u;K*D8G@1u%I~0jASg|soF^!R6?afR6O?pN{sqMyl)u!VtOsQjVTB1w z6(}o+b9oNR3UuE3Kw|;T1p#OQiGz<+Va!EnzbK#$OB_5$0-%X7Ld@d~>K(!eakhVg zRz%Fx2+DR)E)esuL7~E$jldsJ%TZeHw2rY4X#58j;%_5x9Ej9pRYy|)-03ocsRi}~xTrC}H7B_B}XKVDl zb($u0w(Y-V-ye+5y>@5Ho+fm7=xir62X5KtHAIdP3LaTYw*tr^fZYI60UW*!9ppzCY7^pbniT zbYegqs7GfzI+qBmAu#d>#(YbL#i8gNgJun3fSCL^Ix8{eeJZqJ(0(Jdcoosr&=P+$ zWAOgi;*I_?7O$T8yBRC+ANp@l;*K|U{d_$+cqyHZB zRP_J=O8|lXiH^9yAJg+c;VeR4()1azhIoR2aE&|TEYl%wkM%! zAdGZ^#=$(D#5_Krgb@_tY~#_9L1T^2X9zKI27u!*@9YceaCCme8`l|{m_1`Hj6{LD zfdz{P(aD7QC-{(t6dffw4GHPfP;!H98mZC-CtAG&9iIhG`}f(-1&5fE)tA`}#5nR*O{`p8q7R zwY+|YH!1m|%IVX0jLN|{9*b8%iF>u<{_$iy!PPL z_Iqcv6|UW;uOd1Q=!`+<4$&F+#%W;Zy^#Kp15->Z$Dc7&)y~)6h8%j{~L_C5|Rp|yY9i6~+&3U$CjRmvepY1F(0*Hna z8qP$6jm8Kx28Uf9`u!s>_Rr%UXefz>HySsH1`mzHM8g-2w?xAejh(#M{-ZvZWltXw z4KFm#5e+{yzMwJB<8tK1z2-5WlX!C<4pOyFUckHjt@Duil#ercbFc4GwfZdZynN-@ z0rNrUb9no&J~SR$5q!f~+LaVbeWaX~5Yy*c64ro_c`H1uWxkMakN4{v5}nXVf%B1e zoX9?UvNe5jgjqdrU1gU3i25X#p5}O58j7M}Z|sF~^~rzeK(r2^D7ZStm8AqR5WPH_2z@XALwOQp-Tnk?cMxK0=@H^+8<8m) zgv>VASl=AURsHy`tQN`z-E7m^Jn9M*4%uRy(>1n&`bD`QVRWBcZ`i|1vdB$5zJ-yl zvN1R#;`ZzJPm4JT@$3xl4_iYWX?l{tLU6Cq%uJR!H{pZ6@^<@Yz9kCRHmY%6WyYvyk&OEa4H25+hiHFA3cH_7H3&3Z%A zD&38|-hfbPk#R_G$T3M=`SFC}a`q?Ha~l*p62=!S3=a<-!S$Xm(Uw2d+Lv3wN!o6m zek0?@=T~G*VUPpI4 zx-Rdm52E`9-6V9K-&xN@sQ+nyi&yfA!ejn6uM|Us7m?pmzEC*zfw_}lqp1M^7qrs06zq6g-u(VK$&7PkdE4V@j-Q2ELerV3+G**I^~c0pp9z#Cb+bcVyplw*qhsJ1}==5Ss6lt=6E zoLISw$L{cP$3xv=hTxW+D7G zvy_ZY#?^;#_tVTJcIi{^8)Qd<@J@2mV1Lp7As77jk&SJAA0zc%4 zv%ZMxsdkM)&3@;kUm9adJXT27HTv~uenOSgCkWrU4ehBYp?$mS(=E_ z8|+&dDst!z^p(vQS@wn=M0m1GZ@@uWk%-w5Ne z?T8vmJtsg*tFbI#eU?tZ6a5i?eOFBqy#6mUu|-ac5rn4ryhFRdaL$jpDxyOF8Cbfp$Ei=4U3ik+tDZjKjrx3UY$9Kw1Y3Go;-;%nLndC zdlLCqes*=?BM0K>%lY%Fb03iZ;TKjHKcLe1t1>c%k$&Kh$;cZ< z5%8yFOd5vW->i&+Vc7j$lrgcLbbvo0Bfp(8gFh!Dr=9$gKP986oytaOqs+ymt^BbW zlNVF6`Li;z7n6TRg`>j7)ZuW?&H6+-#ZS+e@`MXC+VIzOq2BOD zU3^!bH6>Li?3!dvzNO3PDzJu!Xj#|94e$_6M(IJyJS6|f*+BkXm)BLaKjg}S#Qjt^ z!HTYNsP8OD>Jm(+6bfc`rA|kZ)|{>}(~+cgY1f1oNYa|tHTDH%mDf^rvCj5WRkxJP zj0q1HG3bK#8iU-8>(E9WQGq7(b?YI)GZwW3sEA* zD_9tiyXD!pQLUiN)Y%3@>BEd^9VTLIgYqMk7#Rd3eVBt^VZ8=5cB%X2;`8!4!3L`k3ey@-czQQEUBgJ7uX{?CZ zpBGHuBlj_6wZZ>;oAizzdEE1m$2~}!Yb-aN5>qO?a-<9NvrW76sAJkh?-?9Dxon)P*>=5WnZT8vt7n#_y3&5pN0g0mWkl&M$|l5G z%~!qFxb?+$1Qn4!>s0!0gS@`4-yTot8vSJtJ{|HePuN`8({exl5a&o1B5%UoUki>R3Qx>jW8@1(dD2 z85xrc$l1E=4CD>^S+^vku!l5Umzt5)Lpi0JmocS>yhK-+k=sN4OZQzl^fsT;74L0k zBj9x6t`u*^ErDfMVhTgtO33Aq6~fiEcEM2okQvwNe}mx!fzCfIA^tkcy{8f7qkV63d6jb!JWA7TP8>_ zHTau7;ryUwG@2tTH`3IJ4AU}QD|q9PzjvHzhd(Wja{wu`t@|g|(uz^aXHKSjg;$_t zfk0r|-E5YoykYp-kz!a6nN;9w+ShEm8maM0GaUzz8n2i?uPo^S`I7RSAu7{u0BKo9 z<7%y`#QdAXM$Oa4i2kv|;FfgI&{9Ibt$1bVEFp&?45YDy7OHq?I8j19CO>UBT*5e} z_}g%!p8CeDL|U((>P*?2;+IA^zp%HftQT2c^Kqw~)&J;Gh5U8wtc18e z|7&3fr4-Rfe}8xC4?63NyqjhMU2w*vn{>U-HKX7r%|{oTG4UqjfR3n!3&#syMouvO zrOqLvD45394avwHun1IKil5OJ)y(@w&emU2?~JxOtXiaA7i~9NX{FxQVLn?mLA|NN z`mHiZ-OyqARy9X0?XY!G4pJj#&P6pvt?01Xsft3qOcWq_L}?3(}az^*1n2R;fY>Lch+wj)?K$)7Ka+^3UT02Q#Pu| z?vR6eJ?Z{)k>sjTBylc}(A@0c3Udmq!(&3}b&eeBO;BEe@+T-Xs4qjESzz579ZJuG zm0+m1LH!fdY^ZN^a1DJB1!&ozjRXx=T0naU+B?u}K)V8UR)Mu?Iw&JT>EggpdN-`i z1?@Cw=~wln$Dq!_Ik|y;8}wXIaZcT^_8zpqLHnv-SQg(xV(7=0CCA#@s{Ay}HcMBy z^+dGMT{&~K7Hzh%K@VlGb#zl_w|SBME{)1K&a}DPy2vSAnyYg%9qzU)Lav{8#!}O| zZrdlUJCZXxThr-oizm$Un)9M4ar%4mAF2xmYMWh!|KGAI9of_tZ63#5uW2%d_ouib zk?TH#Rk?LVz$V#oodteGohrNPKs7hu}g z&m8bxO=;4Xnojm3Bh_m1ESc|X6cFtMWzNTErGDtdPfwga0B;7HZ zBeSTl(wc?pgcQ!SaOs4II?YRNieWs|+4}?ZX%3~@B{jA^_HVSQHRK)#XYITiiyr0< zZD9?q$8qw6e?L$Aev{-Ne~(LDvV)=?m%6mg@*a;v*QlEnogQbeF(%3faH&h$&!Qy+ zW}5eeS;`oEW_3P`R?3g4&KYL*hVNBfG>q_LKP>oDHXLa1_DX3AR3#iT=F#o976TiCLg=IflR%zs9B-f*S&~dfA--gA$Boi6! zG71JP#>+3okL!!__xXnUL6_7UqOEQy7pd!`?QW>7)Ri6PfyxQ$%^lW(svxzp!}73l zj(TT@?P1j*^|}s=*~%$cur{+*F<7uxZi%T;yxjdy~yv6gqWVGG(WH zzxq%zeW&7u`fM^eU;Z_ILXAVa!=d;&<;d3TPMRl}-IcbV{JS8(E8+j;V%IC5wj$|B z{P7ZYuiH_|s>W>ff_BSKsvPMz(QvNELy1%r!u5VA)rv-Od6AO6A}_9Qr1Y6_TzKMK zx|co+$=E1Ks-waU-SjKUCT(<^+Xl`ZiG_%P@_LnUh(>um83T8Jg7-8##VW&8L@x4d zC5Mb2;z_QQ`?{&&@C~a{>=Ukwrq5J97v{8E-rSTU9dS1>JSv~|N^nFoP{Pi$KT5IM zmo3a}w+x2T=Wbx@uzcD!C_h5UJW2`Lmu=`PVT*bBv>c#sfjSG-pSE=D5lur0 zyL-@4%Gbth;hJ_!S14t71IzsLX|_<-l(3^+j#92RW*fxqmi181!nvHqxy0quiqPet zdjQ=LOW9%{$Z$vqWE8{;G87U7iGlb*a#;vIa6lSZhYFAJQaeGZsm7lg=QKm>*XY_m zsg|(^LFJ1wnH8Ra(lEgc)7EB`j>yL22ABSvNsOlo7sLK$i;J97c-#;f?ekVLSWsx% z(#%LxeZg}E(tyJRcD>?&Naky$2Q3WQ9!cSHfg(PM6zFwNHcQv>hL(7r zkX+#fl{i#6m$*QjAnp(*#2LbdxIr8tgCHEp;40@{dk7c8g1AC3z8B+rF}@e$dojKj z<9ji_7vp;|zBedRy4pCdt9UM*s>>3pyD4HH2&VBd(mkc*@_y6q^FY1x86jK7S7S`#WzXXp=& zZ@EKkz(oY3*&)9=(u0q#JZki7O|7S=qxkO9J}WV@qsE{xc2mF2dxuMKY`1G2J7Dp* z@{%U5FX}(ffQ&4OtlUzwH=1TBtEZG9jbgM*6UkMTMcQ;VfaC}DEPb}AzJuPt`9*7G zq>D3q%)%5?3^U3Hb@Dz)a`lC#ogIuK&iA0Jf1;{blpxWxE!pgelR_I)eoJ#6vJ&!@ zH=;j&KWQ-BK*yq3X5N0v9^@@7TftTCDhFm{UWp@ETINK;UaC zbe&!{#CAx393){nwTZvfwC@^uwZjN)X^qtYa#9rY-OG|*&@L$}3{f?M2SP0+qxlG| z^EdnE@Pqa#F1p9EXy270LyN!dZG3lh`dfq|Ql!z}CR8!Rfbhm+c&vKZ-|iT`I?gql z&zFxk9Bj6puLv}pZnpd&pKUnSZ2Ljs^77l)!Y}hU-l*1>m^sKB)%rx49B)+Xo0vJ+ z8?`nT-nHLvzY$L55y=5NC6kcYtauo5aKG|EQp?>gm zLBY(Ey`&TJO&&*j%}yw8dz|m3ER-MfXzHaeRDAF_(@Xv+Z}2$YOZ%vJ;&G{$YAL^{ zR`)V272njYT#`~QRX20ZlnSl7gG(6?57+%%`gp}Nbvr`&;OXDOh41lQbtjh^C~s3Y zav6b&KJ|$@(qXwmeYg&(C9kW`iR~ZQXT_)X**E*R(@*KW)SK!o-zvu@jEX>&k^87J z!Y%QiiD*9GlHi$AUr}U9;48^Y1nz~tLQaCXk^m=3jtE!4PSQvbu7I~mmWpr%yiIB= z!WD3iBu(Vg8|=;~L{i%$d9-tipVjBFFC#ytZpve5#`ZT1BTZ`ZUr zTjROBRAj~VK3%C54dU_|=wtLt!&73Z>r`&SwcQp;%0m2}+9at);rG<)igJ~(zT57K ziYly(HuqJI5pG6VOqG`*p`4Y%yb6!tXmMUWsY*UeJE_lAJSo=hpn`)6nLKeyr`b&T zc5O*@GoBjAL30 zD$H`^RVWx@Augz}Y_Q)W%|@O2{0j0zd6+i8kDcdtk^T;~hGhLtb6HQNsYY+{#0sPw z9<0eSvQV<#YzoR^?~1l*a2hT9-N-R*h^8(@q1!YRy7gJjIFAaqRVZ!CUV|Xe1+B#c zR9pEPZ9=(|tHWzNoh7?6Ioix}3s=YO+VXN*y?w6sq|rs3R=~KU8f2iAJ9hfdmu}b1 zGoiXc5fkpoMr;)f9FM&tOC~+Bx6tn5F~t+Skyz5(;8w%x4B9OHj0%4jlgX%kfS=Ow zrkZ40oYQAnx{hkds&T3Cy(hUN$VUl4#zoc}Z7z@6pFiE~sXPTG0G%uRPDr-!g8GZ5 zQ%B3+8)~s2Q9v^tfmtC7WqSnfCe<~o+wuxSE*7M(rQ|8@g4bg~vVPDOV?j>Af|QF4 z#hI@4Y#+^tOow{ppr&QI6tHG!d=R;lj+>U%GQKrvU{9< z*0^Q5^{|I)F7)5X`gZB*f#T)y6s$a>RpD^mHl$Ch5w5Y;9R6;`D3w#Tks z$_dpmkM&rQ$`2mfd+`I>;8BGYseIzGrxyWw7u6E1NJQdSb4ivesalQ|snn|LxD=&o zuX-(3r1F`%mP;P5s!?yiid5cJ*K?^iRBh@?tVm@avH*|*RSLBdD^ht~y;E#IiIWv? zgB2No6{+)5pT>%mk4-4Vigdi6unH^EW2R&sR;2e#=@qO<-YdyLtVrKi(pOlKo=@l@ z`s}Xp1=OSZC0!_iF;Ab`mDWS~T|ciYp@;0IFYFrEL*1?a9%w3)o2ic^o1atg)#sC~ zSIE89P05xk6w}pbl5M}pS?c2*cAp#r64umMTHAk?NsZ+2T zG-dG3V_lh)D*jr{1G&9;7|-mWV6A3x=An^x>zk1?JWzPB*>a|0w(xYb?JK#9@L03O zEB$WjpU-bgY2~kRbGk^F*)yUw`h#np!!q08jWLXEQ2zuq8|oV!i*R!~1UIKcaC7S1 zI`Wuxo?|Y(Wn&Qap+1b;QdJz@ZGTDF8nId{%wjpkI%m>$c^yXeWS?$_Q)W~4Z4*v; z;1KI}%IxvJZH65{nWxNRfaX4Mc)uyXa#ZGo)~MMQe+yh^oBs7Pl5F{|8m*if%_=9Y z_p&u4>&UJfqb1XL!=pp*Nga8k#F3}1#G#Nlh^PBvlPI>=a6sIp{i#na%QYr2j$e`4 z7OB#4-HWKujs26PQO~nzPm};+0PF^^i~tD6XaKTn^#1S@mhaa@4o0v!LqaZ6jF<}<_&a;Q7ha23)dKB0Mo<7@FvO;}%@bSQMk zAqzwi{e{8gHSz<7^fv1OpKY>BdP`GPp2atImF%R^qAab2@>)N;ETM(W(dUy%E~ zNBNnAA`}_$dqVpM(drWMU>2g)6Op<(ufj|zuS&@5v+(zgqv`dt)NA`)YgxsTiAL(2vq)&;L}HK4o;H>A}T0*06(es)#v)1AJ|_)wr1;Q zpVhQe`US|=?Dl|-Y|VIvTs%N?kzYy}(`Q%W{SA43CU^1csj2$qT`2{mTY5oPVgV&Y zKLe|md=!f~sen2Ui#V-^^g9-DLJ!3aix@ctc4H9>dZ>l^@3R*Q1(dErZ?jQ+URRbk z{Q}>iYl=5*6@N%qt~cXfzGYW&4}&hR6{?eIbj4S#u#d#$O_c5B*>HXDRX*dnaZww& zhDYW445_@!bL4srk+t!xxPB)p`*?%uP<&9qW7K&sth~T6c+PXB2B z2W@W}*5uXok7f=efsi@OAxvQgL5YZ%NWv_H(TE6Xq>3|fOqEK8Rx_Z0BULJCq)HVP zDpgd}**7Kh0f3EZ4d_5o51)H_+4P3g}`(EoegmP!K zMH5unVqxMOI_jqQ6IUA#wMb`{9pd2@>79TzKt9&(0IUIUQ*Hp(0L|2WFZ+gvXX^i! z^>!il=nS&&x-fh6S7m3qP!+l(vTwU^L9E+Wni(ezU#hunW=cbsYJV_$OCz6ZUYWsN z<0-gnAVj7kYCK=ag*sC%jf=D3l# zd+M3ynIkc2s?W`#BME70v^km2JFChx$M9p%szc2)_|Y3x3(b-I_>F3sIaOIPj=ZkF z;P`BqJivLYW!VufFr}$xYArGHL}fV%c|!kfA#1>{Y?+%kkyQXC zq(2=q+-!c-BGpTKflJ$lE!5w2WGCS#!yapH%7Z56UBh40VI56Uki#+l|GFuE{`iaO zL8AcGiw>jD5ORBoVa#(vYA-&Fu}L_kmmJ1=CnP8kqmka4T~42zaBuB9JH@5q6H1H= zIotT&Hw-k+*Ggt5fG9`+q9`6fOaX_VMQ-XKkh134V>tVjj9S(Sb$}B(qyxy+V1K>R z%^VE9kNXTPdODP>N354>loMK{4G01-+&=+MJ2wL-wnv)UCg^llhnfnAhLQ$ko<0Ex z?+jij_zBrlP3;rRU91Ocf-?*h)bYD*WYhP2>=9kCu-r}SiYU}RbuN} zD|?)pD_GS^AE*2%sA&y=6IIZt2xfCiG-@t`9rgmRwWDRa9Iq{g6j@siaf@-S?28<7 zmVqSOHRzEA7Pn1LSVL2#@LknI@sj4)O?gsuJsl+P@g+ytfc5xQA7 zDLcYL1TnDIlwywpXgDl1d$ffZG+&w7ZQ%y(T{EUFG*1J1d~K0=+JDU?Dd(!@OLKq} zWL@lLl$09{A}Lg9L^M#a3DS@wK*9D?R+Zt4;Pln`H2#*JWe zOP*%H$#&Lx9H4KrEE;A56IK;xjv9&Hr(R*6uB_}s{3gx^UMzOK?pN92I`n$|DcR{d z{A=B7*@-d4YyEcF#W9Sx?iYt?4CM{t%spec?Yb9^t}*0x{Q*b+7`70Ifb)j&>5*?W zPsFoanlW-U9c{^%bCmN)s1rJ?0~{^}BDQGm*b`k_*ihCDwaf=L-~1-jOMtB{NWu+7 zUe>&^^Id8-IfG8KWXrh|pa|EY`_WPA=1de6AUi&8t^F8>cYQg*Y=C#@8hxx#Hwv} z>~Xsjb!VYM?cdvP`B_@!sDShgNYjkOQAoeAJCD47@W&d38sm)3;r*f(In#4u<5gi! zZccQ(y4E=*C;qsK?u_m)szOKE*R|x0BHkGHJCF)uC-bz>Pl4}bY!Id?$epZ@M=$;N zziU>jPc2n1Sk%g{rQ`}KTIsd49|h}M10MNA2?`a#gW)BbQ7&UJ^acJiJDSsxO z#iYt15)5liEjeU@kz~>hdKe4^;D$*u7-LOe3=;AT%T2omz4MF#rlvv9tA;95`=HlV zW4cK*NQ^c#n05@3qm3w&f#-1q)K2#CNJorZ(-t1k*X5=?JTMWWnzr*ipBhR`2Y6mj zjZ;i&9?{RR+SJM;`xyx)eV4~RLmv2DlJ*&+!S9k#X;^C7-Q`_r^fPVi^1NrLG=UrQ zJ!6^)+?dl08%^NGoMyzCjCCGo4OyoBb)>V#2-DU&!bZa))80DojYg)axz6*gp~BQr z2gtl>GF2TBXIL+5sUzcz-ZI^o#}-2&=r)qJ7~_GbO~^8=l(gP zW1!NXA=8WzBMh5mJI2TnMx;ZSHE!q`57JgL9Gg2RR&^k2SC4aBx1>Zj-~o$<##Jxjpk@;ouCH z8KrP=ek$89g@ZH3vc4A%&LI2@8%=gtie0b2Ejukmzt;UAJ0Zot*1wWn96@-4bdG5R zynC>PitDhkfbYDBfy~KgA_1eAmAh0kY zRx{pNmdR%+7X%9meH3XcIeJ5Iyd0V1RK8CNWu;7z@z9T9A6#{^%7utQvF$8^BB z1I8UN?tpO(jB8+A1LGPPKY;NA7(ak<kua(UP5$0Jb+k!Ew?`YaTq^%4Yf))-7_A($6i?KCs<-Pq?bZE z?r~Uu&>HF}q*p@vG^Bq^`quJwTZv<3K~#Ohp|amU9y(k~2=m!3oL!6!qm~Qh#QF*o#9&Uv=?6di?g zknK4|U(7kl_WE9z#5u|)ey>+>&aug>b)KBVZ62%jxt!B&;L3*=RwrQ}(a7pa=O+?D zI*MTU&eWo$)0sB~l%zoVHr5^0```p`dNT{3XEUP1AKKL=Zl~Z+rNUG&z?V#`4&bp8 zymvu58qy3%=Rx`pNasO%YIQ(YL=gJ6og~S;hM8)QmE>Q8vQdB}`x`abkwev_S5;?pDUW0P&0 zWQUZ&*Qmj&yUxtS>;}wZL$fvA?b!y<6QXUg?3;;zSb(0`o%ubY2J9Zg3F{PKW&`vj z#PY)b*~tlX zdiV#-d|Qp|=!E}r+DFx45THEACmx_Yv3M)MEskZ0S5TfY_gaD!zRwtQEg1^NGu9mo zQV|$HPql<7XaUUA7K*|@fU&`nq+kWG-dH@{7q_Iha*@iyFwAwM$boHT5Ab%V_KHee z-{;_}DL-0|azpt7bDAFLxeGFvGP zVN*bJZIMeqh{|Bhvo7X>&{{3NMi&5fU9^Vq)#?-Cm9A}|@xlP=CpVZM^|$t=80pgT zJPP%*9ofa~NdUv%H1j6r(a{%mPDc|Dxf!H8R+;G&Al=cZo8l-cW=@7*RbLc;=4$Rj zd|)uFcPAN74dR7CeNL{-x&tfQnM2C?_yd}KfM0l{(`rX2t8l$kKaAEu1{ zcS;3JIsM-$G?=nr*khEo%^#%wT;y(!x{g3KEaJ4*c~gx{j=s)whoOS=Rh`!k<225f zb;K96=YqW9piZzid2bF?3f7{&6EAMbT8msFp4(Em7X7DqLyK@6;VUj`$sI?1C0^Ac z9!Jg-*R;$TN8c4Uwq%kJ)9r$md=h{gWG&ew3TMjf*+9m?uPuCP}Q6?UVa+cypgmm>o0Swp$Y zQD574nv5f)vxdWh)L!gb)_ftk*K3?!1W4K$V{r$NpWD2L=03x`2kq&aXV7yAN|Zdq zYHb;b(r5Tg8#1vh0CUL}l2{dh3$;-aO9HS5ZApoh0r-VB&%}y!;${64i_)Fm#;Hco zz%*uu(zAe>0G-kpnE`Yh!m80^;~i_L(<`xj0OVR$sh2ocBrX_02hmZrY0wr#?*n{n8h=1!I-Yz7fy-=5-0!ZiH@pcicDnKy|%KyB2- zITL!yC%sPT8!b1TH9ZU3vDbBLWLx><^#-DBZ@zYrA2FqphE~-MGo|#Y9bLP*E!)J(3FdUam`%L#n3dTJpJ3%6Vbv z6S_x^@>bM9#0&HZ<1U9+D`6n&1%4Gsvi-)Ls?6>LJ=s3T%vSG#gc|_e#<{*3^vIxo zWx2vlo)Fa{I}F{9U=8>mZM`nJTs{DW*@x8US&ms0Sswi=af8T1=P(L*iMWM0c1+`~XUH56tNk*=2~2 zpkLeFh51E))iD6F-XO4J?!v|EpE|zpLLS%ca~$cy9@pQ4ZVP&)?ksd$@GJFi9jEFL zFLYZR$LlaJ^q04Nc=!I@dwduOaL*nlg;9SN%7;;5lsI9@Fd>X~Ot^X&*XgqYj?ciH zsC(KnGrGTKErzTs-{IClSrV^^4tiiTr%hmu|f$1Wo&u#C~HUMk0R#V^wM z$(dbIi?o$;@2&8Xv4~Tu z7xI{~kW=adzz@n_jz4ctHZ_kR-x|I)b&O!&8h@9mM$kCJd0EQ{9%pY!y!h{Uq;020uq}F|C010~B=q(<7d$e9iG~QBT$L%o%NQeyZu_khT~<^=Ia! zw%}|40v^OOFAt-Q&M~!JF>cwx-zZxWFtaCidyq6J8=!y(@fDD6zA}t1vJIn;!nE5k zZ68cq(LSvwzR+J9e227L_Y-E?&5^$LZS7tTD=$QW8kM(P5IYylsii~hmJU5)Hu9~JqDr( z+S8!3dWuK54?3&o%zW_c!n`z=IMBs@4ZN$WX3;!XFAtwV`NMkHjG8DY!*l?6f(3Ys zPx}ZBjAN)87^b#Hx>nFV8(0)nwIW=b3KqDs!w{3cTIM9fpE((Lk}*k}iTDWVyEzCxt7s-an4H${bA4Jr zyE(a^UX<7$K#uFDLij;2A%Y+%5WWx$B6W0oBzsg3u?_3_!me5V;X&pj4aU=!(jqCq z>un1;y=}-Hy019jwPAPY?{dzxp-Xh{DqW4-@SLa{+A=O|)>_!C1)LuSVY9|^jt#s$;5-rT4L(q!DgLe>f*s>U2W>;!Dc0xI=f)A=9&7sV6#S>y1NKh?TvN$A6}Ws zU7WWXgE_b>?5*~y+^dWGtLBJ2uq$Gk>ZzR86>Xn8l@x z0kUv2*LN(TM1?F4OO%X~ar#@b9;g)oE?}sR!DfDjx^yr{CK7oxHN8+3xB4ZfJw;s> zNh~9E9&FZK#KVJh*=!rKpy>*!z<^Qx=)R@JKNR9iop*6$`$JL?{9~qbk7&O$M<`P= z8f29X_hHu_i@6g1!8bAU7B*RoPRvh1o)oWXx%&}+|Ck^P{`4}Q3-dsf*IhG2xyD#v zNl#*2YtHm!+P=XMA4)W01<&f_?~>&PncSW%7oWdPbuq9OjN^$Up2Mzz#Q(cT9F)`!-U?_8IueeGR~d<9w`a zLp!P`vJg4qe;Dx$fDNw!*ns~(xQDKAe!vfyZ1WyR-7RVW?X*Rq@Nd^`2aoy%t|}3D z)PK0M?M*y^SWWk|=C{s=i!!NN|5`IwT;i(yf;|wwLz`-z;rMxk?l=a$tQpg=%18s| z{8D>Ep)9L z_i6~;2e5}^*MePe4#!#En8PQ8eW=4gp*mQH-b`I#T{7%F8G8><5BU0s8=jjPUt3n0 zlP6}H2_JkGSUW)GIDaj^(HP_i9;QvkY*e2#r}9|`DecX}9`Uw13~OPECSQ)|kHu+X z%$UcDJZqny@Evw-&)FCL4|@*OS>ajuLykPlM1CK+9T>|!AgbyBgzIrwSx7C z_C~PTeep#@F>Q%Z*y>HE{we&V6-lS~3X5Ak>9nteidO6{pLxRERa8&syiN5zrs(85oHvtu)Nr#jP|8)T`}gCk61XTm~@M37p^Eq z-J*mG7Zek2(Y_UaUW`le`Ba!$?43gWL%6UQnL=R*ON%{IXuE}Lim`n@<-+`8uRiK+ z;j&_MA7!d=elf9+_JeR!F@CMjI$?G(c`fyo5b$JcDPF?4!yapC+k_iHE)ecAMZ=_V zxXY{>MvcQ=re>Hh4tJTxVH^qWGJ;`m67{)NHjE@u0<98|38Z~(tsllV!9QybNdHlP zx7H1#ngOWrqU|g~s zl=IsnvQ&RUVYHwLm29g^ENw!bbS_fgRh+c6_s^599F0v(SjlV)P9?_b_G6ise?}Q0 zZHM#@NPnlq#L`Nwt9!}aKJTn~3PgImH@w0sdh!O|)tGnwd$9;}^!<-p;hyZ#&8X3= zbH?;kH^44kWyx~Kjf7o57a4ZKt87qu_-4d>TNK=rJx=L6EH@SRt?S*%%B)G$dR@xQ zi7E6uq-Ta@xb;`2qBlABsm}vq=tBP@Sz}dlJ?FSDpM8fE0BLVXX9MCNm<9pBGG3bHpB2C48vdu+3PU;2Zr3Is0)xi0mHvw7y(1bzJy`zEo?*Z zI^--7bX6gf^c1z(a8`8_&gC_?u#?nv$i*<}4rJz}6E?GMT7uYSX>A6fBcVpZ1LH_J|S$K-^cQopm`bRc^JJDJy2K#j_YS+7|uXXSvWEJ;ot0W`lDI zW}o3EoWs5;tcxn9lT;VA8G8)4JU>hX_E8#Dr<_GYg_GEO#*0F{n>@z*fc@GwZ)jdS zdV%e;q4Fxk?>6z!f-2NZ+owYyYkA&QJ~Y1y{kd)3(A>^He>}rn0)Pl_5}>XHtw<7} zu4S#D=J}OX(uyU~=2`1oy_$UPT64fV9qe@LiYZN$-4)n$hZrO2RCsWLhhdNZ`N+7S))M_$iY4Ad0CzZIioB zNm2JH`POrCUu97&{-u!t*nDaOF9;v3kF;EoGbTjcxQ@#u*bY0yl@e@?4FbkBFs^~| z0~kMm@dFq~F8mIv!{0%5_&ZH$Z<)0jq6p$o=@IDQ9Dxqb5l2C6xoa83e27gD*$|5% z=0a?MD1ulOTV6kHPc)weIAOpB$HTW^!Ls?V)E}@!9;B!4iSB3SGpZoH64I%Vo)78g zkS>IDDx_KYKRjt{%-Zms2ICBDkqiJ8^^HL8&dA|rAadKa6>dyA?YVmZV! zhyZtxs@+-IlHY(^ZA=gZxfz2o7jXaDPIE5uJqfz9^Z!l>d#$1#-}c_rGHW`(m@-W| zKFMG>Xlmo*3|CILFE*~5^b`I#@iP>Q_oKgkxEFiXmO$LaI4qd1@Y}_@2z96gNpTgJ zS9?k3jRFDgk7?Noi(R)MP3EQ zH@A*+M6<;Vkfx`a%jS?e?mpEavu|BQCFq(m>O$_R_Q(-+KdZiiHK{*P9D;9h_e@C7=76iWWnE{xYcFIsp#(C3*hK<6G3906S zJ4Le@;sbYa&3&*mBhk-TO%q?JtZq+arYIw^>=FKw2$@(#$ApSP5=-c~gCa^|TE;3_ z6A$qFPFYn0)v7F}7WjN?u}w5-a?PZ+*sB`+!<)oIy1yME#mMx4j%Dx)3>NBF;T0HL zU~Hb%7Jo#2MYIm?(um}TQOJ{`xWxIlaBD? z6kNV@2_|1$+7lZzr>80fALE>kje$<8GQAHBZ2h_ok?d~f3aj6+cQ@m?HEkH#%?h;Q zz!9DPwKW24Z==p?em46n^VZ_$i^x6Y39h~|uT#bhhwm`!na_Jm;c)OX03QD? z=Zu8n)aT`iBavHF@8vu`XNy`3dLUt0s!Q@PJ~s;*Jt_Q%%Rp6)<%e7bs;bh}-iA29 zqFIS@syUz+b3Io|KndjW?Wj|{gB_Zspb4)LM}HfIyY}N?~Bfo*4i>^uJ7IC zn7?|TEToa|&YqXMqc+SpSC=>Y^|Q%~{ErEl!Ognm0E+jp6xz2ExAd7lYq^*Xe)4?{=cyq!Abab<{IlocbPUB;v~eH zB%!sD>)XyETCw11-t;7}$^Zd;f#dK;VD7{J!QXL0z{gZuUVZYk*nWopsU>{d1%nFH zi|eE>15RsrPTU35Y5h9RHYxdr!He_th{p}%9FBIvua>@F7y$&<*i))l=lquP<=DHx zV?8&5TMcJlVQ=6g>MYf$96uPk8S|OFu>RZ#AclW;c=zH*qc)@dwO307lO%%Sb4R?J z$P0U|J!eNsz$*TY4)*y3V>HHFYj&nefaCN=|3Iiv(0BP<5#%a>{r&;8$UOnz;hATg z1?M$@fF`|ysD~|=K(n}_9oMXDytE|$d572kpbRJfM`Bp>KL-pS|8tk|pv70 z>(VjS-q}>$Pu~RCcKfiMOUHZ^agPlBK}WJiJ=~!yw`5UI&(71+S50}&MUt3NaHbL( z0H3tkIZg6QN3@r+tP}f(IL+0TLym@4gQAs^9x_F3;3g|eYLUA|6|P-_xM<@ulVK2f z#L(^lGJLgw!r$2*}p5{ zEVyWphXmu81VgnWeAs^=_AN+HBq+t>=o5wyj;U_Xx-gvTGT;ps{4aeTKnAFNh9c{J zIj=5a3m`7}bs<^s=P3+uwVKm*o@+ZB-uaUNc>vZ34=tPI>2;wIs#9{V5**2&+iG3v zHq<@ichEJ#WKeGk@JWGd>B%6dPFu@731`~0F+g)3m&cC*n)8J-c?hJ_XF@+MKTLZc z{82)g;79*Y4_=-$maylGyFU3=L~m@jQRv`j#e`Jz z9ONR~Aw)8y=0WNiJXr`&rb3#vAJqU;uE7*0O!*Pg|ABPza)Rr#<%AyoG^~`m9~HZ8 z4)6vKA%21t7%=53Oeu#cVwjQ!Q)v59-7w_>{0tWibAUT|2=Nz8^@6FFVQMK%{eh33 zW<2TeS9mX{d<5e9XFYIHd!RSU_V;5hu=ZNlE5LW>xF*mEUZPd_8FsIp{84ZRjO)qn zth*c0B0?6k@F7+VlK5L%Pztw9XX0>{Q zXr*h4pdmTKTM7rDaJGd5 z))rLJ!upuqHUC(=hA~yNRjL>u9`Gtv|Cq!1k@r!HZNCARAKy+rX6Z0{@k8!u9y&j- zFY7~R+whK_Ih-x7;&0$v+N+- z<5#255tGF3W@K8Hx}&7wTQq;j!=%wvt2~JiOFbz3o{fHN?3EpF^H^lel^tp$9fI0G zZUMGbL~Y|O(zxYJHi)YX%e`~xYpfngWD?^?nNI3~(~D)QiGXpIQCQO()_qY*`L(8- z^jAW~m#(tVK+&ri~Rt!alfK48P`z7jBy`YRzGeiqiHx5j*U@KcGT z3i+@7Hax!wo{#Cim^0k|isi{Um*e@pp^URLhd4#Imh*KE`G$@JLZ2DxWjf>CktfY*5{F7S`uI!Z+$sj!vG(cH@5>UHu@-BDQ>@NZ^MV zG-xw>Br;EP-nqFadl%}Q4K3TsgM)pF>?n_TN|$X}R15~2%^ELrx)d(l%f#ZI%5={P zeVzU(C`GS#bohfJX!X5*+ExD!U8h(Qg>sa3kRpT!|aoKkyPRN(A z8+u8#%%6l=y{JxB%q53$DrD{@PB?#h9JJdNLKrRRSzuY$n?c$<+wc*|f9*N>|0!?t zNpda7+uU2XtNiu@mE!EVbLSrJ$Xsx?)aoq}(p$?Y6v})N=Gp8ebR@%AQr5%U{et=@ zu2mWQ;Qgl8vyQ)?m;B3(8SfgdpS<2)_T7!nyxmXVoh$kFY|B1-;{>vuHcOK%XYj)- zv@~aAec2?=+c?$HA|-ko*2ykP$*&Dwvb`f7>veNv`$tHpbaAqsBZLq1-z>OZVmIxa z)vp&n8U0$*0kT4s&+xN!nX+#u{2SoB|HJ7ajoz+V1^l&d`BfO zSWm3!3eRhlkD7VV=m|NlBHPOo=Tw2sY~O~%d9P08;O_$+E^s$MgP9ZFCSn-kgL2Gv%PXX5N#m`kFlMA0ssR_vRY zvkQwBixUN9s5iQ+j`(8iIHTMeX!h$bzlBOQ-g9IXhwm9{h@5 z{w5|>9q3#n`DxX;z-QwzpIhUH$z}ii_E!7*=1<`g`~tmu@|0C}qQ;l-TK|cu{lROW zk(YDfw&*OD+*q|KAYeS^#P2(!Z`U{j_+j^6E$#wnVoyISK>zW!ql*oI#a0J21`7dM z*r^O`r{1(I>Gf=c%gTvdtsUo*2RVOhnz+G(VSj6{nGu8BL)vaRZZKkz=9!#1 z7_vy)F83Y`f20GlrD(GBCV8Ufpi9D7UcUe6}p3aLofcgXQH3Ld!na_2#!TFgacK{K6<-wnvL(3<> z)*NwfARMtji0TSz1oJ4K$5Mdp?ctG@0&H(P4`^d@PKZIf5e~tGJPpoFuLJ+UEHkMN z-jC=|Nu~S&B~)Yz=#{N0_Ur>xR7n{!P7G9)GW0PqP*rXrR)~SBatrlb{P~cu3Ku9o zg+G&O`^k6h_Pxnt)CAw5^5v-CZHxZjU?ArO+#P+O<8A+s!d1P*amqQXmz>;R{0y54 zy@5r&!J|N>o^Kb{@1G!!#(S%0*d90v73^_FwqTZmJ{rDVea^0^Z{{Q48h6T6eC!M8 z@(UEW(a2vk@9fhPbJ_uqMU?gQdj!!xszRJpcJv4AC8sy?k{$jcR$)W!Q(Y}NQ^U%S zYz1hHE(e{Z?{gHldN(ouv@QftJxn{{`?KXxbmp)Vx0+osl|SnRfrA zCE0xddtlp}SXWj1D#yS42Nt5@f|~WP0Znr@pcCx>;vz2pi>u!Lk5_@=AFl!G6aTgV zi2r`{d*ZL0`b(;-5*~a75BkG{sqo+``#E3bjQc-ZW;mCD(i`Ak_o&|3OA_bA0$PXR z$n2#S0RG6=iBBw@^jM@Xmz|P&J=S$ts@%zK;2j>Uud!ZnrY6>OBRc^9rs{_z5pcwD zj$dZI3h_qKr3r=yXTgINc8DwA5H`aClL4?mI80s!lTX9MeGvB`&O*F}*aGnq9-ajc zH^Rfy{u{8sG+5x24FbleV0;S3>tVbe#_M6c{>nFLyK3?SjzDMkh^z*p5x#=}LI!aH zR{aLz0jzupA{62v#6pON5HyIL5Ty_|A(A0ZLac%K2Z9J;glL4HYA?h`_^$Er6C8)1 z;5dAK-2P44_(k)YF3l}-N>1oiRi8OF2kIT;=JY{Mw5rL>9Sn)D3 z7!BmZz`7z?Qj!AJ6+}s8n9z5au#0g_IDHt9@y`X=VPqLSP#8Y!TgF@!&{_TsR!u zsY9CdY(U<=6C@{jq_D_PVHLK}GX?p*>^^|K{p!pg$_fD9iejj+9e69bL&A1kAP`-m zsux|FD8C#-T&thU9P>L7I~4aKb!a9;C`4%==DhPU`i_2@W8<*rICFupqrcVSr3h8~ zi^=t+qvejr{YNcv&b0bvqjJZoe!JzlncNRg)-N7i1xz*1apQjSC?hqvfBq=pg?ES618sd$9v*Sa5Hc(}=LC!HKk-t*vl8yGrbp0&SwsS0!5rXwbOHssV zTOqdEWUl(rJC4@=6INalJw1AhdRWef_6cI4EyvZb^k{-gM_UyfClHW2SqAE-~;^IY2}f(BwL)NgD{L6s34p=W8ywgg9}JEkpu zGdkJkmsr}3J!wlzoZpRKW5XrRo%DXJpJmB*7P)#yJRTe8IB31Tu|D%HvwIn_)ZZ+J zVNhW#bsScHM9wlMJN7D*Q5Ows9I1++ZVJm%si1TN zVDXicI>PyYy=eT&L58=qsN9z)(2cNKlX1;D4+?W|JE)vBlz(I z4bAMs2cSi%*@GWv&?KAL{1}6FjTys-^N8r?q2eze^q7bY5?j7i(vcrw&>zsub>yWR zR7W9u+@9t4;lV5z-7-h#gdS1%nbUG2m#W5LFLIWun_w@7Jyl(Uy~w3!o|el={5mBYc0C}?O~CJaX6G?UKF5&;P;SY?tN5=fx@WYS49H0L=LD!FsM zq^bd*r`v7n1f5N&-P{2FSXMXcQ~Od$;Uwm&u|tS;PvmS4|F})l^l?sa+IOYp-Q%;` zp}x9!UFqQ?u^ISs+o0%aS-Cyaw2%G!lyyg*I+G-sDa&@JmCqRtxJCW0@^E4K{7&3D z(U$>BN^Ub4LhgqdXKr>LVSNmg)O3O_;Km`zv)J~C^3Yyl3UiatFoNHw3%B3sTpGPc zvxr-BFy*^_#!1tcBOW{Cw7RH!;Ws;%hQnRnEc_|uyL-AVrejLQ>V0L;ZJ43z0ZbZD z$`2}i*HU*17P|q_5TQNpK0GIP=aKo7OH7*}vLO~j%$;1~NK3+2B^=V+=e*h-P+fgy z`ttt3E1LN>e*Llmvt@;x&<{3B8+4z1#$T@cAJYjo@-5t~;@v*|^V3N$D9x%5aypw6 zgneTemYKjfD)O@G-NPSnIQz#^mr98uZU6Y2L+FlRbMK=v?Z3&|lz0;BrG^5Kz!DIQ zgKOC(C)%Z(@M)snv>ue>*r54&#TJ{Gbq&{SoG$BbBOdVi$HI40fVNG#Z2Z>|1x)YC zSj2VRmyUV89_f)8*z3mY79#jEnWdx%U4kXwnV(qPfURcMXmg$ZE`t;mVfSc05>v@f}-x?_=N;#)AU&{TZ zVXrks_6*loBjgMg-Xd4{G=zLW)fh4zG49lf$^hI0T`0IA6ZROA!Ty-I$GArJC7*nO z!Ln>{a-~rpkPnRc4!k=7YACp)Hbzfn=Z`;K_E}=R&JCOpcj7M^NFc93>85;TsciM_ zrp>c#Y-KF>xodgJO_<1hgl;rU$`0}n)ASnINgn2u?mzHGiaMn?%g*s|>vc}qVIF=x zu>VeXAzlOf??e~owS5rxPRyw1FjM(#qyHqs=pSIPdwtk$_wv5t#AVAB+Jn9Q)?VqT zLm9iWo(t1_jsER0rO>#=(Nu@|#czR(4R?J@T_7wM_ z=8Biq&*}T|-2?oK8kAt^uy-frxv+8=*+~l&!bwx>^R+N*7+XtSAY3%;RZIC@STT&Q zrOgzsA0|HXxub4(ZfwaP$DI)ew`7kZ{xXV9sq)&Fs>mxxA@ z2Ezz}w zd~ZgkU}_S*jCD!il@xG`9x8}SqTXVXfu#0{1WZS%a(Y)}5cZY5Zb*=h^D`uwT9pAQ z%!NXO5|u)EC@6J@)kRzeW7epl+38+8oW1}QBv$I52$s2_`dAGNa3i!!5ciCGq25SY zOHTkDTS~b^f|x&9Lgmxh;T5WE`)OITTsCIDeYf&p4#H?GLg0WjfYW!@y} z0P`ZWDObTZ#id97s(E5hb7`c&7xTAZ+%uH9lgJ3eENE1OwuMatMX*JQ^Ohq^Z54^- z%c0c!+%dHmJrKWMlWL219UAevPu*nsO`f34eg<#7?;SH0hyl>}UgAt}sri@;dZYGF zXJT8(cGXu-UK_kd+;#HXLJL)AoMCN|h3aP863`BVO4vyUPzhswo>*rqkg-&j^{_M8 z^;JJ6gY{8kcTSm@U4^VM9CgGfyc*(4{&>ixe`lq(;$i_`Kc&?>mi4EV02(MUQ#3C{ z5j`cfxCh2q2fmdt$@^Yi&YdBRTdnF46}nCiVmDLHSWj@t6LTJ6mx(fZDjwng5+Qr4 z=@@^&?w;e3KKO{C_{SUJ8Ps#k{E^r^;OK>oMCSoVFJ&bDsw&VNI}&wOy}+D45*MwS zY37c^M61CYb0p!23T=+&^Ny%5iq_TV+(I-O_c?mHu-kR_99>=L?fSEh{u~v}9K?@$ z3db&zALj?hE`=ZCr(MIvwzK9~u*38!Xk5=SPwx+_R5gmJRm?amW0+9IIA)zX9PyyB zqxVU0OJyH=iD*+x#ahIlqU@IHwJ2ZF;+EpI$gf0mTjs4r&l7EEDIZ7tAI&k_I?mz# zphwj6aNyz}QBuKOHLIm)6cjx73xVOrTs*|&g#^#vRIRG%&UJjpM`r&+xPgr>uS@Z0Rt7VZJW92FdzI`?$tv?3^FrQ@W*Z+@}b$|Xf>;~g!jvzN>EFlBH_zm?ZCNLyA(ek-Gq`xK> zrL`S)Al<%fxLJ@5cA0JhMFJ$xC(f9}ss^ttK=S1DV$#F*Xtvs?xO7tRC1p5@-Er)= z3+QIO7odX!)8juN7TP-;p5Qc0+i%$^Pi7~aQXRHcxcWwL2PnT;Ps;Ji!e>A@S>V9- z`Va74t2|+UkuD# zpBicX%}G~gx8q(Kl3`ae2NHe(0MAPVm^^Zp!7SU&!&Mla zj>uxvWNaQZ8>3uDd0v}opIZEinca*(ENR6--7JO$S4^3Vk5gg_>qKBHP)8 zn`V3}Gj<_Q8TQHccVSN%@5#1yq1PME%J$}{em4h=gg(`t2l{)YpXNPmFpi&A%f(It z+cwi2H8DR0y;Z!XUet%k76W)O&Z-zDwEG;h<__c94O{W`X+c4juaru};)nl(Y&XIe zGT;ke!WUTZg-IHj>?lc3S2e<*YCB}ZA$uFL(69{o*f`_TC3H^QMr{h{ea5Ur{At_9 zIo}WGW~#Qy$!y6TB}_5?CSWRN3`V~|+_ilTpnBqJ-DcRK#7EF18FdD=WRC-B6ahPw z_&4aIsQSrt`Wx+RxeqTk2qCt~BtVWgfQ)-Tn~(=G?wxG!JUs<8j6AREwu07JA}=xs z`G=hcsCq&)h^OoTehYJ+B~v zAqPNb_aD;*B{Bs}lefrGbz#-0ZFW%$u+it(!iLHQQ2X>hn$EPLDs|s+qN=fR_GLg! z3+!XAQcZKt8LAk--qW8JZgP8%aqeRS?VC(HmBDKP($8`u$D%VZzuVRhEx3l5X)72i zy#}@u%j>^xLmy|Y5w1!ik5hux{KwFr-KBLi0^>47%*0&xVGvUGA;C(k>G8g98{4pWMDp$>|cxVm`gEaUf%q+;(TfR#Tq zqu4Rgnn+Q2VqqB^o6)j?F2VuoZOct_ni7g{*A4p|(~F7e2}_|R8t5{2fxj?DnR^Q= zyPvzhuY>)$#laZ%C}3{0(pyo73=xjVaiQNe+B8~kcOX! z535u`Iv&y=;aAxUQyO8FpJ3Wvk*7d=Wl=Apmhv6p27^0w5G0$7zAq zS7zE+T$(n+Ozan~#ikloIwFPxM`J;+QB+iabPT(ac1Qi2{guOe*dGjtK}H)lR5a@G zf|?42zD6J4=pY7GQKyWFsCfxzHF1!ZaH~WPNNx5bctMofMEBpQY*rP{HhU%w_#n zXd_b+tD8_o`fPyXr6{wY(8O41Sp$Wp0XDJPr%1)J^MT9iSxtRt6}jokdFfse`o)$Q z=e)#u>G(ssC)P4IN?AVOQB9+P0&Y0S$a~y}_kh#3FxM$1b|5rDyTML(9cUxmha1Nd z=Tw(k8Q8$PkGN^yFWaIdUZbzl&T|GP&L1E?*1dsRaYkF@A-Lw^9Seb9mvBg1WFz$m zu3?A^CspF4&6dLuiy@vsIN7rtMu?vvjzate;os{MOEFqXpoJWff&R(x(wNPNziiF2 z^HS_I-EGGPH*F$wlJo&2+_yRB_T)~&sXA3=loC%tw`{+Ze9Gu0+d2{WjNYhP;4JEy zzZ|p47SS_zIqsc}*;BI|i?>aymn?_QYoMcL#Pb95oaK{V{{YG+OK~qNfcDO!=nZc7 z!CP__KJC;-^-ZS_KlB4`vHg?!!T{tGdvU!m0L`*1T+mO5*Y9-HC#GTQ$Z!$Y^cSU|J z3p%mWMQJUio%k~%T+94g%x9vAmbtaKe?`oenp$u&o+gphf|IegMEVGvjN>I`kHE<| zNK*9(%E1|ul1ESuMoKE_;A9*ksi1?CF+~EH1%@a|QcTD17I{kM?ZT9cq9oHmwQunR6FYVHO!_YL zKEqklS2+OI3#tows_m>pR2sIJ0Gd!~ylmQ*gIocvy22Ffb7<8GQ}BV%F3t@DbUZNC zjtS-t`>my|5NsG`j{7_p6b%QBQv)sQ%%T187I0p?cqn@L-$z8-PnX6GR=zTMS598&rW=XsZVZvjCHltQR^yaU+x>67HZ) z>nKjbG%+(lr-985-J-o>_eiX0!W8MYLX{{{S(1*rY%GQ<5l>m!guAZ0VmT=%DGSrR z53m+miB36LbU-PL&w`t_i3fpcTQ-+4&X9;PJp_QKhV)>*L+r~zjCff%pX86 zGH$Q}%CjxzKK>tDimP=3(GZ(~`NzK2rEfzrbzjN8X~Q!0cO9ik_=bqbn(OxT9uT~` z;1jG(0ON?=6PSiLrfQXKc8@3)_^^j%Urmr=Sshx2JZYk$0eJ!J$9FqpK-$`Gl5+v> zy5X|jQbL9CQ%7WPz+`NNs>XKOG1nb9F{c}|%=SihYy#O0o$FU}#t5fE`@ml0AiI+% zN`c;PsIf+X)M4zesyKPvNc6Aj74n%Q@zYd+z@$yCNEu(I@ zB7&kuMa|%l5L8f9tcWoV#Tgt^MNMj=SgnG!N?X)4TBT~0I8>}u?~`BeJ@?%II(MD3 z{`a1>{&%h0wcaNC+53IJFJJsfvfpPvn;vmKcEcus6##<(ct&`7D;Z9kuGNr3g*@3- z%sB*i72F|kdqsFQ!F>yE7Tij>M`9d6$RmtJ2n)`S*I<<*C8N0i@`%%F?mWKxekzYs zh(<6p17`)_d!}nJC!Oy$)9nCfHs5n5brvU|&zwp7jkA>R`^1&O$>LL=xNYN<^F5wW zCvi&nj3=}oIji|Tbk_t9x6Om@HkC8I4IH0eIQ%wrlo-ybY@;=}Uf_&v^J;Kg$(h^6 zYM_4NOlk9Lpiwx>D_!$k*I@tEwI%2?d7EK+4$m8+_57R(-jH6X%o*K8_*YR{ll2+H z+?+8@__8~t&h;5KY<%ysSR7&{H6Htw=_a?*$j_ufropA`OcmvL{+LY8TdKss5;hR`?Dfbb+N;7m^@Ooufu+rqD*zF!}+}2TXm$v?!2N% zb)my)g*-*|b%(1#(gbYsteD}QU_6*SPy2o4UGY>?i`BWS zd}6tBAGyaD0f7jZnMuuyK!mE}^PNxDWCKrC@X)Hp6_W8+Bpz*1n7h+R`q13ci@e zsfUV+P7`ME+)@GxrIl)iDEBn^G0&^7q12(7`GgSu$#>=lyhreU$XBA0_T5Am&+(jHQd!cH%pmno$0pvI#;xZG-? za;k2)DY5Uk#-}~>72&mVxGuhnRqg+m#Kll7IIr=#>H0yuQ$4aVm<-v7lGrhhHj53b z1kD=1>L9XYGbErcxjT-ax=2Yv+k&w5Ql8MgKhF_j)>Px-IJZb717q}8jN{F={o`t_ zrzywil7#UEY+vGd@bNQKoVSB?wGLffBCbka>$eIHxVv0pWlQ|@KN!cD9See+Nk=7C@JHaR({S5YWbts)OPbt{LDvXzh!nMr6L7I09y#WV>eE97y?`&Q_m8; zch2OSB)d45yEH$^U#1zIoSPIU=$h3R5ImLZ{CSaR&h)kFP`_h5ZIA4!Fs?M<5@D=4 zxOC7Z>tp)+s9hnSrjF-KZKI#2-QcWj^R9A@;9L`tWLai=^6tkB$MgCK_o|JPV|$q= z1f8iRI@OqVDfc!4N)T}05aC|r2gh(YvfHIPCxA&;BiwuFCj=FX0Ym{fX8|xB^kLgq zuDi6mg+qEH&WcwHBQ)d7h|83J7=59*!Ws_NsY6f1Qkeu)3-m1epj;)W>qajgidInR zniBTedNgDm@&=sNE3ODMs3Mo|6YNhW`?_7zT`}2+(wc1c=?C?-Bs-;J&oHi%SqmwX z$EFnbh-h)Bo)?=nKy0S(Ku$MCG_D8&))7XJN=8b=amll^!@~Hc45t#;xmqPVs?q-r z>aADA@@Eoal(m8_9X2H>!>j#)qus?$5{-X?`?THY zQ}5Cq@kaI`&8qKGnC(GGkP!Lq)nPT_-_>D_0X34H>g2}YapIrUL5+dqB;Tmx8$MlkjgiK;igab@M)B<;-L|1z+aBfGq&>=c&^2_v>@o;`}tetD7>ZX|zD>8bVKQot! zuYhux_Kq}$H?ktLhqOs~L1$O#l04`y*+d~BIqDT@j-FGI-$S_t1AYXO7JX^tGC62&>CVvA?72LY0c+ zO!^E?h&j0<@~Sk_WLGz-miUu?>pwb1Uvsj|@g2d*39$p!-*@+e?t9#J)joEjk7GuY&mlizOlo#Gs4xjC`L z|DhGbZ~;jpmptZcS-8*>MP-B^^&3>@8=dFLJyZu9?dB<_Kx5bGxqPVVc%y?G6d6KC zSid)1Q%M?KJZrK!fo+6%rUSR8z~(D?Hg}>KbtxmDWF+hyRc*BeY=_)xQla7mcfQ%F zG;lkp-h)a*w@dyM4(-KG?7ytQC&8#bK-!_3dJ7X z5)-T9Vn5>@^Ri@w&`HBRir=A2j3I5lJ&}pxsruK(d^1IZK7QpaFP)E&rkPkqUS(i* zW|xtcDHa&Z+kAQgmts>i)ZKrnWTl}zHs>X1{1>s;;^OG`30wz)d!)0JVwfh7L)f9_ zvM#pL-H|m$Yg7H?5e6J>^h)VEe+dlkASTS0&V z4K`bG!#KB+l!DCa91x(IGA1<*7ytja<^S@xW&fJVdo6n{DIkuI;S|Q%@272+z7xiG z`1=w)4DNMfQ=A7Y+x(Rx>zRzloJ4a_NAy+HdJd|anBufZF^5xbj_e3*CN4L;_1`11 z!Y8NHT4)^>R${$QpO#b5?pPxqt7;LE=(Mfe$~e?yPvnj@hld515Dyq$sA@&T2F60^ zB2!dONxM_Hd>Mylj&2EhNZe-l1nFz?;TjiCf;pfi`k>4cLMel0OA8^Cf+W^32&Ev2 zwYHJ+T(Oz6${bhf|5Uc#M1!~(VWVMG9h)f$F-`2ut45!f+uX$!Ttg}U$;lH4QTQ}H3wp2R5HdeFSc;N#ut>JI7rEB`Wdwh=!St3gchXP z$a%CExHP+YX@3yXMCoOa=!-HAZG)D@T>GBc3>#^_=FDSTMa~pV+SUQ=O`-y zJ213?=y}+IS%4iFVj^}~wq}UG$1V%|x$GErSwcLef2gV50iLqmY|=}&3EJ{5`b+Bf z+LA8sm$WGD>UtYW+W3l`)A*Wu&sbNAuel3!)4QC#sekDBT~^*mELL`*bZj?vU`S1F z#Sm<8YN9^SP3a;w(NcBGyFmB9FHxFS^lk3Nx7-m(6%jR&_P4~J8grBKyFS$aVu9^oInRGzzvE0dC3m(txPyI(JjLKvHz5*Q*Nf^# zS34}K+2+5a-}eb~q%=jC&=Ij3Wu7PeFQfUA>wGS^4FU>Yoatst7&}p_77hsuIV&{@ zhlio*$rd5HG5smMBODnP=qx>Hat#Z0mc20{jk`%!V+v{s%94F&ifm!uk{vd&TSB5G z&rO3{0**_+Vo&mTx-Ek^Pg$kf)IoS&lj$FDQoMqV;;KbrGMiNz_C}n}_A8CF7t{H! z@ziykiF})Q{Hk8e_de|!{Q1+u8^&UC(BI?ccIGx%9ZQ?iS=c~akhZ)tKacQ78n3e? z&)O$#RwqBtYIoYC&MA4sV$*>s&A$eZpgb@wu|JP;*3F_WNTx(|MwIA`!^}>a5hb@& z%i5c`er=9Dt|t~$>?^P;uVD?-OsR&-)Z|o6Ldkn$qM4{k-9$jUanh^Ww}f|$k&@Mw z$>IxO*1bv|q)n~N#Yd`>yX!TwCTWvXY|c^22ZINCL0^_}D^+5OevhD{DcZhFX<=5#lpeeQ3u7T!tm~h64EFAe8FXr zk2fRa)CGBb(S43s5TC?3u6(yhW_$-%S5KL|sT z17}N42@{h;pNiiKqc#3dB}(uIKU4i=Z!=ub5C>_~G)! zxy-hVs1bAO(<5is=U404yZg8BR+t$|N7HVX* zPbwEqdE)x0tz%IB$&TPlbLyuKXxW?l>v<(@U-3qmY03f9941L06KP*FZ3^A(a$8vB zz1A@Hy^~?#_u9fj?wtyYPHYVeSUrcIJD^iH^l#uN=^L47Fsd-cxbE9!5OZ>ZNL zUt4|zSU72S6j`dzk;QRGNc#0+J08Mth^#|ouL0yKG zi~L``7k$B5aqaSUWJGCW>us*^#>b92Z5_y)--kc;zW8GwsP=IO8*&Vf5?fehvssZP zsO@$1h2TO#7b7L$yrdF>3%TzIk9paB4ZWmh=6%T={d)*mdqK!LXq4x=X9`7K`mf7{ z?EQREbEEBCdUI&Ve*{0w8M`*#eqoDcPEwv*z{YRA!YwnMwxwn4>svq0GJkY1^-fs( z-Yr<=f6egEJJP>=`7fO7qkbA*;J^F-sAHjc-G$k>1ZSG@^5&)DmEK)}7v1t6uRrGn zF2&w^nDp-h@%Q79qH`?py<07KsAYjH%L2PC3k(~C1*&qfK<|Dm@c;S1Vaa~;eTw8~ zoTIN6QohJ18FMq2^)1X?Rx$M8aE;p!K1CaUcRp^Y${tu2n)G1Ge&+ls`>8Jq_A_2g z*-!H-*w4Crs>A=Ypq@W)oxQcYgMF&|CR^G4E&E9KckEr=SJ@Z3Z?QLY*W0#v;Mxg? z;M(bDO>_SpL5XubpxQ=YP`^4Ns=ui`CDzJ+&UMxiP`9&Ewmn)8*g0 zel-Yo=|Pt|^$o7OeyvQ0E7pG^-a#wN**kUsozAhm8sgw!{cQI-%(^1Zv}^Mq&-}j)-8>{0 z3(U1Fka8;nvFURxvBNCOc3IHcTb5<3EX(d2Vp(>#W!YZKvc7Zn16>>sv~iyW^_~Fq zX{lv_F_r~}eq}k^F3SR&EDQXHp?w>6TV9UKaLWpQOD(5UxeN0Krhh#a|K>LA4gv08 z4#d&)KRgweS=QHE&`iry?^Rpzv@6Nu|ME0*|4f`v{T8^=#^K)rZ4mtb8Mt(k!8wnV3H$4)gyV=xzb8L<4lZV2ONbIj2KWu$zA7%$+vT zeUIaB9?tWw=QK}e2ajI9URF4`VNP<(fBy8#lQJ<48F(GwgQ7fAMKT>)7^s zM-NXNvTpCMs_p-CPf-lA^Lpq0UsYu1nxC$_wpbRDSl0Z{o?_df(eaEWGUte8(*M*` zax^wQYYLo?*D$WTp20E$ug4ywB-9li&0OE(gv-(Kz;ZeM`=0W*IDX@$4)R!(ls0zo zlXCeM9MLuSdfkP9aU3eP78%1iacwj@>jK9PTZ{CSoI!0LF4u?riTciwVG+*aMJE5S zn9tS>eLxO>z$sjDMRhXS`mlVT>Tojou;Q`me6rO%ImmR$ql+o>s;9hLcq9RmED)aj9p$ zqkrVYU~dt%!!LN;&Z%_kRcX^JWMS6jbe?X4+Fdh+PB|xcGv@Q%dP2rYe&Nln*bqj1 zMW4l;#&>>4`%PD@w$^~U@IgLKwPwJv&^&GW0y=?cnnQTCagUX|`$%HNv;jx$-{v6% z89-k-K<|;nJ^{eGeh=|pIIh64{@QIqt;Oj9XAYcK;MlAOh^+rUwY_`PVd=HBgxK^v z%4Vgf;Ao3ov+EgcGT$p@&~vd_9W5Fc1a6%#xOJ4LRy?{4BlC8xC%r%R{=4cB(p1G_?wC zO>P+>VA39XUitz2xd~M$>-05_?xJNP?e7Tw9Mb-$(iX-d?Y|gl|Kl3!O{D!d>%RxN z&Y=-(r9JXrwAPiw3&2)V>USF_cCo5M{*v6rE@hj>t2*EnDCwV#5pl@8ydpi4O9Tpy zOC;liY?ja!4JQZ@sEa!$a;=6|&^BRMe~BY;r2JRom^kaBZh^XaX4n3)DUPd|n?VQ& z>pLQ%4}_%45=?Qe`L$Lr^p6D>-5nC;q1x9%@BYy#cAx2wb?Ih$cTh8VmtlxNDPoo} zZc7%JmbVtS6PC(Zx~w>>mu@kV2SRptUMbHPH@QP zgpROFRy*}>bwfYL*YP5WQ;>L>aFp=ciV@{ z|4<=U7p~Z?I@yh`Mh{enyNQPt7gguGDf8ri<5nfiQ^;_u+B`>VvEoYiAU!oF+ne;> z5ZB4^#wMd(XGW9FM#G>wc9tx}w0>#BMh!Kdc24}%l-N0{$9ap%xih!Nu28XBbw*@! zn!1lWwf-1w7C5wj7*45VB4<>Lpp&O{Z)Aux8iga_A+mB|cyDwGF;(xSdiLdg#SVT6 zV>;pLj^ORDUcJiFy?T}2aCYjr-*XE+_t!J|zrQMiQKlSOGota=f)>y3lC2p^Hnb6tl_0`i>50yKu+x@l@ z`~9C1`=)1F8TYxrH$HanHPYIgs>9F9ZVSs~v*Vh$Z{2k&o?~I>;H!g5gPkR}XOv1~ zgz?gQaRlxz_rf{!o1skJtY!MnLI(71Ttc!UkLwn26LvvdO7!pC9 z#rv&Ng*PS5(3J@uEPKd~=%wxGv+oLymR_n%RZS~$>5VxqwW_QXs5H)G!#1hT6yol- z!)blZ+R1jeH55T(hRv17>%~+y$=zcarPl3`wpTMrP@Ca&_3A3(BP3CqGALKC`H*O7 z zE8`PxQc1cZzU@ugI$bs2CeoFMtxU&A=+_AO){)d;T`nIwAqRAe_~fI|tr^F6KI-rvMreKi-BdF*5&z9{=Id#$Bp6L!GB2~wB3`Xsz-KvIgIQ^jpg5M4qzMzDq_#t z7;_?D8*|5H4>h8%<}HQUSldX*QYegT8*Mfzt{dfzZX@z;+HANeoAT>@;W5gX$KM1E zoGIwnRmR0sm%g;tUKa`NJ}{?RR}8ynY+c*EUUsU@f5Wz`iQY|{e;ittQFcXVC^&rO zlA+nVXwwp}B8Ag1jpq*witTxs=Zq^b(lKUZw>2m(@W0owvLWDGU!DuWoFf^-kWbI$j={Mog-kkcXxb zOX^0yJoM?yNT2>Mdbd}c%!OHY=KHh7MZ%DdsM(SfVPZ$bQ*pJ`*nrYc{84FBb2wE5 z5^qdS#_1Q?cBpfscG=MxySZ(m?+LyI?c@geeeo8vXHNJ-9S1fs2fZt1@h0bFy>!pQ}Yz^=mz$?J#JZtWfQ!nGiI4Y-UT; z7HrTgYu2y*<*}RAN67uGUpw3|s$xfh^(*FhU8>dIPvd+qtv$N)tJmvNzgeqWT1bi5 z`zrL~qP1Io%YM3H(76KFgFV9Ojf<4Ly(blh>EA_dUklK4UULBxj^%JL0Oqg16PCXY z4xi`y3uqW#a2CV)9?rzIi^3DvW2}38w%dE&k!}wR1_G8P%ay4J_;dZlZ@O7ozX{hQ zZ&MO~&5L$kKj>UCeN(Tg0!suUVv_~H0Zk$RGyqu^0Be}401N?e%L1?fR0D7T5Dh>> z2e7C#N58F8B|oy4OT9lz#y-A*%TkR#hwyu1me$JNiy;Og-rxa|+k59`#`- zWtZ5L-q1zT&uo^v|00=$?cyHvMyg}`xwGHM_Noc}>2%1dpq~bv90`bL4~ndk)I|iH%Xu#Y0U?V<-1mJq6LZx{_7k|BCDY8fCqP70LV{35F(7 zUJ7A5l&=<Il z`jfy-VuG7w@*1`6?}SSM#&iAa#g87(uvw$OX8XBzGj$;Nx#TjW5+}qHzR1@Lpat`p zu~FyTW%H6gK^JWf>5h76y%L>zPKYQk(FWviQ)&gL9ST=2+5mGva^wi>F(}Ar>9_Hw z?STr`kcv_9#8A}Dj5|&E8pWdNr>*B0o*A3^t(#cKbfhkF5q*I!xXZbS`3EZ2tcn;u zx`ZyfBGzu5Qx~a+UJTC6tlkHAhE`CnrzO>eotHgPQ!)ZpNE_6wjNlcrpf1XOlmy4C zV=^NCl2oZjX2g)ijza&AFtQ|57~2uKUK}Y5>j=MO^^-ofuGo<>RBu~1vDW4reOTSp zTJmzed)?$($m&9)(;d1GW|gWDx(}czHA45{x~iqox<>JuQyIr-aD61(BJ>OkUQIY) z*sQAUw`*Yhz#SR~8LJ^&Qk+8`{S-Gij*`b*%!TAY9^)-Hp^cEoa^O0(+1{lqxlwI4 zJt5nrKMOrt0=LV4G1y~M#O@XSh_+ampqYBq>Vy1@v82tpC-k1|lY!hP?uHu5F0?Zn zA}T0DJF{ab1REmC9I*CfT}DynLgQEzTaH6h6y_RKV@@+pF(-+#${_r@M(ZFPF5(a1 zgMK(Z=tD)km-wK6pgP`7ETOIBrnb4XNB=2H(p%+B^R{u)2Xy9mlQ-(Aodw>c3HrFs zJa5Xv8k#Yx(ycuzOR@|lmdBHc4{3A2i}mj3G*SF&9E^dL)auA+Nw$7g-yx0l2-;-s zkV>a`)_tx+CGj*pi5pSrP#x`-o70sxxU(=wn#dkn8j&kr$__4#$(1;>?W*X9xS{pr zD&|}+tKPYa@w;vZo7g{Yrp<%2kj~zj$SV~y0TrXH#YLi}bRnSmsovu76aO`*4 zQ*dL(e<&(7bchh5QV*7#-uBzQ^_9Q(R4L_S&#kpi%=r4ju`qdoAn5`A+xUQYD9k@# zt}09|w}14$>F?sVm*0AAs$4(g@{4btRX<#EV)3nx6<0mx^z8Y&cF4gek4FZj5DwN* zKuxsEWVuK@Ow(g0R*_Gov0|sDSU;5?7aSMSZ_*xUtIh5O{=O6k15Hpb0{bvq609Cx z5WYe@%QUyOD214hj_S~)1+kEF#NZ&pXeLd?Yo)! zQhv>L>D3u%2RFm!f+xCag(ymm>uUl5PVfe6I%CA&9n(cU*^w> zY({?)`Z8ZeqvnZ1&*Z3svLSE2jGT>oJftIhwxkRn)zMGI-oogPK~E(`!Vw+dTc-#E zIwF{oYGGVQ%yzM38=dZYgTrm}rn^OeE$l|89syg}lTMotwlK57^#$0%P>b;5%moWE z@S)9|nsb~f=B2|nntXDy+IKl06S*|7UdtAvETag2@3O0HZb3xYK_6-yWggVxKZ3|G zJX3Ayx6WgFaT#rPd5m57OF+s)<0dC_a0`frXFxPO+f9Cs$_pMODki_Ay#Kemv7#;6 zF`7D3ydM0ei~$=@h%mLC@ zN}6h2zt?GcC^rI)kE1@57U|+v$HwpRuRw-qyDo*JTv+34DXt5 z`$c`LYEDpOFT>7Z#h7oUBVaCs$+C0!3#L_lt3VJG=}xzEAj1rRSqhVB=dd0o73NHs zn41nW4rUol%)JWJ4kixT7<5*4T}WwUFO?oL4Ue7h()tI`yhgvY8e%AkMQ4)PAYf+A zOlkD;aCyqRD*IS(7I0^#6u5i1Fku>Cj)m#z;j$g(Z!mc0Il02=@V;;TvINm(}j5+3TX z8$tU<`;46g`i7MkucUSC6XGu3>ek?cj@_OU$<-bh3=E%% zR*^$_tiH`6n}LY)(l7db#)e*Umh#%2b;(!S98Su==Hz$zKm&ra6nw;hW~-s#Bklkn zk$D?p_oTYX?Y3_eJJ6M4aEI#&u{FrSIqhV7#eMGdE*hPBk;CuuqSID!D!W(>u77jJ zcKJ295jk_?TpQ2?bf+*jEMmR*ls+dX%aJ%o`73k`t!_~Rb>9f-$=S8!XUaIEbsV!O z?5f0Gn9>p!A|5AXw?u|W!i9rd!Vik)3By5=l6VS-fg&X?6o!BzB^f46)MVU55kL|8 zFB0Dys?mRuz*4R=y0zKH;{!gh%_bh-#t(o)jUJ3UP(@|m=2kQ)Uvfl0ui{Jr@hkZm z)u95)aq0{1kT$eDUMOaw_%*j3w8nFwH9D6DJ|rzLtW@n5`JHC@iJc+m$gj3J&hV4H zz~7b?=0O^6HMyL=N485(tvJS1q^PBpwXCRRH3pelTs{IN01%+ic+A}mvGHTu>k zCC!a~Bl(oJy5e#N!9{LuLl$Eb+`yWs7@%KtG;f5kl@{AoDPu$8| z9LqaRn27!238$@p;LVR6ecEaWZ))uL)5KG}m8fq$bG@n3%1ZJ@(s`zi91|q8s#za74dba7N>Bl)6lI#^l?Y)q}pvpR{C@4~|YD zJfyow&!vT;gwM7;&=)1~w~VPs4aWFd`RUoj5w$#WHT{A^fAT#>-`JxO#vckMYv;5b zM;YyCt7-c6)`?ehtrHDtlwC8_vZ%N&-Mz+M;|+`>7%v)o1yeJt`X*p3$gFBj4H?!= zUF`L;_8X^5%5R*O8hXeVW|YfPKun3jIDyfHsYzy1e{q@3HGN3mhHl4A$|z%Y8)G2&l1-?7vT>m~A$ibQ z$r^ozykKU*6ok{@lV!4ztZ+CgTD(v5hj5wUXkMBg$Ev zV)E~ZaF$e?Vmo416FeZW#2K)$ui3*5sI;LoFN@ix$vJu6Xic$DrRn$im*O>OQNf#J zcTCwi=>w!&^iX`dCMAz=0^NV4vCJHUygBiie4??a(z7Y_R>zfS_DQirT7Jb|h)~O4 zs?;6G(KV?~b|6RBqdMGy99_HWdVkE z#?H~qU~RBE2!e@{bagxg6UE~VJB@?+%%bR9)|2InjN>X3HRBra*}9lR>#~VwOwc9# zANu&gr2&PK?dpWm;Gd=U)IOzw!^CGzC7oG4l%JKKxD+#?H_X@OeoYprQLdVj6vuMV z(l(;rb!>`tITJr(Onel&lFMnEQRw>E=xnypOst|TQ}`Oo&GDKsDa2Qd+tN?^#m3d< z6cMML;7gB@W}$+#PUMjS37OqsUModTDeMwTF~|-ry`=MshsL-rN=o!!s5Kwix4#z@ zL?UNd9NMAFz(kA{r8`>PuGwoG8t0f2vtGv1+amdg%GG`NO%N~Q)mojXX)`9oIk$s- z+KjAYaESCFvW_TGy(F~n4LvCREcA5uKZxoBvU|{MsSc9D?AfxtLT7i>wf!pebq{zd zs~1|i2Q#HzLaIBOOSK8@+(WlZ%|eex|Lrn`kklBIFTE~gG_vz$hlEazA@`)e3w;^` z?x6=Wu@Uk&r%?5}301F*g|g+SdY#tpz(m#SDD#Mdpb-S6VS_-?ZySj}f3r-q)}m_r zeEDtdIP=f~C~qGzq_?J*SrFEc8Op<3!sLiUqFKp1=6_N1o+EMO$W6BH${#4i$TTkz#`FSxvdcx!!bfJlCbEiGMQi+#u_Zn!4P2N zU{qji=qA5?!>U=}IzY$lp=Y@jL6|Wfp8Ggt7sf&y_R$P4n`=1q8hxVeCLI2AEhaF2 z@lVEK`*Em>!I+edNsllAqRi5X7(cW*J)Q97?{b;HGK_Sx=8pfaFycw&5Uw+y`Gh`4 zQl$3oh`L2yp0>Phaue~5Vlj7hrEiDpGnuu?Iwz;d)?Q(+YHhKt!LCkAi(`!3{~JyMhANN_T6I#JBM(IBWeLWJ?9;aA1;^#z^i>iWGw)QPUHQHHcmbag#un2D|yXtJ8unU#q=b5$qzCYr2f zRvc?29jBery%#!bxHnN1u-q5|HHksSI`kM@{&z4{yNn1jh*#P_z2QCu<3 zs6bVzZF7l6^+H3Np45q^lrft78)# zsU&R<-_?<}PFuluuZ2QU2A^K*X3&=My=$q#+HQOR6=hb%jtCjn=t}d+tW`LN81|F4 z&bT8T6gO9QxAC-~Ftac=H6*H$x|Mme*3Nde!N%s)3~TAoI4fOGqgYUq$%!2q;$PrO zbB%x54xKQ$=I-wx&XYmZq}M3K|D^WnhaA@k`exZ?Jn9q@?8TPQMeb(?>qdv=xyZSFk z7V-|4E@U0rc5(E6_Mchx=kGsK0*5V^TFHy^;U#FL(ohG5{w5jGOS{rub$@0nW`9BZT`j5dA(x1A74!*qqddI*j-qUrdfp6!y?_~X1dvn_SnI+v=?r#0^ z>9;!u{uuKwXDIt0=a!k94%OcDYx*Z<$CiJ^d>r?$n90#QSxZ|-?YF--7#DIp-jyh~ zL((%G04V?#1Na_5!e{{T044&MU;(%Qk^#&B@Z*Qr1NQkuSS-9P=hJY>N5j|%R|4LH zC5LdiZ@?&y#v5i2&t$ye=kYJ*-j8?_zQUU@FB~3Ec)&&wB(M%if5a)}j|XrJz!@y^ zV>*DT0RFH5mI$0d{8?9dNFeBs-rK`p3f+b$@P0duK%k5cks3IWA~4^_dWZ4 zTRHX6<|RimRvrBXArGPv;*5}GmXPrXd4P~tmXH$&`D6*PtYU=_7lb?yf)QXblrSQ5 z{f;ORHU?o!7>72$Z-G&c1$=2RG%!NpNgaeagD~d-@A-`PuJD|OXO6`a0nbo)Zez6- zTpV4A$t7+GIf;~R>;do@02zR1SgzmvIsiHV z>n#B0&u;|qD}ZzhfHmef0O$g6)dFCR`Aq=K073wKWm#hjfa?GbS^&!$Ujg_Xz-$X( zSz|kZp8!0y0G2hH0el3&1W;&MqaJ_(z;+8@Sz{-F-vH!W0LvQN0Q?Bxo&~V1u^Yg9 z0EqxrjK&4o1>>RRf-EgsuN_|LVg35*EZcV0j)1<^04M2Bs-I>1vi62+ ze{}R{!DKjIAvzV#m2h6#j2SqC){$6-1E&to(Qs~nbG00^;^6oi;2r>*u+#t!+BYAO zT>u~9psTS&vgM#-0Ga`gMC9ihfB|^B7vk*>#lMxm17S>q@kNn0dsuMp&fib>PuqE@ zHOUSFBZDXA*MG(NDQ%whEY=~rhSB>&a9%fH43{0DQCnmWY5{T8lVif=bFx zkk~8oCJlnDGcyBQe z9nLM;fB3th7Q^CchUYE9iu`jY{Whxpa5y9F@Zmf9XKEmA^YRKm!HXL&B>OvIe?xG29{UhQ0XZd*M0 z@GOR>nRV#!8!3*?!qMAtA%C-6_Y;yUTShZ4ab=GcJ%u8kRb&-t-64Tk!{T!0nf){)DqrE8@>i?VDP5&iDa= zZ5VzCevB~^6V_ltmVT+jXH06U#UvUgC1JueOvuBiDm(YP@-DmloWC-IxArKTK|QI+ zOY4Zvr3P#O&;($S1&9K$89+OLHx?k~&a&Aa>{WB}Is2D=Ch=B0o0fqm>nu;w@Puc1 zl8Gk<%ac)f5^Q-g4o?nPo}}Z+oSJvHE+5T!J#gFY_uXrT)<68XC+g1dFK^fv-;Nvu zF8i~Zccu?1^(6z%F?W=Y9MV>pSMJS-SsfvNXF8|OxZgjH{h%j8SvBPZ`_8P3hciCB zoyRV|eneRnd?Mh^w)TS=tP6$g;+ON3r&I4yQ)6a^DN9txd!3#uMxZf`1Nze#gwBVBv*y8nmk9V9g4liMRATr0qbQE2HT2l?smtS`#7{X&v=M*B?u!S&WlE2 zDWw4+XcWdS4Gxjq6Ams7JcwHH@KWe#{V5#Q>pxq(Nf@HZziE9R${8!-C_Vm9rCx@V zimi<<Ok?&HfS7Y)vxV_w>{~a6Uj9f1$F(tjwpX~ZS!+vP_(g?u8yqB zVybC!Y_2zHpMIgB>Pdoo;3i2M^`W3C+4<_2Ei$|MCjxb{RS55>>^f9+-8@_lreBrK z#s0+kWYTPX)p1Ut9$S~fVX~j%cI%oNZwbmX=fuVw9Mb6bgGZ$NcSq*cDzXx81KeBS zo|`$RHS*w)0^c7zj>4S}_mMP**22>^^V1?)^G}msqv=8GiEL5azO|W-%waG8#D=;&S~*{}UR*6B=}FOEOp;ojma_aTae?#r9IdcM7HMCzD$a z>iM3@(~U)4?oFZ5q-V+-T9Ro|#a@kdp6g_3jVU~5Y>`vAGDKBVihf=5ISE~kd93fb zPF>b_=~3LMF8jO8V_Y9FHiCYZo>%*{g#Il1OHC~GA0|~A&KTV)eM-a1!6k@NwP_ed z;pM~~ieGfUnVc)uX=p`*URh68T+sb!^6gCPaX?=SZGvfaXJ!iFD79jDP#I)(=BHTytT?W^D56)nKH!WoyKC}OoR8D8#V-t7jbt-j!>^(wA$vL-*;8H$ zu^idcUywarYEIFNZYR7#_Vj{&JaVgpHDlVXUolP~w>lrW)nOv^AatoIQElx-gT+;l z@r>z>{7Vw89tjmPLa~9<*Wzw-UZFF_#o44puLsd07VUbSo81DDT6KHpx(}&U2m{t4 zwc3W%s&h)rRisvJ`qYiKC2m`hS{)2tB~q)0kXm(WN0oiEklY(Q8>v-hZ{TdCR-Jo8 zpCYyD>yGkz3DT!QOr%z+?rbJft9I_-hx{t^a1Ypy)G7(3=SZzG+ynEGT6J;{y@%AQ zPow`mq*jTIK@SOAkv^>vIb8)vH5Fne~nptLb1L@OI)pq$cC$!<_go3EEpb&Yr=2tu1Q~V*g z*lm@F>UAfxk7(jc>xJlcxuA{G9{8tpnc;)c!X=6MQ|6+#>f4)aJHl;)_L?cSKWzYA zY(`3N>$8oEAxAdK+sexjBiPyx{gg2}CREA7S5v0d%rHinDGjJo*;)%+ju@++p>#DVmsdt@vQ>U-$c>i6`Z6{EsA4X40NXUz$?t zMmOR1binNy&;bGO6f~BBfOj<-%Ye}3h}MFxKXSNyAG%utXF4BylxNUy5POtMIF*&u zuz=^%Eo$Ge;ODYCYOAooX!4wz@ti4Lu1&$7l11vc`n#=0r=xXm-= z5!{~hkV3T%mmgHAHRL?E?>V5c=TW0Lle*mUXva89x;*c?F5pb)V%~N8gR`K^_b$~( z%TouoL>x!k?j@>A8sB)=B(c)45w|DpzwP$qYX)bJqFdcpsEsT5jy?W0GB2K8#nv#p za^?YTlsaBBsln=;VusqvNV@QUoUKANO&oqJf*DX%uS5?#`| zC6vIIoUd(%_vNhh5hZ`?T(zl{Sj>K@4Wuqr%?A_@NZCr<%pPvY0tf2 z^;$VuM*(kWFQi9Buw8UfzW9Cb{8(K}FsJ`47_qrZeiaLOJ1C3J!#?$(#+ zqji&o?)`<1xM6MkHoDtgV(il{jq`lzIzyWk$E*&$Dk&C1*giy@iaLUb5Xo!9%+~Bo zt51eJG%Y7m3{@2wHwhcinpoCIT1`Kt%N9EKPq|4NqfhBmHoB~4IB5B8t^*-V$s>cX zA~Vuzjr@R7Q$YSqzoDBZBqayrOD`M3D{_yLzsM7e)7qE=q4y*cg{jF=iFsK!BfFX3{+JZVZoQk2Mmch>D9zr^heT@!r{r|UlM-PXH}f- zcWz)^&<+(QB~N~7^$a>d)#g+U=OvL<^S4TxObD-$skS8Bge$M8YWlI?F%tV7p*h6^ z*zX8b9qcFFb-OJ68KMt?tBEZ10xqs}yh~eys-I+3{n)USm$ktro6@k)_%I#YSujA1 zW_*#}(62ErsY`- ztkcYoV8!~KW_YM}hoLcr6s6xb!)m@Y7V>S1LTjWicyTEBaW1C^YbU6KG*jXU=j2M= zF_T-xt^#5?Yrf7$=%bl<6x)cJ z{6xuHT&gxb!M*xX8(3ehnhz)*kn#!c)i=0TKWb_9)yC_H&I|JE^}UXJ^$qUTkJ=%C ze*#>D86&p1w7Xu%z4`?A>KojvAGHy1{|I*>+^Jh!-obT|{gLxJV?<{t%#BZQuYTlw z$rusqzs1E7UK!572r~#KyjNiM!DMf7sfBkR%wCuwFyVa+vma)_7MDzTx59h^GZ-ek zKfrvKap`d6oce0P>>$5J#&ycfS8VV1+hrFaUH1ao?jUjh9(g$Xkl=3JP}>y+&< z88BzT#N2$C2{0GH#N2zzT!Adi>7nZ^?JiSh-}W$vhs-%>i#rsoORx6O?_?hgLyg4B z=>D80sJc9pZ8ngMw90_~ygb4lMU4?1{;C7QWgFG@-9d-ZirllCeOPu(P43UVYcowz zXq?aYDx)pYCYjiM$66fDDpv?Lw74w2I@9`*VW#y3;b6%FbwGEZr{tnKuD_%R{TOd( zS62>A_CHRb7`7SfH1^)i$ud9GQ0*(VU+s2ZyoWcWA}yYBS&|iGo z>RQ^=K1e=qQvM0OG^>H&VN#l@u6O5K^p40_6C1}L>nG0n}%N+I52 z-j=>G4XxND@@femC@S72os|ivl*`j@@Gn2RWy(i7-L`NOdUL?l|>}c(!R;XVCzqP(D$0AQ}Cv zha9Hz*$$;Y%ayO-wC=^U~^qACHuO5ual`sy+MWG z>_tG%(`lG85z5^TzW*C>Zywdu^}UT}4v>V*19J#dn8ATbgai{rMIZta5h2D26_qGz zRKyI58BmE*rHUFODk>^CMx~n6M5(n3)+)8u<`Zp8tJR>kP5n^)ZNJ}te}BI3db`%M z&OK+Jn~SUW+%xRGpNIN~pkgpIJ1$GL91`FPs|ca&N9q_LQ<$PaE2lp3xnkTWAS=cy zE1#ea==I10+WaCtuN^);RV|o!2ft@V3+|+Y(^J`kUFyhy)H?hN2d1aG5EJBx^fb2{xj0ArO2bzn@z4;}k$*{$D zG?+lopb_ZaC|0y*X+oi^7H~=0ZhPIEqMirHh0eF;%|&f8|LGza1X-XmCe==B}fMBU4^iBrF{lN7sH@V7fKW)KDdV6mP|glhId+`_~078ZyC>z zSdLy~_(3>JfzJT#f}b6SU3G(`|F?7P_@Qg!|KoFQ8|sqozUyIsT>b3%OzXt6eov^g zfFr3JwN^Y+eKq2wZK9lEt!Tmh4J!9dsjO`7O*J0E8Kx8>$dGL{H`TX+wM)oO#b)!4 zYEH`om1TwaA00$bd%JHa`<#s~PgBmg1KQ}9%~#v;>){n#+>6MLU;&jN&(k3#9$-&{ zG=W&9BmteQ|Jk*m7uCm`si|VYcntX~UQ4?}ers?ksd>ocf&p&EYHudt>2#8;EY!o~J( zR4KGSfc8YF?&wC1h3Xxs#-H`O1J+egeFD{FsDhOM)i3+wdiZDk62LkQs`sIq09CNY zL-jUPs$dO*YLKbi_07;p+w9&7^`&`dP_G@;*r(gR@ato5U@CL} zit`9xmb-31hsn@khnVTnclqT2o7o~umbdBwqDHq@cDM_hrhhCu*M+{QGumcK*p0Ej ztIvowdM?x=F2W&8x|{Zicl4q$U$(WDxW+KezScdaXIhp28e_aIR1q~4e;W0Z@udCQ zP?`M$Kn<`14gvlHAom`J^W;)EPd@6`#9C&f4zfmhkJUBe4fSOWi0eS1yjGEz5q%oH zN%z98P@uh~PkcUrOak4#5N2C!2jh}vll*(!$D3zKAB+ovI%sP(-?~rl{q6btG5+(Z zf2*gCY`Tv=`@T3!UFR-uozsU~se2?W8l;2DlVJH^&~xfWLG>W}IsIQj(_q+q9n!4g z`1l!w=2i~T&lqmjar_L1x#o6`zrjc~Z|3+;HAu{D9MV){s=0+jxXXAZn3WpZ5f!ak zDIcE!Ni9D+E9}^`k`0uh>NxktlJZ8x z2|Zc3dW6~)o`W0jj4|)_G9IuFfcA!DI=4w!Sc2Mkkg5gWjKdK1oU?P;$tdk3Ie;Z<5IjPJi$wxt`qQPTsM`r0icZH`CvJ)0+ z@4{&i?-vd1fCkF%;CC3X=6zD1P`c6fOrEODOF$MIj<{lbi4E+ph2&mTcH&G(^ojG- zVc5zf4Iw+sj;+?YP|5lA>ODNG?Q3e4>ocDu&k`^vY6yLycI|WH9k&l$p4kHq_Nf5i8e8kuD7%FC}pY1jWD=Tk7^<;rL;sa(W zaH;OkKt42Hx8ooiDf|O+g<+F@wma8zF$4RQddz;+-SW5t57AJ`XEkI|n&(ize~w`> zE@wMH4`5!lF9NwX5NW8>gUY>}|1oPnx=jZnM<82JsFWfl(P^?f2c zlx-l+?}@;T845rLG{)Rk&2bm@%x=SOaLkgO;p4Bd7il+IvWJrIBJqyPE^`Js7#8I> z+$fLMN*U$HsSb+7o^xH8?I5wvmS9KYPG}BW0=?7A@YjujuJ$h94?ta@kOYkab-{Iq z*tNNf@BuQIrbxm@BLY>MoK9D+!Z#x-Q1h)t^2fV`Fa7}b-WjdsRk*YIuZ3$A*#7X- zm`rCmcaJsjIcvHgbdd3!`AF4h3FuGWt0fMi7?ebzaL|uII|cJBjzL`}lnnYa=zjQayYaVHQ-5N@<2g0DbTpccEmla{&S^&Rnh@`g^q3e z%q_0}OzB&d_(?S?-h5oitcs{cf8%&zzC7$(sjD_08HP1-j`_kc;jymCd}^5dSdTP+ z!STt`3C)K&#M6-7v|7%$0$D;jkR{}&qMk(NYxX($^+pb9IrxZ{$rG#~VzpK`;sVNp zCsEOwtIo*!9qq(d%u?G%d7QPT5KV@qoG=yLKpShHBxfn5cX0ci!2{wu*d4lc=9BH@ zP$of;-b=}bbBbF|xo5K!b$~I=UMde5PTCDgEm&n`H|8GDL(S?91aU+NldlAEM0_>l z1t?RXm9zSg)zlR*&(3y@A4vlp3iNuzT4C}?=y2jw{6+`fe8x+8M-NkfaL-E-G@@{Z zVmtSL)#4^O*s`u6pJx4ZnRSSmuS=A54*BKllkK%5a6)_yH_w@tQq+jPXj81BgXcV>Ea9H-amy`f>j7&ENluIE_UeN>02@iGGL3bF{4V;R9x+8~x zsbqz2lYJiy&D}6GL5*dCyCv&?hGxuJ;Lk|&s9|U_GHsK2F<$9&)Ir@7SE&gUGTvzJ ziE}(#U}PGIJyRHnePUscn1Pxto(trLsB1K_{gH(;6m8J15czse@qI7Cc6lpgt{BF+ zCMcqZqHb$`bSLyoZbNTye(%~K34KZbRrRmCsvhzb{S9yJ;R<9%LJ}rd=jWOXVsM}W zeo(xiUQ~v>t~=qXkdT6z-`Q8dZh6iF%q>x(XKNQ}yD`gEte|?QJ;265Qq!d_auINf zgeg+J6~H)1C)r~MeLA+s7w?C``9_uC9s`5Z|ExY+?#lAk`!+*3<1=+>CKYRLw@zu7wMGgY?~24@HiPW%xk z2?i$>1}Eqge*=S)0D}`EY=01}Q%r!tiG;zadFz-1gEJilCmIH)#?PGtgOePm#|svW zaJzU}>J#p87@Wit#=GX`Vbl`CWI^H}^8sszCd(3JEy(^fIE52OFfceF2ecF%t|3*t z^{Qs~Vi=rg7@YPauvz|G8CsQ8jex;v{|q+EpTTB1u^MP-PQu_M!QjMyBPt%KdWT9B ztr(c`4tWarzAt#`=c$|27vcCS<^%43bje52S@7My;LfezpMgEiK4vGmTZ|dOJcx~- zk4-n$xmc!(&y6sXMRk+P{K+<_Jf zs#xr!enrhQPu@&XX65;0)59?bwoy>`p!wH`%{Brx&*k(9_w4L?)OrK-bt-^E{)Cm& z7oCJWV{A4bs|6bIo94B5G6!OQ2_6nw<^Rr@iGP2q1C5s7RjX5fwVB&j$?ET9rbPY{ z%n4lOPXu!_WL-tbZ>vnH3v6BOV`L450V&Z(iJTxZr^SdT-n@mz(cMU`9x~8oY>sOW zKIC^)RH->`+AXW>jA{>E?7I-EpF?#fR6`c~{sPs@P_2e)*kWH6RJTz5w^=`mGE`2g zzii58v^4NPh~450)z45BLp9b8g@ZL@uvpk5aQtEI#n_+&302q>WP)3>$VRe zW<&Mi&>RqsyQ(@PUyH1{^$K;-`3iMS|0A|B=qh0-6hH+8K*~(g8g@@SU;-efh&2Ru zFD$->*tdu;cl?MA)&Ur3TX-rZn5DIm=CeQYk79uwNFEPaj)-L;$RP1QPQOzdjIM2a${~~>W>wNq7{5drn zC-|P#ea}7Fjy|iu%!SR;O5H!)V^YLQ{TA*eDdvsN3FI=UH~J&o>r&hp$I22xHv;RN zT2j!B+5$4-qHd(vSy@upjdnWMm*mwUqMSkIHYL4{wL(3{y{!JI65qyrtvTn|VD3es*qk1&>fODjJD*=?7JBoyT0LkpOE+qko((Ss?DEmeKYJ7W4*`QD+OvdzodR z3G}-=zGPA(X^CMOtcYswt&Q6bf%3`b&tU=0o-8Dc1l7hi z;ZH#hc;zVavF@4q6pUs1CiP!#%rN%@F2p&d{*)JA74rf6$oSDla)S@H1DCEJ8#q=5 z+hb$D-*VtZS;m`So%0-$(K=j3Cc=}bmD;P~(E1(ygjdXeY#VvG)|&Y$JSakdwqh12 zLWD~=*$D>KT?>DhXHZWTDF({h5IYPzfsPa#3gjryEJS5q2ZYKmu$Q_M2H>b+qT_ql zVo7Lr#9i>guClLkXM6fG!uq&Lh-3r7KFxws&MJejiK(t~NkCup3DqNU`9NtI`U}zO zf!Plb8%5IxDjuNz6)hX6d4NPa#4>Xi0jFOtgY?yA9ZuF|Xb@9Kn{J=4Ahq-Ms*hVT+oLO0zgeQ%Ay4RY z%lP)F$ExowiR}@O)t4<3+hej+|5zfV+|yWsbG6K54NYLBtD@ZbJ!MZYyWvoDrGg+0 zUyhA;E|Yy`4e184i1F^Co|#Xu55Z}^UO^t>zQV2mMZ10OCeLmqqAxKN^BTk{=8RC3 z#o5HCz?Oiw5WFSXs2t$IzU7vHPX`vjJJk0a&%g_d@#bawrWuaeKz6T=HPOZz88;Mn z04S&y*(<)M`%My)wl_cc-b)}voWR`ebw6AfcNP zB#eTDZq_~_6B4?avxVa!p*!gsYCI%#L)y?1{R+Xdk;JZui&~?@AM&~}e>&EhuMJ@X zbw9e~z4$8LUz%q3hJk{Y=xxrX`r{dhBs$*yvzubg3&vF#!ffU4$@Le!zT>F5+M|&4 zoj(e?sWrk?3dVWLb@gud+JULT=u^%x^R^8C$A(#y^EW}J=vmEdaGOJ*%5n%)34uLv>2uUt{S()66aGoW8_foB zPW{1t@+)?L3n@Wl^ZhhM7K*Zffg=M!@Hrp|*2!H|NpJ%*%68ZiISh1gw?O#R%10ld zEYie?XL;H(2o3C?;eE% z6Se+F^(@(yTHl4bnX;p`q=ou4*~MBASzIGKT}%E&?=QPH?8DM6wdW~9q>-obMkh(8 zw^9?B>FNdUg*{bIP&0M2?Nb#&(x~P5lg<#?HY>fGxmLZ}y}YOT2{>FgfWtKhGFZa; zqC&ypinmX&(3KV0n5T5I_HXebk9rsd87!(Dq6$y1lF`V!qAqsMbB!Iz@K$9buThFM z+uX4|QyTCfyw@YnCS8nvK6T%XkAF(p|H&&^%Gr|4jV+imCB2{^J=p)pAG!BGu~L}F zP2I|0Ty=GVkIA~ms21{{tj4_vyLPYXFV_#dzOV%-kR8lDwoFAp$By+=UDXfhR?454 zoNen-?866aN4jF_Gk^E3(yvE-G_FS-6i-y&gJ!m**!6W+jHmno-JA8hZzKIr%r&^< zCosPTGx?g3*3{C5%3Zf}^TER>ZQl*Yd8Rcmz28lk;!WfcMqf>#ofa$9xfZMKprp!m zhM(kF%V1kWo!I3fg?b+}&1A5>F$ThD5Hw9zs3%COe5d3dbYgevPjWANv7MCb5Vx~} zd&C>kNqZz%GJ-FFgMh`(8t#5?(38Z|+IMbTKXG|%)^y^rB3wzy6SSYc z#oW^mL@#&DUHvG7zT0fILOS{Pf+?^h1z!CbycmAsN|?xVO2Gd@)@7SJ`U$sGH1xP1eu4d@GHW=51Z1$A&EP z{sP1w;yDAtNX>RjC{QkF?^=+$~1K z)4ZdW^xlwWK3Ge5Z(Ji&)sk@re_89W56)NwnvBF|Lz1k0*ss~RT()`GKi?24Yg1BM zSQG7>5eg@MJ@9L%bCTbyd@afC{P*f9mfZFPoGMn%ZI8pL7t2%HlbTfla(;VkvwD_1 zqdhTSl_`&GkIz@HlaFgpzNx~>6Qq!0FP3LZ6QWg7a-K9UTD?F%Mw)a~#gxZMV~?uK z}s$j(V25Wy)sDMek>ACX;^ z;@0SXmK~EK*XTcUNqYUVqi1S=l#_-c9%{ajhYSIQ&cATl$W7B|<@AimP~28$giALB z%;B>k$N-qbFREjlYw8PMB5pa0>hoTrVw^xupd@y%uEJKHtgL*Ay{Fp{JH#C2R);54x*-BvJ`lfoF`*TqFgmFSbZ?2_&~JW{{rhJ20>F zi!^`pV(kAl?Q&`Rr+DT*kM#0a(i(#^eea5jH0PkX>Um^FSf+0d^kzfPW6&gj9%*G{ z`X)^-(!`r~>ECUFyAt58&`jTJx(-YY{OB3z`Utx2gCAW6<|Ht~uQY)<7t9C2d=Jb^ zz!bHTHW~;fV+ZCs{Nhq*-T`+l0#iJgLNa|%Lvs)`FNEhZGkrhk+fX0#f$h^Y!&TH- zCZDl>?+h-ym^+P2xbR}Wg3zX^5_%`?f?$axsFS){P-#Nk*I$8o#OJOERp@O`H($6&RX088dTEO$t~b5QnnTs zf}>YdA}B;*o%2fy3Xxl!WhJ6QwAk5DQg{d9bQYH6-9bgot3toey{Vp&>fg3qVTL5n z1@nTH;2c>A4LcO(ycRTbUT_y2ME}gepKxT9jK8T~lImXursj57L1&tGw-c5a*O|As zlW7iANksxiFQ<0Ju(a>xzO~%lAYUF(8@XG1Q;w|#kH}Fuvlia1U*x3P@Q0e+@{n54 zwtFZ?*G8miF3Rb(F`=->jWBD6h*^e2NdEN8GA=V4hy1S?Ld^Sye6PU5e5;a?%@0LS zbT0R_4x!frq2#3@{CgmjJd=TV4}_A}GcY*a4VgIuh11`%%M=VEwODn?Jtd_u7=6R3 zNXhF!L^!9UOzl7&b}mlI&&J*~9J6PP5IM;Quyn^NnMD~|NK00I zMLpDChov#Ij`GMh+a1;;slu-?Zn8%!&~>bIJ4->RV}4XGbEgd@y#n3Sq@mbX>a~uI zJ=3xgSDll3CTF8IIOp{gXG4TiX}usD{o3%WYvKs1FS%MncEs10h>izD-QLQJ^A?EE zVa8!ss}AXJY2d4vO5kKBo%01DAw&<^LuXN$%y7%0pwFtSsnR~Go z8(FI9(-@qt|O+TFCw3?=>Bku>Pp` z+9z^Ee*{j`C#Uqs;IyN1A3nEPqmgs?kJhUt7 z7wuy?QaL>Vv)aLfF9hyS2d$(g0ZVd>87S%V4b=Z^?v*0<>OKQH7wlgBcjn7dbTxaa zkkIRYp7BB$--|pCyZ)tGWbgPXBk7_E8%+uHX!M} zuyVc%4j%kF#s*<>FZmtozrrvDNaeiNG`S}Z)bx>YIde%1r1?Zw_<-LeOd#J9&6sn)sEQ))Ue1V?O7$*4a||E;Vy1HD^! zPIlajPM~knq&qWvK&~#{@&8~vI{#uw?2~zcUa1JbRYa_pIxq)yQkPCg+HS~jl~RFW z*{!}OZuD#^KwM+^+ADZeZ)GIzCtaj#wu#Xgvs3+AywamBKyKH!xh9){)My5f8U=cc z1%&O!23LhCw1X00TfvLSh#jLY<&E{unU6dso>`wiAH7Jt#l`ElyWmNCkN6RH?XoHFi&8hp;mq$Mi0C0ZG~L|tK7 zbE^N>*Z<%}%+-Q%61Ir_r+_F4b1+DPgh7gfwM`H_$Z;^I3$h0p4)!+!)L?KV9K}k2`PwutSz&k3lg(5-cmvqZ(MOzRS zDl3r`qCayql+3z=CZkJD;Rt`#HTRr>;y(O)BN_Ik6e8o8ovUCGSrvAQf=p!oZBH3N5ZPqAkK{z!52bCm z!=iwc$~NpgQ6^A=;-87IDb-~dx+p3|Qij_lVy4V0!E!2K{*l$cWb z0C(=o0ra^W1L##yu7dI{ly9LVKuLho2&ECqX;4ms@@ptpG#|q6-g*c>b2FfM5I1uR zVC!Ps!_8k|9yZU#Jlq1$+WC{csxfJ-B0mvf(+VMpxyUW(sPIdZRicuJ&x}$6sUqV_$3GdaD_z zT-}$hc0Q_!A+{HTTNYU8uLBFcmG9d`nuRUe+>N@vr5hD9X{Nd~{G{!5_yOB*tp%<^ zpYZy$=lcH-=GJKe0jTSW%3ZC>@^duA!%7w`d?|*po?Rz>@_LYu(U)a z1;7C?0KotnAP~R`j8ulMNl{V(0dUO>oc~3C^jV>%OODb-M{9=VG+lVKwq5S0i#nWxi$FZWu3KTXHr9xCvq>C3pi1*Dz2aopntgq`{Z?&SjV3>}esq~B+T zzL0yNA9N)XxTpI4?(6HgU-bKr(FJo4_xp~~S8>nvlg{e0xhMMxXZ4NTtNrAaIuyiZ z`K;9EaWC;PHf4f zD$ICiRLQg|+yy7ov)3E+fLbA(qaZz?e}wqvtXl2|ED1=x3!VT~dg6d+6u;N-gZXnS zdav=SYqf%Wp7OVF+6dx2jSQ4%KIf@BgtJF5=jp}54I`)q${E3A>V@zZlSTObqQZe$ z4%{43)xh{j+Hf>Y^NN=`9KKU?AlxcA(^_s`tBVq-X z1;7VL?;xf*3VX`#puTq0d5*TDcN;IuwzT7C8vc=$`%IubI(d%fV4V~c_6CaBO9f@U ztUkkHqJ}M>sEkfi#mMo}Ki2lpyQ!~L7vyYd%o^>VayT0P3-+BkJ(8EO{+%S-l|f8{ zbpcC(sbfyIr;Wr8g?<_I(&s;&+hA)jXy4$M8P;0m@U^3ZE4{$(z%M!rqXA zeg45cB>@Nk_B|WkoteSeNp9pN-#hkKY_;1u5rEqM-UY=dm9RYg{1eu-xG9+CA*^ zfiRx@#2?@bAOYxe>>CG3*_0pclLpb*w2Ah4gM@7A7xvOYd^UZfebpeuq5o^2mWt@3 zp??thBc5835~qwZoroNCmQW@U(W%aQ%Cr_lud{$Mxdo+g)>2?YJK`MQ3W^;xV-Qzw z_1$Th&HdaF)+3h=d{bQ?8OILkz6qhA)TGvUi9>x5zxxs3LY zaDfC-M#T!tB|c^JEyC3j%ma#8I9)<~KywP0Nl<;!Q^94-=!&1JU2h?FCEwQIEWx$> z+uD2!sur|Aqb;=BxH#=Xi(hTh3C$z%_<_7Ke4>~%F!cfElo;mS2e@U*nqnsW~byeU?V`KV`>Bbu$Y{Z{|+-x zoSahh4);tPmLhzIrHgY@ro6-Naw1a1L`><8`KWKd1VA|!%CS(MgYq1dtDsy3B)drDf+M#u6V=}Y7^M|DqGFyd=qew{wDEYdRXzdw;QKVMr(?55;uK69t{Ozps& zbjJ4NkNQ6}d<(%#=!eF0GOZWg7xe)XhAEQ)xshRBZe-a14AD=+lmQ6d zNe=e=3h%%5Ir`vc$b`##qdpuy$94>`2=FU_4cG&y06YSu11T1iWQ(>oAQ!=>^&H$91~>?~47W7GEfsJ} zcqhTixsR=a?n%(K0=iyzD(lM&5sw@q&<4SrHh$%*NyR>)l-j4KlAh4MwQrK+tceRX z6XYyw{6g&pAm&Q`MT3`zD*3-?C&Sh(fu)I&QwkTeTofmZs6`x zhRtV%K^zFTKQ0IJy<;->s+aSevQ+qE%Y5h^JBNGX8?&J=ndc29Td(Qf#{Fz+BZO=DC|Hm zohu-#$T!Yd3Ry)VWz1v3@d{iSdy$Ydg71p`fVtqvEScSbKcl}c2vHy(FxkS13J90n zBSb5(;~1N?`R=&dgwyJ1cNpjh;lFWQH($0QtJ&$m+f6vn_z3y8X|;*3RB7&{+W1%M zHSV0+Y4ed#TNt0&_>zDYR=JHM z0afMiY#EY}7ElhxNa%%(3xcK>P0Lcgs;CCW&yW=H18k{yV#@Rf_;1DNlu6?-W5p3E z)5hV>iRmem$6;5A$EFmI!@qUDkg02tEW>V@sTRvJK9uQe(Yp;7WuMpLpECZiuN*{n zQ$p-hM{v@pEFdErD~-4UWMuKum@7a=HeranUUf-S+#`91T48)D+ck_%GhUM!hw&E; zA7uMDh>J$GY%2$|#$b1aq+(vuCfS##5??|nLUk(YB|XC4lco-d@tCdxEhYA<7yzgvCKvCp-rH+Yn`H!dS|Z=84hxbk}5 zxN^_z+7qt%fHJ_}17-H#0G|WC2ZS9uuF0KLiv2YY0Oh7FNBxpE1DXM!uD2fbI}P;@ z{?nONBmiMLbCkX}&id&A>F|IEz*s;$Jg5d9um(^BSPrNLGy#Nwxc~`30hj_<444I2 z2M_}m0Lp7m{1I_!aM=m&2`^4W|4B3Ko} zjXMOWk<PxOxZ0#& z)Q_Bb19=JfL}%Q<)H?hr=l1>!tO>#&de#dk^pelBUu*p5{;AmxNdNLIX8)JVG5c>U z$IKDkEUF4O`8L2};qRV2!45#NiS!Ou3oA_Mcd%NhF%jOuYGIQJPxN^~A~OE5aZO=F z|9C1;3ffB~K19M&29Yg>Va#YDo@4{3puJXtYVmy$*up#l<2bN|y}-7)XVfl|_!ok* z&3p;6(Dy2)kolQyoFt%-U2bdX`P^100Ri*x>~#|K9p4T9cbFG!*^;0;%^>2|2<4zl;Q+mb~Ay*gj^^r!QbliZp4jifM_J#_m;@1G`{iHGV0OOw2rwc>yF7 z)#q_Rq9D)iT0$Bu0U;^6juv8DI7qF7lgCpQ`cQP1_74jLA!!IN89&-4z@U#>ulmsv zGZe8NGU3vPV&1F%wIpY7->cV(H+c?l5Kq}?J6=x7;N!GpC&gpp_~o!}KvYt2Fe}0q zBah7B<*N_N$9cu2rf2jnw;LjR62AAOrxssw z#$+LCgjvA9hSNLJxxHRiB=skCr+Y(d;V5FKaUOSzm)Z$&;brbct(Bvw`?_b`Q(k5# zJw~v8B&eTTq-k+8`Xih0pF0<~iaU_AoU>Y|k0Q?+bA|p2Pyzc@m^8xf2QNjo8?y0} zz`qOG_@{v`d__q~6?Thbdy)hH@$Pk<-|5(-c+oHFVZLAi-hM*m8%+7$eN5-XT)qCKD;7c4Ucf+(FfOOEJ@Z`Q7tLzHH| z1}A6K^7G*fK&(x;315I<;5pSUgzrI8wC0gB5_nE=iB87A)I#hj=Q!Xw#V>Ob2a4`s z{%|G$&nZN21w+yrAxpo`e6F2*MTa$?l=@uJi_KT1#Pzx;^D(L4di?_PB_;hG^=n~G zFX|mVUbv~(k4QOb7xdzYw54`guRoFc!d}vgB+^%?|09p!gg?baIHOah*5M92StbS+WLk3(@~QuRRGlB-Q|SvJ0SEx{e$-1J0C7L6kDi4cVgf<{pRR)dY(Q8R zHiMFdRi-!id8&sQu73w&ZFu-Yv;ZLSPowI}UD|1vkBg zo7nC&?~EtN8vRw*QU$p&;uU-m(4PHDpKI(LHnKZ4Bl-Y*5K29}l(;6=J$tenn~_wl zsd2{F=ZSv%awk<{pF$-S!k=FVPb!2zyM^9s<4}WI7$f$26N1R}waZLCM1~%|*_e0K zSV6K07)y=|(oKO4)Ww1r6RUv|Ae>)=PFww+* zK>Y{azpw|CEyD2XII-yDSrx%pb3=b8Q*+IiOFs(Fc9A;lsRfFysj&@GcvqKcRhLBMk?M}g-mh&$j@13Q3@KmjqJk4g^7j1uHI0GL9xxoA18h!gX#YtBZ9&jBC-PtC z-{!+!P&SGSH12}_i@lwwSKiyXxQFjnL%?Jo{^~ zSAhKkZh@n`WNMYM^STwfDaP*n;GPM6HgT_8NpIl8_l`}5ZlUlq*@n*RO5zxO3|FiC z^p}l+uH}*cirY?iUf22UG}Ll?b#O#E#66%xyLDFXZXLJ-z1+P8h-&e5=kcRKoF0e4A zyh^RuLXvVHYt~spAUad~xrN@Il%+jxA+#r4(daEfoa8IoR0w>Ddat5dk~z`u)!~+0 zP9#qCLGCjg-wa$noZ;AJ?JGHEI4)mPBu^NI7?;0*cq~3z^$>{1VxxhEED#oG5JZ5h z@*`%dX2_%X;WO28`FK9>p-Ll1 z_a{F^t#jtL&h8_vG)(7S9U`99{lVQegdC&W&)u)YcT%qk;(900yz)(v9;dp%Wn3%F z8wu4VKgBDYc$1ACHW^hDY`|R0c=FvL+GQ%XI{(5|)vxYa=*+-oK4K6`!2& zH=x@w=yng@!2^@;*YrW;+#XlrNZig3y!Gi9_v(-{_3g4PI_y(MuYCp;pFs1qp-hxJ zbiFOu#JNM8Vk4Ruh0Iv{e3M@xf{6JZkI&wM{R}hU3_X$z{P=2EsK>MKkU-^?O0`h>&amE`M|~3 zpDv(fLw*q&=ckLKscU(ux}=>d4lh<0yHhRYW$F@Vs4{p_y7(DtrJSxyPSaxKgaZCW zjYu9;kZ@5ODaRMYt}YD88I1VNah3ap7d@Zy zs~|tMlROf8^UnoN;|K)y`kXa<1%bVOXN`*?u-AX3;dAbucI-;y_uL)r=r_&x&WFVY z<&?JOHXbz#yoEx$=W|USZ#+NYzKX|7IbQuF(;1|06za@6V;bk{73_@Y&^;8F=f*f-p2Mm>FIP6+Bk~tK44YAL; zM`rZ;)iGB5QZ~nBQ1h6htUP81JhBKLb$x3I z_82^FEIjIaxOE5M9-sYioZEd3BQ&N<^y292j*YF z{OKRK0L*cle|Hre9$O|XGciTHB9KcskyNo@hJ+DGcM4WY;0PrOg8OKlv?GEglE6;t0zsvO)k*(ZuwD{6 zpTZR6OQ`c{p9vO90_Ic81v4eg`SkCEYb5CBlna6)NzilJYQb^|`#JSbVf7%87H)$S zat?zs9THNB3?Nqd(t_plD%BRtcz*O_joT8!56=SPhX_6#!`-)x$L_8xhwL$`nDyv3s!t567}?s+PB2G|8Cm5{~}jO20b@%9&%(Aw}o&F;D3>Y0Ft7T;R> zOlEBmAZD-jueo})KVZ8hN*U3eJ`f9-bsjnAOE-GX4LABn(T0JE-J*dAKxrRx{gws3 z>$fiOeXmP0uaF)#*8r{$`{Ooa3Ako}zFJl<{X$j%=o%(8Z#hD2-g*QQIFYjX(nqo~ zzC8&GEabpDXL*_($ugGSF&?)=Ok(Ii#byRM`m*;iz6AyTTza)cMMew)-s9 zPmx`0C;p;~m7N~K{G$Ixc5Mh4{+7uO4MEUOh^%+Wceie>ZANcU0)2yhpFCHZl!J_S zP_%y?7`wwn>&y-;FKIaY?xW0AF zTo}0Qo;ktz363+eV;RV&)a&XwkoF1skQ3c&dn!6`|8)fP)O29+jxfkbiBT4JpkEu` zy3ix+41SV|>=b)UR!k0S40qYX!g$f%QU~f+QC)qt1DH9jE{zVKWbnFn z79f(0n_Y$i%m&g>o!U)ezxqj?m~?Sm{j^TpNBub0#R9@BU4!d% z0r{1l=(^VL6RIn89qK2BZaM6u4BpOjP028*j{S#^I`F9>6dJ_Pum>8dw`?Cb?oG@N z9`{5)*`h~rukd~3x;*YtK2fgcaWC@y+&Ve;G~eH?r*W_GeQCNG+(Ud4O@Ei$JB-?? zTh2W`jNGX|z`Z<-ouPZmJu*y~p>N_|;2`engxph7pZofV8&ZOs-_Okf$HXG;E^qLB zRt-2NrnQzg!a3&|;hGUrfA}?QrgJS!vMC)qB57FD$1m>vD3=_5e)nze6JQ&?>O7qP zRs2)*KMoHKTGlP9XJV_`EwF0+xA+B5P_GZXC1lNj<2S_6^8U89xw^O{NVF|Z3N~A z%s67rvc>25+rF$oZ@W=}o(|=7D8GU78|Mtq6)CP#v<))?gcrEOIMtPgYzPT|_ zncwv9rl;2IyB}G9eEj0-z~1+PO$cUtaMM{vJFTgS(H_{8!f0nTjnWJLxL0#^pxHXI z$+9sZ^3Go$o5-dWDR1s=YVB7R+@AZ@ALFR!>AQsgZS^s0rG8l;2}4Sa4A>f#%4lHS z5U^7rPbNZ;o=R(A9~O{P1K%;`36fJ;?^w?SVX2|-NVAadw`?jMs3}CDzr3Et;m=eH z<>NUC4^`pvL{8j8^<4QxPEs1s_9mvxsKXovq22RCn47w9WZgrkoBC6-z9C$+?hhGg z)ko|1%g!qCh19FIvfiLV`UW^$Vc&r>mcrh!J2bqlZX~Ha;wgHS^S`nKUc?%;7ZxZ~qqOakEssV|^8NE!;D0zO?c0U-c7APB$)gf#~ZQJRC`I{^vX zVYVOKoT11j%syu^d?y0xnAcUy+!;ejP1p$z&>0-XJ*CFjlN5e0S=Y4_-Q$Mh@2WPs z6NZwLG-x+XSy6?1t&ewY97*>Is<3$Hc+Vj(zA^GNP@G+NwOS$8c7uzq2n(i?ZITg6 zV_Ycg-sgEPD^ZXwW8dQr@J`Lf*BIHZAZlVJVUh^{lb+++K&6jz5=hi;sA-(01_!yC&XJ3JDk)h1hRsiXui*1WdtPbjLwppgo(eN>c@9*Y!~X~h>x4;XlrN@(e)El(!bOr zpAf+s57tf4b@^7X(!mOuAFuRhUDx>dP~98Xp)N$I-r(x(!W;mOh~r(rrgg$~xeHgV z``vY<3%IlXKkD8Ds>$=)8_gVsgpfJRAxr_nBq)doi6l%S5=4xM5F;w$L@a7l)C^TK zphBHeiyGsEN)?nURn+8fqSRUiORKh2(`c1CCatZhqTbE<)?MGQ&bQ9D&bnvad%M<_ zW+6yt6FD+I-2l8y40VDSLLMkWfX2E1(4 zErO;2Oe9=iCk+rHDc=cX?#u$fK^!ti6+~C69+}4$g#V=6VNNKB`bjlto>%~eW!>h8 zf|&b=-$gMkf<|0`h}lxui2YoY-Xdzm&lHhbro6`76D76ezQ&Cgg|$q6js04b(~|!h zzuJyynM%O?V`rE02{^3XzqEjW-DpoP6%z0wyH{y(GsbS`mQHHMMcG-UMa|g5@Qsnz zjGt%6l$I1@ezQlG3W{-bJFT>^7`xM+Rw^pSPq!0Fr`*8&VCR+Q-T<+e;L^!AuwU7; zTzib9r%;|eDe-y=<;hDDLLihU4@i6hp*-28pr%22a#|NE4a$?BboulqR%jRi&X4_3 zT>;?ygda8I0GuCxAN9hP*I(UB%mi7-gLet{{b%uGy6BCJpZUzLkVg6hfZb9XnXizlG@S6aI$IVt9RIgwy%RAE5P38}(Y>-Z zy3xk)t*XzR`N@+ZMf!8Dp2?7 zQ>|;A0g9R|e3+K$Fe$vVX{SKAp~z?40j-apt_}b|e%a&{3zYt;CVo)0$5>_>83G>dV5!2Eij7 z6G+8{kFdLew)MYcbCtR=k!kp0)RiykVENqyy1GP%H zuwljydSw6k8nPs8Q@!Z3C@T<(t!qnGP0vCj?T|ImJ5pJE~Y(5cv$Sr{L!)nsC!d~^p) zoh+PQ`>Uy)h1Ba>O+74Zk#@jzh=nfFb(y+Z`0HS7-eCkCaGj~wh=~JZbF&c@r#ogk zZNwed{%$&ML>||DZaQzo)@$#X0GCz|%3=T8>o09Gz-|d?jAhV=7+)7xp`0&^s!ObZ zPGo)mqz+_)y|TZk1DL970CtO5VxI-DTd$uC=LGmJu>StS3XQ1Y$g}F3vY=tkSfXm(Gy>+~+8u$@EWtj=X5E28Da{I{W(mslkZ%_6t&_f<&Ob(g>XBWJxe4*S1;O z?(rf}!!*A-{aN9DnpRM`E(%5-w2PDTntZP6Z&@Mm7dQ4!R#DMu{*{XbyIcEnPrUl0Hs`P^3*EKpZ2IueihEwPVX!jAuk%#oge!zZfCw5L6!o=GXI*Uf|6^5UI5sLm%e?hvp2Q)q3Ni_<@eG18% z=k@}owq}ac`z~)EoQna#x`xd(_AV6mL!9)xvF1ayRDHX2w-&e3Ff84sMgFZfO80BA ze;e*Plv?zCy4<>!6RN1O!O4hmmb&CN4A2JfT97H`! zKrkD-)i4AQczm1xYU?siSVrt0nrUW)XEqIUR21HjN5d@;kzJd)J|Ady%Xo2c8-P1% z%#l6pB9+0M))RSM`7MCjIoDN}MXMX8mm}`LX|=5#pgq?C0uRuh>DDP?fcE@g-Czc2 zPrZ7=uP(&PO;^x8Oh1xieMXiN4+EhvprFBk90p=wVBhEf0|r*Z022nPM+fMBq}Yh~ zD_79kxJqT6IZB&YsbZPOYGZ#=O3Vq`gr8Iy=84+)Or^pc0R>Tnw=kxqv=OHhGFv2# z*g|1?OL-&y8zHHs>NO@-nAB4C8h1<>)>8QzyHJ?ZQt=x9yA9D&O~3@$*ezlL?sJ=e z%S-}xrY*T;Ist#r=G8L088hC-ZJE}L``X58nbnM4ZOdqx(TxAchH0rO#$aucrKQEV zjW$}Tq!=r*rIms>pWQ|%t-67UvhhmGZr~2vf=erJVCUJgN-J*Qe*+>~H4j4vwuzXB z+X-xwnLO-t+nCbnz23)xZE{|MtOo?{5ec>)*d}LzZ9-iH+hV^#`ZL%TBL|twR5)Op zaPQ-`0NX^e81$1E{pE|X-`U3XS1rb$0=CJ1+QRJ^;*1 z<%mXFN?B_JCwe$irn<=)H_UM=PjV86flu+0lRX?xQSRe}4@Xf{_c^h{5ud3pamEkF zlqo-OqFC%Qm5MW#6?t1}94~pujao=s`gt z!x&Qp3JQ@D_=DSMPLseNoZZZmz#rUUbCx7JPMIzebyk(5;)U}%%gT`_gws1K%h8L3 zD>^F%5zmBEI;#g!LBb`Voj_bnTVeSbx&)C=Ro|Jj?{Wf_UxEs5bfD^mIpuEn9_3zh ztRg=ReL?t1=Y;OS&KQVvh$M)d?!X3icc5!&-B`!P{(8&113xH9lTIM^*hlm&^4AW;Z$4wAotI0o?wCVdZ)3ULM^2PSWT$u~DbY_33mfJLgj zAP5knkNqILVPSanoWH1 zk~aQtwSrSrzhhoY@xAAp9Fjs<-u@6WUn_AxE-GhGJzYprjYR>3~3C6(zgY}jVp z)(Y8Q77%XhBH0%Ue8y;N*#`^!#^`A5GX)^*QqDe6Ks>EW15P-=d>YwD3qYilz&_XK z^@p~YeX5V}hmOa-+~?y1D%z$#KObE%@WH+H+A33fA5pK%GWGQN7HOMIhx$lGI+UrK z>vdf_$<)CmT-R|-yjpcVwRO8(E|gATP&cX~yWQApFNO zg5=KUvMeJAKi-pZj1keQuVrJ5F-MgD$l@gIBdU$EOiAQ?rCk;+;mlVZ29|g9V`aL% zs$y1&P3d}z6=L&&5#_c?{~*H*>l`h2Nqz>jg9>UOocmCidgqwtMtX{a zG5ZZ9E7KX_I_zOJMfrh3?;O__53oD8LRm`ey6B;eu(Q$EY)>5d;ICcSvak~YK>`!Yw8 zoKod~)FN_E=!q=ReCs6iaBhO;eVF68hgwB_V##r4^(1~keboCpHuZQd)lYWp>LGlf z&af!08|A0h8*bWMozfkPIgS?SHQ_R{y74cV# zX#iH>i^Rd@lqmi@F{PY*m_J<{SWcbCUm<1;`v1nCA`Ts-(D_Tm?!a#-q=p6)Z%1xrd8RFO zgrD@$roDAOKN;qmGMZO)ePOTf6m=kH*((7x zf&SUPrZabBf9Uh$$o6`o#&zJrgmZN-Xwpd0-T_oVFA9`^(Y){C-dT{bj~O zd&jp=@J+AweHEh~FQXXaqcy8#KE|XYYOE|!!abr9$#4?he07wJA&Hx>nJ4p;08oxD z3zft^)=ZZnB?%1mSQ%Lo&(N%pc}tRZsfmE&;O^2)kzw!hD%1%w`rWt+%@WXuNc>R^ zmIilYf7HyDq3a(g8<( zdtF09d0J0sT;PxweXlw{9|lrkfDQwGbmGfT^|)2JBF$RJe-HVB_BvN`d76S27nlWy z_rc+*aCiY6{u2(1;P4nY46}RT@O?PE3=Xe4V4gN|XLCiGmR+XQa-y`6WvV{TSS{za zvYnHljlQiK=1kN=dCSO&&_>-ycZs6?^J{T(5yKzAtxiJ+Th)i7=%2G&`;Zhv8(Y_h z{Y*c^{yYQunPEG7V+OWN|2=zW2D;2}hP@>Ne_Q`2dv6cow!y&G^kBy5zjaKMV{0ih z1oP#@TJjz1J14F$?gRFoZA#1RA=G%=k`|~qd~KWEGHVDOrhUhTio+lJ&1|SR`~ek* zTsMa1KUE-bLyzc|V2&F_BPR*OZXX);ltAvr?eJe_&3A@)BqK>t+x*DL9W=5amh8QQ z(JBZaV|UQSg7IWf2OqE=<>1=cGPRz=XpfX>u5!e7T< z(aIY1F9fcBEO7PFW3LP{ z_~|hu5vJrp>O)8^GzRvk6%*?iM{sFyc)b?{VH&y;(h{IewX+XhY3MZpyYVOeh$F@w zUKit|{M*bPX8Wl2i{`itKysvu1o^<(2ZhTABJcjfCd>!_Finm<#*rkZG%^nJnc~1k z`aFKRnDKA&O5)JhwEgO8Gio>?4#>t){rTm%bs~EI)N<^5(O8fS#o_d~Kr$4|;vLt7 zn{lkTOPDLR8PcA1?>ud#^pGd8nesq6U>?Vcc&h9&C$eIms@&#mC|F^s_Ek`@3N2<3 zEwlmuV)_`<&G-m7W;(JF5$oUKsXXsjZeC9VV^>b%&(`_~+zx*6IP$bLxA*)+2)b(N;l< zS1?6mos)tICg)nkDNt9sVwI<$Mk4amR2eur*U=#vF$q2SRj!)u}7F$^2u@Rb@PY<#2s20mz*HsWthj}xJd z@l$)8{ska6^QqITAabkP=42Ic-X1ak3U{Z)ukqhNHFgpK6KiL;6cKR8?EWoz1nfe4 za!UyT|GV9*MbL~1071lkEaDhl3i}Mp_q0~-SeFu7OQ-V3rI2fx+xdPe0gq_Y_=zd> zM~v?+cv)s&#C&D1EV?gdzG_4^&Qp2=DBV@1r8j`m-Bb!1JR;kq(sCY9x@DzRJfL)! zxmqQ-Y{s|N7#WruTcIwK(YXl~nlUmWH~vTUa#;vB=|{~}JFZl)7(>5;)fD}z7W~#= zH5K(pOUG6vw=)u1O`{PCK~7|qlbD41PknJUn;N<1%3syiI}qtG^#x2VfvM>* zm6^yIWL~qLbB4J<9r_Y82G}h=X|$t)2sti|u|PnT`=!yJ2vX(9G$zwZa#B3vDqMn% z-d|RQ{n9qJzp@Iy#769|81Y?CNf%52<_oa%@N)bJ@1?p1T8$@qNSS&(?ArWC5<^ub z&J<5>7IK6Bs3XZuXass5(~W8bdS1HQr;&L|Kyu?=)0TmRuitCN$H`N!=)6qZv|exZ z1*ZL4!dnAZs?_@6^mWn}tsl<7l4`ZyoAeT?QA^xp$dG=j_07{Oq&u{vJOf7BT;O$8 zFO;?w5Uv^`rRoBoXnn2pvjV?p15K(g@IIn1m+mPb9xBK*EdRc6_Dl|2-2;6 zUXS%}ELpAIH<+JWQLR`W)X7AgcnR;cDvC2f0@AAUI5CpMDk>5dXS45r~8-m3>S4)YnGni;?C-v(hFSVO6@iPY^223Z$SPI<% z8By8zqjsjFx!v!kKG4zTp|mk;RKDiu9&WvAvU5?x%qH|n?N1I+8#xJjLZCLXRQuLZ z<4)*_y@Wnzd*e7;hj^*o<#nT%iPpMG8VlY(D13`Y3*oCt>zv_V*obMhFJl9jN=V-e zn_#>aU_B|e8upQ4=*uRu&kjRhb}9ShF!W_Z*_Vc)FI&w%FbsX!%L3T|K9amnP&Pn{ zq`ns{PeB~=M+=Hlybe(`g8CH9A#$#uGKFvm{ShD3x%%6GS1Cu9oCZocLo2!~ehBSZ z`@4TBh~-o-pOz$5bHbx!F=v%&>UMT}$m>v-n$hiU#)rk)1tO#Wi_jQS@FQ^pGr{my2DU$ z!addg1}z!nQ{7Hz$zaQD_5J(~BoPX|1sxz}xTasY7*W_oPNRPT5)1)pptCha7T+^- zG5VbLg`=ViJp^BPKiemmHY%9ivVPMsv-c?(mkQvOtq-sgtHCYQs%6q&foRjI8*ZswcK{j@963C}<*8({hwA zoms=-iOPM>eAj0l@7I(Z&3z}cKYzsMt^NT&M^1hX`qxM&g~k1VNHXNW<(0|eeZZa4 zek;{_@V&6tIR%Z(749%r+)4Eu=lOr#nf+!{0d|vNQ2J>B`aW%;brvT?G3yXMLWD~$ zs>K`>MJMOg;^x}_lD74st{OU|>ONqW2U#NJ5k}r=O)Lj*j9ac=$YB~2mumtzBxCF! z>Y1D{W5OSr@n(cE-Upzo{>CI94c6=>;p){QGfTqLYog2;NnDY7o|z^|EYi@;1WD|5 zK)wb`60U2;no*MYIQ0rMMUoV!A%b5N_qcir_(j1!A;AnSqI&fb4)Uy_QVJs2EA^R< z5HYhi{;6uVIa@LHkn)WP?NZf2@p+3S-weg)G~|A5q^CR$dqI@inV*JVYo8+B-xKhh zc}|ITRy33j;j*dcpbqWp+F0j(iMC343dH1F>ac!>3t(vx7))PcNf}@SGhbNlIG4J% z)R9WGevU)KUM0E`NB1z{rgp7m<^Z;h`bND>G{d#Ght!nx5}%{RTUNW-J?v0aj()Rs zs*~(Gqo9p&LeZD?*R0E&-VNd`@Ab@IEKzP6d}k9s&~Aaq{u#$IcW@7HAO0gq%wKkj z8lcztQ5WhsK0MR&({xWxFQ!aa&HiebcpI>pUk>};23*C(VbT~al6{cnHAc5vFh9ks zDha3IIq6XUMc%WWaNyj5J>p)!(}n}=%`Dtz-2DF51NnvfCb%U76^We zp*;hF-zm_Z0l{xMv}Zu@+uPJ*1i|k@(;*}1E5w?*jXu}43!y#ZcU>0%?HTVl?M!IT z5aV>?p*;gcvDMI?AsyFYp$_a-uN6TZm_U(vw@2+#|0D}&kJzO-FT=LSQ~=JHUO+wp z2nk#oZ4q>6{L&cD1k^5Mq&*L+!Km+S^Pw7yOxJzsc-*tr(16!oU=9VYO6o~?S>3R9 z=%PskF>mOiV{6Y^>t6XAbp^x)=S%FD4_{)Jz|SS{^9B4|b?q15pRVoo%CtdTg|I`w z^Ow2_a6~p{oju>Q-@|&%+^reF3B)a+H%(y2NfQAR~Uhex14aY6;qn9)zHE% zm4nuAz9q=XWhHJ^k)0WeX-&lYus=R$_f9Tr1LQ?)a%CHCt}P(Bq7D1dHa@w!4NtXU zlf@%m(YpPXT6e0EvmQCkeoDH>Lo9~P2EjeS7@Me?=_Do38u5Oty=-Wib0Q=FVV%xNl_Z=5E*qn>q89s1IIgq$ z5hh5O*eQO5`vR`oGaq4R!BueB5G3`0UZdq&2P}J-| zglRu>zy3?{=91!e3-69avo1%|{aMB9b z#&+Z-+E@@yLi*_{9i8pOt=ddSd%N#e-5N(vJLwW5))6#-X!9pp3kST~C|j%x2QY2q z!iI`8>=R)sIN$hPqNaoM<980c+&3d>DVAwl;>edz@Dz3p$(i1U+Dev`M4KG)Qef$}lTHEDsGO^@NH z$bD$c1$=M0-wxUXpk?DrE2}`kXsW5hgWW;Btop;bs#Gw7jMHD{N67=qq1_(m%qg8- zHAB5Rs!a2glh7W1TeF7~Q2_d)yZTV|hQ+3>J>)_9cwpN24l-Bs^?hJ>-efw!MFi?5 znYy@yJzCD4OJ`r-pU1y_d0KE9J&K`nu+45f-!- zFbSflhWy^N$*H}z%>KCQqmINbWcOBPLS4i-)mgU>=#McPR`s?C-YLdw{A3e`S*Mot z7e2@AeYnx@CHa*)(S02M=IV*iM*1twJEs@o4b(f)@=zrn6p#;O1Y52+r@0E+XpVu5 zSj${lk|Jv>(IpHHauh<($dHQ3ipoY$y{1C#BiASwJZwbX(w(yWDIZia0R}8 zXfNeS5Q}qDGvi?=`jjrr`b_?Z>VR`WGHSlHF^h3z+oow9q zqRixol6lF~Y7u9gTHumLC&%1elsuz~^w|C@@iAPS1#OR{2YQe^{oD{9_9+oQ5Q#yIR4Bit&Rb z#+>OXA4FUbP3g>!gtOT9IrS^+dNDrOe}z>bCN)Jrg=?;lhf_VwyF^2>S$pB zlq%gyb~7^K?&Gf63L2`KL8NfN5tM=hvXr$j#jlO>(7G@M*+!;XXQp_!QMX&q$$UH| z#n=aig${g5NElPPg+)y4pDtLBH`VagxquPtWHN}hQ@lX^14$Z%IWvuM`Ai)rv8zBIL}}Y#+hY2>&u@<* z*b&`I9pWul%@QtcIMIh*N?mPz!3nDi|3kA21m<#Sm{s~orkz~EONxlUNKES{+xfG^ z!QIp-%P;20x}+kNpE+%qdtF&#<_+^eU{1KYUsR5Gqt}~Gv}0aUcUs?@eH8q1EJ2PF@{4r?#1F=ox<#v&f4^50*R@Sl-vv65a;2zJ-Xh9$2N+&EKY?!{CU1;BX=W{D zv!s@>d1h78*3Lf8*3*4)Tf6$Ax5i9bya_(Ry9D?Iy8_@7l%>KaXqyk8p!PfXsQteP z@27^3%iTVj7(JReWi)Y579^&vhQzu*AhG`uBp&!?G;z~tB4IQ!U^H>eXkx7b68l_` z=;Duo=UFYqa2zTQ;p48LzxiK=rv{D=|7olIfAC-Np$hGH4YXr)S!%GTe&bz2e(UJ+ z|EI%qD$;H9z0RD6w`cr;*cI@vj-}e~{O7O8UA!szM35}?)A>d4d`~PKuR8+o?7-)g z|9J56VaWV^H)PgU!}ONbqtpK@2Jel&(EDBRLa%4R3-vD>eIdr^U}{Q~ZN8$d6%G;l zcKClWhd=t;qsPD~s|B*ID2u(j0&ul3E15kF{=Xte-`KyO`mlL)fy&VZwxyOq?!ahn z^UcxG#?jG(siVv89bI;KblJQGqswNFE_*FtbToJhj6SX#9nBvd9lr+_xG^xgz}C?P zK1qWGj0gX>sUy-DNbp!oUEj|Q?l3PE6UszZK$N!_jIol!g)6tcv<3^|79i9H) z2mk$7N=<>(8@AC@>gfF9VwnFw4B|!yJ14{7l|;xSTp0az>qnm-9alPZhqfs)cl9Ps z<(M4{j0NA6?)%lWi||{3U;Tgl@Z-)WAIG;x{ok`Q{tq7pdWwpRHW7&UuWI}H8%iht z=N_UL*hXsWZa0*opVoqvK5Px*d|KQQ{a^Rc92u}YU068g(NgVawSB+%N5LzG z7kw7KDt8A6fv~vTt(r;BMWvD^5CWlsW{y@lwd<)HiH*W*m9V0svIC+T#sZ-Ij8PEh^|Akd~;zBR|O zB9E)AAZIKZMC8I|^LPPJZ4+GQ+lia>nNnlB?|uKjEDhqYX8%~nlmSFJeYv~HHQRvu*JEX7X~`6f^4#(ZxNk#6dt z-k^PH;mMiCgi0uu5{>cyjpv{A66Y&i+gaL(FbMNIC5@=b!Udh>L+D-5fc(0L+2KFi zS|ksXM7;!e7qoPX!oPz~0!r(g&gv}GIBh-vd4cK@ivPk+l^PV3#q?h+P+jnmw+$2)veFio-5*c#m(OSn5TgSQD_2rRgBLtL{pz?~bS z2JYq3LE2|hFR?`5>e#`;mcYGSGYfrF-{ojyfspP)>na%vgfB2T+CoRKkpOUYM}7)@ zB=U%AzrE3AFe2B}Q?1Knj2o0 z@?`4 zUB8on?_+dPYw53)i=DF@G?2&HVN*$Z6@~RmWSSr^hK6+vJfygM37)8wD}>3pTtlU8GhkVc$ol& zmwL-w`FZ8FhkWEzTL5Zb^IUYJVLK{|z8S5^yoq)d2O}N#6hCO5I5+z3V{Wzr3s8|# z{j+H;6n(ZSu$p~tGd9WojP{!HKz-kR8?(;&gV)=uzW%QbcY=q*l2ViTRT#8A%5~ZU z_h}n6R_Lqhdr+ISCmhpTk4MdmxD6I+naSm~h%3TqS6=U9OG7KM**{&KF7k6#j4(2n zuh8XK`aJKIV)r|M-_J{qUjK=-!^3PgJcwl_eLz#4=Rk!1S*Cn7d|?zbj#+njRLWzV z1S2CSBzg8Be5x}aJ73={tr!`yEN%_BLB6B;+D*m&DXd7&S>G#FLFuJ=|5!=nX^q{j z!A};Jbe2CteJfnrS@j4RFRbn?dxSnAT;EwqLo5;sp!R}#CWOGGIrYLI4abemxD4Wuo;45nz z)I)Xc$ZYyp>(W-lT46%xj6vKxVMu4qAQ}&xj_6Z_(R(r7;H^K+b0Q1SZ> zHyyqMAx*Jsl&6GK8wz?ceuipCL9b48&`ipReye`y{@d@;*7RD}7M6F3?Lz9mp%vT} z#1cc7qqujO=8P;WgSpJ<_*s#Q+i>L1DpaCwyu)ntT~Ghha!Z!&5%T~mI0?i68((ZF z??68Ihu(4V?``%Ash;qCktg3TgryR-Tkd?wf4DAagm#Ms)kOHUF550V{%TqHrc_VD z{Yj_R9ZhMozH+Z$^8&5=mGD8EerM7CSsit*8NJ`DFV8L0d@JX#oZo#7>HT2~no^}h zQ%0Eh$euua?UO~!PtiaSPgf6eCKN;zsk_azf|w%kaUk@uudAEQ!F`d}H8;$t9*{eq zDU50Xo3DF9dV{9jXDQfxtrUkm@*fLaaj+ZrZkg5b)LO?pA*k-cJpwrU`Yu13|1*Jg zQD4K0+rjoa=6gT`jp1@|DznT|mv@2Xr8ihjpDM6BnlCRPe5`c7LLPqj3ONsc&U-i` zdDn&ncRYA2`j-lNed6>FAA0U^O4Qe#!R?&v!tGDbA9qeGo$?&_gFUn~_c?Z~J-fyK z@ae;sPVV9o>%ov|E0^?CKX`9i&5Wsskbl{ABgaln);&PgvBxos*3HiC!lr>v{M;^d z+Si*tzTbIOXKbCl?#mq~-|xQjGUyG%ak+TIFCVYf*!R@FeQN}-!^P; z{cvIi{z&~7<41l!=6vuWCo1~F>}Ovt+MIFe_<@nYtEbXEW7}#XJby7RFMa=D|L&(B zKjdf5xbtCHJd%3wpRt>2zRCQUv*F;}uRp%S9{IRo-L*THbT>YpI@K|@Zuj_+@M9-y z|BPL<(r?ypO+#MiwN^)U3Z;=g*Sby?+*8wQ)Lhv$>=#O#XvsClC?vg@Q1V5~L36qS zaE03pmmJ;$q^#Jtnx!&?qKJT*dhHc03-G=R9-brag9_lEG9QJY7@MSDXu4qZTcZsy z9W{DaQ_cXBHRv_t6JWA3U(^5O=cI(aX8KwXU7(3?uzV{^VMXpzT4k{c5f7bfTkbk8 zK~_`at@oWgf4P#!Z=l{3|InZ0dov2fwO=)2A04| zz#Ich;69QHa+A+|kQm!~7yVd&QM&Ig z{;}b`RF#2X=rz(-4}r(nuZaSldwG-hE~ri~mwR>iZ-%Qqrh}4it(W_B0L!jYj_UxH z-5R;yV*dx~EzSv@g+OsNgjpE!(8YA7Wt^P67@EK#PRd;{L4Kvpv0iW{bxs~3-Usg8 zJNU*_d6-Sylge$vr)`S~t+*!6ZS_=9W+ya#*9vi+GiovKgwdTfwK%-(FNdiQl}#OQ zeZpaSCOyK{=(8O0t;}G`KbASIKzGGj#A5$o>PCxP%xX#~QY{10+N2#wFxhJ;+JQa_ zNQ=C3!~&71p`;x3K!4paL5yumI<5{Aj%}ze$1{aQ*THu0^%QTgA;+#Ke`P&o_VY{` z#4<$_T^HI(i8>mzTM!>;L~D(i?3tB@+byEHwiT#1h^94&($F6PO>w*@_Q_YIq5Td` zxs%aSIplL&`!l~%KA|9{Qu*2()yIB`2(a&EZ?D6Sfp+d+=COU8pOhWugudvXR6(|1 z9C-twwagH{-vId$Z7)A@Am9<>jWWyZ+ZX>5`wtjQ)C}Q$wC@1&hu}trVm8`yOq0bs!qH$w}`o zARxEcPndKHVx;|<=@`prk8Y0XYXy2Ja+Br@yH|rjK^=;_k2(j4@)xqmy6`;kl1S^x zZAPxrcbRsw@GmJ31&dOU-DIj@R*E;U!L7f*B_ANGezL$}fUK6taKivuU2Eg@i*DfF z=*OE*7=2$-|Fpc9Wh?kR1WCWq5#HsM#baplZ4_6##3z*ct>vL?ykb%ZVyb?p=?e*V zALWGgdpKkAIG{B^qcta=f)=h6ieQ#TsJi85{LDCJ5C!fJ?J4V zrp&O;m(Na2oYV@6GRLgrT5*e+3$1>we$Q#YTPJYP3OLs;z(!?XADE)v(U61;*MUBt zY|1^$H7Cvmo{mj%r!~KD@OLAYt1ohxcVm`o-g8J9;N+s=gn5b|!4(|k@D=+vfiTc1 z;hcs78gjEp+#sZ(^F{K8;vI-eYs zP4RJ>S3!GplHSSzej5dm_s$ zqaydy(l8rzd5&cRtSZJ7OAg2T1AU5>K0p`&S&|dZBUN{@=ibU;@9e=IVw?l(mT@f= zLw>h)4EDu3?=jj5{5*L~Uu31~hBL9@VjWmPjANgu11pG?4jfp8i@WjnMEI86M$CBN ze@|}2eQlq}-ZqT-L%&v#JwSiNe4|1+*O!)NA$)Xrxc2&UIiWZan41|DG#Yc8isF=) zmSrJ9;a0G4fVhJ^6>gRnmX>6puNxK!uN}Q@jfD5_p4)m3TlXRA9GHB&awoIl`pWoD*<0|m_>XEl7D+;yt=5XstYzn zKbXucu?hRZWafpfw&9!+^^@V4Nn^xi>VG$FHzG3)pF2WRd>hGUtQTc|!*P$*?`&BO zmyM)Qe_xA67Op7Zp-2Y1gWQG5irb~WW*g(`k@)TNkGE`>MGZ$+sE*p|UELD&KJp^# z8Ci&;qyvA;Ky+Z?LdG2j*o4&K@UvhZ6R#*-jJ{}F*+1(!Vx6s|e?~9*t!|ZIgWR9s zzh9+teggY#6oFEswmRco`V7n_?G?v#Ii@#yE2w#yaMJeAuin2F7c|DAo0WbYBnQv0;M+6BDqC)-wh{k4}U zuCw?M?psk{=cGf}c(|Aq9m1aw;X3nbF^fdeoh7xnXMkYR^nun>hje=%Zk!5zbQ#M+!nY}zh8g{>4 zCOyaX`&&zqp5l7{tt*pW<`Vt1V;m*`E>M57JTYf^CY2*<^m<3U*gKfA(>h0t4JJ>w zip9iW>JL`A7~KS{(q%$aoBvmqLUB+VWrby-nAt}D%Q90O)<%tW%o;#6#RRHfi6UH@ zI>Jpuv_oB>4ikaiW*z8lerHW`M!ObeWhoyxe??X`Z^paU!js8v7vh5!o-BYTm*L1r z2#Mra4o^0WJ_&*+MeyX~=$tK^HxJ!exoI=LrKlT!3{JJYM$AGu)k+$1zr(4f0fIN2 zYS9DqTE-jIGy8kCL4l~Hlhliy;SCxEYls_)yk|ei-mD-zqHWVuIbn;O<^2cX4^Jt; z?_sKD#2HY^&+_%rKjDYFv$(u!K;;@t?ZbY0U8t#N*tXCacI*gD45n`&Y&9Si6H!EVfW`QWw1&*!znEzOOIXMb34e^Ue z(4R{~O$7Y?XI#`8o!NAbi+ihenoe<%Z*`xUE_1Os?QN-vi>_wOv$Dm01o&!?H=|gb zIQ0xs6lehwszJKCR7@vOf3O6ZGg;u$MK(t(rbSw2nHh>%gSZG$NOI92_Mm8Da^4_* zuE>WK6R32X!;S1fmCl@EjNGIAhU0xidqjG?4tGQcCNe$VH~iBzpF0`JlZRk0`?Doj zKEW7Ysa$Q2GA6wQRfxUP1E6KEyI_$G1oFsBEM)@>9&}Lukc^dzIs!Y#R1hWf6S$78 z)6qZLjw2V%{Xw~+tKRY!4(Gz*lnUZq+6mlZID8fk&w|5C;PBsYI3EtDRuC025b2Nx ztMoDf&&4+ zlqaqNsIVt+!7ybjOv#5Sb76`braXiA0zwE=AvFVDxed~8LfQgI`vlU?L9Bv!13`lL z2ht~X1iI!zOoR9YVlu=ch*_`3Stku;s_Zc00NdB2|0nQf~7y`Aht|LpM;nA zXg`jeG53c@U$6YRvz{N<<$s7_;0JcG4$&v`GrMSqnBVeoUBR`qcz$#jrIvB$x5M$m zxE9eN+)Pnm%alXdbkKpyYlVGo0N)RYYqZb#i9lRq+|gulV4r(h-NXs%OInJ+LTCTK zh*nB5quQh5)IXUi?GbUB^Jbs+nB(fV=D-5-4+69p4a`zOL@Q#82t1YsafM=h8hwQz zRSfcHe_5w+utU5+mrt7m)Mt>_V$DfB~$`|C2AP%07C40O>hU033mV!&0~k*4q&ZlR{!*F^c(Q2e-DDb z{6^U1Zgqr%mMQ;DVMTFvR>GLh0 zfOUPZg3!wj#V6@w_^aHJ!{O&u`<$`hyBqkLa!YyNIkO*R8sF-l2y)!0;iPH|TDU5? z^ff?p_eon6-UP-)OFR%-Id7FSL^ZBG!}x0EduwS5fd6CnJGgV6QchUytS0|mZz*7>6GTM&T&^s66QmE$2K>5oXvv_nmeML0*4>N zVS@yFR{w+a3kmwH;VbE834W#iFGolhvNwL5dbW(Jn7SDE%8=kdchQ@;XI0y5D_vc8 zk=f)4)}zjl&RM-sYo0AVr2zKKE6sZ+x`E&1<)`0fS>OaEC1A0JXJc#hzc}K%@WGU| z);V3IVDdYwSPn94c&pqU;Hhl#y9B^4suP6Ony@9hTt{~XfO8`p9T`41b#ooF2c@M%WsZHD zBgswm%o-si8ft*rI>U9!L#zTpn+?uY4b!WxuT5aQZyi?vzB$M2HS8^(phNWCf=oB5 zAn5}(z(#KokKo7XPO#7PB!+GFYxMzIsi2}22eeWvkrUD*J_LJRTuW{(>~*7CCfCAV z_b>LgKG^Gau=n?Y5lavsDUaZCPirP|@Lb+j9#^EPHxt?uud4&i!R@ivHJ`R4 z>UDY`ePf<#ubWP`qn?7T!=-jynQ%ove-J?wP3bR)8PH=3nRqzk;jvl2p4x3rtBX8>&721dq7 zq39&-HLJnt-9J0adyRIf>8Qe|H*z2DqAk^QPQiFhzNNb4T-`sl7xC7>v?2yV2vC6f z7I@~7)hLZ9$)z7AZqjBurVlWCL0I_?5L?R$h$}X}Ym*{?z|>g0QdqsQ)yQSKaL^Bp zW5rgZ589qdyFnXKJ4f1~KsN)|OOb*q_Ftyffk0YeFEQHCD%G>FNAv^IJuLJQLzi?D z3qN1)mhLhl<{NaRsWlGy97DTu=D@r(=xLn%@bXbmO+jWY-^?yOYC~w z(YYc`YM0r1Pcq=Bf>Q1hh}j*(Bf*(6ktPh{PdK;{4qk&e3h@MDH^d!?a}Y9^u(qSF zAr{t-fJlXihnP^2))O2bI6{R8iVv*P(TN`nbmGaY`kNcRBz;-eQuGM+y2zG18tiq$ zTS{oS-$mpW0Sy}bW_qFFa1!X6E!o z#i@qOWBMYFgW(GJ`})C7*Ne;Es#ZgjCvvOiU(n$Z9X5eJIAW@z2&!UXf6b<2U)tv$)K2U@qx%c?+V-NvrRd&|8C zDI1hOLF+ajTDSVkf{D<&WqwrB+(~uplgdrdx-Ed#t$hVHqY*SDV#_o~pmiI4Tm2Zm zTnZ22(+va%qm?`qHwMnp`3)C(Lc9HE@+Y^_hhQ5z!A>;o>>&?Do!0yaty?HGoQ2jc z6dEpwLi?*9VMCnl$dHHa$i47$FZ_IC6Qj>VyxejS*9bAh%qRBbYz=hrgU33o(EiB< zK<#Eo+y+UZkdVd5Cjt;R5P-O29p7Zs^Up!jG)OuMNw>7%wIe2G#T?f>5fNSLcJFE$ zoUDVWiNYoQ(*}`Ay7Q*p?f5l@x2A0c$aNqvF*ObI9vZIvG#nagfu{@90&^^jw?{QY z_(`&G2vbc@*W9rsI9ABxS#e9zS8OjvWhV&C1gn=Eub4LEeIL+$KRXkX%etXs8=xY{XBuF1kN;Ol0R8zYI_hcSrKJB3+r#vZkfaT6qo`>^rg ze|}gI+5y$TADp7jyhi*Y5vjA}HRhRpvQ#sS9jE`_SvV;Ip3^jz39@9xj9%1A__mqo zj#Je1;ljmHMowkkSn3<8tP`;1wZF^wt~)@W)$95*HHT3?OwvK zYLe_2*G{9CpRUl+X(Vigu4}syWR?~>dW?RT7^#+YS#(DDeARZ@xQwXB%J2U#_TDt8 zsWfUAC1hfrGBQJ$XTl^Z0+B&PMr9HZFrcC$f})}#W@wcppwdpLs5H)q3hji7nzRW` ztF7Qjx2Wl8E4H?RV^q}ptf*PSvUNsimcvy)Et-n{Qx&*J-s zyF3-1;75jgFa-;-rL!xCbde=fnDY(8QNnJ_x5i!%HA=!z&*`)pSdi~`BpC!j(nnaS{YW1lGO`4t@D|A@+iKKHoJ_Njpu+p1B7GJUF2gAW=`n9LhcMG za_i4ku5>zD?YNKTD0rud*~qIw`Su319W?CqjU?ZbJ#dl@5Pz0laN0RQEysdj0~($A zn~Cf+!5gR5m@Se7M3-}0olHF*l9sFTP{3{bgyq5`aE<8ny*y_wh0ZMIS#r(jjK@4K zmq2IHc!pfV&Gbz?FD`jAa~jW@Yr2_ni#L`_+{_B*k-5eh^bn8)CnXE5IK zLOb;`SjIflPJ`QY8E>L5;goVJy8jZFC>Nz=x9h!ECZ-j(>wED-OP%ft*7E&IUGEBe z(BzjBCfGM%utS>MvVFj02OfyrI~jw%r-gaSr4>g82<5c#ihq#{@26pyNGowxx__1vyvXcSudQVsvK_8+C=zYB&62S)RQZ%s%QuC zxEoTP+l*i73H9_!vj~riq_sD(*d~u2p;k{HHKafWuxMmVbzD9rMj55f90{mS&LFr-%K zxl3#nC5`q;mSg1^jkF}%S$S2X?KO+#@}x%AHEdIqH9B6yHbs6ql=#=kYtq@i7IE^4 z>2zP@xbxHPd@bGNQ`4<{Y5V1i(rwx;X2}!NneA48%jcy#w4?YWH{G%w#V0G$t;>xu02{0w#SH&c zinXH#tQ{F@V_g*oTfGMSb_gf5jveqR*Z-pYz35UG+0NpONURAP-ri+jRr&|x=2XNF zBCWz--Q2~h@i`}Ytz4yESsA6}Md)3ZQ5ED73vGIYNv+ie!5-CKHEV>e&5SUsrKJjF zn#sfGhbaD%gK|gB+~I@Olu@_cwGh+DYAd-xvWRpVsl()OUK>aW^L3^Pjw@ zNRZLWO7L4D4rw({@cAsNYIRHq_>9sPyC`mI55@h=KWC|=AkxLjc(P1OrUA%5e zIl6qmRD8PPwF;&BRvxxITF^3&O!Qox+gjZP2`(+qH_?3Z|8~5 zL45xIt`GfRRUbM?_}@Rv|L^}Sf4O&TqHePVircb>P7OoEo6?I;yN0MYW#62{Y`q|< z&}mb(bqP}}f6ou>#fs{5l?5u!jLI#J3vE>|oX)e27S+vkI#^A7Vs)8U&PD6qg`#ea z-^SE#(>Jol76F@VB<*k6$R--eI$P@4RH<~NrKw3zDwDMQ&_qg<{?@X&Nk0*r7xhh) zE7-hfZX#TfZEq1Zk-epVwEWma^p>4%kv37=q@P-LP2*Mr$dOPZ8S8dlU440NoADZRm_S_ z14iZR&z~_^CO{GBQ51mQN5SVA6ny3?VLcC^fR3tB>tz9@jAa2`KCcS;#=+cSVKDz! z1r^IsMY?KG(h>tJhE0PlMiJ=lE*fte3PCTR5cC-A3yMKM>WV?@Pz=gm#;Nvv6+2u3 zVk1aSlxFe_H5( zGB>ZK!fncxE!(Oc-!UC=3ytZ^t|5JvY~ZaPF=Rs|ov11w{&|2%kz6S17@!tl-NT|% zuiM)U`=Z%+)HN_+tWyMHKgM~z=r0YM?Yv$*Ms*LpX-up0nz1iBIWVzVf3Iq8V0<&7 zST#K`rJ49xwLFlQuSZjDC_)u^5;IDSqMyLbQKJKrNAjvl%WD>wu*7TC%3iO8CBt@+D1j2zdgVim_U2l)g0Ircg3H^qN*h&j$dqAeU+j$4rU zj%EcmUj`3YfXTtP?N6JlOmZAUU)?|vMw0}I{bvCzHV z6RN&(3jcJIZV##WW1Qiz&=ab@`{k2bL$o=Y342gfI4#}mC04o}kPU@R%*b@Zm#i%c z0`^$wnTk2BHro6QYGIv?dP+L2#?4B-@eoS6|Ag2BalP~$#2%>YWuMja8}%<*n#v9P zEt*j$?$wX3g~@X3M$#Ol7NmrHS-s-q)zY8Z{7f!ygY=XCU)M~jW}co8Ohpgy&r$Zh z4}b3Z!AL~T3Hedq+y18g$G>Yo=6!z}tX)ib{POIh3)l7MH@qs^)lY06vqEGVAJEZ1 zWEy6@NeX-l2`J;yaSg#g;?y>2U z=TL2IY@=O@X{BXlgyj08Er&bpLxPuzp7xaY{W4&jYx9NY^Vq3$fgNQ*{pve&3avl! z^7cBF&Y@Gr#;04vS8lX+4VQnJ+_$3wX=`_1J zxAkW<{5fg$>0fHE3d+B2TcNJr8yT)B)jMZv$}`_NKLwtfo1yV5ZJa_d3?@A+7=xWTX}VMVDTt=Njab$3zVAo2tfHUzV`JIo2Z(xe}M zaE@(rX%e~krr4~<=8p``Y zIR}#o*C+4PZ=OF&xjtn+v3bv3N|(He=$|y6lwWd<$U3-2-=E7AOu4m`u+93C`06bz z;mJdU8)?@ z^5i^|!P)KPGY7X&UIpG2kH1w*TxM;ncrf=5;mZ2o)eld8lCSECQhz&1Q4o8=hKv4` zsGW1K9Uso+nYZe<1H}1`3u$2W{Z5%+%Mt{w?0t!?EBX| zG8A>&H;?Gml;74X-1kwRz2~8E(Zm%20!h4m;BSYFFD3;T4ri{IEI58^ihfc`fMKB9 z1y}i)=Wc`p2ey%#wiZ~a@4x#|@6rBkByA)j=7`jWm7a7PU{-xMi?-y&4sF- z%SDnAgPeI0Mq8~n2>eR<`hqsNGXzy1u+rxGf=hv?m zpXzyAv};5^XJNNN7&}1N&~vqD(}>YPS+~(?_VK@mqNaK@6df5EH!!=KaN2gE$i649 z=-^1$z^rcKQrp*}2|X)|7JjA^zSNt`zrCBGZ?^BXRYjkcZI|firLOp#K$uj2W6}C= z<>O@RH`axLPsa2F(*xZD=^^ex^dNUGJ?vr$e(I{U+u9vJHF3B9)Wu!%(+?x2F_VkE zW4wxOV&*>1TZ>=2$*hLg)>o{f0ymGTEjd(lt>{qcwUR?6qsp{DwA+7@ju^*eKF(e{ zuV!D>jW8 zU+fpNzH_~E{d<$`ox-0kbTnb`)()H#P~ICw9h{>-Hhzwbsbn-y6xE8KURXu(oJ(zd?PNjhNQpUR2*t zuMgcJ+*JQmU9y)Pt|~Q53C$JWs=uqQ+G`qqIh@iw_au3a^}q5z;?2T;HK;h&D12N0 zL0z+#*d^~Jvux}Yaq%7%osCp~?l|$327h(Xh5@IVU_)|5$OS$53sv zcYN2~A;PiX6`~gn1!~IPFw%(i^Q+2t$*-#B^v=1)?_GFpwrA0` znVzNBW_gxeEA}kAHpi3iTjV*w!1N%hjafQfOj7NcK|yg=sV}V0pqv-7GMHK5Exg6)5^RrgYka><2IV84w$(D zA^w(D_D^&Wedm8cn|c66aHsvx{cD;l4)8zxFYjxM7(0zlyLPbEcddsl>NLB1L3oQ8>`p9=NHsO)dyER%72#o<@2tUPrv!x z82`Gve)NU##iwnDjQ^2R6=IF^@WyI(gzs+hhPr3+y_)62P1S@5{{m8K-8T6q&8)Q4 zZvEX>$3+KK^VQZPvaXNTsPRK+39Sh6%%c!Z^bv`W&P%RzaGC;V<9IqI|XO+4xMH^A`QqBj_0ls6Y`v>tt6`?QR zj^w9w?we{yIjXem%e5mfP;&dS?5O`L4f_h3N%l&wKJ3EoQm$?}5l%c;ccSG;IQ5+5 zZF%_X?pGY*5dNOn&7lq9?}>*T;~|$fqKllUArB+Lx7NEypY0kGJxHcV&nuiN&Dp-M z$T6`C0yAzCE-P0Rb#*z`*Tw3)xHQTSCAbETvofrg#OfETT#B$aSdSb*LrrC1dIb6s zc_>QK&6AKLcvv$xFgb#FV3ND&HHW6C?~M%%4zj!^O(LCep(&c{c*CoHuy3-RNv*Bx zAij-t8QxoJk~rt9;||fMZ;8Rf(_F1`oOX!!KuJngYFNuSDqq+d3f&ljaa>+U!bzem zZe>SomEM%NNyF=gNZ;tYkk)Lh&8s4Rhot28A+v9c3Gzk#w04UN^2C1IcB@tLdHt+* z%dhg>e#dqiQNDs}QDz~Q$8*_bRx$F~TzZ-1WqB6Yu8ig)U&^(5X|Y$H!nJv6RV**( zGGAIgmKSgxUeajtTCSy?#U?qAYi(yWO+J&$u(P}+Pv_d((H;pO^C?*97j5D*!`)_! zZ}H90Ue)}1-C1=z9(|Dlygc;#I!7Lb>sUgM5+?Bpy(ll7t_*NGqD4o&OQ@1swlT(+ zG*-pgIK>wm*H#-R`I48b9G&)PX-`9c;Dz*=p`J+s0dM9l& z?R@m|PTLHNmCznwuBRo zF+CKa8hTe&Gv%J-yZpVzq>I-~9wS|>cCUQ6;MuNaCwleDEv*$tIkc|i63Q8wui6az zV_rWCbI}2K$`ie1vSzhIqwyeW8vo>&AHuirOKfDtrC#h$lcQ&(TSRyo3D?EW9PaSc zcd(38>}_RNC()@JWL9d!bgKxj>(uwLUc;Na^dkKHNjK_b@)H{VaI>fBZq_ydE7p3r zwTs^E>Q4-iT}AqqZ*}Ho;&IiSit(3>&os2E-)l{xziLh7wjEm}wUSASiV-YdI8a?sy12R8s-F2?&K{w& zeRfkGqja&mnweyALnzTK$5y(YJGSiUBUVk`1(aJ#pQ3Zsj2e#uVlXz~4;(U|jjLeU zhM{Kg(TpS; ztLC5*!_JpkC~(tE>By)df3qlrP|O&0K)=DLpN)8REUhCcg#2AP8_KcFc8lK?iT(QR zR(^_k{ix44s>tm}eZ~UCihk5*{HuuXCzM&)D`xi_mRasnWc8EFXfqT``%Pb3JW!_7BFD2u zWqf9@|7@|{$R5ff>1&=pm-Pf1xem1ixsq(_%*{ zQt2Zex6^{ol0_SKvNzMG$UpJDJh3jsLSe}oO+ZDX);8bw8(}{bbR=5VX3MLJ{d{s) zQoH^(S!hdpj`0=Al9uiqbMHFSmev6SZ%J~?&jTiHb$%^v14eC<1uf?W2=nT8sf{{~ z^U>UD2j9Fa@g+GMB4{?9j`==jf)`3&#f5NExkc)rYPQR6(iq7>#qXNwsCqCP^xjQ9 zBVoz^)worx)6xgMF6y0%ef4KqSnJrXypwt#>$W1B#O5}00nfhQVbEio=u6z3VZo5m zKhjrfUOz3ubp;BI3y155%pDj+MKShQ^G(R9b$_WRbFFUE_9~|FeXGa)Y!pEoA?;>t zQY_|sR~IBv17fp;ESKH#DUAv0zYUy+6Q7t>hqh9>na}kLHbfKNyTr(CEp64mCm0Q9 z{Xnc9{|3KQOTC8;2OYXu=Hsdh+ewafchr}wPb(&kgo`&kHl*xP#u(cu7aQ3~|5e|r z4pGb*2@&0ROdu61gQ*wme>H)azxcQ+eYhdq%to@Qr8C@g2g*hdhf{Y*OqL9k#k%+9 zmk|77!}_K^(L1WyPCOb{&=>!Nx&Y~;{@)BzhpQyTEit3Zqkq-D6{KkBLw2jf`{G8a z=X&}wYL6-kwbO>S2Ne`ux#PRgfTWNj0lZS~di zHsdC{93?_}Pi0cIN4lY}#TLblRwHd(2Kf?1fySiUk0POUjmD@WA>WWG+fgLxGV*0) zi=&jM)Ty{!W&F9!tfjMy5n{Ovy^zb1w?t9Z6u$qDQl3xB7^r)jjQ;&vT{*n0ouqb5 zH}|DE3RkOs#m}15Zefa>utmFci(Wf(k1$lTxFc*Uxx>F_d``ePfhqR|e%OX(QUwaH z{EMcP5g-XoIju_6JN;`l_16>CR|u0-Xr*dGpsLb33QdSBRTUk1^?Kt~z3&RW-Np9b z%ns~Zop)_ThtGAl=TUx?r~#LYQ@-!-Bnwtm@+V(9lVd0sHYuKOu$UhnPA882I=R@C zI*C8-pt3U3WjcQ9v-9S?zwf+;5UWpMU<%8c72#5tO#NN5QkgkGJI*w4t@$xg3*ub#Ayy(DS|g zf8ROMy+adJvBi^m4C}z5oMK`9vl%`?!WYW5R@OJpM}9L~^8|`g7UlkY^`8ZF6q$TsR2hRM-&(aMJPIiB~@64Z?FYmJQ zt|bSjjBb25PqzH|(bHP)g*(i*w>;@l`@!YykZGQUuv7uMNGKwgfNDO5M5=y)wN`aA zWw(48FK)tajvX7Sg9L~!QhK-5QPC1gUUb**69Y}7);U)LNNGMH;BN%r<@S>s>rTvdwtR-*^{dgR(GYe@qh*a-we-f9+!D&8I^UMYULzYQ{z;(hCAEXV zm*GXm+33ZMwkIu?M^9;FowWKCJ-^ZMq$N2zFWurKZB6vbbas_RT=XO;I9REo=cLj72{xGwi>bB+C=@WHf)zRac3)q?Qu-+v1&^Z5`^5PN1#0$ z+T?qTFim+1*AlZN+CA}e)Jx&11exjRS2!D)>H4!}c?!EqTW!Ih*;DCwqv?%i@I5-8feFVTZ?jv`J}6`?>+Ynw#p7Fj7IEoymnKMD2@O1_)1SW_6@= zBN;DS0sYkh&jRYs*i{|Vu@Z=m6j?~laeqjG#BkBNYSv5oYvByds*b!Gy$^Nfih>c# z0gp(^7s%=hO7+a7Yt_lxWrRClqz%d@krv6W z|7T&tqkQZ55nXO|96V zB@X(0Bb`zewyf9M4tk*7jBJ*gFcNUcXRDYBP5g~Hh7Qalau)avw?qyWhb^{h_YZq5fmwyI!w z2R7zdUwEsqG3RV18l!SXXL*x{%t`X`kT}S;BfgeAR3 zZnUlOc_Vnr34q+5a4RRO)Xhk6x7DfC#YlL%HL%n}iqv<{At$MDeQWrTYog$KtLqTw zAK@{LS6_03={eb)X!8-@A+O!2`g+@Prke21GC*`u`Al)PIayr$|dmqMOQH z`z?}~dqiHE;K0lpvjdUCF zcg|D!hR$okPq01w?L=c()UQF*TFET4QJbrFTEDGhhgbS8E}98ThgHHRm!%fXElX8z z7}zb}(>XzSDR#SWQb?tj7Ecme(aW|l-OP8xCAykZm{4otk9s!7NT_HFEE9GI779BB zTLOCzGlez4l3~BY{9s363t<1k>|wiLGhh#36JQr$t6*O{H;IWbF)Rjl8Ri1p3oC{_ zhS6Y~VADp<7&WiIl&QX?%_Cn|KJu;zTe_&if9acdmroDAdV+m>N|5v~r%h}^kgU;3 z#wPzP?RVPACjKnzbXv!zE|QKoZEMn7B$GI8Xd=Cl{^qo&N&gLY-~~+-BkaI$)SA(m z!O@mn8l7<>n#;ANvld4iV(-uEspzfB9Q*a#cE^vnbfsNOH9x8~%=cvqZsJ!Zfm*EP zCH&F7P#uKkPWis1St?FiiZA7Fl~o!lpBO5~mLIjW4CZQ~H~zTK93{5lkNb04)@_Tc z3I?A1r?!(qu{XbEz~~tBzC4ukHTF|(Co$jSrupvUtn+eH&exjH@txE`*P9zU7c#%d z{WxDwe#xrT8+5;Eu38AGV|&imm!m0_q}x`{C%s&T%>DAlTC3F?!U?Y!9=rvWMz>J| zze*ETaVebWU@-?mMrIM7*RNjrEulou9KRjQMkB@sX}6l&xPrAoHi|#M^&-5CL@PLq zF}qR2cdON)I({?thSW$sHr+BJz+1>dH`Ufd`UO^*imRUIq?yXUv^s0i$0U+oDA)jz_u`RIZEO|6RH@gO zW}?4G>a0G&wo4rx#uwNBKziY>feGyj7lXX9k{aj}9j=&uUSMmdS0o?O&BdwTKh}y$F2zM-8L}$P~19yTI^BtlS z;2wuN9xkG*;9i1@WJIEJUSL`~L9EOT%xQ<_!HU3)G9@5tw4S=x z<*}lvw>mHkuB@K=814^nxj_y|h;D+r8EzohdY)bbHKgv`mCL*HAS+7U(X!X@H>)k>)%(+C|cJ=eMvus zOatmm)HqJi)Iu|b{6#4)x>U`!vj`B1HM5|JqHl(KxaEjVxOV~d*Vt7R`Q3yR+`}hB zqSoyp<)CW3ItqQ*(71dK`mi-?o$Tx0hA-t&kf!QsBS>m>)@7?sSI)tLnOzC3Q1B8> z?qh1mi=@XPD`eX1XCsVg<@WmQ5Y)7K^#<&~f>~Z#S_pMbtZ`b-Nm5*_Pg=%FiYnGN zE&n9hEp~j`)RWX_GP9P=Lk9j9yX0$HgS!%{2rSvLmXpZgLnX6yKQZ5Xh3IN*K*iZ1 z(<{=*mgXVjD=1?Y4VinRjQK~lfwzp;B4wMjNu67Euu)Svvt=#Y?3jg%d<)<8kXO9$ z2(pDwv5ChlixsCJ&XH12y(cro^R(rlr@L^cvIGy)`sJ3>6i3mNCOL_E1}UNT&<>h* zjdV)sTd}Rl^c8cld;%W2k2j%!+A-RmYd+{vi^S7UxcAZy`7oi^d_(O#VqBdwYUE&f zNjORMT8$-!2!E!~L&+cBH(>maWR=>h602oq!Z{FUZV%VrO`D{6s|l~>HR}aPr>Wf< zgU8QBlaTD#^5M2#v>08kc)@Wz6!1noCYCUKz|-KN)f)Nz*1+mXbVyxk)DzP6y8{wM z=E_h1DKze{=O{B)cxX6%(fKAe(wpjOm4@0RWS7XMC|H^xq+iNM4w7>soycug4pD~tbFpHk|Vs8m8L^Z z$51A^q-9f=Lltw3z+V&JmpMo}hdQ-omBvHP<>U#dQ`^>M|Bkr~DL*K>zODaNIiuxL zm#vA#M}bgN5SVbAB1U;;>>zcm^ot^JM30STi8-l}{=~&XH9*{j#EYpw!W4aAyOSj2=M}nIC3J8nQ z9$@8&O_NUn`W1XG+FeZ?^?ZnS!g*?sk+2-dnMD1{A=XObD5Ey#jkSJv8K}DODVhmO zhgFt&;^ymFG}qTny`gDHeFJtJ_8PXQX{cy=Qq}Onq^gbx_i;IvH2d~?iCLB^mU^VP zTpY?hqxiGwe$k9;3pyg7h7DLOwk@kaYZ|5+r0hi458=BA=OH`+Vavs~FA-jf@OgwM zAp8*FLWHB9hJ|Cc9oD6sJMo;nTHvBdt;iT9uSZ9w$`SK$=dHp`n!<`iUvzXa8s3v* zcpb&M?K!5`C82-Z8vfvC*6VavSkIr(>l!Aw22plSn6RB6-0OZ?@RIM-i!F|3{`g+E zr2_oH-RrUxD(qu=Jw6CV`2n6zAA}-)l&7m1mdczwIcCCEO`xaydVxmc>50DSKWf6m z(ItJqa&m<*oa|3Os6fSfMQ1oMQFcOYRcW@_^4Z@BtT{EZO)fK8vxp;&-k(`$Z=PL57a zx5#%h5*~@0S<&FBe+=nUOIq1o1$63|IxBU2y49fTbyR^&RWmCIU77g?sPU@%XZN375O8Y+7zTyZ{vD6PD7~JbIAA=%UnoRUTry6O^)y^Ae%$# z?XqUcaNQv+nJpCjik6p^Bg|?DyzQpH7OcQV)8=aP2)~Ea8+a@{p@|u8)*3`05t@ZW z=vFNjxg)X2eM8}+F&=KyT6Mc$)ITme&Rc~{wU`k7h0-3iUq7kaZ-;1EZ1C_gEwdX^ z5+AXhA}mD;*irc;Y^UU$L<-pH7GWvXM*2ZLt)EciK2CHa&SQAZkjX{*40(2|k2bf8 z_^9qxOXCp1Mz*^}GDO}Xeb};nh06J{Qeg#E?ZHoutL^ zR&q_MEH3jVanY#Mg*S(bMx}ds*<3U#E#@ubqEYE%UMd%jN@=`>T*G&kn|Os>@;llz z-fFI?iN!5N^oTthjYAcDcQzV_{=^SsqjBh8nw5bQuaR9;=0%&EsPXtShRt=XXG94r z`2==AErq5GC~DO*lB_NXf72`rjPoT;Q*i>5eJQt8Rw%PD`oFt=f73q!4TSYtYE8ga z;aN@LusFx;DJm`|by{6x9g(NabV(UzP}{J;Vw8E`Nju>*uOkrV2@8k0 z!a`2-h9{MY)i(wxio#A)=xEuJzGKo>RhYpyO!VEbz@ohlRu7ZH*25$)#M)&r#LEyb zL%a;}GQ`UeFGIWx@iN3;BL1>|L7>N;v@&tgGFU2XA*>L#8m}G=D}o`eu7D-LN?|#$ zYFI3+1eO7-f?dMdZioE=J3BzR#rUbFT ziA8lXUP`*n6RT~4!B&%A7k_L`&2rk)W%q8wniVY=yN`W?k5tbe&3!%DZ0Vhx%>eR<2jmJLRWj&ww z+Lklp-&NE09l5Yj2QL78(dFiyhs)y5{rP2gLiWl>IuaG6uW2AT>PW>P)p9_h0AApU zL8^|u9qcF_o26q<1-nqkp08u;4jIpRP_n@HX7XKk-M|}z*%*Ay+PAa)_qcPv{W%c> zKiwb^gQL1ZJO&GNgA@$@)eU$U*y{$9G1#RW#9=T)H%P|dfo>3k!35pF$9>$8J2Czkq^-zxsbovRQuoh&L!%EfKMk8QV!X(E|yytZc_`7&Q$^cR<)sB01wl_W(6 zdb>NZ?P(VE5<`QY9uny>!XpU(fG{6noWPzrL#U>?D(p@$QrX8jbj} z!Ik>u&DYnf-+3|q`XI~rVZ6o6ZR_5q#@d9_8!2X!_6c1q=c-HLG8-xD;nLuiz-2U2 zQs92)+>~#x3#kY*^HS&AO}%L@db2WtU>)05G>d*%v}N)>qpaDD-Z|5C00TfWfTaM& z0{C0Um;#_2z;Yd+W26G81waPC03$O2z)S#fIslB!Bmk8F+yHC=W68w?M*CDwW{1B8 zoS)#x5SigSm3-tRoGCh*B_fC6T-8PV5ow3B2y?E;G8Ao209pYg0`S%W8~|+q<^gEa z0nSs&FO2Y-*-|)OaJ=EDx*s+DpXX(T^NPlKMFRehw*p{G41h2I|MBj10B@hs-Lc;f z#!n-^sK?Q7;pizi;5eMni$ee|126;dXTVhQ{Nn%~09dL6z?gpo!0!OU0OaZzM*-Xc zkfj53jO_p}#kCcE#Tm}myHgot$+Ab}qb^d22oaHg@D5ktJnqK8ak&2d(k{+lmaE;~cRDZ*Z+PF7u&VvnxPOW# zI8SwaF}d+`&ihz6ZgA$pxlwof*A-d&BJVEdyzku20$&6^3;YYNpv(g0xQ>zu%6w1? zK*=nGV+-dY9sI4}pV9GMz@GvBYYF%|3Kf({9ij*$vrH2@+2Q!qjka8gA8Vsro) zp-BKL0Js2X&@pfxcS`{j>i``C=Yem}=NuYgEJl3;flHb|(zo>hJj`N>rh|P6%&TB#f!SV< z<1*GccZ@?Y8Noj>18Fe&FF*(bp$vopItXJym;%CG5Eg)NAB3eKywnkNM;H%6DhLlj zSOvlt5X?ZZ10ftos0haiC4kTmLNN%xf{=o*+c+=0^Ue4uZsDW&MmxM=N&LQ`Thkk_ zBt27p4+v%qyAp!xGG<@#oJ#ZDl#twVjT0*Tx$ZXUiZ_6r0A2!EqyxMFYy&U|;EfLO z0k8+aC?_-1s4gSZ%X4bx{*4KlTYdo`)dAiBngD13B2UtWoXxDmZBuyw;s9&`kii5H2LLL=-gk6> zjuEr3?Fs|0d5jKc8k|g>1L~p%oDD7{ZTTK}i4~ZG3rXhLbWm_1$;5@EZIKKVTu9mm z-9cFj3N9p>XK|E7Kb@mHr9AL)A<4vrq-`pkM0-SVA;~;jhzKqunYfT-E>DAl3rVH} z4*RAKhn7pPtZA-ygV2DVDNY2uy2~de+GWH?qkxu-2feBIw(G% z6zC{BK)DCXZM^3BxB6;!$D430l<4n zv<0w02hac%0H6Y}2T-MB;H@OG0L;(rnD<^U!Dc&B6NK3PWqxFNK?(=l|Pt{wm) z0245R@U6LG0RZ=qHWM&{a2{6-0Js3C*D-XTDH}ks4$v`h9#=>JXaJIQ4Bbac2Y@?O zTau1}^SH7MZ)`R`gYEdn&f1E1`Ue2q;W9tz=I4VRrHksmMU&vX#oSSRsDP6O|<#q!~8iGfbI*vT6aX<7qk$E|HeE#@y&(=#*ec&nF-$* zhbR7=zt8A5JS({3S#4vx6@Xj-HURJy-q=n95Xqd=!P~c072lZ4+!;G>dKvTZtj;(9 zSpe?q02Tn3(|H}iFdx`>mI%127iA6CERO z-=6J%TRxvNdwJZ7XM0ljE&KKfgTiPG$htuS25WSKSPbHHgCq=8y1_&Y+%Q;uG2!*- z6v?~m-}M_Gek%05IsUsW7I3EyM*E$QR*eOtTP>ffUhn5z&|XN|$GWr)@R|06fZD5) zs``L{o4;KUC9Hcd<=Ef#kyJ$<_r2NJElrs8rj29&+Yw1s#}WUVieqaN?muQ|?q9XO z(mM8#SFYel?39XArQ~BsDLd6_+LdyWd`|{-Ok^^7juY&Rom6pRh*XZ8vZJlWUCCAC zGqQ5ES-<@t)VyAQ>XY9;Y|koJ|_`7q}~Z_2jbMho$MO_t%3kF?%uCO8T>a= zq3n`;jAmh9!YI*3Hme1BW+9BLyx>m#8lNoDQ$C~AIZM2iZ(8bhS9F(;G{w8()5uu% z2orta(}tYF#OwLSL+ELK9nCm7AB4yFQA6%#=%nj3WVzgQh4gYu_77u*{ML&i8=tf+ zbR9e7ks|nJ`JzY=PNu~7hYhMTSz#U{-O3_1|ZX56x_ zU;o^sM_ENFE*>YYL|BXPM1;8qf}7~KtdkHvfbcYg=OSEH8K6zMO$m;*51a-?5y=-t z85Bia{t>-?JeRZ>7Nxvp@Kklnptk;?<+Tk5El268E;$ydF50oXS=OpW5moWD8!YU1W{jUh@G*dTD%cm@oT;-Lg zGoM)g9bJ&_@Px*Qu1&Y3TWpEur6YGSGkRt^gKl{zIz8Q_tv=-}N%{}QO}ZnhkQ6`kL)qGOB|9iuDK`_W@7$9qE5kfGew z(t3#OEjb@Ot8&aC&MPQVUTE2I$h1v1u4QePdA{>2YBoABBts+@!Z6vgb@EWn%!;Gc zqKvk|MVY27CCB-v2Q%B(n3 zZ93>uK>aBF+;X~_cpIhIUzB-hAstp7-A(*Qx=bO~Oc>r+?HJ)3skZ?+BYTm^+N5Pi z_(wv$gQA|?Z*iNpM|4m*M?H6BY;}AMVK<`?KizEg@{v&VktPy z6~$5Jucq}gLM$Fc$DoOT_X@#{*wo>(L*$DV7ZlrC&9#Z|$c3_6wQav+h!ruqm}?PY zDUP1TWrxsWeC}w3VGas9-$dOV#w27kizE- z`ML}J`9VY82L$DO?;-yKD4HHOgjVYplqP+7*GQ|BzJ1x(C|{L!eT6mVl)AG;En0$! z)m!L|xUe}-#Xo600+W38E<&|7X79P2#gywJs@2z59u^XVtt36#-hNOcD-O#V&If^ti;X>oP>r3khwdSL#?%V zp@`@tjCzKNKA}Qlav8-~$s9g0U{q^)Uw)iFUYq<6r9HeR-{giV7aI^kF7Mo**@J)j$%xLNNYbfXKKXUVsR zUlp9F+)8++@tqd=H7w$)z(m8}n7)~^UFqg@!jt%O-4tF{rFE~nKP2p?Z;aVY92>WM z4c1yx-Kg^Bb3LjWO(`?qqr$U zTlRG^n*)v!_d|1DA1kV9g9iRqV}vr*?=2_0$oZUd@+@@comx4*D`}A6&srw>xs~bZ z@k;-1)q@sM7d3vs1rv54|zEdvXNtc zN5idXsJ6@Z*{ydEwIAt(E-K1Ca z0CBE{QL(L>G3Zx7{8)ESaiKNxkl#bSCD;Oq9ATn|fvJPVYr#2efwW)?#PuQ7T&B;^ zy2tW{4|$RtXlo!?XHY$nPEM8bTXuVz?Y450-)eQOj&C;K&DakqN&VsOE{kr9nbd;% z4q`yufN-13OSzBbQuQ5!@x!@4*jG>Z#q9Qm4&uVt0pS>g@(}tLp%jG1{9@M4nn`_# zup`3e2v0)zF~XC7urI|yZQ=)nsetDIehWD62YYSMFJ?82nbeExJBW`kE8cQxOC{J= zGpReko(<-GgYkV4znGmwxr?96%jhF;$HA3!5EsBb4>$4`vnoXG;U0uL7A~SQ;GTht zQ+|i&1h~iHj)#lrD!7;6M*U)Df+!KE)nYI{FdQzTF>pKJ;&tm0b%A>bE?&1D(PFq= zaPhiHh|=KhgNxTqvUJ35XG~yn4e5Yv19m%UfiWR^!ErJF*>mBB+-_v7wxEXaR2rZ# z*Z2gc)|l4P9|=CST52bUpy3j2_(UmwvA@fYYx0J7mYUVF{Nxk+t$eMH%KuBqcGUS# zVN~48;cY{D-XBIUj@);o`LO{VS??$>Vj&6t zj%*tn)sg;=x>KpwG2Mier*!JbG@;yAT6D}XA&*xEc1$y&o>v+mN0_uy>DiH859uy8 zq`SyeWjLg}sOyxZiku|UB&BObMiLt1(kt?l$R5g&im6G|gUV&|y0cDuwS*F@x6!jlu($bC+^+H1nCz?fhw6sYIxYDLu7&xUF&pzn z&EC|laXOwudLvOeUCPlns@sn`03sdN!e2B2r~@#SN>@j7J5A`g7FzMgdU|CE-l`JQ z65I7zNM@hdNy&G;E0U?krRBC$w;|QPe!#$=u~R;aAKZmD?z2=`X(?sIzg0`qcrW!B zs+6?JFZH*m%G2Ur;!awCW+%ius@k*|JH6~Wu{yC5idyRwnHqyGREyoKD^}-L+U4V+ z?1gG_g*Y5P)q{#;?4_bytlV;r;;6>1E4ewl@$aM(y=8Uv>Xb$Z=50qOGUKki67t8o zGIc?t{cR-A**DS#Jr)VS#FY;VdT}4^R`VM1OMQbP00O#=88|T3$cvFPkWa^Lv{gMh z-Q+gyp5P#o$>&zuSI1w|yCL1K9?Lb32$(HqDeZ>&u8!4SKbm*j{YEX2JRu&Beh=jzf6Gad5B0odOrHbPnz-xRc;w?h3dM;ikgH+|O{oHP#eG zyE?KlmjYJ-H^H^gZR?4I$Uxs8%}q9-0syDk3)lNc^ZNBqh{bRR;QGTwlm=G`7avv< zqSN5shU*6x(MLF@HI6yk)v<~38+Eo!Q?%ZbaFOMv$ghk<5rF<%C0RYG(zz+XUq4DB zQ|PDLjQaW$EFA)*!hId|CdJOE$cQjlFIgwA90?3}b|82_d~0*HNi%bU_`8y(uCKOgX1te=9m&}L zj{f;6wZ!6vpk6b5Q=Ef=Altr?8Jco40 zC)k3o(Ijsy>?Rx4o#F9D%sgEmlGmtKw$xQS(ix6uQWBGvUqec)+rXPVVi=C9&;8I* z7HaAFo{>bB7f+Dc8^Bw;P*N{#2$Ema%!JLG$f6YSu7pmIk)TlN5zJJfui526>! z$chUC=H(Vtd4tBOYqFjG7};ecA&>^W*Ng5exfSQb&0bk}$aiU654oiX&nxFvoY9&O zx?C5mR83FIYlf2E@+}h2M#3QesG!EWr)8H=%%#3+=SD_^@6Wnl=#ELY7ykLS!m z(*oK@!96_8Up!>`5dEZgY9fcXb~$$YIiPb6GO1_l-kjVArc8;M~_nPcRpXR z5W8DXx!h=36T1cUK5tO$X~dJD{0YjxpnO1h9m2&3d%07FaD*y^&msH(;a3Q6KzI(q zJ_utrb{h$w5&nwsH*B2=uyt0HWP;sB3LCRc5MGP$WrY7ixDMeGgz?(gZ6wws+=K9A zghyIknw-W#06w_M^_<|hR-Y!$IpN{f@lEb41kYPNv?=fOgJTy4PJ2f<5nC9T_l~$Y zcJ=TDw%$|ZhEur)*JxtJ2EIX4z*^BB+}ol}s8KRYHH&LC>K!k9q%0ji&n7aZokfjo zDpNL6Bx%yyDwU|sxrU=&?&3>I`tX@1qi?8D4dU95`dlPlRhe{5yhid-*`+=Bp8SWS z+D0{@ zACX67UGaYq_U2Jdon7C5=0FlMPs|}qVJ3=-h?+{r-B_db`$VU0mnvleAsu z$~o8G-@W&B6}yH0Ktps~bxrYxb;`OZ$?V@ni>+bhP)u@^GP1L%8nwspt!sr9+rpY7 z9&Zh3;ruAZTG2(!3F2rgv50+D%(CK(SnI`Otdt_qsRz^|%0Z`|;Ea&Z48hGdM7oyL zGRq^kYnDRB>_Nzw<;2v860;{rW8_e< zdJVKS_#E+q#{S8QQx!2$ zI}A5nwS}0>2%|PuDwG#6@dXCD>t%PmCw~D;H5T&jIHlM_JIG3U3o&th>6jhb3(hjR#cGTq}kev+oykN zUc7)+#V*BO-*yJ|ZRBZ@sXM-R)OkXO@eJxKnC^!u{JVCT&Y=E)=~kEu&lBq4>_M3B zf$12S!r5mq-2v0l=Ly4b_8LqN!*m=>O=nKsuK2b)K9zT#Kx#aL((zB*TriD-DSYcK zn0jE!KTl|cvqxb%1k)Ip!r7l;>Vs*-c|ty%{Q{W$QX${i@N9d&Q(zx zP?CHWs@|?reAyXxhCU9e-e$LFKZQco`|TOE#FCWHVIR9pakMkEn!ZN;t@Wff3HSvE!{33&Y9~Basejw1 zdYS3bhoIc_54exc^8xoA0JJ?F@164X@ZkGVr6 zw1D+OTWuNNom{34ffizMWtwu!nC_$}&=)MJ8+;-4mWkbv1c6TbQ+&ax)(&h5pVI)U?9oB7Vr>qCTfueXFt=MGR1t>9#FOR>D z-($G!TH!?t5`KZI(07L4iF)uXsHbWvfwIttp)52J%0gp{oy(ytbm;%7H(MUT)+K-( ze`X?fHnhn34it~ue8m6vBUiHfw_}I9p>4ts&^CeF7H!mBmH5}J$z0?*r0TI<^R{Z9 z02#o4-9q%cJM(d2`a7ilZFfkS+#P``LD*K=>lKS zC5Oy&k&As!J8HkGB&iA-v9C?FF0?SL9-Kit91@SU6*CE>cW5CtjXBePLK)=C&&MCm zsexDJPTL+@x$CrFvS$^Ban{UNPJduklibKvR0iUD2DDh`;6} z^yZwwY;eZ+7M#KT>kLX2oq=+F8L6@}c%2iMDyha~Ib%|xUg0e#JJtR1@A87m3a)~C z`@-+{E^d2$@9XLxFMefx;@*AvyIFHCF8lG~vf!%|IzMi`@$oIejvF+39aX&ga?I1*-AZ=585O2a=m2%s2HeuIG)7w+zquVFTN7wKG zxdgRUvY@6!g3OT=)f7q4cO-Q+kYgPgLOK5eRrCtjV{yA^5w5Sg1D+c)UDvva zll1kjqurEA29)c9!0)<#itDr>WaN+CXXjGOn8->=A$1lL{gb4YIak8$U;de6^bqNN!C*579oTZDK#oy(`p%|k3OXyu*B;lPiZX>DcEG9@jXo-+T1Zi3O+~|YC)jH95 zA-9E-CBh1sMa)~GXkkbZ`-U#wO_3KjBEECTkiACTWV(|V`m%)5M)4oENx7BV^@ z!DxaoxC0W5@Ip=<^Dj}XFuaaU6mhK7I+jT^&dROhRPPXSXxKbHE+IZGb62vN~Rt5=T z6{*%>62vNAD^q+~uh5Z>hSV7$h@%d1YFP+sz5_DQLy$i^AOk%F&2T^l`T@ijj!zlr zuqS-vN~y)=gXf^AmOPSlU$@bLO`TSbdE|`toa-cgV9d6WYFRzZ@1Zq!Xb*dwEu@yw z!@6Y4tPSqrtg)eLIf=}7Hhyh*BAa5Pd#Q=69kx+kZX&0^M(~o(Fdx|Cy{I$n6nl`D zaE5ixp5euv;Vie~yyR-;YkQ0rSwUa>3f zVKnk9)=&0HG~_D|&5oq`hcKJ%5j1QFyU_Qqkgd=us()&+0mbhB<(33aa z)u<=lH>z9*^pyK140H_hOEyYeJ7v(dB+6x!5zZPHxc14&XH87k7McI&#v<2lS-|I} zG}m?+@lRu&>yV7{C-e%?bo+%F^Igr|q%f$1V(2CuGFH3xc9Rd8LR_1>{pT6WUAz2n z=cIlrXj*lNPf^9b(Z1t@L*tEZhXvt_B4{62s6IYHcTV#Sh3EJN*}g$Bz|*JAO9WvK191i~`Zby%>l47I}+RyVIq z*9aYuqn>DcETOOrb!RMSScZ@Y&*+Z$fLHE!T>rmTcN)8BAyjvIx~jMMyBAw(GcYfc zUs9ot)BpL0DSkQ2w|BsdGWyN1bQtS?U4L-zyRwb0p3m<8b)fR~wa5Qn`+j`m27=VE zbMmwnL7~Na0#XOwYqSQ_4|oF~`-vDdXEBj%)TQGuomTL92-M@|;Z6 zD(8H8K_)Z^p4ltPLQh1lFfnJVePWJwcJ^E+_2q`|3EI3hn3SOJh@^{oK#ez8TfzLQ+1{X^$#I<+G#h%tAsw>q~oDAugY@&`v5O z_b~rsPb@_CuvzwyLjNAtE_-Gnwue&;zM+6b5N`7e(TVJBc6uKsku}Xes*jY&`PNQ= zDlH@m_Y46b1O7mOKk@NqIrjIgHtm|MGHpOal6^gJyJ@##rYz6X0ElII?czpyrxdI ziJO@tkbNEw9wJ5|zCLJdhA*+Y&a-x4$YpBpvmXKWR36oxyLk##v9%a`-TyS9K6n<( zmwYDPv!SHeRRhR?t?j35J1UDcho@Alk4B8OS*gJ;l|I0;63EjB)bJfRUvV8WRyy0W ze+W0-@TaR|2-(1yXbDtfHud-n!v^dBF8MpKKc zaeWRpb#66Q=om|#S&g?k$kaKnFtv_k>g-pz<@$-dLjy!Nl!BRN4UeLRYd5(gn`9vf zgHzlj8KFe6!gUMWjHdE>!VLWbQLcBqEc!a)q%)!^yWany@ti2bJ4Tjr9U17j1htSu zyP>JmUPon9X$Z2^L4kZc+5*O8C}0Kk=(j^X`thsh8**jPE4-pp1H9Tb=P>> ze4rC|*YrEYrjYT*8{%j$r7iITw%9REUOa+7Wtd~W(iyNsKf!#wleomN-h8o>@|zwH zSvG#Z8FI|mRiq$&toewF5M=nwY*mr>={e?;D*t_k+0gelpiD1V$ZhAxS4CSikNFu@ zkxKPNeoR%YQuCHSc8KSO1)Dq+^&D|fn%OIL;O9E`oA=8QS4^ACTVxAC@8Cn_3iV85C0MS2W4cI8%oLS{QCI4l7!_5nD``Y<|tOM&@3dpgFLS zU25Ytvl>}H*wULr8#&``m}W*k^Rn%K`i`y8#qlYv@o%(?-Jz*-2hpP?4XHDkhzk;N z>KrC&CDetP%|!kMbzv%)Xd?8wm$x8H(CfaW1(hdR++NgzyeBDbFK|=*8|FC_8 z@U|7g+q6*o2?%fJ*WnIBc$?VA3ZXxjeeYn7(O^xDyU;O<5!M25e~> zxs>}xf7gLlzx$@CE^RC61Bhy*Le@y!am@`!UCq=U#2#mUjkE_f+gV*Rtq1wNv%Ds& z2fY#UD8sFY5$H*|UrF;7B;qbP=rwZ^v1=ToYGxv*lT7UG)97rQU|U-Q3|3w8i)>Z{GmQV)}}=WdNr%{=wTl zfK-|e^0p6P-OzU}z>0S;){E<{l&-k9It+AVk=JAB1~ku_?zdC_QFF{azbU^SwbN7} zCU^s7ag*>T9UR`*>6nN56mglCC4=5;FP-CfI>_pZzK#xbzT8gz$ji$y(T>CBV?Jmsx<|CQHn4>@TU1)hYGHgYT3Z`hL>(uRddIaz zeL(Jl7g%Gb|0&}~(U@9d5$6(k#PI#hHR7aN3Z%b@L%oQ87DYVK>(|fOAx3*KY0Ls~ zq?eS&ejsLeQE99c@n|n0jdM;+qrAd*?ZDp^}i^ zLI?JsBiMXFkH4b#nNO=2bqs&enr7-S)gUTp<_^=Rik3GshiTu4Dw;!v8F8YG&2$p= zq)pTuM4~UYDVo_N+Dn_fIgG>zwAFaoTyVTK^BG*Ih}g#`awE@ct^8na?0KD+k8kCz z&>Hxh)~M&WFQlKRN(YfMrSnp!bwR7Kdc~G*$|565v0LD`$TS6FETmtIe8nLF;TMxq zp%IYTM!KR|0CkjRC=7yt-NsRhy#nHH(+b6A0cDnvpxD*sH_Mc(*w#jRY>ZbNY$H52 zErEU~q1Dtew)K{n0l^Z@G|O03ML#lJe?@Vj4Sx}GN>5iIE*iEdu2x}I>u)PgR6+Zq z$9Z+-J|R1f=txXmyrQ-{C6CBzCAR))MI}szV(%+;^?) z!`4S!(PmkKeGp--Foe05_A%-s*J+kZmwOIEW*=vRy|NEl0{#m}unZ zwnMk1PA;K=ean`jOiz!$I{Uaj9=|>wa5eHKTd|+;5=cZGk{>=)8FAw z7@gE73}0kNY>luZ*7I3af$LoFA={V0e}G-Ucfi-c-@rED#gU1cja_)!Nbo6U=gS1z z2xlFulLdqVKfjjLjB81(c`%#b86Lz@Q2{Q%1VR8h5CpJ+Fn|UG0xTdjX!hmq!3(vT zHaA-z^SN%wP1Z;Jscm(K>7)PD4Y-l|$S`fEo2JUj#CaVIYDFe?x$!feMn$F@IlN|- zKixE&2mRxB8U_DZ9Kw&6C0jI1eugYgsV?Hj$dZ(rH2zpwf?Hk3PnM-TM+8bTJ-hYb z&>km_X$FV3znI*;7k>ho1yLRH{#@GR9Dgr?NdIhJ937uiyxrMIfng5 zlF?Lg43CxIzK=4!7%OT*Y) z-FpkEig#DL#S&5#bys)Wf~w-jYmZy#RWb3pUo3>Gh*R3#P~tH9lHPjlQDmv*5Xr>$Fx2 zha0<2=e1B;dGECbOL%M4d)+rmL@OVsJ*lL&#^7`>Az%`*MY~tYZH?Zd`$36mjm*|w zhBls1;_n|Nsf2f1yIC1h5_MbWRH91wG1@Pc^pcnu-G7vXl8EElUCN-6=;ONYl(>?} zMcS{G?2_0;y1zk&IlBkkteKuet(37wtm2Cjzvmp24X+dqB<@xxDdPs>cWag@#}6dW zQim#|2jXUF<|@YwBt2G7R3;51Jl1SbP8>*ijtz&>oRQwJblzC4PRZ~cR)yDt`0TL$ zTh~l0wm#;f_JMP(XY&A=YzTGbS)uR3YVf3g?%6f~Nw@3mYn0)>d9UzWp?Zei=QqM5 ztHYgQ&&;+jTvb2`paOoC$J$elOxH4iimZc}Sm4Iy4FBn$*qY(rKv_jU;12`gVfDJ%dX%+1hQxyoXfY4J#n`M7@sn;P-d8rylq^+hek2)AeZ#e6v5MR3D4=bMA^cS1@uVKA|ZVN z?Wky$FlYf|zG$_OJxKjoG+h`rNZ`!g`yo7;JV@r?-)u6W*WPk!*4%Vz>VI;JKGf8j zMV;yL)bbDkGnvc;6C;>N!9)qCy>MCrr)T6t7P~}VmXBKKSRkL3kNl6LNIowg0>gFk z!XCsfN4~tY2UYB-mKXORzjKt!=k}n-IO@SYjriIzMLs7HwaTHC&rU@C?U*62NJIxX zR`klxAhtPjdrQusra6}MLiMF@9c8`cXV6KGb-gpXh-HQV^Vcd$2Q64U$Lik!9bw

Q<(TLy!!}+}`PRNga6tcMN zhSfS<_m6u`yXXLt=qzoQ9YC9$YuhE|h&*SwVs9su3!4n(!Z;;y$(myKsFI|!>M`#4 zl7zFGRqhOkh^W^FJw{Rfokb0s!ZXn^1W`leDK*~I*-JIuXR$h9@wZntL-A3Aox=C` z6-Hqv8y2|QWaRAzrmIut-@ra;OW}`6k9erAke1cVIEKDq7YBnq#75%*S4uOnoW2~q!{a*nZ@@d8(i!s{`Mq>fP1YcO zqY32-FQkqn5H$NOslEax^3u(dh^OQesG8UV1lzNkc*MI;2Ph{{9v&RH1qXJ(flRRQ zM8SbaaNxnG1D`DTaNr&sI43Fglog$(my#N61dB0PGzexhbtl4NmD zG)0z)vZM@knk7<}kfEuwjFzQbQIjkQs=PXMpma^s)M3P4X+e{87*#4=-ZX6(`Gd5g zDQg(ik{g@yNQmX&F2BUZywTfv=eVfnv@G!?A!(3vONRqLge?67%POx?`Y^(2$A0lVwMmhzbnOK zgb?}tOB`TD^fQU#B&%OP+awOPV)|Km;)zyLKj)qpZAGOq6U32LLK^$Dm|?}Gv6h0& zo}9+{T}<>QSH+E0&vH-fEvv>XbHwz{>Y_X`P(&2)+?zeY|%e} zLSy5(G1oz?8<9Gt-ap%T&L;4V;U-;2&Uai=obizx8838RcWNtdyV~H!r%oO5i!mLx zF>9ImtUI=}+K_zCWL+TiGMae+alvs;aWUQh7yS!cc`c!bal&5jolwHNuioqitNl=D5(c!PV?FHg+win)S{$wl=()L$Q&))K|jW*tWW(eNa^h?^p`Km6LbhG7If@_(4921b^7bb&aMm>XVh46iIcB%z>;h zcDfS0IA56q{X*|^&GE+grbi)Om^QiEWti=HhYR0~84>K%9+r%%DT>11kq~Oik6|X8 zid>xLpaay!wsZWX^tgwb7m{@~xeE{{ps>rDX4(PTUR!B%-~mRdZEZ8F9D;%2P~=*$ zLOmJEE$!*XCL1o<7d8i%)7ILGn>po-*J>!O(;4wbcLQW{I|P0oKqfb>kUIiJ=H^%g zok>Q`1WS5nLautfC8{$eSA(~tsRVb`IhI6K;$2OwB~uk2ul~%!S0zKOEz2lX+$r^J zOS~%SRPnaVjSnXCPPHK|hJCy%ZCIuL3Ga9tT4}h#yV!)-RvRw15K1H_qqeh$ty zkfr;}c>A=Qz_lB-Pv-#FF278B%)*kzl<5X70kViE+7?TwEc%JA--4D!W@tMs3|VZ3 zZrDQX=3UWVl8kFAti$h>P?}1IF{P4}rs84T50dbvxx?7;lF3aohhf`^fas&&3X_<( zgG+h?6}&=)%t6L2QG+mqNsSbVg>)wUsHjpH#H7s^6$;r*#?PW!VOR^5A(|?rwa~v1 zEf&JAbEc?N2)oWlqP0TUb&eKEg^VKl1<^uiutZxaDi(5z7=MXY3B&uTMA0-WwV!Sh zEwgg_X?dc#R%Soro@j$LB#oLN%Cgea=%+>VtwCwDrJ|Y83ytx+Xr&c8_`cTeh7_0R z=jgAT*}d7-sAbOonh*LA9i07QycJu=oFk642Gp^C6mzWTI@Sd7I4iM^b5%^S;)j{* z#VJ;S8x^<<0Nikm^#88!Ho~oR9dRWTs$GbW$WFF zV*+HhVW*-)fW57Ms5m1)-!`0AbP4b=`Zo$o8}#BdD$ciIj_dC#PPL(q8%`;%wBZ(Q zI)Y!c1=tL1J%axQ=D)!F7nuJ7b2iM`FlWP@4fEYF-wpHKFy9UHS)2NBv$g=67vpAa z{RZ=RQzho{7GN{5wG#6@;Fe#t-z~o`&KBAL7hrCosV0*mJz{RQVkM9VECuEO>wz5L zGhjBb1}FfQw@y}605@cE(;snk?G6dQMihm^OX;4;&7}Iohw1`JhUcV=G6}Zezw#q} zQx-s6bdl%FZq#*psr`F?urGT7{)I8bHKCbNpRz)8%e|~dGKib3?{eip?eVFD=p0bp z=Q9y^q+-tn0j7br+3sJ683{U1I6x}JHV{j((>I?%oyeM{d6AW=86DAV`++*eRo)$1 zGf5KANqq?Z+K1S3(o56_k_PpG5Qs|NJb>7fHA9^M-sbO`@UAjFqGn>z+?q%U!Z(3g z<|!CzcfF9$v40EaZUD33{DfEQYGSMFYR0`qHKc=$Ubt-Gvw+5#P>(3wu1)`;(OVyId97@;$YnwO8WI+@g*d~B^V`0IZn z-5M1?PM@+UrK?Xyda}IA;x8Dp? zK5tt$>7g-^cd(oA&{WOSb(5dd$BVL?84Ku_LBTw>o4-PLhEM5^d82*h4sFUBgePc2 zQyvqZpjzG~0X#u(^3Fj6Ihs^FsSuu^PBF3&#UyR)%jmjRMIo5TX8n+#(Ky}QT0%CO zSmsS7{<+5Q%q=C*oBM*fF&%%`_!oF?5qC|KMc7(e5p$z97v#^SQnOo-^KZG)Ki^!3{#p7Bo3zI> z;eS`~U0A{7-7c)|)rQshrxv7z)+| zz+_TxI}ecOgI=Moy`%^93i<6tJs=6HZZGdaCOFF5M@eG!zdtJl@8T}r@e-`X^d0Y1 z33}e0v?6<+m7K}>-9FRGI`d|Ed%zj?kMo!?_BY5Xu1Wt@fScgyN`= zR82pud*|f9Q`oPXU7<~?WU9XcmH~eNp};|4F7OPP2wa1>&2|dJ*;-JFJ_SJ8(T;4 z%!T^z8-|#!8d+3L)G?wj!ol!CTyyf~r^p;>X-$3$`i^uhglA$srZ&In`drud)NwPp3OGQpiazb`bCHqkb-kCDl^W?R`8+(q4B%j@HG(f_qA?YpsXF#V@HBHk&k zpT!{NUEz|H`Uu`}EJxtbmM+rvyT$R zz9gd821l{hh(^_NqB!qFgxc_9Oo}MpOFhQkAqw(xkFg3MHp4u|c_6}hL%O2pf#x~7 zJ8GV$$33PS{^z^RF?3xu9}@m{YD4&e-Elj0<$SDa&N0koX?#=8G2B{dkOyMcZwz(3 z8!`$(PcoZTL?%5@T-of;r0o?KHe;ELQgLl_KnwK;@ziE?3w^wJaWk=nwp@3fKTZ() zM*W7L(gp?YSkg)Ise|}kQlwnU#1u;-L7{=32k){MdhSUse>r$xYXP_h@w(bvxgyy znvyzw7^0}*sp4TQLpnKi>M;I`TRo_mHvt$Q!T1Qq(J+pN@dAt&U|b2~N*MogRHarl zg6L>^yZjZ(fF5wZWIshO7lt-jj zegFx8(E`J1ODn?hzE`-p7KOgibLs{k%&A88Pa8mOG8gKH_XFYj4a;164=xh z^R|@0rgjW(cL{82SMj!&z@~N$q-3M@vCq+7XSV0~0EVuAC!XR(%A&^V97>{$e^Gl( z87zyrs2fz`Wf7~jElQ3odbO@!Ns&dq)pjVuWwCE{!w~fm*JGa3@uKWn>H_*l^j_y3 zh~Hoqunt4~W^~isdh!Z=3TU1E2I&>rOU~K6OTM5%+6#3TXg_D12o35b z!3X3v#|^W!(|@1-qiAL=F^zFays{SGL0u!xtEF_%--(xc5gjy&c#hYvgRw)r-ixWD z8lI${l`4CuMj;PMXMp|zJy*J-ciJ(;Gih#b)-lvX>5|^OW5{dLvfdmfYJ;@07aSJ< zN()m(Of*_rn<{HT=%iCqB`v5d>EcwVFnUW`nmVNg9VuO#np=c8DwU>Y7op}$7p6`x zLjEi*Zu;>`ML@o1*KhDRhSpU3;BkySu73hA)ac_Nd%e+dVR8bUoboK;*K!aZj_v*Y^EU9s!5+bo=csC7_vG2EjWB91jRC`?0?i$}@4Y;>@&hl=10N$2BR=I?t|FXdE^g8i%ocvwCnZ4C7tvg`5!fUpAUBe1yja zAMq5=7bTeOMyIQ_1hw7trRy^*X@tHnKApBN-Zv(#xMoyZv1d-zDOV9t2fXVovhM|c z04@Xn0GomEBPX>cU~<+>?8eQ<3CW+>dYo`}6R-t<`B|8M4)YJ>X{-?je8D*Qg3<5= z74X5cfYrcs;B#Oe&;W>mN}v#^1*QUvfl^>CAO#iz#lWhnQ_J|_M}I657u9klj2uy& z7p;$IfY;{&-tKgQ(lnp9J)P_}>XwZs89r)M?)lUTC^OAGti{06lGl^zh7^&q)-MV= zY#ehhZOX4F?lip?8Ee*v?gFQ3vP8cD`+z6Fl^Tj@9Yj!h)76(8Q=1A8p!PZzH zdUEW3-uKFU;M^eUKhiL{co5B!ise(8$X)PQm9`*@rC9m2BII|{Rr0KU%oyo1d0s#E zYv~4gP91KQvqWCd2=COYUQs?Gz`3ATmXF@%4C$5hz&rJ*-uyH0PR;I}QoZfzQfoj5 z;WVd%ycpA;fA)=W=#W=0c@me+#o!kgJ7II0**rXEBnSNP`*DbUl zOOk`MEDNn9E=X5w@h^cgsbegGB?0=^#)Gzgdo$Y>aco3Rxim40H(Nk-b)k9!XrJt$%IT-=3$3_v z+ERP5m0ZsF-M-43+!--eeZ#pPz-rzaxLp-JLxBroP%6<@d23(Vi?HjD< zOzH%CmX(-E_&GF_4ERrDWd;C5U3ex%7vALuF!8q2y_NRgdn+eMaMm0ewYVN-F%*dF zyn*_NNqC`?11lG!G^L0`yexgfbV8l-WFNpRb}r`~OvgSnJpz?PXjk-ocrQ-uhG$)m zJF^>}b!Xg^CV1BU;5-buyTn0w)+N^lc0mZ?sXM+q@~o!IouSHkiulLzf_KA*XHq|E zweA=JI4UfZbA$_08;PIGBWSWZ0T zv@IsP7avpTKWh%-AIka7sG=<%<6d}dL_fQEd(^y~Q!V<3%~hO2dm=5MhuUXPqoMO@ zLUG~p;zW7A1Lu^6$fr24(avCViyohA@|hb|j24!^XnZrZg<}w5o4G~IsiNp+W)b@v z5vw_*h!rOq(@ZboEE5IvQTmx#;v^xdpM6UlDn#|OBE=JhgnrIZFx3dw2g zpT!IzGL6L$j~4o;alQ}}h1d?}OmTuRpo9HL94th4uttj~2#Fn>3u3$wU&mZ2jules z*nfdD3{l4-f-}soj$;C67-pE62hK3kF#Dc3+=?1zC5R_m3B#Pzc7zp2VlK7wtYi{2 zz@&PUx$$GwmF|hD<<*!-M@;IBE=sn6Vym(SHqz$UO029#P$;Xcq50GaHi?yyPrqtg zV4biNuLM2R+iWFBlpi@i$gV)Oj(l%n)FuZqbxug*f?dAw*5C|mD+dVAz?M(}F2Dpr z06Gu^uz@gu1_S~uAQWH#aD53}Ujo;w#?=wpBVb=TZ-}Xw56pzU>A$^kHvEy9>TiK0 z;45Gm@COhI90cY9&wz=*HDCkqFF?sUfe7C`9ZB89b?k;E!1aEY2EC@jYLHp1`6~J%1(vmLV=c=bzq6EBL z$oom_PPnVSB*iw(EW-RCjczI~!tIr^nhJ}sFQwC(X7!^^N|!aw=tq7do!eB@k2Xjm zn?z|iuax20Qblm<7x8viku9djyi--^c{%SMWqM|GpdU!rdy481J0u*Bs1Ey1GOi}4 z4u45PshK$pRY9)1t_0=alw>MAUkRvOO;m zIl(cbeOe-Vzcb!*y(D0)ag0J&LVRpmrTD6ZGRv?{v3UTq+wjg#_aaMRvw&3+TN75R ze^AD@#;w-8RE~qYTm6l4dDOqooMwo%@?WNqvmaKBP#US>x=QyKviGKxPsgMWE9wKM zZuGK-lCI+>I>&+S*2tGB+a+L2h2xP`u??tYjy|xj0Q>2mjt5QMSPKcZH#G0vMezAQ zz`2!h-LG(6GL-qC$fuS!9=p*Q0%p-WKe(5G%@~k?;ib-PV7ebnKf>2OHG)mR3eyB0 z6gh`)N(IwGF#Q>9VtG0h;i2I(Fg*v~Ixpv8h2&-ads7?Fs>jidL%i#H$O^6%h0r(- z`f@dwAEu9S>%Q-UiuYg2NQXdHe^N#WGc9l(k%0=fAB6Pi&kY@}<1+jQ+Dm&tGqRku z7h);ck?8YU6F(TDpt_ZOyl?Ix?t~<)X2u|taG2og?8a|5j=1y!(shp1cF7{}l`+v3 z(6oS9h^mjjtKI>QBzX%u-0((mqYd%aXjJTJBdpdhQS5IcU(^S=(1i?8LE3PIlrx;a zq5C8>tP8N`_W313#Dv}#l1NXoq59}OjIV4VeL+2-d93S0=CeZW3;O)?nFsBvK5Qdv zu3gZ_Xrx}VrS=7rXd7(2J`Rccuc$%@-Me&9^c+*i$%0Dgq&oOr#tVZwKw}UrWOvYi z77>MEX$*$wQR%#2)B${f4A`<`G z2bP^67F$8lcUnBp8aBv&tu0rgyCa^Xe{f_sNvlzTPIi;H8vD{Qwkf+Bp=DX$ta z*9U_A>#ZqtpK>yk81r)*c8JPrd2}D#Yc5kkq$Xw3@2}*BdMb9F^y{l?j$0n(f!oc- zd6A8(sK@_ldTpOu%YDku;cHU1!=Vrp=6X8$tl_Yo6RrL#W2nu(v0Y#``by)e)$Z8eL-_bJ~PgyYNj``PTH!PgBqEOZK=&j5^cFg z%#Y;qMrj)OqlY5Ts}J$k=lFD8g z6Ztp1jn8hud<{;nnJwS|3zQePU>8cIHBTr%$7>#5pAj(fXTlhJU?GwD?#^$T3)de~ z4?u*xa^so6^w$YVc5EME@ZBA#jZ*(*WG5s=W_~Cc=Q(i>e!(D#>BQv6l=3&bH1HdS zIsbnCE!!@SGq^M8x(dDgpZP!AzV?hMoIO3`r$@7DvWkAsZi9+c;eF_l;17>o$GNBv z#0rjf&QEjFYbMkm#{RkK^>N!X&p}!zXOb5G?pBV+CHDD+Ws<_}x}2XPRi5;E4CT5|RoR@zOTRmrNr>-VweZhn8+waS-qY5icD!mMuNBlR(K?GT@) zkD%*X`TqLoo!S9@pgwY^t`nS&S=AV?BZgYhMS0E)S7Vf;WrB-pi84W!cu^CjoFI!| ztzMvvl_js%FqPwEac|W{$`o1BTTPmBvMk}gt`1(==8=Ar)*FS_O1;X&ZozF`zLMXa z7^6#661wA$Ls!-e=*p@NQO0z~Ez*?#wD)bq!ds)Umw~l60T^HFTX=_M*f*wrvs#8G z7(2{OGCaXFY}R)pjK)hMVKa@%*(>sI4s2nTisG7CE$kmefz6>Utnu*H#c1JN7GdEb zCs?7&g&jmlHgD9Wrc>SQ-@5jJ?GT=W4*Uvg3o(TRHhzjD| ziB*F8nrztS+;*d?=L1@{$NeyUKryezu}b6|lu1K&O|Q+7z4gxNPH)qD7C)Hnl1m_1N3S z0mT75`nIW4p;e*tnO@tm_HO$i&;sb(Vaj6M|!L6Zh0($)a!TqwO z9{typ>zaQeUf8s7y0Uv*yI?T5B;-v%BAnh0r|-e(|G;S@oL&g0cIox%zs9U*++KtSSCP=XEw0c;=) zpuu^<5yEw_x&CP+1O7k&Km;g92;{ADY|fN6ZTKf3!{soHMX6Yk(=T>xnLSNWfgBnG)$ON zSmp5bXE({Qv*Bx&g8koMpA8?s1}FfQ!?mN~+7vKnO<_F+^FP6S4VbS7^MAoy3}&gD z;#KfznQ;0LoGyUVpTX(B;ItG@kAl;1br<MQZco70jf{3hzgCPgpyg*nG4WX z$!aQ;AE=c~r_LTkEeB<3W^=HEv(~nspCSW)zrS=+lWY)gkRqETOw3eiL{mN!_l=a+ z1ckZcq{60LCjO+uB8YvjePfy2#>0WXGg3Y^1hLC0 zmP60pQ^q;weVtHuaDsVDCw_?$Z=N-aKIJ|zlzBYhvweu z7z?{9{x|KS$_ULb0NaAB3Y^8NVgb<9dTgaZa}ii=39Jf#96Uq?1ZCRkm0rz#AlVWy z6f}!J#0HX|=)x*XwTFOt7RFHMW5EyuNVuYHsI+T807wwMa%ZJ*nLy$?T|{M^?g&t6 z3Fn4A9>b*paX4+ElFCiO>4Zu@Zo(FAt&+=4*`o6Y-K!v5J5|YSP0ZHCDM_vIx3!Cv zA+5=`b%9D$Yg~-BR7r158mk?zWV9wsLj2`elv+^@;fh)9@@j}Vu7+?0CJ&+(MX#{; z9KR|q`%q`7TWz`C=#uE`Q0(V>#Wo-A4C{q%l{>X0WjhG&C?L3_b;0Xru(PmzDs*Zz z{p><}M-L=6Am=#z+lxmC7Y$!1uKEIAQBT;W*9N_!-_SjClLjL1Yp=OO24e5){&k~# z@)6`DI>(Om#-T`}8uBEk% zdPX(`5*tH2bGhSP|4m(CtE}aO`2U3;;r*!#zm*F0j<&kmRG96CJ5baB)xa*Wr?>;t zBM#{*oWzn&*-%dil0OByQX)S-J<FVA|Z{z;pr`b?~+LujTJF9u)!r*^qZH!Cs zRH81;QIf-xA9&4QxB5NV6pDLdbea#Apq`k-Q`779cJom#D#LKZ40%dd^go$TbCFjJ z&E~6I>^dlqa)OIqXRw;r46HX7bk697#PEM_F4TQcMss(mH|w*>7AYHMRF6y>S~olZpuZizcRR0a8Wl^iEm9@t&LN1 zTH{yi7K3*r`K>lk8Quy>MWs-^Z%#dgG|-B(>3+X}5BwkZ{B}`2ift@_ro4eAiIebo zH`@_;x7rc+V7v!o0*nbTo`&%>j7wo$3ghoE{tn}7W4ImXWvB{5Ku;@#%bVbnmt(^XOI_+t zGT9jH+UQ>Hu|g*%{s-(~<9=A);q@TMO>ty>Dn?RayaTE|OnuTJ?Q+Lx&sQq)bw-Nq zojW=`(GtC|Qa|Lv)6gRVCCK&?Yle;6|^_{uS^Ca1eL~Oe_p}%89n23+b8AGcmF- zD3iU=#wcWGvi@TmT^N?hVcCd+qMl$cRI zkFkJpO|-f%c#yh5G`){A2nvQDEt9*GaOzAYuR8&!S>sSN6_AksIOTE?35|8u$YqUC zfK(=zG@_)=DtUe*(g{(9DUIl8r%Ij+LU*S`o()2G=K}e35V|{yZ;Z2Eo(DpA=M;Gk2;H4Zc>xIBoilnxAar-G=#_!c-I?1f z0inBd34|<=-#W{Br-0D?CN?0l{y*y8G^(kr?G{ZR2yIA9A3_&;5JgZ#&;(Hsh$w_c zh!KTSL}D8iC5@$NP(W<3!&J(KQbe$gikOs%Vh^RIl!cm#lu~MGqLxNQy-&V(+;P5p z#(U3q#u?}QI6szV%{BM4cQ$PH-fPWgK86N9_)R#2-^3rFterGMNQZ}1mUTQm>MLTd zVIRNU=2TCr16eL;4n~|plqCL^M8^O6I2C!mB{6^ax8zh$mz6dC4ZyHZH3Z}zr*IRLY2dB zusOe1#_;#q2=7&k1#cZV4mG*!F&o*e8s2)`6gItP=6dWEc4AG*di-OR16-rT5f)Rj zk6ms#AxEtva`neRraPUDzo?>{+=!%+FoEPEyUKD#j{Zs%>M#E5%57#M6N5dZcbLS) zKo8jorek907U^52rvZ{OuXjUj&le9U6ovm>|8c zaTf&=WM?!EqEMyugNANnC}j;Aj4fyym@$xT%xSV?nyi=KZV!A#2Cxg=XKY3P0G=mB zGZAyZ^CW*J>JRoj_tKe2B72rQcP6@tz1+REd|41&$;_7=6pX11xhH-qh^h>@ zC)qBTP#K&cz9$H*3`~%m7Q|PEo)*6sL<}=dOEwF}4+pIf-x7olGgnAD1Y?Io-iu!e zVul0WOIigJhl5?kk3fbS=ql+k#}0>X7JoIf=#0%0i8+xTlr7eqgXqj`$q(ky^pIQP zKg^NzfLoF#b22?RTHJ4D(gUL9mfqs&+=D3#7R`Ex37?05^`QDtw3n~>G&A~iospNop z3@_x7_)l{bFW`}6n|T5+I0byX0(pTcl2hh*UTBZ_A9KV2qepQmkQ|^3A$n9%f6!5h zUR9Jix&$J%iuQ-jmFV}9Ow>gai7%;5IzOW4OA1$)LZrN;_3MyCUmtRuE`Uh#p?2wr zL~kF8P!~s}`OvJo4@_|X41yW$^`_7!hmlARSsB~IawG%1V6H-jNs?{yen=+S3dtmd zk*FE6I<}YPScX%!e3@x~hFiA6*>tkk;g&qh)ZXiS3k>SIdkN9R}Q5ChHIn+c(WNjL(JfuiE ztf9yQiexPs7kTglFi7#02R@K#H6ZU9C$)j%oiPp!Qk*h^&VfOScLwtu7^L7cLRL$M zG_;I>)nJg~mJ$3(dROD05%@`VQh>+^#Yx`@+HWCNc=1V zA$L|g=2t^_?(BBl7=u@CUOV=bVI0)KbX%ZuQEwh_c&?nTZXIxbu8LAi1_)ltMe3#j zS1*;XTH)ZkqmI2Ed6UiSD_M_@VvG7_un|Yu?7kv4Y7x7dOm4U0@6Pii41A+3@Teg#N-_(pR=0fo4yO z&E1cZu@}Vh_9G{=i(+T)M_*#Ejx8xi1hUg(XOzS0)cn|@a^zfger$d@`U!hQZ0Qh! z#LkZ84xyUa)v<+;kj*ZQg~_)&>~*oT$%uG%T5KK}b%H$y91@UA+1%IyGWsoB7+ba# z;l!TWnY$IGVt)q?3CK*yfB6X#vK34CXCWb5PT(JCbI4arKJ)LXMoj-s6Nr->_?>fZ! zuJgrY;{LzYMB-l_PEFa4O|GiyPDB%q*t77$(z2zsuj#KnpVW7)t5|${!{@>m6OWy3 zUDMhemUl36=cswB+t>%Q-oBVm5YZ#u8^qt6xt6^m=SYtRnbGXn$xe3Mt~>bYDA29$cdT+hCiUl3SeivHBjD0k z#xAfN5&2e;AL>H-eXFPwbku%Q73BixBD||;Yaw$7HxTv+bKX$QKO^#fNqjB7V4mHX z(~W-*FEoqIXv=w<`$)hi=|s*%@Bnvnl_O1Rx`Qj^nlFx{`%owACYl3l*v{A~#w(^4 zI&QNnN9P#lvj;Rhc>+vgRJt@?K(>LqcZHE|*++M}r4X9B>29Nb{p=qC)rXnApqOX} zvCs4@+;7Hbu*#1bBA0Yhz>GMdc?p16VkZK&|lOSw3rg8)_PxgUANr4 zq~=1UQ?+}6*xR_x6e6T(3rk$8iGS;kY9?r>m!PX@_od?v%hfWi-(XlZVx_`c|Ii## z)1dVnjHpI_P!#LGm=i2wt#2~x6~ay7YMLVq6|o1gew3B6D~1Usp)f`?X%OE^{vbPK zK%2e;$KUj1Oe@7r_6!_z;_pW7q68D&0`f|D*KS35H!kR#5JwnP1=V2b)&6)UG)?mQH zlel|rXirrFr<@Ry7T%TIKNba@(oKixT95x_rbbEqdyh9uTFdgum;6*BA6obe>% zA^sLRGKCbVFOMVCMyJDE6y0)w?$qP)M0a11+~V;BUrTy|&)Q@~_dq*nv?1@z9T9uR zt<&A0Pvqy<`^S#I?A8)^P<+PbvP^9#*M^J1Ph^x zE{_p-q$)Em=;XE|cN>a23)|7fhSi<3st~`!E6919<4gC6vc2%`o;d>Q!%h5#0nB^l zP5!>@*!9*K4`fQdz)^n}+ z#E`F;E5w>v2>Ke`0Wo&Z)7&u z`jUIYvt?Cg=icbAU{zAsm^T8NxuuLViSUG)bzoqb{03uRFY1k|T`lg#5tJ|0jlD>M zY7Z>cV3o>2bxSW=sp?j*@5N73j;Ob?5Ytprbv+AnP5HBW4-0iorBO>+xNxOey@iDg zS8Y)%S=htMU(~x;Fe`Liy@3U@LT}XD+hA5msova%d9J*sZf!$7R~=SM+HhXV=jx_5 zD0;n1t!RUy*AE!M&&BgK(UsB9VZJw|GR#YyuL*$ZUWs!*{UmX^4hzWyx%+h-ji;73 z8vk8Cs)Si2&E!PX?5uQ&rg^H5R-!xHY2pau_oi?mQCn2v{6I0fGA0^%U!JKyWgbIV2Y#i}8>-u+*PPKh z4hB}^J}8TIYt8vJusEO0sK&b~$Ll6*&?4TTqaSglWQy^jDNg7nDj9TY1ry{@;}=ty z6(K50c4#HKNh*w&Oi5M;QE4)v)V)DMGd?i|TQS3|R&e${q>C_r*Ecm8T}rtvy=6|a z>=OAcBgTk3%pA)(k>fIwZ`w-hsNsN8U)95J8OEo87fDSVqBa~SB?>?(h?8*z4)mxLFel87&98O7teC^^wqdf|FX`FV zuokce)lyCT++uj_LJSX*H`<|4Rz z6?A!3kr(Phx~Nsu7dmQ}Ulqk$mtYMX@Qd(zDW|xsxU9sT7jR?`yEOV%UO#_vdu3-# z{^$Y!2(J+;G{1;*qjzuS^_y-(I|ePay|N}Ye{>=l27M#Ed^S^DzA7j#n+;0bl(`() zT!>=@8XIV%fJTY%+5?nZF#HX6RRNT5u)C!|`^f7*(42BolQy60RZg6jn$M+{lm1Ly z!Sx$*r=(_ci9;USQmeV1L&RCBrCiDo>DSbCTwk*L7$_M@CL9+t z$Wlsov*T(`uw1K&!Eg@^(nf9es#)VR#zBsM1z8 z;U*Y@)rYj?cOE+=kIYN@rkn)F?+CE!p9WU_1Q0;tUdvaQX7~Gbhjt)es}{oa?l{X2 zTEBOcv5?xD-d8q=!O3^)Q-x0SplYnlxQH*;`ql=$LQLl1EnQlYGijwH$MBG^)#7Wz zUtt3cYx(=N{`DSi@MfJB3l9UAl^gYmRtI{FAC_d8%h!l}vOIF&a&6`x7s1W66;~#& z(Csi6TDFN?VcHj;2Ze*Yt<0A2Qq*JRX8tabXBO?4*i%Dl2`j~(U{}NzcjKPQqxl`f zgr|!8`r3Y%NcV^ON&V>B(AA*(rSV|VELh{l3x5R3-edKntW;ZCx65|bN*%!(%?o>k zK4SRHKV?I-Lo)p-O$;yeljI-G!~s|=^f#tjn(3HzRGB_T;9#3oi%C%a0E^M|Dlk9- z&(VSK4YEb%yw2J6i1CJu&b)fmc|&DqaXoU4f!A43kN#{Bb(UozE-DnJm0-sokb+a?fRaZzNR`DTwP0-!85ag!M-E;FAoa;8u!Q zqWF_>hKGD3-^Mt`!@g1c3bkm^1ok&Wt;!%o-a>(uSTZr2n@bvNB1T%M-Z(4E5-0qWy6hne83Fv}X(7P3L|+)T=y z?2I2{Jfz-Ehs(4{y_t@EuIyL0($UXVU1|v(@1?Y=n_3WFDur6ng4v}6gTXA^O~W%a zEGV9IFOzOJNAyjrbtzJXF(%idAIN_)p{!9_NPDCy{xS*T(EE*9H3~5L2HD*_fvdLU z26mA#x#s&8w+^zCZk8ZGJ1#}9o?RqsK5ly)U;tPr(~7MkWJB)lUZ zf;nZ}JL)%SiaAm=84OIw&veD+WKnT>_>@bE8>ZP!*lw8J{J=@DNP1mU$VsVXO{90E zv*3FMiu+aIdq#8i_^9JI`8&g(uPGV^J)9tE;LM4IGG+VZ8ori=OQ9I`4qQ|{Iatr) z66&c3^mHz+o-$9L$aSr!{i(-rky&JlK8WjB3l30MH3VD9Ol+Bafhm*gTN}JUe2gNSpFZ0H9eH&`q5msEKtum_aknQUG$Ot4mYTq^gjKV8{i+B+=O}y{(-5Z@lG5k z%ic;ysv@7?Rq3)_?%X-^B-~P+bfbg;wzLw=c9lv`Xu?GpgZbOEZ9sKvo822n&Q!1FR18Zo@zP6Ix^yajf*HIiz#?pz~=} zvCdT(F%VXbK5zJ&e@2UbN%Vy}i=hLduTY=i@o22j%{F_`=_@=QO@zmz7%L)?;fKK+ zCz~2Y^pPk(8NZi`5ltrj@9#ax!+1U{9Z zr%D%~DkDRrAp(!e@Dy}doaboLKG{>VQy=(;6oCzBgmp}1)IqVc)ul4936iu^+Yw_`+f39h!eDs5?017#&3T^FEB6L!}*gPd*P_RaD^A5%S1?@mOXQ#GqMCSq4B@2GbqqF1ZxV6}(Z9q<)W6qcHzgnr#o zqqbFG-FNC?-9eO74u<3x%k?M=hE*qel5|@Wu6*j zOPH6mP(y41xk{48u_a=cbQKf(R5hP3sf5KNs%d=xf2-E!$%o9h9vV$N&pgQ@(}Eb5 zCZjN2@|BsoaA6oPDjL1e@F)LhrPDn{gl@Gkc!1G?ny5UY&jI_v!jt%m@`X?buBek+ zf=^Jq00|d*fLRUJ`P2EkwVqY9Ghn`y-V{OI%s#h`599P3mcHOKD)x}wZ5~EvDp@uuA z{UY2RkK58a=A@eRWVf%B81V^sZ`mhu+(Yu!ud*)rI25+*8+sHhiK;}Da?0Mc1glqX z^f|GQCZad26n_>hPKuY|SFzn13*KQqvSS*{-r=xp|J>YeBtbDYZH|@7Vm`ugjN>6` zi$JE{3Ijs~ivn@^!E}uVxib(BVqu*wIg)K0ir+q_6B~u&mNMU*<3YD(0 z1DgPd0pKeDcmVu>Az}gW2LMydv$1}gR#HTfk7k*yq5?@M0Il@^gahyw02Ow?&WHx! zBLFl2O6`oPH_*PuTFY^sb19g;gm#g;p#;cY<0#868*&-(dRjpjsyjMgS}mXqN9W7@ z1TMp2_oSr)-{GixvJ?Rt?x%!DWN&@3fFvreg6G~S)9fxvcj#%!2jdEhWB{201%JxA zoZgYnr`2`go!!^yQ@h-pJwEICRvMk@DsC{qMe73>?L~d8kPZR{=+nOhMjAPsiPR@72RgU#8Be9I~9 zOx=oh;;gdlZ9`BMN`6-xW;-~?{M3f(0VD5GT$d5XVcDM=91mm#+cZ=j$O?XilsJ$T zoYMI5LZ8e2(I5vHUNE~GXlbr=UPqm-i_wJHX6@Id_k%ISP5u0SR24BwpWjcYA|2JQ zXhN=#C-4tx5idPx(uZbd=adpy5FQNz59DX#0l1rb+Gdxyesy02C0PlU9a;|`xLM20 z3u-b>g6HmgQ>PXOrERcN49iVzT0bAsSn(5>%$PKY$%cA1VM3?GsA_Bl)F>?zj!%qu zh4=@#VyQ1@qs7A8vw`(i?pcsu_DX=GDRy=Utaai%Pl|rbGJuf>f9!m(Zr7Xu89nYnmr2V5CW9@IhW%0P9TW(E)Ldl+{4Yoe1w=uFN4<2c6 z!h>^g$}Q79TO!<9Yirijp4R&c0*2lFm(?8NVO}Y7CTPc}{A2R#BEw56LYor_MQc_e z{M@hWWx_=9M#D5q%^tF;DKSjH@E*-X_N`zhut}2hp?rg^o{PK!YcGJg90-SZqC0Zwx(8eIdxMjC{RdT+##%y z+&8B5MPx5qfU0_2^~y~>vZRZ zA>d!awXE}L1V03yTKb{j;fQSMI^i;yR~k)sf5xJ-zG7wOTzFNj<7e#YGzAL%oHso= zjoTg23MQzhsZqw3JJ(CE`OiuOhC8i#mE zM(d;F9A3hUu3sGHCB+|%N?8LjDY%P@Ii{6XM;pA})q!lR43=FO>EKyPP7F#P>*8N7 zy5r!g>N5Gqx%v>F=(%x79})>tQXTiW?~^nOMkP|tFij_Is6C3$9+t&}JK*-a&{8(I zU%XV^GwSX zj$hn9Rkr|1`)HUH{YLd#%VJQ4+}y~gnnvEwoH<=vRZR1mXr`Plv~nn(yZrQ zyRXq!vfeN0 z&v^Mn(=~9LL$Z3)rW;?E>^JzAj3(7B=`R^Ea-4)>)pj$3cGM6MU8K5rw%2{**~-AL zf0$9i^(rSLH#*wmidM_>dB%()931!ttK~De^pX&z_&SH%2i0~8ldLVBi5M$an$o$BgHY>ZH-}QAY9mxre$_3~jML7(i&!b& zrIxi}Uy)DgXKP%w#donErsPFY#y2WQ({G<44}4pR92d@kV1CTBKTfH|rNuu+VXs6^)HiU55$d zC4Ey*Vvi{!QY(bPqUj~rbMhl;1^uqwpmnY`d-csOaat{Zk(wi%FdSTsxM19*ZqPb* z2UO!0!z6aGIp1$Y#{%n|;@uMXr?%%>L+w6n?_y2qM+b_f4 zY9|N7m;4|9JFFA+JUf&nxz)3vSO8Abv zU;=FPpNagP^sR)wc6?kI;LBz}fZ_cRpl>P!_@UVzAk-cp+aBQmdZLWfbx(hl_rf%@ zeR)k$B)URyPF6Sfoa{v0Lwy~zvn{VowOJo#9^bP2RY^H@;n`m1Jz>+V_Gir913JzM zYalJjkW(^5p18CrbL3}Ebyt%rSwNz#GR}{FqlhXQq7|QIF-{+tRJ8$4*?=>gvMx_J zw}N;$w}$y}Zsj-O+`8`$=*tU8nB05_h#_|3bUSfZG7v|v0%GMGAodLb@rSE+;s!g> z*-rGd6Gz#JX#8hknrm^*g>v%C~GZ(L>H{Qm^_n|()Lw!@Cz6~m7D7Tb43wS)0- zfrj~_y-hIW+*|LydrqqT=+UD9r8ffWlK6&0mnW_qwu)uM!_O6I|6>21`l8+*pvWHJ zSo|p9_S?Djx9sRLJNje1J?vh4*kOCv^a^{}arUtHJ?*H^O+cSk+R-U?G-($ExY2J9 zu-P79>1YVh^5g$6bwm&f1e-A%B3M*=?b{97x4Ss-9Z3*BA~-Z1BFldVM5g`kJ~HfR ze3u<1**_LPUIxD}|4iTb|M^G?^A*Hr?T4Y*reENI+0$VBzXIpn0p@ypBvOKXb66$5 z8T;SBf4`^rIH2Az*r_D@_E}l5{eJ>+cCa%Oz)R7J&?p6^S}BC`kP?i1!L@AFyH=N|Cc_x?4i8X*9nk` zq11zu?{3&sG;US%U+FI8RMnk;mG-Dh#=;K%&wZS{b^qj78(32}4!Ur+xSsXa1i>EJ zQ^yTe%RO?_tFZHo3Ax!-_&<%VxoIyk6k~Mm)R)=my9UsQrO zc0qc4=7{40)x}g_A(S$~r%ez#+@;mYaOT*5Ibjj|cs|(ahPb|&KKL*pYBVV{ZK;rO z()|#aVc|}Ce3RY-^AY!Nk_5AlHgyl4rp(&W>FKdkZ)7@UsM=u#(gju^W!KrRumb7$ z0E)s9n!HQGz|EUo3M-KK2Z|B(1r`EUAoZs-?yv%hyRTxx3M3g;ASJumLRf)B!V0AR zD7-{Y--&9%lO8m`<%Boim1E#)K5x;y}K>;MUXDgc%Oa2tSbcK`$c zWCGy(qyka8c^^^))t}UP03HEwFK3ZuR~sxuo>L!b!bdBq4F?z7#4voM5bkPC1i6S)vsZqyJH@R-Jov$WFa{0Mx(wMjNnrt zLz+Eeb4v&~#dRHDm_%njkT$@=K%3U1HnIbC35w>D`dyuAf9nsKy<#VoIHfCJ=vcxD z^r&i(*>B>vYaQ!JV?kz5jZGUQgeZU41qsL7vIdbMDp%7|VW@4=Ahw6RQg#efO$&uF zEzDQw$MVnmnO1}?KiT1+Vxw-EHMS+d4;gR#k-uH!d;`juCz%~%3z8k5Dh}ytt)oEz z47T+rOyjLkXrdLbGCJ`UB4Q*ZM)I07r8BGBc^#C@{Zm8Y1(aeVI2E1Kx^elcX#S>Q z!ae1E{kVRYcG`a!iH)#ULv~Ay<;1YdI&c#>W_Ic1c7q`Cj1Ej(2bhnL#m4iX(ubG1 z*D_x-x)bvFS15Y;XNK|bN8%CG}vckXSRL9)HMysVU0BR2YIR$864fD9!ph z^U|8^TI4NNOw4pD{)3ot!Ukf2JgA!Rdermmti_oR6@`qAEiOxxNsJvWPD`$QTf}H+ zaeJf0GWPKt;1w8ozadtUeBeau(F;+%@0;?`-M~1B3-2WZgdCx&VZ% zn+F`9D`$hq)#bTr0*G9lyp(G}T%x+yt|0%@10qlAu>enrcm2(I7sW_(7Iwl$l0Z!?h*Lkc&7yE4FSD zkxIfIp$fq7`&aYqzHG2_SGnoOX#BPL&WQV6qA>X1Z%NHBc8NAZusU zBhP?taYY=I01uJ43Px%3YLTy$CkTVSS74nZ3;RL&ohc;_J?LLS5ivH0TKIc1Og|*9 z*Ig365>EkHKm$y+_^Dvx#SbQ7m@p|*4wE!LCHm;@2>rwrW(UOW%ZDJ}$bXrodjM1F zUaV7Df5XKXuXrwl6;V-A%1P5U+a77Qd8}nY?dY^;w$al2X0*dOLxt) z2>WL5!AvlG*Oxcqv|8~kb+ORz9dYOHc?rx2QC>NIF(gYZYVz(T?Mt$Bun><(-|IeW z9BhoQlZqPs=Wi`#G|2lN9=#6;_ls6bA1Z1}or{>fhSWqCKhYJ{y%Z1S&f@Q-O>5Tpw0 z30yOKj-_zKq%Vi*m}n(9z6&h}SjZlaVEug!xdjq77PCEL!Gz(latVJI%k7|wz~9j3 zFkd;1zrD?QzABu*xsC8txq#o==K56S#h0`>Qk6xf@m%jnkL5Z6mljFm7(UmN2k zo~MDu$lXAow-aybi~3RR;0&@_=*xQk;-GhGnlP}}UYL8N zq8dH%GRJ2mXq%U6_*^=Bje&fx@~%qkVyVOzq&6f+H9&R z8Pj}ml5<5(Q1lO1ACKM;pGdubX9%tM!#Uev9P@DyG(ma71K2}zXUO7(sVn#=uOJ<7 zT}C>7RiQoh*joeo_~bXKiHx-QT!(Vv_i6cDN;xQkR&afXKoOM9B@KZhsG93N1d55wWKaa9^}CZj!1$t{P9{!I<@S@wq_EtQtiO6IX^+KQ1mUdU9_#_*XviVM z&m#?G`Q2PszoMVAmG&?V+YdDvj(w;&sj5~VA9g$}FI6uXslZw0D!w_l`F-=u4g4Cf zo@D z(b<-Z->y9u-7wDHyLHB!iCOnH4SkzuZuI%~y6%bl*YO1*kL7P`KeWAEv$!vBe_D*t zb2zF4vz9$R_m=|;3N~8mhM6z7G*`4;`}U{tdp zAqx)UtNk!9*~fD1V^g8`qkSwA#x~odDBw5}cS4jyTdF(`HCK65!2jPuehazU_CYRnlwP; zV*>}`$sDYutIc79Vw#D>^>n7q(jC%-(*q7mnl$6+pmgZhgwO*QfHPqNJ@mQQs)=r4 zJeMdmDJ?->;@j-Wv4x{SZ+O|{)Q_nR+a*25Ce%jll7wlJZ0RQvdGJJYv=VzzagTql z7cv#RWAjSDchm{W-4I&>qj5_)UN=ZPAaQ6$XKD#3fv@XVYNB{S9SD_i8>H|NA}Iy3 z*PQX4Sts!mVLf$;5R!;G&=JOeKpcT=r;e450i{F!AV~F#b)Q5VJFivCS320@9tD^V z+2&#3N1N6U6SCoPCam9O5A~tmvmZSYv08cpM4&nEaL?HOHKpB6CyPtV z24y$QQH`8#m*b#wNU%otg3cky@R@PQh8O~!!=NUn7jzEYkdF#FhY_gr3pxj>AeIF> zho3>`09gVY&^bVsfD&{LkR`AcbPh8F7#8RpMhVC)&^as-II}?KKoIz}fzDx?0M!OM zhj4*M8|WN>Xh-mdUFfvxpHypggDAw+G7w%fMEY12qZwT zKOY1VU;r^+wzUNW`)Ac>TR^b?QGI|1g8lE+ryyTm&QWjTIY+x^>b?id#f)0FXzKOU zq7g(i@w)zi0ILPN%^j*)>Z3fD4)=w+ZGtH6w03lX>L2yq0mK89zkZB4wC1SIvm|sK z^1iA}?;;4)a;s2d6*o=uyRd`I)6!yNLe1_0*J|2$oiC)pF;+;%8>?$}44_|u%3*@Q zUt91J{{d7EUqIy`wxN?j<>02csJjIEBeoBwK{?qJXB{gl@xkT5DoV2%7I1v9IjXrP zPoYmT7^plmOto|;VoM>7TV-|@O&xI>r#xYrXZ06x_MrC~R#^`BZrwzgUXDAah*Yb3 z3Fn}!_3|d)Wu&Ckl^{TJKMU0uz2AAPf@+Mkcf^l6ZzdQ_L1_Vn6ns4#x*@-EC5LE% zdWnx{Mjc+0XoKpdYdKTEN4Z?+JI7U+uWfmfM49AZs&vY0^L~96NZ<<1Hvs(7`kN9X6u~$p=|jvS)s<&xilx3{I{0T1wad6EZgbR50lLlgyVq$vd7ywQK@H+r6*`G(pi^gnKLB=Wne~c;D|8a+Fp@hGC%rhgS$3)Gs(vE0rhy?-Wz~|J$b_Us zzui82cSSKW^iv?Di=KD@ke` zlpiIfCB!+F)AmVx1fzPPcIjCIjd8~2F+?l_SIvcu;L-ijI94rgArO>{(*ojL$lx)(Gkj1q)zHc}-wEj@%q?XP%fJugI{S_H*Xzc(zWksvjCRr^x^tO+y>{6=>_$YW%cickym=oCzMEl7qY?8#!|=@=BB+ zi0kGuv3|6x-?n`UWiPetuSB+bMCtE<#9c+=jeYI{w!T-UXOi!+0p5d3O4o+jkGaBqQ5V^EDM9S*j$g#ds2^oeA(0O zAZ&2(il^Ir12pK*hyHx%KZX8N=s$)2Q|MEnPlbLD1dmiggB?UFplyn8{agP5qRxZn z&(7~GDMv@JS9H!8LL6adcNPsn`doEq{t)s7yR@@(2<>eSN3W|t;%p1+7-f2&O-gpn2BlOOD5auWQ5B$+DziE#MzmtTDW2()tjUQnr5Oo^kF!^#&9{!~WtJif zIiZcyy9vitw-_5mE<@zmsnPwEA?h(%vc|hN;+(8jgYS)5Ek$W)EY@n-B(PkHe1zZ_ zcB|WBzbFM@$O4#bOG z5HBkHbvHr0$Z1EMQEoFOfOrwqM&k`>eQ7?ZmC8$|Xdz}WYO{2WA+F|FqU$SCC?wI5 zMNrhxO__YQT=9@gBl0F)u_jJbJR130eh}2esMnBOxVGP?7EHF> zIGKF~CsAM63;W8-#Yl|{ofVCc8NTOtYFQs&9n;clgMNYeHcV|~H1?8Vo{0praM2H> zIYtV9y%y>~7C;@y1+hgZ(R0~rV)IL&z|}&%Naza*^^ij6!r!7L)`nE0nvFMMzRd~d z+Xy}6Gm>M5zhS-&-@+UxUToOQKdgls;jeIa3={b0w6q%_&)sQW7n_-kn6JX?eXQ;+ z5q`M0hCqIghzu#NlJCtz%U%&G(j!M|G>1WoD_CDt=sv`i>yFb$nKlkY^H<%VbTXe3 zc%DnK?bp72`~39Na~_L7J(Ap+knYH%6)fva=@{luF6q}d2s!wPMvCu|Kyy@3gdF`mz!TC43K~@76@fP z_zHx_=5sFV8eSyW0y};;-3H(y0Ir7R-ahsASMvLdEGvQe2MpAK_LbcZsjuT|vfA-i zjJTRfBM#ZheQ?pnCq}J9R)F-itc$pZvRhXtfWnZc#cu@*mu%697YnyEy9mbjGFOP( z1);qmD=FLoYYlT!ui&R11!ZWh0IR`9+wkK#)D~X>)`Q zWMZ96V~%Bod<8ofV(ug#kVnPkPV>PZFgoUfv-Uh=SZ?+n+@D6zTremJSDsLBvANBp zK1-zt{bo{jE(yig)vB7uC)u79`{Yh8hte;n2d_3U9-D`?6`=)J09OX;}p_C*+ zGx}dbspBA&axICxCOg>%kJS|Y0gZCqzDj%vQLBL-mWyVKwJPUV{OxNMFMV zQ?}Jdo0;tPiW(zcV^En&tsdH(ZqzHoWLhXJObgwSP)J`S)?NGZpcTCQLIdxV)YXhcDt1l{l(el z$(F;zkO#ZYG^XEw84;aY0C(qToTOS1I~=`1>}L+_%X7wFFkDfeq2oTub9CNa~Ho-vvRUDfOt=%6=0_N4w!=#|={6exSR3QaY;lwK#lIP34PiU`EpnW;99k$R04G z*#U`m6nc0M&R-SAZ?WNOnFpnRKz)&)T5##|zxexGph(?L{>c{XW%+OX_7=E?&+@xl zph(?EzJ>=y>b^I{TYXy~1?9F;VELYh>LF+93(RXQr+B!7AnG0~NNNes7cVwWi=Ez% zx?@}rJGmWZ%!^_(+tDYCt7E5BA(k2&_^md_8&ItT3)!f-BZMB3x1QPM7)jl&_vpe# zQi}B-x^VjAJeg@DPsU%>p4Q2{$KZJ`bZ=LVamc^og;^+Yk)8s|IT&6G!vXggwm4{E z(2}49LmP9CF+gAFUIm*Zz$V48$x+zkG;Fd4HVK4bi1-qQSHSQZ7`_0*JuqC?o@ObA z1~ki*c71Z~Bp4`zCV?a3x2M&xApH1yj6|P>?jxjy?mmh!4x2A~VXi=oCqPpGtsH3K zK=EDZz6XZCZW`lo3n<@=UYKVUs`DR*hKWZj5Dvi=lm`ORBHl_)A zSd{=qh)~g#CM{wny(lRTs@VHNKGeQ+cGI5a9idxJ-1>*J#Vm6`;jb zfQs!Vt*-K8IQQ3PGdyfOX-S!?0dVb8Ls}J&mLJQX@hJqF@ zZrW4+ci@)h_Qmp{pch~}c=glvjO^n*Q2@QRL+9;Ks~w5~$lnfav_~j_2%qf{>L7wI z1IEytC0mv^0@Iaxb?UtU`*uzC9tC<0aQoW;x4&ACf@QJE9mA$OT8)ed)5C#Jra7e> zw^8diM?}#{1x2w59VhuECEw|7T9njLh{cee5FA2`6!%&vCls61AvV2*ffzHvp{G8A zVG9S~1pr~dd@LUtdN#9ZPwz;6Q0S;biXryXWzd6P9n#Kt&JyNQ_+sU^s8?mJ+qT)fmFf(k`s{Lj&JgbFA3T*cLV%t-KbGyZ1#+%d@IZO;5%lC=jAyg1B&~- zhxe1GhI7Mz_-Wq$fT`mTRC>*0uVHzGpZH}<(6(u7j<|1W47wWfTY2zbJ=d0h`*Fu|B(2!+*bxRu`%RCXp1=M}`sp)1 z?aPF~IvOhe?IryDKhL<1v^*XcJAUF~)1eWKBIi0>-U{x`l|iduQvjt zI+Ges>b58Uf+~KS;Z$`UfC&JU!RU&iCaP^bbZ)`ux1kKjm)DwpF2ihFx*tPu^l1NC zia8=@=)=P^I+f5hLw7wx|6p<>e;YZ7w}#-+J_DeWz;F-Z&BHk67p6&PWy2^9MqvX2 zjA|JA!$BFH;Z7dy;Zn@P#c9%04G^QCkw1$P#QO}a1pwEjNtcU(8J*GT3Zd};d%?yB z8TxU&M*goJLA;F)9_0RQiz$tK-w!;$9t}DxU(Di zi{MaqLpKDv5aB2(h_?{qcrP5fGoTCK{yKC$pqtUikAm)7ASb6u$H3RTNetqhfNmso z;ml2kBfJCTnb0kSE_^i-bnnAS=w|3YO={$?g|C(Z8~OiP_l#%x7O~?SevN4Y(BaHp zh|1`^3+b{1)599|Py~3j74p z;d^#5^b6p7X2Vg2LZoJhbQO4HI9rEd2!7Vt@bwM>J06C1!Vnz!uUU=!F%UHhe#n`? zJPk}Zcemg$pFxnf!2AfYm!Ew&F;DC?LRsCOAoY7ODXrnx{Jy!fgNC~vIIeD2VJF-R z26#qV!}6W#E9XjJC}6m&gZz$H0K@NLXaNjuQeSz_0GRTQcOT%*Qoke3z%K2Z`w-x2 zV2=fOHw24ag2W&jMZ|*+K{ zhBAS@9^w}E&D{jw>=?jYV9x?}QQzDPu&c`e-3O*Oz|#SS6M7+dxa$!d*lQRP0z4az zpcR6Y_03%irziyi`NOUoV5?9V&Vyk%-=ARjYvFwN!0?l$&e)#+hqgD5YU+&MMl**Y zWFD9aAwZZx5fKm)IYCgAFd7+SM8!E#t7uU(h-N?qi;9XGBP!0|h>DnKXsNXotV6W6 z##AkBtp;mrYEi%4-(B}xcir{fKX2FCJ$>H2-*XPy%{k|N_kNz6NlbUzR}#mbz-+bW zgpC*m3`RWn^=jBNTaY;6D~W8%mIIc%;C#J|gg^YBV?Q`1!i-HY=m#^#!i>4#7;OVb zIyjDiV*)s`e)09X^(~w~98OaWr#TISe?s)SZ<3hO=GlU-L~s;>!wECO!8sM2JK$8Y zw;`Zqw!rl{IB$Y83oc#;juYR3;|rLX0yC??`5v4;Ff#yVru=skyTJM1ZBGT~eQ+*@ zKieztb59+d{Rj1}biMbQ6MHpnzlMlzotrw!8M}Q|9{iS*AHJ@kcj`>I=xBl3;5o(Q z1h~P3dz3A~Y-hF#KlhqqDuSVN5J7Df&cTICW{NyZrZ*pJ<#a@U?pd_mOH*>l%q>02 z&n=DiF4`WgDVeiWbnMJlZt24r&Bsbu9nt?n)B+e@FFLkN1(ET&rDSGDG!cgVVe(;a z=>%!>vAgt+=uI9)+aGC4o=Zf>iY7N73ygqBxXff2z6`^;FkAqU{|&+9gZQIUuyD>M zI6)aiN9LCLdlhZ}8HU3|$L_#6lW85%kuaHoKYB9{PEZTc28b>N7u?tpILY_m@&i{s zxOQ+$=ffp;!;is+8#@G%70t)a!HxX_Cs_`Wt0A%h&RyPotdg5s`Ur--;c^u)xdkS} z9mKOcqIbgZREVAf(aXTq$Su881T(^62AumixW>WxwJ;3#T?w<&;J&9r^csl%Z@94e z*kkwuT>>Y&2q*gvqK(|rU!!wNFT*`ozzG(^&=nX8%`II6ldEC!O1Lq&-?#8XuYyVG z5LE@kOW>UFw{Z#nHXg&JUcsLp1>8iq?;6puH_hDA)$m94meCRY0cM23j6xW0gW<~N zV!T*u*DpK3Mn5QSPZE zQ0fsmLXv-IVh*zv*oA06Fx z>^|L9$5A_RpZmN$sU?vMf~k_JY(v#mI#E$Ss<8^p9Cv)E>@MouCiZ zV%y0*dNu*XV^`CzFT!|D?fq`xWQBr|{&J)RN z1_nAkeBJ>AT^@Yim9%2NhR?go5>ym?-W8Q#j>6|%c?o(xeBPCnV1GqEYp%YF@~o;j1zoX!MI0sQitSe~0S3=_vN{+TJfpU#s-4RRD+2LrC+V#JhT2R^J(7kw zlSuD3QMKq$n@r}BCgs&g&-aH?sz<+Y^T!3w&27;z(&d z!Gxg49tS;_Y#hQ}yk4jI!5P#O_R}}tT`NFP?+`>$gJ3AY8Z1H3Tagl%6u}lCD_kWu z)NLf+RcXT{0BLX$AAK4D?Or~1DYDE})`5DBOmS74KTVFNiaH21u|GAh1K%P}ql!C- zg<=AAS}kr!96`;lB_xU2)Ty=jZgC2AaxGCQCQ}QZ;YP&q&BA8{PjN7aTj2Geae+J| z=7GjVJ`?vfXk1KU5~4ukVhR&~6f`b!n8f+ez&X7Y_p3Mx#4QMZpmCAcir*!k&@65x z&IEb0Y5$kJS#}9w0?3<9Ex}(Bk87S>LR>BOX)d^n`$rrn5#A->#X*wXyZA=&LCQS`N_C`ahPOMG9g^dkW4v;J0y;gFr%OcVh%7NtlD7i4T^uP9 zuOO6)Ig)8B@b|>yCD|*8;~@E`z6Bwo1j*#LgwMnwl7e2?3GFkfhk=siBW#-hC5zgm z_XSE8t7(@nX1DQxsnM5EZg^_i?u#x5DwoY})H&8cVfsjDT|$nQEGG>MGBJB$`SGl; z_hnsyaK=c~aKxADSMrSE&$RhFI54YJyUU$#T3~dIC4Q zKiZ|2`ed>eT2i~&O`P8?xbEP5W`Kp?P0MHRu`s*+@>vy@jdw!*HWK~ZUepW8xYr`?Q~jP>dd&ZP?BC^nPvNuzc0Q$ap*Q-72KX(#MAj&*dVdT-G$ zcl4xE`luYsPAAVjr4mzP{KgTW#J5vtST8#L-P0?9Ks&%eR5C^dOVs;qi(O}Xuaw$9miMwsUgJ;a=8U{#aLoBFSc$tlhxElw^azh|@$AG8Y zSjpWo;Nvu8aQ6*(JB1^1wMBSW4L<--gk`4xLN^ajKPchMW@FY;}ov3lXS)q&D{fHGsXqnO`YUr27m6( zPS0h=a_-hnpErgy?*2~iH^w!fWJU2Z5KPT=AgP^W($$d+h6oe96jtjw0qd=7?de)90rPq zVAGCa=p(2$ZGnnJpupQ#A99zoR)ig*Br`vV!dkeI`#0oz?A9Tsqq#uvz&BmW^(xoZ znhxcX%k@mt`CQLOx)Km#^a1KX)74z>v<-Ikme7@^VqMU1pqzLa;3A^F6w;41gT~Cjj*9#ufuS z2XLZ|44q2Yu>em1Oa}TG#xYHW@wOwM;#HlVhEByXFW8pt_l<;Y3yq6S-#elMYo8b^ms?B)ML6)>}ZmbzB7aax0ly<#yJj+lpJqhIkrhXb1JsEb#yNIN zy-yiGb8M7SmKb~-JM11yjME%j?4(zQILAJ_*DK>@!Ss z?6Q0BGp=?M`&8Y;Q{%3f(#lXw0 zrqdZioz~!EHZB#Clo)uq)kvM`)QSdRtPc@Zj)dtV*I`EbZ*$ddJfC?@gE+@GOGkmS zK1*0M5}6zE5%YEb6KoO*5+2%E%~h4a2s*C0xDu*|e3~mB<5t_^nrA$QciW)mn#Xv&ZK6c-nAm8; zN~9S$u`Ntel0oRVF(j24_;A};Nl^yz5Gb*g_u}T*VkBj~gy*&ZNp&xtWlNV7_U<(P zoR6TkixG(!!IX+?CDRZP%`TB-BiM1`6_Tko)Om5fWU>wOnRt<;zy^YTm4iYX)<@hh zn9E0P7Ec;P_?T&8`CvXD_9%-6C-MIudz6D9QS->4HSJBsJu==fX;U$28{ep>A80Tw z7laJcZxSy9TmtZOfV7*$H2|vtt^&xoN&JcO9J@CAAK^7g=3rJUh2-%Zi_QMWVv=Mg zrULYQj?D(x1u&zP(gfZxfW!k0vJydvI}wJ+&#|*7{bQMYpuzDoM5VM+8sMUtKF_f~ z!>}8MLR%^Mr03W#06qg44iLQG1AGfGtd(*Lyk`Nv1Q-bryng~5m1K5tTPd;NH3D=3 zxfcH4S-vCCmCXD}TrXWPhxk5bY4ZgaLE#Lm1)OaT}Na3MhU zP2vTBK>+6gWZfjL1UM1kQh+e`Z-7|%dCp2Q74RbldOgQ(5-(FA9jN^v=hB&r-vV-> zJ-OIE>U`@?UXXiA2eHOTas;%{+NrxN7kOjd(`$iQ?1Y2Z;xh^)&-)Rw>+FE%I@%@6 zY2JAEv}fpR#$E@dg)#~(&({#N>!QHpBdyVL&77DTWl()W3Kc-qapcBa%)IMhaQp>{ zQdGARIEbI3uob@(nV^{2N}Pe<6{RJ(2S~W0s)R5e`mBme@E4JBii#5AD#S-I<1X$U z5~rxSOQ0Y@F3DZ|R%D_}dY4!LwHPJIxZChOU71WsKp3u~Wc+DltgAekxD@erm7T-A zMvg!Jv}e-#KOsp|xaK-<9wzKVi$(VPEde2 zHSFmG1&F?3A37*N9He(YM#e&AdwZZ2-ZUb59USLDOz zC(=A4AM=l`wz(!BjklFZfWfcPwn8Gk1;s!? zAdAZ~fW1O6wbLU_H^p?Yla!{9Hl6MCx~y9OeQM;(dVkZEPR}*EaudkWtRZlc3u?%h#GGc~4lzmWZ!OfJKoTp?k~l;saZXxvT}hS! zFygG)szNZzjW1CL!De6o5@et^qch0?*e0IvzHRyWsj=aQ!a0eivN73$EV<*YB#jg-f@^b`{?uT(JdqRoudVZp-MJaf|q` z4bxQ)9JeUD{To8>s}lOlUE8I^J`e*R zFUO{aroe+V!nM~9qP-RHAdPVsF2ZKPV$Hm6>?m&~JV@hRd+a{zIG@9VG{If`61yH& zYnCceqhXoYPX?`{BNgL?{B^O$k+ptVU_1SYWxmqCoi@)hQ^{^;{9;+H46cMXY_XD7 zN#ALiqx7q!&9IazS(S_jmd}*%{Yhl>_>m(NF@gDxm8or(y^2Kjm8UYyyX#imt4&(Z z3<#+AB}cdf5TJyBFCgFuZ(a2(ehVst<7xG7@#tmhtuZaQUUr@}poP@S`r4Y_!h8K` z-OJN{LR^a%!aOSCw-6Bad=aySjIe$cCAXjvj-QCs;%Q^<62-RQZS0w%z!o1H>!B#4 z1#9C>5Mc`8v05tP75ebm_eAtUET1(_G^Ws-&p9vhDuk!%Vqx?UyMz6Q(0_>2!TKOf z8w%;*%o7omum-UCs*68nrjUKFhKe#W{e z%2X1caaM`YN(z(tP82$VVzMdFkmtc?1hm3dnfHG*knCy4w;yjoeO zMH5B{t(>JI{0O;(`TF_K@7Xlr92%{J)*>vW`IRsVg`d$_cd0|dX|$lb^d#Y88sjdl zTUbR4xXVxq*U~u2)DdAeEhL%l2`ov}WSSmWl6;dHdBWu_%yZPQg;QGs&(WiVzYj(a zMx66H=W`PC$E4%Je*uP`^IAbV316ZOgEM1MRZyQ-8jJbSCLgSdMNhO94Hm~@uiBOk zR-8bswM_vnZv1=0J=1e-C_ANOdY;7W-&m$r9w?PH)Un#A<@gAIc>tFI zq?h9l1Dp?V4M18selEb709OKJl;d9jTn%tt9ZL#x*#N}=l>lMx4uEqGl>YP20{9OW zjL(5_Ryn?GQ<-`kz{LPL<@oymeE`m`W7(PI_!NL~02cyem*X!03<5Y0Ak19}a3a8^ z0AcRm0I>&39cKrl6^ZA(0=-URHf0~TvU)k`I8RSuYBpZ+ zYGA#Jc6|l|<6$83dKJFUXBEpm{Tb$o@i9DAiKBuy(4(3OPgTk~;2Aw_=DMdbG1q`5 znNo-wjWTGiAxZF5C4U5(4ffMGOR7==6RCzm!jPQeNo^ zj=nmNU|o*mKpiPqAK~b(^V+AI>o{6R-lu0fF4cKf>&hI5>U^pjX9x6>ze=fRY*`G? zR^n@9)99x?mFxY8Ja~R?qV)eLLe}5GBJ|m!+7VA3FB4zbpGuw9L>%hJQM2=LN&S54 z)OSlOX5GN z4>tky7~?XrbN`egL<~ckEzdlV2V4OHwkpcY}Kx zxaWfVPjHLDJqFw``y99*fqOBySAzRraBHQ6G{bY#7gBVZal6SV#b1WZ+#|(aHl8v4c<*YPci#9DBxSQ2fkI~6Ein> z=X*TGFaUM{oB+`CDW(|UIe-&4c;5o=Sb!%0rUL};a)22l#I}TwIB#2x?0_3OL_ewe zoi$xZJ8mj4jO|Lr?=XHZYfQzK8P3YKrxMGIf66xZpza%tvb{aH`^Il%+8#`b;kfLJ z9zu%ocbTyVeZjB?m@x4dj6cXGOYyRKU^Bp0ffax)1*-vDFU32AU}Hy^fy~>$ei<0Z zPO#8M=ne7J>VwX4sh};sTTzA-+7Ip@G$Oj1j=c09UF9{KA#^*4V(*p?#xAF zU0NM^9VkT=@FLtZZJ291yJH58I2z&9JP~KOHt8rInVyy!UZ}f*kNKptIci#P?aVyu z`dOjcVzBSQ#`3~bV~W(vdE-(O?x?(ZaXo@N>Z!bmJ+Wg{F}$#z=rQVrysPmC^K-?NthB!P@EV*bDJQ{eu!p3q30{MVK(~#L)=@;_B>xinY-n-^y3kBQX}?r5tpRh) zSRnFABHsl{(6}V@U7!RFO7gtR`a(1@34fPUEW#%FBs0GUx=?H~do0j}dMC5aipC}p zlR3*p-bs{m%s*id26c|@4SO&i=U7JAgTbBSOocrd(h878jO@m&09iy%x7P}gMI7Hv zSixB+qI8qrf-GV}H~KBeB8GH(_9mog`+(9f@`C20JiwQGL93P%efcXjR(N9aR%#E( zDZUYZYo5wOd_(_+_AHcJ*g(k9Yk|VSqlvxA>Z`;x@H2@a#)GmGsf0}0SHRNXojAS0 z^GoAt3vOgwo1hQd*fDGPT<~mbqRlc7}9GN48{55)6_q? zJk@Cgah=!U`e-dyPa3`<#;kdbB)hIcCQ_3uTOkwkY_Kb8cW@vRqahQK4A&LNM5?D{ zKV;%mK4!hq2C~Aq(U@(TFL-|L0zUBw;-x6>z|BLV6=faJP3fvN%6*Ha@-hs+N%`-d&9^aB@#I5l8 zD`nyekWg0%lW-fMxhk3X1bBJ_#o=kh(^cMzTZ%-v%32ApuZI;J_%9jXs65Ppkc`?~ zGX|1TBQVn;8MSq0FGxm>FKC)Z9n(t91V~0rs+kSRsI51XAsIC|b1)>M7BQnC88v(} z4U$n?Yxaa>)G*C{kc`?AGaiyrlWb-|GHO?teIOY%By$iXqc-1+g=Eykni-Ic+C^q> zNJdQ{w4_8`)>cAGO57SvhM5D&2n{NffrOukc%%lB5!;BYmq(d&s7cz)tfVTdo9lns0&(Vy_#xdk;b~5=!VH>YG5?D{cZ-?MTyZH?xHEv(P6g z_%4$nj7y^3Wk(8wMwoY5w}lf&0`GDXgxC>!GV`=BY{WmAy;R5;VJEX*3&)OB&)S%c zpyCh&WYsVyK~Jq5K`#b9wK4?z2eRV-Lso4Rds+XD=}M2+8r|ondG*JIr(I?0pPrt? zti67vc*9eV*W}@VZDs9GJ@T+0vhP?_&B=+0d>@im9#)&Z!O~0(O-x2SNZvHe4S+g; zV-Sx9Di4>_=%J>-&@c?;Fys0aAka6vFtCXgZizJW-6gGmz{i04e8j0RX=Q7l z?*ojp9Z0^N6-t&()?w{_PSncARMn3F3jhWsS|Eog!kRPQpfMElb9zPmaqrk#S&fTS`?FIl384Xw2hBdNiUERqVl8%*wuIxF*HdMwfy=Gm^aFmB z0Ru~6;A^;tPjLO|jX&Vq$rrs-!J$Zb9Pg+E=Rb)v9h2bLpE%c1_|vjBDI|mHZOQJYX3&k6+1K!`UK&Ek?`EGKFs|72i(1GLI)>ONEm^qX&-i% z?H$m@plfManiEcFF&ibFG1dslBS62s4px<3L)$3!8Ew6$*O|~CnUczOY`AT;q^J_)E5wrWO3WPF97$Ov`nj!CQeBB<**=pL zK1OY~O_R)gj48D(mXtn5-?LRosvcv<+15&mGf?Mk*%IIr|I9X9G9v^1m#tV*lY#ZI zEgzKhqBh&64oZ75(`*X|OM21Y+A0Psd$Do0&*w-32;;gpfS}6Ik_pH%{*c0q=R{uip6Rh*rv_j$u&SzFaA!QNsFKfgQY7yJV${zAq#M*34 z8Nw~%OtX@QNH0OFCVmL>k{xFa9`bq#6i=B$gqNJfR`d|LA>xr%YsRMX(=<2CKqZi- zy)EV_N><>Zr$$k^f>@&qc1#^&G=#5FpB685Z63x>*S)h|G$**Hzr{W=esmC%P@@8Z zX1|T=I_pbXN6WUHh6*BJS-)m{>YyZHMx$~x*K9=Ch4F&LBitwGmFe)seq@BGi-8ZR zya`yRBONE)%y!1lmeonHY{amLlVI8C3(K4&ST-vD|1lYFjxP0iKMD)HK3ucigQuUy zJ!2=Cb#dHdb}zGjG54~aEY}5b58FNE`YP@PyN^>hk$cMS?bNU3_Sq?P9hQ5*P2xin zmt5(=r)?4yDRF#IZ+PYundE!~0N2`*(6TyS+MCHQE)xm|fkD^0Qs!9t6q) z`V-(_AU2CfJglEbB%G!6V$zO?3Y7#VW1dKueU#UQfa~%8tojrMHoQyJI5*%rqfvG zI731rEocQZNf=3EtYCKwIkbQkETwQfjkAI?BBanl-ZDLf2`$vOY`rj~#kV(M8)!8| z4M%PRt%eD{+#;2l7w*e1Qd@cBe0g_N2Y7M55qH#2c@up@$EaF(VQ%67h?Tky3}AAM zCuB{)=uUrV{fbBQjajBCK;m6T2Y~%OL)JUs9ieNGnFlBldW`Ihz~iuPlI)m(bXd=m zT^4xF)yZXt1?0JUy6l3$^M$TRc1qy$LO({&-=P^kT3PQuTKN{QtuSq8jvrgr05B><}s<4(g)1atIQ$p>bJmCf_6+! zqK@(j>izvPm(drqj+Jd)D))6)0iX0JW$5Fo3979AJlD=tpO5T$maM01qQ83L{eY3` zcPi3V)3eWVR54Ng{nItD?eZ7yyN$nlw*Ti0ITfi0tt@cJA>a@Md;$_3r0x2CQE(0yt$bq?SR`dg-+0n8NL2~)=aVT%5x>D&PNrmo#|VgP?r|Jc+! zfQ{Dmn#=>lX#J?^i~w~^r!gH9;Ew69n=T753v_1FVF6)*-f6lZK>w!OW;!Ln|E9lV z>Jwo7b!SWm1Vn%R8&h{DYPZf{I@*cbt-ooy)QKtA9Wx#3B$VraGo9~5KLT>#I#4eA(sZQ`vqpE=bfk{3M*qTeu@3!Sw*wlL zz1~9WTGkNZEj&L^Lu3;34=Z;FO=1UGsY9M5)?RDk5T3-TvU&{pG%~FXP9F{YF3&YJHPU(-2Cn@#5DWv8VPHQDtp9Hy2nGsZ;2I1>-k9L|(fb#E zD(kyEMOyn8j(l)DR7@{(e5Al=2#kips2oNQDW+?_fhjYtPw+goaXGybruD$d6=4uC z7XnT~zz-0x3Ibk1z~lb{;0!J<1k8efgAni)1QbBPJ_yKwfcpP#j|TyBAmBIzJb2oQ zS$aJR|I@}|uU_vXC5@lO#v@JLKVY;brRkK$aGEUv)tq zR~LC7#GED$b5m4X8ztkS!q5E)GL4&+&%CD2bFNC9If_24 z%d(b?aM~h1g2-nUtQ~P~F(a+9BOz^Z)72B4$!#&;sa88<+Y-K0GszykPX!I+_V|73Am;>oRJE$g8E%iOR!?+}v&a0TTI-CnC;X(wIc!;y^x4xJ8@$tkoJ(tH9LLslgX+Yl<(B#2{O+jTyDY$XW7#HWTjK@g_FwKo^l z2m6i`9?f0ICuHgQj(IK2QQkInjd+=BPcC{LC(0VaW4Vhv2X>zmZ4IxuNR~11NV7ZzHpVG z_Cbg%7D9f3klz17=0M2l|3ZQwWDkV=WH{#7+gbK}eGOr~)r+U|=bAe`dHQnhnNAd9*M(n(8k)+}l`ZHUlWX3J*U$zEGO)Sa>HaI1*n9Z=k zDUC%>gALA-SnRj3!C83%6=$0=Sabq&(zal*`~*;zmJgPl!2V%dGg!R{6=cg91m3W{ zwz-2d7on?cWrL-Qus_;X4pzNHO|(rPEPjc(YFjc`@e;k(R_*Gu6W<#?nD(cl-WzXQ zP)aI^xyiy+`jXg_EmU9(h~A=^<@EK9-=d|#YHd-2XC}1`36=igV{S z5Hs0NwB1f3RMpcOqSs-5w%rD8UVJ|Fnnv#&pO`mFjxc6fm?M#G(H}A2+n&fSyNS1` zpESFi{I>9qKnoC+IJup$yx%XepdFO4Cv*W}vUfkeE4LD7><{lkDhX5jSzY;+_;32h zbxo=y#`ODiO?iwv-XGVM^O&%(Kd5W^WBl*^6T3u@i2?oCuDlG~p8l{daR#BHpV2if z1OG$+*e+nPOYisYn%axI(jU_`xtH*He?V73FaF>DbcL{Y#`X~=%_5@F0_iQ5Sv0>u zTA}3^UUX`-Sv{MVmKrZtB|!c8AsxPt-fexrBfE2K_()i=J*lJgF+45rV8K?z$7C67 zj!0Orjok*kUSnLxbII!%QI^-daCdeGX1(FABcX*b8h1yt9!YbZ&ZSH@kQ`Z1vP9oy zncw2qPMc|&*}`gPJhZHC396({u!vh2mGn!NIV}N|pbk~q!l`8ZWBIHlcOr!h1jsvU3j+`!?<^_gAVA)^qA~fE*lE& zpau!24ADC1dxZ;z{5oh=!txO^7A5TllURXBGjpq92)ST>S55b<8~ z(i}1n`d-^EM-7A%G>_%ffiQx$SMD(oxluDJ_Z4tAYBj+0$IsDRcVZ<-6Gq&>$<*fS zV=yL}Hn=@nnIYODc`T3)q376+m`?Z-Z|X-(y=|yyo!(?_!$s@AHl1n19Mc^&9cv>T z)BkF^+=gDD+hsc3hF_q6Xu8mb{Y`htbgGT`oBkhDpA_Y3suy_Aux@t$I={B1V+JUF%Thm!P=zX3v z9k&xM>;Euav7^`M_L`2^@oV%ynl9S0@1Z4WZ8y{z5rtVw`diKs$VDF#bE}0r0=ZZK zxyU53#K)8NnIRefw?rTXiAtQ(3d-7JWak9lU+R`Q!U}^MU~{b7%yT0pnEi&Evi45Q zm&Ri1BiN=x#KRlF03QZ?81P)ca{?l8!&t0Jp#KC zY|~=Gjt$@9c5Ix3+tCQNX%4Pz0~p{kz-55%1HKRVKH&R+Qvjy`P63<(_yXVyfG+^P z0C*+fm4H_^e!#BW^a1;~uIIdO0gdvKF=AcUf(oR1TIcbSQUyhtY}kK{y`u`_#kpZ= zY_gc3$gjnHC5~`44v_j_X>0~B#$8^EeWH8m*f4!mKvozM96VEyFe9K+Qq_}$a zMs@}z+q-(h0er%ZLCuV(8r z&KDN7K)(JeT-E~l>L;Ai0{Oa2xS$2{b*8Yq1@iTwa7_#3>jYs=3*_r1;oKI;*VV$Z z7EUeWAK}WDkY`jp5dTu2(Hn(J3Vol^#6bMZe8%V(t}6^=Qp1H-7v&s=t4dWKt|9yq_+a5{o_5zuwf8ioXd;o9(ICo5r&yO)4?k@TX~84=UPd zG#Pqu#|+W?sCM`SP$(kznHeyL%YjP)8=~YBf~1wUY%s;>#aIm8k7_s)DhD3 zzEHeNALcn#r(p3mg06ZMT4|bG#~Su~gIm+cQ~wk?$y6{*uFvWqy!H7E{b7OZe|Y|B{gupIu0L#IC;9&JWIm+r3-~03N~3#(2uQn#P4@_gH-G^?4EQkMxq#;a zo~s{`U1&qS(CKBT+Hf!QU(5R1Fl^mX83;nM8|`Y}zI1OOUGm7}#ID|UYdPOjo z+6(K=>y6aIg5?~Lj8iRJuY_3Fl+V5VE6yS-1q{;j_fcY?KF`YF+R&#rn;!%c>r($yaP)M%b|_JJLa9-UX8$FD%xIqWzt@q#KO zjAcCRw;*u5cp%h~UPx~U(O}k54_UWDCPo&rM`LfQYmn8htM!Ix zM?xWMH13#sJ@T3BbSHYcVYefz(7T;}-a5aK*zP$EH%~lKG5s#_7co{LO2%=-VT!zD z!p`h8D{F{Z$@Kci(79~bc4VDoCKFYPh$N*<%sph51b*R;LkcCuOze3?DXC~heTL*oX0&4dLgq_q zTG2kp%t1*jb~CbiP+EeThKL7CN-*Cda|SC*&~ZrVU{MM7B=Xr{`CZgvWZGcaUCbZI z;=$^>=pdwOu<$N+FS2%UW-_V@$sR0C#{7uP9;`}6Peh6bi<7Ze5$GvBhx+v3V3l}b z>B?EYA4^X>xqiJ&f@AJ!ef4U~)n8x1Kh6LBi`|h9LXcg*zi{l^^gufMrFPY~?{?jO z|K*bCW2(94=(>2i5Q;#{4GT=$JIUonf79kp(D5iY?d|k=WK09~HQ>)(WBQ_#l4c~B zjCCHD4LPPgb)?J22-BuIuQi6brk!=2_!P!4XOHLGt>5AZwz(MW?6GQ5 z_b!XWo-fN?6p@&m!tiD9@q}e9i^mYF3A*J3-J~Y=H&)+nuO`;-mQw+2jsyyWg*vmEy~)9|0Bs z4EPoEH^2`q@eW~!cdGBN7&5>c0CNHQ|BBfHaBEnVWh9rQ;N8JD(0|2D&$+BBhKLmq z!T1&P9l+%P8#=susJ~+30Zs+D3?Kxb0=N+18i2H4F`1iJp!dTmZ;Qt(idX#KyGxJh zS1mKlwc6=>+7+OskIcy6WudO=!^XlSkxx0W8Hv7ZJfKR z3AbKX=qO0?9}WK!*f*uFt-i#Mte+XL?&hxFj#;JmbSz1tJr0Xd^W|fDX5@pg{87ic zB%ciKVJ)$rD6Te0iQ9~SaU1QZYF2=Cp`4K$m7;yn z&u*Tw2!FIcr8#F2aj7oIF|C`?!Mb9pkdLp2Pr%pk+F8|2>5cqb`>r4DQm1;{(Pwk7 zrjo|!!ng-hy~gNg!)~o_gJ6p`7RnH#GocGTuequnGr=~$xwsvD$u_gOq8+>1wz_#n zCF&oWxVfehgNI#MNhP`wc44KJSTXFvmOMuF!;5&2o776(uifU10GfZ0UfpSG>Vb#- zY2k#Cae{`>P+CliI>|t1g+N+9G$W|1nRH0$FFHIb6ywSNEI8NqLV{ zhs<%(h)3$@=84kKG}U%8ORr_!de8tBtl?M;Whri+X5M-CpKZc`9G}4P2^_QFm<7jcaJ&Y`^>AE&@My$O|M>lQ*y|PjU+a%f zRL|r2wyM#Ke8hdiLZ zauKlrvMR6JJ0tvW)sg<{=Gf`P48{@czRg(l?S8fDm!E|eZzUHLaj~v#spM){Gl_BX zbK|FLnNCuDX*>3`-kW=%?m`+h~Q_ZuBWSlxnt#t6}j^3ny|Wm*j{OThP1 zUpIRQcm}oEj1xpmQ9I3KLFi@mHgmcle2wakIZ_bzUUkNtC4f)zH*#Dj_e<^nEI_kW zH|0HKNVFQzc`UgmtnmLfurty=NP2-0Dc}txM#PqDU^oPRNJ>j4m zfqrjjH|-z5zyE3u28#qIN}l1h2(;S03iJg|?gM77FZ&{m#A&qZdUne?1YSA)6Y&Q@ zgF7NV&NpU?Myb?V>kIuG_%~Ia=GZnUNX;{6w81xbl$qBC1*!ARW7?n~)z2K=7Dczj zws_1nE|6^jl^KJ-Y+tA63u8Hm&G@i$6l$?KUK;zGI^3Kojs72%ng6%Y?{4)t$n=JxVLy{0SwCtjK{DRalxKk9#sKR2V)`S-}@2|@HJ-kmxPMx)vg zM=<=T$4AS#;e=d6EB8+5kN@*e(Vfr_>)w%W?|X3e{>!x==bbz|_kP;gcVoGKPNZc0 zJ@H-jiwHiSAI|3|e-wny%KNxs{XtJ@^eAbE=QQiGr<|@yb%ZjnZ>`Y>@Y1+*xP=?a zWG7wl3+f!<_r?^N))inEoWt+g@WeXzK#-kxj#$x1mhBm&E#kmWIcZ*3@i1nkXO(ry zfdG5h3gYLDS(eNLM0>(p9C1Unqu{{!RKZ*HrVV|Lu0cv5?Y;Kkb(CvoA~ldfQ2%^g zrkLc5neLTsjhf|~8b>0|+dy-iNTjzja@2obuX9~YBvewr)EKX)D)M|O@!kvzYZk93 zIv?|3!`IxWTYhiD&>N3(^V$g8Jiiw$n?=YCy@iWvoXOpu7|_9fp-R49*<98}yyN+& zXw58ik4Wk<#=|JgnUyrak45ilJmkok<=2xZC7Wwk!A+({wtks2ZbMhQ?|_-I-6Q;iIC4=4v8(nIz{8qbe5M`|OT4_K;$}ie z=RQk+I|NH2+66nRHfdIYa?B!iXm*MWY-$mGBwFfhFuHnDD@^cL<_+Slc&U4G@=o7l zl;vGEYQqFC@Y6%)S8BAjh~brMf$4*;#{Qn(QqRTrkvXp0>1jpM4u-WT88O?m>?}#D zoc5}upr^tb3ac{?cb=vujOx=fi$olZc8mCleQ-Xmy*_Dsy=bM}b%JoY30|g_+onUe^Qu8)6W~5+s6O<^$@MQ5^lkfOL@D z$Bh0gY2x((xfJb0A*}eNju2O6`uzdLAcaSSxJ(-H5gk>pJttt3RY^QQAn{fS{`ipP zL_9N~oMJGC7?I#~$UqmnY$^Lz5lJ}F89_g1Dg0LXR64TLa=>3jT2*Y7^R7tU7@dOE z69h|DYsH?jU2ZB87sbZ=e&`gS%reEk%gXDgZ|&4QvQ_My%Yh|d!H>hy;`H*9R|O9J zS|h@wIBiKgws1k{UUNU1m;tIfsFS;^jGUu^eFW^lJ3wLqNd$x{1L_IB`Ucc+Q0E>% zU?Bva@Yd|&t;>$60CI$n7*q(%W}S;5#EYAvH)nWs zu4oFilQ=IrK@J>s2-RmxotIE1i;wDr8ifhn$b1oTKVIafTtoW(PMfC9-t}D@J-vlj zX6g?KR9Pg?HmnsI5&J=Ic0J+0u|@E$?CP|*%mV5GZ3U+5Q~15@PI`al zL8^lG`g+~30-9p$I+k2D=#@pvZL2;DeUXn9i4$!m3}vQJ_l$0)*Z2!KSfGXb#c_n_ z%vP7<)v`&hhT_k~y2+P2A5$Z%?UMWr1!>AY(EV4$SNSWI$kI@Y;xcJ9of*U&x@^mi zBuO;-IbnTPuS@EvSdm|n{AXhak>8?<aywd&|Je}D;b@yogQ#ZO#?EYNI$h4rAVuhvXjS@_&S+|; zzlfTG6Ur;=I<<&y!`xDjT#y(^d+d6&X-B=_F>#xYwbjzYIw`2NVk6~GT$qA46;k24 z`zYedfPks8YWfw|RZXEWl1k#6$SI@ImR>Q^d&SJilGS=;`uBvkz^S8KZKGnrmhKV% zMUJUgG(EzSxcl;lMV}y6{D@0%WF;^j5TsQ81{)d$K}JmHP^7W=3t(=pts^z{ zK+tvwnj)KYo}iy?>VUiw=={jgAjfOs{u{N}XQ%yUrdtBY^2cF_Q|@d20cQK6@1Vb;LBgF@BLu6DxJ{*3L72noj zq-|iQ{Z*(pv%Dif+t$wXs~{??ErL`eGp!hlYRzIt)WxHQ%|DAh5EmiqSIZ7-p^;OW zIuWPiWkQY6A}_L{B5wQ3o1$rm*(~@QnZIhE((|+nuG=g(C5igVX&}#KdXa@k11vWu z!8AM13B{dTB3k@4Bo!->8ClU}=W3xz3kM07s6~2s=SE^Sef6Yyo6sZi#`IU62Z$MT zr5J-YsgL3_X=^)MsUf3|1A+CT7sWIQS37%&x&C6-^2Qm+P6MjJ=ob2Af5jAw6v3aO z*z}K`A;hb6n|kvg1XjYPqtw;RKe%4%y68x{UzR=b4qct;T`%Dz`;(B@=||mJ?Ub}5 zM&JutHGL?vs>8%}3;irzBFQBNS*dU_L)=xuKRiaN68$l)H*18v%XJ4mhrT|^I|fCA zalvZWXn&tcAs_LbE(z5u4fPXI9+~09qJfp4_2vXcU@#zEt=Y13QYIFK9m|+AU%Ep3cxCREcr2RA*Ar<}!gnQH7TT3tt=Xy#(Lf^AmMZPI4j* z`aeI2gemR4fWVeTOQ%G(ucK#)Q13O#R-lz&d=UjjynwiyP-c_7n(S7>$eZDce3(Y!pqLRO##C~@1ai;ET)BTWbTZ}YFcTku#l$L8Q$bQ%emiqclDU-ebwe%21>O`}`=ceY3cDf}ihW`1%Bt`?}*K?_&GmGOBeg}SR+ zmg#ROX)NANZiOr3A-FPbF%%4kD`QC35_zNRHhOwICTVk$K#;74u%Cnsp)NDK8rj5;N&htbLkk z>a60zj?LBbhBvDgRt#U!ye5830-Ki3+V_sE!SR-A3aJeHI~Nww=-Z?^s)qJ@L*uUk z-I*2CaBXEn^Usn#q7Pj*Xv?(tJ(chgYfc;NyhSXCUukIa6|pGMoPo+@Q(Z=-=|%Ad zNuh=U8gk<4URkZ=U7ZwSg}Onr&=}0xIHZ-(ABm5+;kk@qX@ptj&NzJUB z){LfCaK1G@FkXLaz|^Y6TPxYGYBo6wwQ(KKy|v%tK&sebk&fW5?;=FqlWdSY!N59GX%e^ zaq5~;;d-44;VOwb=ipImrmG#I9sMf3G4lxZz^Fq#j62%p%+r2$dicAw2=D(GB3iE( zCU`&V26e}%YW*tRpovunwB=GPbP1Z7yQyB<>*`Hv1?DqLs0P}K^%k{AsZ48Pb&-;_ z{2-xc!9)7uu<|iTe~{Zh z%}U+7J+YGc5*)W2N60e^DTrUwe~=%+k=Qh`axw;@o`Lf&L@7g50|1oiW!kpY*LVIV}fL|GkB{AC}5Gkl)XeykM=@HS?q#`MIKCQYl z(9$;)c}n6%hiIgTiqTEs%bCFu_nK4-1y$-pWGDtnzD_{_5tt$fCm7m0q_ujSpdERU06YL-nh)?x zfHv4L58Z~`F31f5UIln6;0VC+AKety?qwU>?Uo6SAJ(`|%>icsI7v{>nGfJ>24^HV z2fNRcPyqn*6FAes=>g7-;4B4a!?2rT*!^tdmc26XRKMqL&NlW& z$09bkIu-f0sOu{KhErZ0PI&`wJ+#2JtaPo@@ygyNpQQ%HvYby;Tei3u3au7hOe=5= zDB56%TrIJfzA|f!s$VVqRHsCETh@~E+G_Mu^AhB`%+*?T{z_lvX((F>nY7QH8Cr#O z*{3EBlCg3cg3aQE>7O!tot;J#;#=v$Pc0loXtK{U)@MaK3swsm8akk8@|7r@ghje5 z+~}L=;)XhH;xxH%lm`qstwzn_8|iBe&0*yx(HX+o&LyhTD8k!pb8|5Un~`M$lxMZH>;`KrC4V{zfA zRI2R$G|jAw#0Gy@*k*r5Rc4&a$!h5strV21_(+Clrws8neN~LkTM>-Jyz`b(1A4x{ zrnQ1<+ILsC0oNGe?c%3hOsX}R!ILuEB@iwvBW0PJR3tx&yOC!){RcLyAU{g1az;g> zCk+b(FJ-PE-nCRngwwg9^WeayGQ=Z^Bk-8+FyIy{dO|$k*&@qgp^nNACC$$T7fy-CJbVIkv3r=X=9Q=tznoxZJ8 z+j57Um5$73kAzsN;`4vI2 z^LSGrbLCBW^R#!J9!;L`ioAGfhyAE{s708_%FF{1my7)Khti8g*Gg=N$S;ySsCYKx zkgIspPH(YeqSwfqwQYv=Y6V-f?nM+9Nl(K-=47W743h=bWLKwSU?4**TA?N%E1aEG zPL|V_uruB*f*1Qhw$fg2XZ}^d0B&csMeqY~EV3@^Gqs=yRiXGh{Ya-7wT%i^YUpVZJW?tHh1vk0)?o>mehL18HWSgaepcm|NC$wO$t9Fqt8u=_!N9$p{ zjJGyg#3(CD>%VvlZ!?%5G*e#dmcM+h0uAvaE0Bt;wtlLWBDq@pKWF_>g`#at$VraiIAzd0<*Rjyj2#Vd))=*q0=TtzG^qPs_RK6cfC8~g=rqv}k5fs%VX z-|w}VOVb#H4-6dl!S_3>rk`FY@!tyHJ1H-up)wy+T_U&BYBRGle^4W}H-4zi^vb+R z4TwY}`3{Rd7yG8U(I+V^N!3BS#JseGUh9u^(7U(4w8TH0spw#K57{qz$XR66mVR?U z$-#gvU8(#LE&+}2os333mTtgBpb;7VfTWt#&D~E824N_3fqJwWk@SShB28l(Cp^mD zv$+>|tFQbi>ki|M__6y*u_vux&NsJvpX6|c=kbnPSR=gSzdqh)<;Gp*EH+lg;F;K& zZ(WUjQ%~4>PS-sdo=3QMMM5?<9A?|!`qM8ygn!({W0!9@Q1x?U@%O@ZrrWRatNv%UjOV&-3)p?j+?_UgI56(C23Hg4v1O z>ShWByS^vx=wqG-j<>O$E6j@fJzqC*s}#X$jA7&0wsHw<=GaT-%%j#DSt`6>9PdCo z*Q9O7zKhS_RGn=Omzg6~Ge%}d+`Aa#`L^RBi8#DM6x)`4p)ybG1muL<=Kwo72B6u2YJ(6r|r12 zPMe~+FYrfKmhmo+%Ut0V+7_!YOP&wW%Kw;mnf<-1Kgqv$uBF?TYr1f|Ryk3wk-@ zT=<4}Y$z^#!#pp9@shcxJpqT;jAA2c+*9q`dvg=?`H9h6o&;`>D(MkVU+0V_wrvIJ zRO?clbq5d z*0Zlueq*;r5S(Au+(kG<%t<9|+wsID?UY3xh5b&IGC&? zMq>Pkm)8h))dzg#%=r0+?^7oTob^-PTZZroykFgKzTp)|6o-!BhGeW#ZE<)UmWO7A zuvkk+IC7IS)eQXaf2|q9;cP{%QvGmv3oI{%)y!mhUErA{5lMwTaa2-n&UmkKTu{|e%Lpiu0(m~Ir#lN1hWlJs>7`_jf?0OLAtJO8gmyfIp=d=$Hw#tmra zuKK=(++O!mD(o_Xv&%Yl&A&aJdIY!Fuic|LMbn&^!ua588u6F^9LObb#Z*aI%g6Of zYwNxohQXsHgFh@@4d&SpDfhr(B0dj}&~l63Q8*iO7i)0_Usv@Fkv^pOubix^ zX6#t{*Ly#;w3cV7;IFpg&o|=HoY|8zcOP9KJyD;my3D{YpX$v=akes6+%O!^0n3YF zDKlBE4jDE$30JkQnxv<$^ZTXj`G6A2jT@DNQe46c+C{d)tSIKixAk{cq!D z#%NrdF6IFWXDWp={sTKUHPe0HX@KN1j$7W{xFfh`X)CTLj;D|13A0LBtmJZo2hKdD z(<79B$Cj7f+PW!+(fnws`47urkkmIcl6@eVh|lhs8C|aH2aRji#avI}w5D**O=4+N zGcWG1A0T;+FD`es#bdZ;DJyO`j^~8s?Pi5ASxX7OWacynm?xL7t0yTGQnm`u565%C z@`6|)e^{t^b@4gP_e{<*!=X@qxfbBNDEPNSnA6;M?Oek5bD;$8E>(Qmzk`n_wzi(k zVHCD5`7v2_Z%92un4GEIL|#dc=GT91)|{r%WTV(`XxugJ+!b?;^Yb#_?N?4>>KNI~ zf5$%iQS16sD!jH_682tCpiEFrw#o%zIvf~COWyP zzX=V@<3_v&r~D*m)x%iPx#$6=!g9M6;O9WMf~wb!sZfLvtC_{}I0&mw^pf5UkutfL z+PRFdW)arxKK8cd4f{Z&ebMeAQ5;iKvAjSQ4tiufMQ)O`WxRj+8ry#qw+Ocz!9}LX zNzRMO5!g*nkA@I=v8OTp%ax5#D7Ib9tk2`h(4abeVIy8Xq}Z9~sEQ{n@9FB+R%{L< z|52~S_bIFkFC4mULo$NXZNt@BK4eii98Y$K-fGN7QAWVS&HXKE7jb*k#w zJam1d)1;n%TTgmVan$W%exra&O_Tm=lvg4$m z8ax{;PoKs6J>UQBgyR73RL{tACmQ)l(|}H7OBpA9n;P-uSz&n&4b2G7Obq+b@_zh9 zqZMoS;D;Q!$?aUTInBlS{)y`NNiCzXQuFZB35KVc-)Bu|q?oQZDHv$E4+_2n#>R>|NfnUJB)$ zYXNq|F%^O3Ik7C5tdPStl5@6$OoL^{02nv8tvjYFV0o!53l=LRfulAVcb(z4d~xt= zZLP~x;VCaNU#4*IgK+sHow{+HNXqKLjQ>0FF;lck)hPCP8e_*Fmdqf&dx&&?YRDOX z;57c#zeeM~P#odrap?0n@B0+SofnMd**82Oa7S#oBg@BLf=2rRgR2P*(&I*+C1(iw z_CtGN*82VI{AFe%Tx7I+X1;2W?l$7JIB!xo-&vcX#aMT-zcqeWfXgq#+7U5M$Yvw%$LtpS*{+y_>9*r4?^n>*G5t>U$Y2Y4^^J$ z@@cY(M$>~K%`}ZaP}#`S{aeyT1m}fys?t9wbt`UhP50u9`UCeR{XGaQ0>c`CF6h8aF0V2MkF z&m3-Hp49uF@j%t~apmRb>e6^z91H_g7n%D`ayCuQj7>E@{m*=$Dtx?j`IH)&pnZYM z)5_1|(e(M|tE9$8l2S;IGhY8R_W5#~K2jdT<>>-td}MMe&JB;j;tw^H3vsqtr>oR3$o+UM+>W74&l#oORhO`*0+Qw39(%nobkj!e$XBr{Ta zj~*dnntSjA|El8^wI)aBFlJkN`=_Qb9(d!C{AlGNGXi&q4fo0NVe$G*X@x;&c``et zoqJ|ZX8v)KYCJ__vcHj`xjeeZe5ZX;k7BR?JU;Sc3bPr1cqG5q!CXmnPWdhK+w#6F zY;9eH?qt5m#4WM>2C6!T;xv>cL|76B*#H0i!0%D(;wcqUPBJ?=g>&eKCUxoD5+dZa zGv4YnujJpEM`~+Lh5^&e(L(I!p^;o}WINY0OizfTXTyE7-16wplqKj;RmtqYcJ8Y= znZrFs-rkfcrM+x$Xr}vwGLo9hek4|2+q8WJv`0LBA846x4*(! z+gYwj`q=du)%0KRgXZMObCDTe3+laJ)u*McC@CmAqMNbK#M8M?OxM8U*|x*0GlWH4 ztS;*q=GANEX~kE4xKYKp^yw&O`ltQQA^RIk?2kJeN^!T=^_0zU{R-{IWbx{+8>n(# zyVVoA`H&$#o{7LQtiR1lc)fts@GdZg?zL7M?=h2Okr`KT4jq})E8r)s7_b9Ul$GD@a(1+R-GxUwEbe6TAzj~;qK!k*&I00#hYpzZA(~sf?dS59p=nF zivG^R3~}rl4Ynf|E~{6p>*1|~K&o5XWtXWQ~fIL43f zI0yDoJTLAu`;9by){h4P9rOKc_#jUeK){;Ee=c#kG7QZxY~Gjdx^n!h(Z=K@Qo`&G z4es}57I4qz`{4thIkb&;m=m2myPn6<9K*Ez;XTgxv*mq;*2(aCUdEkdmXCa&X~3h0 zFiY^E)%XDLUu}OTIR7Wk2E34u;{hK9&Uy$lG1I^c2v_HQW34|vYr$N?bm5a`dCAIE zvD~a|5=|X&%mm$*G&{1Br`3QTgpZSLuQ;VsnErD7>k*zdH_jICevVgVo~j#{!WeB_ zs>6?euYwxz9l%C3z*iePd7L(LcIg!9X*vEGKjcX{Z={u(IBVg^K03p;jpcS{lm3*l zDf5`#c|X{nq|%`)umPpeqNV?{Mc<%BRlL*X_&=j@Ttz&N(|?4cz@xF2en0w+ZQvR6 zMP4>jCXS1r8GG}R^qW4!d4b^_=V5I*KY7RBtl?oB@LVR3J*ApDefxbSux!n#<3La0C(g{Wt6V zIz9+}e&c@V`D4*h$3I5o4h_EdZ+ms)anhs6Pqwii<=w~bH7M;*P`*>%wxlXt((TnT&incKn5PcoRsrya)*gqP;W1wKLV2<%rF zxf*Ns;A*V)AY$Nv`w1=FEpM5e28AC459Q*u13NuF4jfh3m+^XMEulHv8xiVPj7$&L zIr`y?j?EJ%o%x$n9{bH~bKVNZqLY&-x`FT7x7CiF$oICPZ!PwDcSt9=~dGM+^UA^$-PeX z2#{*iy`I6SK_+c`E8GY(N2S+G>F3!;oUND8&p#27S1)rl4;gVWM)YdFXT&Kx4VXS7 zZQGc;z+7BWQ}WO$6Bn?ftZ&*!`$2PW8u>c0|r z(S3nx^IE>md^^FmYa4Y_!rG*b_mFY}@mjPDZG?Cup^oBM*?JLW^rZ4pl~ zZa`M$9w1MQZKr3oNTDLIl%mBbufRL^;3&Vm_O|m-QNB_1wvQ4WBfe}1oyx+z?)%0iSB%2dXiWWOarXPSZ(LiCS;s1MvbwOQ-c?b zc6IOe_wW_$&HaD9(;ngilSjT)n01E9Bduj6oMG}vYnVKe5oKlPVk&5mcLiQ=M_$bN zM!c3JRiPV>dfBy-_%KP~o@^Z9T=yp`njvi$d{dw<_djYP!`#m8rcg9YTge@>5L=tg#aDd+$DbM!rizODscQ2y`F&W(FZ5$5vccUO}{pH3ep>y1s_?A!9Q z&m0w||6unXjq1I})=|Z8+nCIzSloT_?773K7t@FDtoD1cy0zkFpWCfIa|4svX`{a` zo#%gDhyS_|rGH&vx_@2mP&P!Z`0IL-#&_L~?&oW*w7(h7D}H8){x_rj*Ry{1Z^i>M z&D)0i(?HUsmcmYV+14lnQDcF-=N!{~WqD|*ShFFbEnPCI!T$fbDofbJ? zIa|WDvAvd?J_}vV-vRFlt}HW(McwUEhm|iC`s8qgkZuZp^~*km#q>Wl7NJ@+ghV$d z0^YJVRgy{98`EwIa)2r7QX?Kt%7iN*$WvB*1ly=GL|l(0>PudvbesAUwIqX6>zZ`H zR-c0RSR{``1cMy~+XQw<(wE9nDI-4uV}YT@hCf?d1&AC(_Y!10Z0N=H(rdd7P)=w1 zQ7Q6OGE8eHP5QPJC+aLSg{;i@lV&kKD_9PO?Vdoi^C5*QnPEP-)=9I;2Fwfsc0#}s z1T28bK#(Q`tRI^d#6Zxoo+hc(Gm$IV@=w+>ZB89(G67qE>JWX01BnA2N|p;2%21$Dp6-hanU)X^>!v0+%?XYOfYJ5$zL zR+DflN+Cwq3E7+PM;05~5nnSbu9|;{n9G#5RvgJV2UE!SvIQ>YYr?v&hziqGp*mPp zH;A#uZWCK*GrXC%rprA3>af)IJorP?ZB&I`Dk?f3<9y(@@;y0x`hzIV7Ac8L+| z?BwR;PrJmu7M_!9PMhjJL`5d7ZwdG;7%PuUFYl&Ml@cskg6BkH7!Shc>z#Nw>jZt4-0zTB+Ps1 z6hUgyVC%&Spt?>|i7jGk^0swqn;#rf{wmv?Q86Z6q(>8e*tJuuxn<>7xy9P}q88<^ zFjZ7)f~KJs4JFczAQmOb?#|D4j$~LG>aYl_Jn%5RxInl2b7w_mgo{{lV1S-Sp4Wf! zMh3y#YsoxgebduW6!kxQM&c!o&?n>>w2S}wCz!*^=3T;`!NsykT&6;Ftts4(h6(Y#tqNg3hYoCZ1m7|K&rRHV>!Eja-S(Ws^Ao#^ zU!AYe2^Xo$d-$WPstmPRdA4Ikl%U-fQ{M}ruvmb6e#f>bF}oe6n7X&|6Y#6GwA%|0cD^rY$5v?JX<@s&xo>YcJT$RbfTE&ewJm2>a^ zm`-Dgh3Oni-`H(IU47fc<4bpJd=^tG%xEF)Mwh113e$zF@mGdRfA`loJ6(ThDEcVJ zm3ZSRk^-;W!ejxCUB$#YL-7;2QAAi#hLs~vJrSV#S?hV)N{?;v=L}J?O5ZxnYJ^%~ zDYoWZAL_9LbPG)XfP(?>&M}qvW;>&0mb@5r1Q`y}VL0x~cggm!?0 zgX#W^)EenYn4Mm*Mk5K<;zf95B(j{Xg$r{%sR;=VNv;(Fm1u+XI`>`ldoeb;gOQ2y2Nq6U%VktnR-ZeSTufzZ!=6>-N-*;j4?Zo?^-o5BRH z=1ahBSgy>-9C^1(4{pQgKDko34S$2%uuz{uNcx{K<0j`YDW$vvWSs=FP}2s)Mt9{V zm_GT1yKNIppWK+MK|T6fp-uY)!PX;=etr`1MQJDl7gZ!^!na+=1ZR3$gtm5r^|lDT z?*i+|6#9}cCeo(qCKjr!u70!djI>kE8R@m|UZ|CqFK5Vr71mtN*!22!M=zKGSRGjO z>*kILFlDe(uJ=71Hn zOC56`L1H{ckn1nUWmJJ-YrwjbBTADrrWWs&Mpi`L)`}%2N?)M(^O%PF6sDMrWhI)Dz{>+F@))m^|~5~wZkGlFi+Dx zrc{YsGEBR;-q3(6@U1)C--Rt^oOhCVc39?&Jf84;Ou%ltns9H98#T1}Ol6SVI&y-J zLA~)EXPaV)AmxWHQpKpZ=;JPC#WHV|{K|B+t}XttQL_l zqgauU-1X#lW1Hhow@8GU(2zs9$S+t_aMrAlnA>W5PpH(l%4U#+)k= z>7hvdyl)X_lcao=8Z(T>q%4Em#IEKxlM@+h%e21;uIU!H+;~&?Zg*?MnNX2%#Ty-A zw~>7c2Rc$18lhTWU}`)2Chwc5{BBf4?y$i5ywedEn6jqInuH;k#`O_XrA^yOFfPxp zW5?JU`U9p~o5CBdP&u#_Z?uwZuevlDgV}@WgRQ;lLJ#-NpmNHP9go0RU??l8bSbdY zU^2T@;i=hLbS;?EnSHV;@^7@5))2G}f@T3$2FL=SaxhrFRd0W*Vu zoe;1D0SjeFiTLa&Rbfnzwdk=fYthuaeX`R9`()W_5TOU;0pE;>5HK7>G($us*bG#I zfuLll1`mM?P}M@OwI~;Y)pEd~0JXe*mX|n2W7eXFbKoz|h7xz&*A;CnK|S;kfz{K6 zk9+79`KgKg&VNo!vr|Q*d(&LULW|6+c)?UG_4U$uu#RI(y_gTI<5*iS z1c}GtwQE{!a}xJ1dKSN${-O(VxrhDTqFaksDeh=l|K z6096=uOL)jqWgS6@tJxj{Xw~Ry&fQEf?UN9+rkgq4s?GGK->6`0YDP~*?WVW!Kc%K z&IbR{dVV4o5=n`PmOA$KDl6303-ZoLdwO}HcGbK|zx{e&N6X|rYB$)G$$JqgiQDOi z!F&>(Ew$~n%2d>4eeom&&Lz#19ksI=GdYQ=@mU~;ihUh3%GB;{=oO;J~eYlyn+!CYz4_S0tsA9(f(e5%=%Hp92eyV8EWwj#@b z6@s0aRH4?@e~pMPxp(l`x_}mPgJD<%4sA}~fi`b45Lae8f~^u)9$uLx!CsLiF`eHj zvfwo;g!dQ~Le<0*GHxphW=ENvO{E;E-62=xR!a=tT%5jjn~un>!@1~t&&>h{j0?S8 zZnS~$n=e=d4qQ(IAsqy9zMy0j6C4U*auDW~hrVZQ3`7|aV?g-ifM5hd2?&EAJm(80 zAXI?x8w6tzt{Z}I7KF?0;@g9vz@zsdvkNlnd^8U-<9v@IRJ94JIto?Ufbb3t!bT7d zfpC;B@CiQf(;PwylaW?7*N$_Stz*%3LE6Ucmw6uLXzLeJbtTnU_*C6qzgp; z@etqs4T}1YFPsNT4XD6M`z^@3Yi>{ZuIPJJdv{HB_Ox%#`dNw6Y2Ww%`_Ogxn>+l! z5Ay#$q#gf?VgLKM{=X0A|2{mO-mJX4CV=G|*Y`@7w&td!uZ+&nU0&fy4SE!#a1(`d?K% zdwTH2-8Ejet3Umo?h`Bg>yp*|>r#GZeR;a?_SwH0>#wF3_>7N7Z(IH8uw@ABJT=%S zcVTb7gdJ!D2jCqVgmWNl0%86R?4VM}oK^s@CwL9Py9xv;5Z(=Um!xmq1UVbnp-1@# zc`xkDi}~n#`?UiHe(nag4!VGSFa+-7A0%bKP64(C4#Iu@;qw6xzdyc$j`40g9Fdg} zbBOQdmmdxS3Iuf!j><#q8Hjzw$NBZOLq-BB-w8eJrw(AsQ1K&oDDes)=1{=Vhk%&y z5$L8rjR6VaBmC{S4@d*Q4iBg!1UwYLjzat1@lO*!5FUdt&u^9&;PZgT^1Ik&D6t$o z6jLZs39x49V2}9w?H3?4K2irrD<6UL>!$`Fi-7!u&i>PhpMe&fa0WgDcn#R7`6l2~ zA;SWXsup1Uvkd{8>U>|$``ajxC+8H5ccwgRe=@z8@v;)AJ^%=_B|h6w_OW_ zY7jg?P~r#o!KeP)P=9b-vHUq z7Yaep0pSG*FM)zJl~F0`5Vi@z&Vv}Ys}%77Dmj=Bq&bjFApQap1C_9$f~Hj<)`575 zFZO~c58($Oya7ZCh$JX;Iv2tnfh+{^F>EszZM6BmA8d1K@mLT(7hPws6{z%s=)JAh zZA^1pZB4A4vvlzt?{yyHzjx=Nzdq9oEHY^JcBypG5jp-l4+H}cNFWG-AP$0&E(kkn zwfrKB(;YnA#eb_A1rFBMdv7=wrK7hgFTcdvNE?J&5CTE);R~xkxCz2x5T1i@O$&q? z5PU#5BX6`Xa9eHdv)BBLoYB6+eAJkqQ2)b zhJfG*!aNApKn(hWunL4;sA`=Hl$;BNsX}I-4%B-MNoJAhQ)bub{1mA3)|kWIpCVi6-E^1i}Ic_8|N)1mPSAM<6y)3GfEU z%<>De2ps$>1a&Frl~_OYhPt{T#+<*w{3g8sB+MKTaX>=&hz}s|0BPVOw*Z;q7YUg` z{ywn0j{#o^_-AO&SAFolvj5idX<1H$6Bzz;GU$Y?+vzlp$S1Mgr8TUZ4dE_jfz$;aIRbA|#6A?CO*WX8c$ z$&capf;xVT8Pu@?kbXY08IW0iaBhk93MlQX2zcB8lT@z8r+p@sYN<0(dguXf$_{*m42;e$z8 z708OrhfEw~4k{XPnl{zWJ;7OBOaBF?85Jmk>8TYz)>SK>nzuo5x?qDMTkV`NQ%^q_ z9t^L;gW+{}FuV>AhC%RP7z7W7FgF_nCGQFp!9(CeH&}12I2VG|a=@SfwY&{BoCkNy z&KbiDX8N$=&4o16;?;r=-Jc78RWed2@~ZWPIm}iI{&8pN1wZg6)f$@)x-IDFIEGjl z4<4D1;wQ`@AJ2hxrK$NQgtC(GU_okihuVPW=4O<3vO1J#WA5 zg>pYOOx@OT+;>Z7@X5RDI*wtt^da$6=TOaooO^Nbgt+zLdG8(HKI;5BGnI2s8y*l( zwN!eq9AoJmx}lnL@78|9!RhzjlJ!0t(S>OWUC!|}NoZ@OLW2HS!a$IN;AVNh^u1$7 z17QwQ+jFAH&)|!Yyd>!g<4ROrt_wBMN~JHcm$6qUxHdk0f{uZU*;@T$^lJ|jXY=sIl3l|y6c}U%E1wXKS&oGaIA6S&q zW24{)7Nrc0D6vVS^XcJHD<;j(XE+7ecg%xHft?211ojfl4D1%zp(wqUoox~{_)7Q^ zd?mc`rXVi=K2?LE(Gt=o!^%5Kb-Jzb*}_RcCP#|ua$C+p*GX6=zt92G=FZNWc1u# z#X>s0)Z^TKbk1Z)gzH>{rPT?`GPI+L?->ortL`$$EtgRl`(9^s%z@hz+-tzC=%~^M z?(g7k^prs!0pvI!DX)>HvWGfcUrU?HtCQtl%b6-(BHsy>i14^6(zN=$P?GI?p-{yG zf;Z3H7kJ;bUEshjv$Vx1F!(%rTZzmHm7rz-?gqHkdm*MJnBjY&Ve|pP6g4n;FynSa zRqjm3L=-H{#TIBsW71znp_o2w;r26oknOp9kPo_6BSv~wBX;b%Ksa=}kBkFb1X~Ez zqh^EkhU!IR+X<)2dj(Cf+@)bHcd=BtZPburiD~(V9kIo*K=ki)M;%S(&aWtZj!;CS zp(q!fMRp1hXY2W7AZjG-44{8Pk&7~$u6<1XtGgbXb<3oE+s@(-{U{Lg($e2JY{kkU)?>zZ|Tp7#WL^Uk>_ zCWzZ@t`NVT_XfVTv9C9O1&eFIg#IyN`*XJvAJ|E}m5a`JRAWD}pdAtd!?Rj$#;v>imC0YE zfItK#3POyER8fdhjY>63#Vm-RsHmuEaG|c?ib^r5iKW(G1xqbes%f;9TC0h*HMMB( z>)g3_=FZ%?bN_heBj0)Q1sqS#Iq&{zNAZ9rXold z*N;DGU)Q~G+~=M##5ui(eu_Rr_m``*Tl5?iB-s}@Tp?vW-;qx66Ng~&o%Q!}?);l{ zkh@I~xYV#jm@LIUp?;<5vru_)&G@4b<7%oV+%oMFVuxrY^yRw!@GlX4Uz=_T=}Pz( zxm~=xuCLec0{Ad&8VahXd?L(NP9KXh-dlRwsBkt&gUh+OYNBLzT`Lcp%sOg|wvc*D z+OT^KYn*GOq2=N6>I8|TZg&^vO!FN^d1Sn9* zuuvNEnl?#TD~0A17p;FmB3CqwoMOD{jFI|}CO*@ZiP3c@t9@3R&PMl6@89F}Q=)n& z_IEmyhd852`_-FV4~Q?e*QSgm?AQD&UR!s)8W~E7g=V^O&TwVCO4fmUYxqvIMTz5Z zzs2mZzeS=Y3GOZdF@u#r%m@X9-&e=NY&fm}NH)2f#t?^?A-1&^x+-%|42O|NC$XMt z+4c>P%LyYf+3LlvnW^RFm|1$0El26giB86LI+n?sdciEWRILOVlBJi>7j*`lh$cCg zDU(#u&-~iCSD~kCLVMIz=;=DGJz^vDbd7Ci%_HUSh{silndpg~M$G~jF12jb=U4p! z>q$^tl#Zf)HI&&JltD7?Y6uXA$Xk2qiLCWtOSHPXWE8!}u*tS&h}{?S0)5u>uPw-h zbRSbu`XIz!YuaX;W(kvlU&d|)LRFf9ns3m^FRB=)s5>+lT}t;M6{;`g5axG#u^be> z4ctS>Ap3cFr^>H^cL*P55XpCONSUk@uvMbCKj#oK={KxT6#_4)kSdL>^79;UJn6Lk zpdfi?HDSx_0{3YZwT79ZS*6JE7W9$&*=N;%C{SKeK4yk-wtQPVX)~?ZTEJ(HCmz#O z+UwmX+mY)G`{b9~v771VZJ~Vpcya}Hi~frIY&-rv<4;>=58^qSXsc9E1zeU+2g$~$ zJ@@i&=Adhv5L4lba%(V09ox)J(8z*$KseRI=cQyqzv1K1 zZEE-1z8Y*ob1zR9`j*A5$#F8F-8uhyFn9ILz=Lm7X zVTNdJkN*YAzqYSIE@TuS3Yd7?M=pwBQLxnXbN&-p+&j!i2T-TQ$#r`MAc3<%xUv=W z6aNUyTEi~TvBFJKN<@9XnEG}6>+^W@nOA_0m<=UW3=7=_1kaZuS$MF z|J3)zJ-4aANdYG5-I)18VRs=H8_U=Jpd2D0Qeg4Ip8eISdz+ zWV%-XWeQN(yZvtig#{EMP$WQc0mZ;W?=hZ}@8jY3m|i(2Ns)cY@!BckX!kJzp)WdK zciA!I3{jF~;mz0t3DbQ>;CG5P&$dEJK1KcBwor=hi(R38BT4Em7{>iB32|%wZ*$K~ z1{u0XhmS-BLIY-xldeR0OFDcq>DwU#yU)r7>;+T}ks6}+>&{Au?(Kqr8tQR%t>bg~ zNddWreq8s`9^l-hj2TH@s0{-_MW=xHoU&YZSiG{k_yTf<>5`K@<;v1_CZ^itGv6k119fN-6t+ocV)THdm0B(X-scTSV_#RZT%~pA zMz957srb2TO5OeuG^n3+6YXKne9$^EwrJ-o!UTEGLH%@HxKfF@K*fv7ls*^e*~6cS z4Z&vJfN#1n@k6Kar}|Oz`2qB|%nh~;5n+PLCwR6V<(w&{ltcGrlVozQI1Rto$Z}3l zPUtP~K%Jo+*CseVa>gsudlz;f&rp|ZS4#5g4pw8Y>dy;Hm6OL}7V2JD$YbI6wTCPr zW6}3@4=w1i$aL-RlGr+(06)r0*B!85aaJiqdnFyv3y-aSVBZRA32JXvIq3ysulkz( zsPhHH;|~EIj&aPj^`yE=z?OQFTL_Q?iU4)>q`{PQ+*nu$nxt<=h8miYI0*6Y_y7qY z`zu7D7yz#e;dLRrz5}oC!0S8k`VPF-K`Ouo;eR5aWsD=Qt|z4?rsFuFA?PM}+hMo{hLh8ADn1|%Xz!2ntaIv?mUK;H%QuYukM^c6r)O2@Us({5AlfX~>} z)VvJ;Dw9aw%pp}UQ`A=!KJC!C`X2>H24+%Q6&M+qNjVe@S;Q>eQ3XjBb5r|^B3L!2 z&!>W&0$Vc`EVluD(G|#Aklcpwsi!ErthxMvzJz1yd5U;h(lN~>MY=4ZO8v&3@9uBM z-ZT6t|Dqk8Nja+}E0RZeziRv)4enMMVzObYb4ia+L&P2}?tRel3iRQ(>nrew4R=7q zyw<(di)x7L2lKKj_iittA*mntNMCDZ^`Q3AB(2oo+t#4eLx~Jn}+*zQP z{0elE&45CFs^%cz@De%dy4{M|yj|n_+z4pa_>QyC^btCm?p+kTVDHq~4D2Qe-+i3t z_cs_1U+4M02eUAwG%%R4%o;AewEFFX%qqlG@rIV-62t;a#6WaBOkW<`s3T>nB)xB~NibUlgod^;}DK zSet}&&$R0J_lQ`-7chJ2Y3zT6#GbH@#P^_GL_15Al#Uob&36v!U+w)8zq(@sz6)Vy z<~~6!H;g)KTJaZH8$>~^{uh}4h^9#q7uZ-4Ug}fN_|d$JNBR~Vj+QH<1f>k@Q^Wt) zCRuVCwKw}Ts#FY^1%TJ3@cIXM{ev;w3D%+Y)EmN5esDcKQn;Q^drj#Sf}zA~+7e+c zpY@vh*!q`CQm0e-j`D88^!>(J0 zeH-z{xX*mH9s2@yT<;WqDq$ZXl(E*9r3h8YZsXrs=4;+dPg>Po^PH_+^W^g3cy~2G z22cUSfTe&EKs_KIPy;9jGz`b5@>Vc8!50aAl#2wCVIj5={;C`B$e-bndUzbXH8mb6 zAs0_%R{jrezfitAUaN6nIi1P*^}Q0mT87oiO}STWojYExIhU zlc7qQ73bdAG}pPEbJTi(pR2j7XhYS0#lt={NC~I(fF>!SFJXlSZmBwOQl`_zq+u_>CT3O?~~_|jyoT43T*cPmjLVe9om;m1lR|F8TLBD=BA$+ z&nY3+Lg?Zim##VNmVNNWt6xtGWMU+IzD zvnnvOM2j|icsy<>8tZrr3P#jYQ> z;D4Rs9=|l!?;^Sf`Tt}2y%JRPP8w8v-(gQjlSl+?LHe#v&}o&NoUx_R|xQ|C7zZyHi;CJ-LaZou9& zowa@A3UV7qP%&W7EACNfHp}JDKXK zLj>zdz-TpAUU31HI%6SHMmdd01ltOU%fDOp9Gho9EdP82zsK-Se#J}di}6$c;1IbR z1(=77?6jrR^})_nt@OUgb5=qt@l?}l+{5jwaq}fp&?hCCpghK}lpx)Qcs>t7a-7~u zz6Zv&Xep+KlC9lt;dzS~=rDszzKe&EE=ogf(Lc6Tz&5?O!{=|qP5Jd|)O*?-8&XLV@b+u6 zEE{gTUU2mRcB=hr?r{Njsi9butPC7TD!^~B2XM~{=uhZLqRm4D24%TM>#8Zrxq$o8 zLgppj*G5=E1amLoCE%);UypHstKQ5GbguDRbDMzO#(Jum;A$wEUyitCAc{69sa@P; z9McgEetL}H=w$S6$1l!mB~~!2+_#xos)={4sO#v(l`wzMu5hInEvg5XuF|67dT{Al zUsU!QT)GO2N?(IZS8Y+{Yb4qJm-(|^WEyRX_OWA*6Qi6ckdC4PO=TjwGN?L!H9pob zO*l~*Tb=v@m1O_Pxm4*dkc<)wn4iFrH?!_)Z&*ioD4cllqC5|!Iyw}$+_2eMGZc1# zg%$>Re5w<0+I24JU_}NlUH_FR+k+X4I)r(uKQ3JENfVT2pueTx(f;XJ>qL1ndW%RX zw!!99cu>7!5^}HcGv@?8sXVz+mtgm)JIe7rL+gYyZ(1)DQ~dx!!F0Y~JvBvp#uYs{ zrwRX);~Vof74|iQE==K5U$b@z!}tN^DaX`7_L92JcIdkMo$Y@LT(9Id=CW}CEV@CX z$-kUp3bp4Rt$Yh!;$vsItdrG?u0N*FkfuWw7Xe1HLg+RkA(^OZ_0) z;(@T#L(C5SWvkFLSyj@94y7kpA1k6&Gy8~#7$>d8ib*Ok^u43M2*K=7RY9NsA@=W9 zZciwQ6{coG3{hNz$#QIyw|jj^>;qOG51$j436jO*@(W(*>U~((117x%4ZanO1GYR@ zLTW_=xq|gbU212giW-P9#?$hhBe)pTOR(ad-X4ER_mK;qx@g>|N*^LW=JmZzn`W!= z5Zfa+W6v5F$FU^HuT^VDc5ydVRq&`$C@06L9>Y8V|*vy=Orex@z#|tu4+** zs#YHv*b@pde` z?yg`U!}O6hoRm?+a9Fol1m2uB!~yYacMlKR^&Yhyw|poIz#cZOfwF+mhB#;tZHe&a zmY^z4oz^Lq2_q5BxJSk%*7?fBk(d+emv*IdlayHl=1TsSbgy(6F-<(jy{ikm&UoLs zT#6r$c&4qCOz+Mc#$S`5-3O|D{?^ZewKc<=)q(iiNVgrf@JC|ztKV7Tym=iMKac{N ztNr{OdyY%rCjRtF9qeL&?G@pi{Uh#PLC6zWDm$Rum zd(}qQ+@jg#xMtd3aKR$fUFf9+N1j1_WjqNnZnB`DoOr_cyKvGFxM3|4k%!2`jGshF zL+D}FbWz9H?g7{apTo(&yNN_QTHL9{+mQzqTt7-Z~^ee9Ok$uuu(G6s*iJ zWj#T#O&v~JD>j)4p2iVVddr_6OTm;ix7EKq`jzga1X;J8=W_;3SwD^ls;6!hmG=17 z(;sPHD1yeqH{+&1p0BA;o&*=4dFH~=gLGN2a=n!-y}Q3#U^${W@By7iG-YrtgX;r} zkLE$Ak7gQNr@{3aT(7}(6I?gJwO{(F^%KB50NQ1*O7t7zWJK*(Z<7=c7It9XnyTdL zYQIowlGQr4);R>|0eAogz}JA!0lxwE0e%Er0sJ$zR$f#ekP1~Ts_?5M4s8*Rv^^Q! zDA|j=0WtAN7XYrEaP92;Qp=Z?*hY9KUcr&Eck#Wx09VW z+80@~`PbBQ06U<<;IREU`0o9PZD5@zdNZonZV8aS0n)F4(w=kHAAnd35&0z8lU;_N zPXkuDX1fon2n{KRaNyqU#CsCG(hU4M{Zm_^f-(|^(;apYooqg4Jibx8NWmFNYScZl z`*deFVXm8`PJe#jbLJB3VMXFd>`l!(m&CnSh$Ay1e3i z)B?qXf#_rEQAPYfnsS8xV5%->MAy`_M)gV5$&nhZy@Ofs~A^fDr7s~T= zjV}6NP6MHteHeDgPn}{9wmPvNGY5>`OB%2Rv`Sr-D`>F12AO2P>|ENz?1;FeDR!)P zrg^3d7S-UF>%*-3T-k$jpCeA&3!RBQ^p2#>xYKZK-tJ{yU|thW>j{F%tnpJo;%YM_ zuEJn4v+G$~v}+xib%#d$zh!UH5bemi&jdcnv~5rzpl7AYTG8e4YqZ~pSumMVrTS5r z%y^j0TG)-%Z6EMI!^B!Yws6NH2%0l6r|DZE4TO0OyRkzS-dMCz^Uxv~i_BI34!I>A z54)Oi)HVllOAFetm%+<=zBF_+CSLsja!cE~FfSO{nrq@^P|XuK8rh6|V_YJtlwwC? zo6&DfC!9zrIfHjXw@plTcX#=|H7qn=?;_)1Ge(uNNsPa&ot8{hei~wjsnO{>`2mab2s6 zl$zV&JIl03-c*gfX*_QgKwZ1^0xrccS>9JotYH0NO|VRF=cYscC$c>%UGudoI8{=Q zD|TFw?-%&zv!Ch$T&UFIa-S-L$y%cXQ@>>7S!159Tp7?Fn~eVxYIrrhVB%4#k+@cL zgL~p0{Yu+hWr!^1s`?j8f@)4Zq<>yG$wTPAxQ*J&;uQC#G4ey|Csu-Tx-4!r;-xXj z7O$ksl2+qZ+LPp`dzpQ)tI;o^vOQgim!-VGgz2wYampzpycftYBglHe{4eSSIt)@u zv5->wTt#V!356oOZ8o+g%sclr{s35D?p9$Ml5pBud#4<#HX0H_@nsIW{D6wq5PJyq z$aLJc&O`EwGKiZQcQhfc4enhW%sQjZx!i;DN=V4-#?Rz?Ik*Mq$z$*FPbhRz0pEA2;cM>RcGMcv z=iD9bxL3yCxQE-3uT1;Q>UJ!__@lX{9evJm(%cPYv-%Cr9DW#sNfh#XC=9kq=-b0- zW8?|rdKhi2Z-fCo!EMa55J3L8sG<)7$WufTD4UIfvRT7=;j$i7aMFD35-6LMLD?+z zq)n+Hcg5d>z3}v+MScD&^dFm#$^2Is{DrG}ki*m<(IQWHS8OKgFGtLvuz?i#e#WGG z#hX|Ngz)EOYp*1wY`QlkXws3`#3eso+Osm?!J2nRPc17WKK-@4|34@5vaq+89AOWk z#J$k+l>TDVzuZ|Fh#ptCp1woary|kp^cLO0+ZB+*x+5~GkaHb*Eio0EdQad| z{Ssqb#d)+`!x$JQ`?gk zs;63_+Y=UQHdrRLr`%U#ElE7~AJ&;ep@}q`yk`utN8c>}dLH~2#@&GhVuByc+cRwU{SYh z7@ud~B>%h`v6)4-rC6x4n1}dpBum^Uy@>Ic{c0xcSQn+C6C`Wh+p3ZC4SVDltFia= z_nd`8xKqqkw)7#tQ|v!%m5|Nhro&;FJ`$C#`=5n45^+^~+7dJpa}|nd@gw0I;Uvo* ziQcID3HoJ})g=CRd*Xk_Ir8fxBtMYYm`4bHhE>k)5x-_|z3dwCZ8j`&_KuJX^w({( zJ^ljl!2C{;B8dE3bKX^1SMVJF)PbxM1!MNw!|No$xKanTZcZ?Eik)AV7mUB`@U6@4 zz^r$~)fIN&-Z%o>!VavzW2!r+1HZ$8b&Ja}g^p-<{+|B~-*6jyQ3~Tp?w($p!t^`0 zxfkg&9^fA8#kx!nxSC!x&3KL5+KZ>bG%~0VJB{18`&5{nrmwhLRj9ef-h-&K+H}s%#0fd->K;U z-^}Q_>ZjsdcV7;6jcJ&>BM0(W7o6BuzbEwHM4MZY3`&5_6hY_lCg^5H5P6B`v=LC+ zTe1}G5U(pLe}aexTkN?{P)EU*_JS(3je5nF7vZm3@C3WeK{R&^;BT46%~~EJ-pCe3 zODQF+t+0%wc10M~CoH_K7^9|CqIB=)ftyaW=wk?3hUe<0NCMn@RKCNM6wq=cs><5n zjJ~0$tOU;JP!(K)da1t!p19b)gcBfliB}btpvm@==Fe2*G}>9+8_CCmvOZ)crB)l` zN*XK~M*I(aw9ETIb+*uaw2QnDYNjrB5%25Q3(KVbV6v(G+mboPeTWW`pa*BCVGc?n z2PJ*}SB*E#2fT=S_8g)A5aTuDf5McZ;Mc4qVb~DuHS@G^#!%pE_9`o4h(%)jVdW0N zDK^AP8KROv36(k&@PC_G|77J2g*36ITRB7YCgvAb!B9{Gd`WmLDec_18iQqqH;07S zWf!`8IG7ldO>X3%j=>3^&WHGGqwbE~Cv{E}<~s+^eT75rr!2AVRU~?Io1g`ZzikSL z6z1n69vKYIO&%(U6i^fGUpce+0k;{&;OQLS9-WCi?>H`R_L6Qhzq4lWLvFJV>##0j zcTs~c%WxKCI$*BbkIZvihV?AOD{1iGV+gaZ;iJdnH)F1Yu3@X!cRaaDvs*FQn{^v` z(q7)Z@HTp-y}^B4hPY?kDnBU0+%q|xDLoK`%CpV#OdN^Yj6C3&&@D;Cm)#KfUfQap z)%ZV-8lm2t-952sm%Nk{YkQGepuG-*?|or_4g*tQpb`eIFYdtn3j=Yp-9`9o~z+lqV#dO8kr?cpL9zta79x}`$#UsIc`4>Pt(8Re%6Jc8I0VXF3e8- zE$-(kY!rQ-aLrKg5lWIMcZhI=_J?rAQ0NhAh;ZQ$^#~lEHx30Xh0yk_A?8xrPr|C9 zkfqe=!udn=jD(Gv8q1V{bPGu^A(xZNi6kbMR;`rOS4YFuTI&c z_EW^cjvjZ=o?<>P!(D~;J}14*dir(E3k4Pq*7)g;F!Np+cBAQg^9~vMt#O8EMi1*X z1fCEOcw%hPh!ki};$&?&M1|ug>lQ1Boa9Sdsv?LJcS$Ez;5kX_w0s4dldw*wbk({) z^WvM>o2-}~c0S`@YqqO0b@p?7m zw983U5*p$PbZ6}W?u!CqKlLf}djN@1IpUGYSfn=ZJKlSCKYT3#}78j70XGlEo4u z$2o;cKSk-WzKobGi*u=Gh|9Zk?GQn3=$;jY*kb%temVzp&tR8-kpofM**07&sfO{j zEv6M!!-})9S_w7G&ux=iaW(9ZY<{hN&l$hjl3S6_Spl|35p-|%QrspntGje5_FwyX zXJsq-Ir~Q&vK1Z7m}(1eB?hzZXd+!3>PnvAzJi=Z`4eoco#;F=fG;qNJ1_7M-|E@6 z1yV`}eXDhYlv7TTSS3-RySTl)g_EF z)en9?gBbllSq+poA1Gl!(E%kCC~h85z5+@HbsqF2Ih|WV>bDUT`X7Y(o^*Zm3EhZ` zOv&!^br~-UGo)xcdy)v{nViF0p%Ggq=OjK;M_H0{;vXWv7Ef;}?<3CDzvUiP`5&Q? zVVY8oP`3*gdNOlj19h)m=oV2LGC)$?GCK{uPqMZ}asjbGlIK2EjXh_$$Guh!b@`XL z$E)#c41YVP4k0sA(zT-&`aop5uFnF7fA!dZ#8X-->+x8zzuPkAOE7|!-x!%-$~5c8 zpf%2BbNd*y#zC4tteWB3(S0eKG20#aZjIMB zje1^K%nwSVuNAK4voBEo6z1{6F3^a=l|7UTRFkl*hjW3RC*0J-sHe=(HM`h@vlGz= z9cu<9+&-}f4wjC?;r62Wx(tug|0Oqji6pQl zm-kRf)C1O{o&XZP%v#sOY@$4{=JbR#(WY6ed+1HnYgSoLP!oNN&fhUZ-Zz4d(SISg zjNmhA|7m9{W_V@6h+Pg*sw5ay>{#qJ2vC{KY};}dr#+@w_nQmjE$_fo>WiIu%D{%` zZ`EfV3qVeU?`J->t#_fk73G*2`aF4KFKIKQ)S9nkjz^sVbz^#a(rWcoS4?}tYRv}M zKvHUgdY)4!-w9B{Zv76aF zwp=QHJlqB0<4{?WOE)P3=`D`JtdvA_7es*~iss&%Lzt{zD(}qkn`~gn&*%7F(wE3j z=8!KL(&X22i0kzA^5Z%F>kK6M z2Ymeu<<4%EUoiEKt}|kaAm)|&aYTv$B=@lp%*cI$KMn!PS$#g=8nw<29_Cv}EophE zWTVBolZQ(-xtvBG@{Dn(b3YGz#&p-&$V0C-?zJX)CiEuV(oVAk_a@xZZGt8xDe+po zg{I=g>#|);x2yzND4ypoDLEOqXv@njLXsy?A6J3z5r0f6>qENWh+6Jp>0?)@y_T39 z?n9ifB&j857-x`#w1|hXvmMj8`?~NuP3uJjY1k3QOHGLdnUfT#O|wvQ5+34z6EA70 zXh2NR$8bBV2@?!e-1F6b=kzS@$!gz2utl3Z6u6YVO6WJldcycam^>7k5u2`lD#>ms zt4D1RFK;QWN2ch{a1W2+UYTB))nmvC#&ig96B)EGM2m;;4C*H$>5xBz{!XOiBiblv z(QLj?8%-zrh>wBA(w3*-z+wp@F&|hgF~2x?uvkL=i35uzcDF;|HhL)~P@pi6kA%h2 zcG4B;-ro+3Wti@nVgf9d&;;RgXOhRaD?AfB$+6tIicf~cQnbo5wJWk8(#Lz9As%K| zY(MU$u~zsAsQ(MWdrSXPl;uHJM}ZW_vBTWc?wd)Op@~tjV6oIyDg0ou)Up(zuvqHm zE0C~QY9}kGuvqHWDSTnEgjRLS2c<9GoBF#iPhC z#D*1#g;LsU>QP~(H1IWa<=En(akv%GzHLEjP8(V$`G2%ylq^e~*@v2CjF-1{5oUoK ze17#tc@3bvdZY8k;A^WF@F~C!$o}j%&1}Gqtnbjf0L3!_a6SC#F?=R@j(-Dde`q^v zVNiSM!XR%({Y1B9EZ_OfV3W1cpH^Y|$^Y|dVDG1TGt(m7S=F=Tv#Mt~ zzXH;2Kp_wp0Dc5a1zZ7a0Q>{M0=5Dq0LN$lsiOf$BR=|g&+#1GX6o0r39YC^cAV{O z#3b*WrI-Y9mHXNNYQFK5)4!E`iuR*zMJxIgb*k-f1Y4GH2>rYLPx%)EZin1Q_}=69Dd@LRT>LjCvYDb%K!^EF?D zpS1S3%yT}X6w0^cMAdOWr3g4{@WBKr+HYGuGJED#b!Ye@YY&CzR1Hw-BJ4Opz)n;L z;b%m_whYAbnHcr?#lzUAaQ$=bQCradF?5r$NFrQ?H@I?k6WoJi=v{2>g3JxAm_L~4Tqo4JZWUeYn$1lWh}^b-0K{nY1NTwbi7 z=9Me8i`xvjDPC7pGc>vps3aYTdG^^w^Ey!9+dp!j5TGmQ%Wc2Af}!+6H^q*1AM5pb zNZTtUD+7jMU3lP%?}|R7x$jEvid?O}>WWcikD~svuX3O1g_ZQ0bGp($jq(O&E+UP# z1A2hQRVOO?B=7Vxvq6vEZ&aE0pNU>}4~hn=3s`od#dM;VX1viy!B=+9Gxn z?WJ}|f#A^hhjM}d?ERtM)U@fTnSgKrKW(~O3e$ToOz$OpLO!e0#^B@fnM-W3iePU^ z1L$-g+tT>Re1E`a4bi{q&N@);?J}Q!rpdZq!S_~-`)#Hq*hn6{S9%IH(Xqn4ONOr6D#M@8I;EQ$ ze$O^a`CVS2|2TDqJzYjogd~!B{8%t-%6g@V4*$n`x23`P6u|aS66rgvuUZVwQV(XF zg`%CJ-0A!3PV$jxS)4*UV`e=p!;@tpYoM?|X*(QVVp~WJJ4FmY-P`sLVw{P>*7*F1 z9O2x>*3gz&f2B-Ckyrq4(zfC{bH1MmKRp?+^t@eg1sZKnM$d=5h%kLvMn-)4h?IIT#)14*yg1Uu$ z=x_BKoi#r5>I#M-%(Tg=twtmp8=X6=G0CPkHs%ngoQ&r7vT(w>=_Ivt76)OQGZZ{Z zOR}ySqK#5dTNe!lj?!0IKN*6?et%fAVC{oKttCU$4C+B^@z6hCjNP+dt{0Mqn0Cfb z!o;BvJE)X{hv;_Z7s8C8AUpdLA!>*n#ds%-Q2IqN*`f(bu=U+5A}Fy(7^R|kCHV;J z2T`CBeS|qhl&&NmVP6*El=!8L^`aQ1|5DZ)5zB*E%JjFLcTopoLUqqwz60Thv>mQ} zxY30MhI48wEhA;FW;9~bK;&I@Uqq5hbOim6cw~zV*!Mrs57ZT!N_65u*l7zv+3%GZZpj6=nELt)x zHRl3eC&8zR>oHl9*wp-b+>NZ|sK}WBxOT#|6Ru0(x&*F|;TqQXE#~I7Z!s~S0-&jH zBp;Da`_uN70@umd95*DGkp`FKO=BLFe=w`JJP}ePsmSPx()q z;@IroEkN|M@U|%~ig$i6?vWwVxkrhUI)Y1VE8&<3oNwLf%Xt+l{sv8El_71AqwD0!9eu`>NcQ70%fQr*(u9m*JZ#k zJgEbQ3t;#-3{O;W1qnFKT1BcLrBO{(@OpWTnmmP|H*uCaK@rs(KTESxk=C1hQyrv8 z?2WsrDRX7jl{Mkl+c9;e`ItBMY-fKvCRD$}mc`yT&2TZ`bB> z8{2UTNDu9S?^0GJgq-pyr43k@;jE~^L(bv$V|^X#xvk#NDAo*hlmeFnA-u2c`7Pz+ zn7R4|+@tN}xrV9Si|xd_`VHLE?f!QSSnd}xp9y*iw^K%%V2I|Pml4kC4~yn|h^qOC z=pXHCS{98H*61Gz3wlsbXxDX5?GPjOqMxu%3PXDWpD>hL*ZJOw#9%36*7vw=S<(wDa#@r;F z+QV)G@iNwv(#88*m+L}wCHiSg?cqfbRG;Ud7C}(`tZ|8Rk}|k2xj_BQ6{X7RK-C(* zb0#Tq!<6NsIZD62q;Da$jOt2C)~35?T?xs$^>$`aVLA4taizJx*Y6>HiV&@&r!g)I zBU}5Zr%R7OR5*9A{0OYMD+cEtL7o;b99(z=y-K`saQ;%nAL3bq6-!YeV(Flifj=nm z9h9_T7D?g;=d|H|k^~H9^{m5he|N&14E^NU5*cyR8Um0EuxHnwJwe zi6^uT1;2l9rCfg%&jGdQ4g2r%-Y&mc`YF~NPYfseA*xeCPMtN3S>kvg@94s2GA`Ow zQhYw;W1HCH=gooi73AxdNW=13FEw!%WIHs0EfhyWCNr!dCJ+Qp=+s4N$it>%P9n&4 zk@xf`psej}^hPluXPPgGa7wM@%*via?)%z7|epfZ7|sUsfZp-*2M@|xH)whKoA4rYakQ@;W!Y!1wzLM0viaKK$r;x z2N3druonntfKUzuSViZ+D!Lz5(KOrtfKUd6%RqPmgwYR#4^Q~;JBWaA0|?nb*a3t? zK*%8LsyfFO)#2w*vT87VM+_ye2G`?YQL<~WO2=eMVGZ8n@M{q!f}=-(Cm|j=n#13m1Vpv~#rMc&`kuYbm)CVU#^Gr-x* zf1jrN1W3y;+`BKA7cKeu2G~6B>$}mR?HZP^V60`D3`ogW-o7f^wDDe^*y2mt>iZPkG4(kKR=BBgX|(4RA(=<+#Xh*-3`Q&S0#vr_`HUw#S;R1396;XJcbHEPVDB5A3O9K&#<**A z%@O`%j9gMZ6n7?r%Rr#fzaDA`*LxzysJCdZ8C!)ZQqF5XEb*=0Vm`~mz1=z&7Es?* zVH*Z5iA&{`WPrDTI@}eVTGB^q+`1LnXj&uxl;=OoNRXGvX2>seVQ%Vg$xo@UO~h|V z4ZIUtk0r33!s52Wx*xXnd!HD?Gw0*(G}}^7OgrsfTzmWqb>Wv5>|x_&+j0x5J!XaO zH;bRQd>C7)UvJ%O3H9do5&Kzx!OA(^eYzUaPbb^nSRiZD=U=72>CE;ZAtkLoEG|yX zOGBT}ny;B^!9rSEyTQV2hhD%~3t7gyua#IrWQq56(H68U9;UJce7DD-CwVfYrU{#w zKUr%n(`4Zr)sG~F-E&`qEcc;&_ZWJVqILioOYU0a@<#V-uWVeB^T~-S%*B zi#3z)JD!k<``59wPMD9xJ4)(u8c=@QR^yuWcdSxAIhgo~UofPb=q_U2+y>tQNH=}t znIwqpM=pnSQ-{~DgZ)THbm8lyw-I~oJDlg*eb49*TfZMXXuAmb3$Q))N6i66XfGTK z9w?B#^z`)JAixI&s=`-A**IOr0WbiGdo;0&zr+{b`1DcK3VVT<+bBaSjE_W#Jv2LO zi&~&y>J#ScR0^^_!Mj6|ADB#)wf~RMf|Nf<*Ia_8u348Ky$ANpsiyT z_qYJ+5h9&am5hv(W~eI?3`7>F`(VWqb)f#Se*`K@FiBY8wg{kCz@O%pUIf8pGV6OW zM&mc;_Fk0HbW*y~^hcRnif8=LIV*0p_+80p)BQ8O%#W8Vr>$_g3Wk4o{8Sx9d1Lun zG`a&v@^JTO*>6;rQ+HTCpOw+v80LxE-)jG^x{Y=xE&9HMlv-fNEDp9>KjV-C4SeS(d{!Fku@&#i8WcC-=QuEf`T3at zIkL@L+c67`J46ZmfD5c*VGtiAT!+=`T*ZSG4WO6)$lTfPmu|QNy+5#H5&f7&j#5a~ z2G+A5sY6|5gT)Q_jfR(^S`U;5R;l|z6;^l~^UhHy2Sp9e_@f*YH8|51xxO9WX#7Xs zE<-e$wn{_w68RBXu%l6inq@jFy{bQKlX>zOGZg{eqQo8Na>ofVpYB8DlO|%lyxR=< z-1a!@SBsA!cHPKF9{VsrhJmQt4Ci;L#p*j>!p*I4(;seTz)cg}OaW#;0dAzjjRSC_ z_QMS#+{lC*+u%k3Ja0zhKGf|G9})*2G9NzVISfpNfwjQ?6`pw;o++bL5xP@>AO(U8 z2+=^u1HxuMcxN;WEP#PmFi`p7CVYmBT19Ygf}6MC<_!4t=EI{-0b53gf#oo89|k^l z1vG912M$JwOgO{AEvsg&H#0nG^r0;4Tv4X-Bg-U%h zQsQ;xE@n$s3GzoM>yz~PO)xy;>Qv|>^jdA2E2$-S81c1aRZGb*(jZyXQq+fbnKp9I zsMvNmq>(^YxBZuE8=O7Wq)?+joU1XTpq@>F!#3r#b<$8^IdzpadWhA*4zVp5^6g+9 zw9OvE2D2C0q(kIj+80*jP|$e7Tk+4_x7sb@8Qo>C@zd<`ZrDqe*^9bolh8r-y6%c5 zNYC-*7dgcDl*iVW@SS^r^!UFg^$Khf?RV>)b&;uG^Je_FRGGC2t>^^hW*6UTxo7-idg z*IwQ`$2q_&z*+MSFZnes!Sb4Y@&h_wvsc1(i}r6tQgy;T$!{r>X8}H3vwusuq`s+0 zsE)b>FV}ql+#$hRlrig!&Jwn{+6OpGa_Pg zViX#CL}(7rrSnE0^$9fXNCZ_MN7EhWhvZt9w4yQ?akfud38RqQT-Ayjr36?fwNlHe z`>fHe0p*kh)~T(`4%Srb>{fa(`-)ZS2^J(C!s8{Y-Dd~ze;dc0VN%3%R*E*n!X1er zXy#ZrBV42IFAGMNkgJ_!VaT9m%p=JH_ksVj8lEjhCNh~eh155ZGS8MFCEuo=)h=*N zN-gg5tI|J%N*i=T&$zrp&zxM`M?;olrJ!Mj^XY@BIEQJa-r#v`REvS zzv-dbFyM)U+(PjSO&#{W|f6oF) zG%4?~|2j5z7Y0K;p$$Gv+Fcxse&A4c&+9-OaLn$Ob{Owgc9;M=?pBuT0hk0wbob11 z_)l97w?^UCXcGWMbgW9P95vjn%z#@Na4Q4enSpy`iglKG(sH*@Ph#`t*m2)Ug5CS{ z#7wHk%Akhj(+oCoE2fD(+a_p*VwJCLyjB#6{gJh(l|^FxW)-%EzGepqD_g;~V}Z!u zlQ~*6Lgq<+}z5;1nR#u_w!KC3>Nbl z9&Uxn1pzAZLx@2JxuV@yp=dk)miB}tm=_zb`J_LfG@$Q!=?Tr2cej3*U+?nE{b$uA zEAskWVld;Ph=2WbsNhNIL-aNYx@e$wC8^oF`vvhf>_3E|*H6<0 zKbKzB?y>|8{Brp()bTHMQ|i()ROmZzSLv+#-0fY_>(hV!X?|Tn$x2BV>};_^xN_2# z6?L;;Blg~SJhLu3V=KD!#-E9GNf`%FKirrYSr^%d^P# z_Ol_XQ8JF%X;{F$(oUYMp9;YZ;#|W92yXb_)nmD*Wj=Qe5(sXPCg`IfxB;2LEbe&$ z>YRS{9|HoudHS+AoVG@hI*{C`4OR#S;%2GK6;Y~!CfpqpA0#!zS9&G4w)a?w{>!>K zqJF@8fTnH^sNh0)yt|-+gKqj0v=N|*i=UuVfF>^aoR$WfxVcMVXSJ?q;S<UHQ5X z31D|sZ2n9DyQ_)LPn58`disA*_wI2qr}5i(bM7!R&3QUEO{b}*6FMoGshLVrGf6^` z5ke?Jh~_}%KpH{_W3+@&ZHS^7W>#ovtX-|O%+9v7yOy%CV>dkSzR&OXdj04%+#y9`P}#YeqV2Rx=Jc#M@UcG*x>mjduf|g>UU9k&=y(>Cl){0rk28q z#T8pnY494^2V3kg>y5O|7BL*~M)ts#Jk0iyUbOiQGeXgo%)Rhuiyoup@FPdFm}_c; z_`Zb8a!jzW@`~b_U}rKZD#HXjlgKDR0PIY%qqwvLHy^dvZbu<8t@b!3Z6{#Y zam+V6s!>Z)Z^|nCUKn-(?DcDlQ~KhfqG79?GvwJwdq=4DdPm42e#D*z>1>eZqyXUh z5Uwj=$XNYa>))_-$UJ#e7HM8<4QoeMhi}KdP#&-@Xm_p-&R4uO&mU0~;Fc-h!|ICJ z7!oTzVM>ddS%&=Dyeulc46)vvAC*&v`rEv*jrZEY#heW(U`UO5O`Bkxa8O-icqnnT z3twaIr?kj_g9Cv}fVBWM>74Z+(z)WLj&nxR9p|)#?g%aLPNBCtopk<;Kk58KtqAic z40#XIMSxn6E&*A{j?h7`6nYuRu7Knxko*O79ni~x4g(tQGCl!n3jH<6K7i~$kkzEN z$UlKp1}|I;Cjy)uq497hFObxMKp=uIvsogeHH zVVFQ`fc^^TbwCI12<`Gnp%(&u5$HIej{Z*t)`a?w(J5Cw-PKstnW^fids07LN1w*(Q z0z`dY53IK2tZN+Dn7qaMii6Bm=~jDSyNVSi3gM*o*e8f7%K}}K};w>AU z)W^OjZ`FHMKGylojTZIA(B{@?-sLy$f z{wNF=@g8F%)z@{pDjW??wr=T@IcyK9Uhl_&2xGHwi6~_XB2YM6lsN_WmC!|$)`^T% z{Q&jD7!CBlco4qR!1U&0Ebe)_k5& z>_qJzIz^St5Col3(h@R*!4Lkp{6@RUfeb8nx;e)H@i8eft^;T8~z)mUvaCO^Ecqp2(bumk;|k`lAuP;cBHRrUh%$0 zLNa5$p(GmWy!>s}a~Acyv9cXo9q}0=ZZ2DAwiBxZVFTag)TwzOW24UAelrc96K8mT1Uor;Z0~l+BaGHHLr2t~X7G#7)#o)s5ntgE)q= z#+cIX%cbB9k_r-+yvvYV;Wo~#lP)re+aUVxzPV!Ld^}=1HQ4A};XEFZtvF!ALn5gJaFhar7-Eg+8sJX1^0EKd8w=hL?f$fVRERJ3qAX(r8~9Zu5gIF2@T3hOur zZ_}_@GLADvEn#UnM2d#Of|@M*)Tdd8OR@Vj4A#z4Os@I|)`3z~uI37BPpRu8HIa2} z82v~S!BW^AOK6HM?>bI=TvQ`WbT}1U=k(>(mt^XvO`1Q1z3-C#?)~)rwJ9$~rhh)4 zHrw{;pS`nwtLYBe<^z0Z;(&-&a4rUHkc{-oNVl!Ox3&uYS3LwxGR!{Zjd$|CMgRicO!TZ(x0&+K--Q&e0ue zblIZW3}ysiyNS`AD8T=#2`rWtV6jlnq~1=)GkRry5{z4DzI?IGHL9=`s-i$C!B$8y zK2h9R;PQ=fLvd>XR7L59wG#YY$I6`~)J9IMVlQME&nUzAg7s#{An`Qh6Q3J&f(O4- ze}O%{J*#B*#siwB;_H3BtCN{#$Sv}+=jLf*TQx_ltHjfvK8ZLYUn}e`{jYnNc$10J z7rm1NH2MXl9sS+By!f;|_UU>!2A_Ab-cUBWwKHdWAvY>+oOJ51afxqZWSy}4vw3ry z=$SIvi{&FXvp25)Y-Z2syq(dEnXXxWYEK5+wIIkj{l5bO_mT50UaMEq*9x{a34Shp zd%kM=Q|G|>vgv|XrFR-8HUUpsz6p&cj)$BU{CCN8sJN(4QF=7L;DPm0TlT3NJ&U7- z3%XOZ=?k`-Us#Ay6^`Jjb!v~qmf5+y;MtxJ`&G;5i{7&lgCV){A~tm}e4VUUh#1++ zL3~iYD_&c$=R(NtHU|jd{#N!09?eM$z2Z3^q^T!OhQ;l^UgUJ6MO1BVj3?GjBP#a6W&#GQ$)N8C^s zcWps|S5f)o)-B~wZ}2MYJf>%1Hkr>@p#;kt%|oL?bkBMc98;ZTNFU8xBQ+Y8MDT7a z>P)^7gN-(Mk!JU!+D%B(0xq(`L?O)`hj{I!tebXv04a=@(oPAWoaaf}u>s^&{M>e* z0IDZ%UAt2=X#p>@ozP54r9vPI@O=BBS=k(T%&kqi|F%zqv)~uRq>OsA5F9C7nw?P zNU8#>kc^{2u7;o1fyPkr$XYaweiu%>ku>I6IPu0d(0=EIXu+uDFwb3!=?OTFiPXH- zwe~sPgB|hBTF*SvX1-ACnn%I#mWoL|Y-dHNp3@SlR;<(qRLyC?UQ!)0{Hf=*MBG!v z34|l3Tky{*b9e+XWLRI4T@`K+&FpbnsqxU&Re3&!6rDtUL`(1=vQ5HmqD502-m21c zvQntv6r|IZVxKd^c}pzL)vTw|AiZ~K=v3)4J+(CAx|E^!EDhNr%?FRr@GamN>RKB1 zuWX}!dZ}+m+|!l*%hDIDP9eb;)3eg^vod}wJ-?^XclBkbn5R3Zm$irorak5ilULHl z@G;I?c6#i&@^r`ljbA!;!yBLYqC{BoJ)=4BgVze+XKsTZ*NwdTGWnGLLaZdK^ZT^j z8W4?6h@wFhBm~jW#P7rdL?B`wJzq~5aUbocG zepyp9w`9fPFW+^ufBw?@g0A|!_pLfF!`|B)mV0)n=B;|X@MUjJ&z&!yinQ5Z4s8-r zmQ7~s4>Sw}GcAc5jxQgdIVO5~Y24#N^yq@I9rIh2j3)cEf7^5g?iZ3r)5p5zx2g~7 z3%q}Rsfw{&r`4oA^ff;%)v7mGR(BQnUMLuyJ2oyZQy#oD=r*l}c2vJAFg6_|2TK=g zE?E9fZx5gUn=Jz*UV9Gq#4|v0=hXYiY{AtFwh|iFmIq8z-9CPk>UlnC`KdZQZ(5Wfpx%y3H^Y@(jrpv?O!is74+$;RIeCDi4`#xxm@aiwN zjSW0o_E`S0E>E+zi+N)f`%U`Na32A@mD`*a)2Wuj>O10UO)MTav~34^T@G2!cC?necg7_W{7n{+Qmbt$-=P}Cr$_u zQ8Rm>evZ7jPMMrBWiAc-w}2eAh=y?yjJbccQy*UtkSR6m0}9ysz0mBI2YDO%b&il8Sj6vjWNvpqZL0nM|&n{a4=hR1AFjq9g``0E4yxV3A zeCvOrhwg~zPRw((PawE3t!)BvfSf7lFX~}y-6tEWoF{m-)r&UE=tuTOtt*&@{~?Qu&|PJH^f40Vpui@E*Id+^96T~G*OQkHj|HSU&pURFol&F z_W~`1LWX5t=v;wo`J6HI6G7$YdmAjC&q$W&@HpY3@|l7&*Pbyx8NJEg|E`;hNB<+J zjP2hR?Un?|S(9ANqLBJbw4#4nMD8cYvmC^-9uKblGv_-@{Fok|brYe*b!#M#YlZ9Rp~V6^Sa-9ag)N_}dKjX=yWiYIpEqJ34@AAx{r6EoXhD z%tmGgo>n|&PmSX2u_K%5i{zE;sU@5nc8?O0WuCy@e3i9dS;xJ{y@{JAsO%8u!-rIu z9#!9=I?U=;KA3UOZ<(w~65AK3|N6jj>U!hf?5XmQtBqi9HQaorGGgx1cK05({@3nv$_u)4 z%IuHlg03j$3M<_PS4o1aie4kX7gkCKJt2nUwDN)b)|s!tOsCRo-mG@lo^bu_`zuwS zbXSzbxbyx*`E~YG)L-5eZjY(yhHm!MxC=MqvCpZN(Uk;~(||~DrY(kY#_%6|YU_pe zc(4?-99}calrWGdNbilIGI{GO$ekohMMb!I=|HC7%)QHuxqMHuck+|`Lfl`1%Cw@b z71TOXZ4%U8ovrh8qpVNk#O$qIvyDxls z8-W|6Sq^W#VWJK!5TyV8o@_aa+a|1(FPcuKjxjC#8kC?cN@PMP1eNjDVzMiqY;l*T z!mdV^Ae}&Dstk_gV;S+e0fnA8VJuzlH2(w6A(N%QVW4X=Le7QG+3q z(w}^+Eq+EbqzQp_{av-^~kj%7*k1bN3 zw$3CU8~%8p6uj0f#uG1;2dxXp$6WtCRyy&IB3eIPd8N2kneF*W+=-hk#EtA%W^<0g zy6#8z)V|8PVdP8oaL;#}^`4E9`Xyo}EbUGzvvZ09w#dxz*SJA749=}Lt;@;Y{r_r) zA?a^;{DaL7POljWzYbUg5hG9t@inB2F4W>)y9g1--xKcour$Lip9zP^uU!w3y&M5}fHQyy zZ~_45-9ghpcIh zcOl?oKg2`_;jZ4!BEH#i*z4JZfC+->AmF!zjzs0rt7zw(lZbOL$AM2GOy1noax#9u z!p)WrkCfa-E4#uz>lnx1&{)`lhs}TDS&3y*#hJ~)mN-* zcK2pdue3qpo)LIZ@z^%EK5ZQPNKI!QvwJr)!}!=yTpuT2mL-Yl3{NK+kHx4OWaF>>I;dL7fPs54ghv6!&z4co!==}vn~ySu|py+xt%)1?B*fbJ$pEx zu^97oUHu@+S#v|D9K@;BHT>vyUmEia&#A(rfwr6%Qo#TpEuMRYPftLE^pII7$}dFz zW?Cv*SO^Bvb421o)B)25(Y$94my}0!>MqPV^-sDZU8sfhO~zF=pQ@r~xbMxVk=iQ9 z=d`_s1-9rGzvGbl7gDuA@bJ}2)v({Z)nw{p@(f;)me%9HPqu}fPzAA9P*`TEcEV&XD2)f!wu>34Q_UAAjCZhgu(ifewq z8hh$Ti?{bxwXsT)3fw07aDiq3Jrn4!fPM&w1ayFGb6?fSD!>AevrCff(_o1EB%g8e zB%f9omJh>L!LaG6-zYA_kn1pHTVK^0*Uv!4?%0j<6mr{Un6O8MUTs1XW`QuNZI%i5 zlMvaK8h}oOB&C@F*zVLrh?-pysLxJC*w+&v09=Z&?gTB}C@gxA}ksL}H#&aJj z{Mo4Z&`I(oY-)VOBn6dyJu)$*Lq3~*eOqF9hitMwG(PN{Y`ra}d|@7rY4Wt{tEh#P zwX*yApf2`v>|EjM@>x?*PlW5sQ#&2vz#MpHC-N#hIizEYk$Z*9M+B9K9O0AU+IZD!rinC-pJfSqx;bErVo>j@^#51hrC-#!D`N~Iv>fVr zc(jw5RiA;!s?4N%0UpwiqUy8oxVvV@`jp-15MgI{=m9rEuBP-_DNh1tIg z#_o2ENs{_l`eSq4&4T(F)#yo@87z%5`Rc(rS=fi_Qvdu|@?Zbmi%3Q!n9i`y*zrS@ z?>?XKdBa}W5{f@HlACh9n}2eHLbU)*>z^_4tDLgR4L6l@8e6Z(x@BJtuxe50U9kerYpd9H-Q2@g_Ck~nk1PRrLp z!b9X~g|h_P!dWKIlrXAz{a9CZg07>{#Rq&CZ%dM^1YC!`>Ydi;cE=uJN0nF@p+?*>&r_6ifCG8%9v;Guc8n2cu} zFpx$a+(LcOCsg-}8>_&d&Q~D1$<=AtRus11tA#s6f^&Aaw^E;08H-87oP!LCQ zu6TC~_8avd#Z6V%-OLyRT1?HNT{Z-WJ+l}a3^Xw_i~im)1;RU-1Owhe>Zk27a4l~A zj9CUR3$362ts%+c)z1t!AV;|coZ~2sU}jrElIuYw(~xU%=29;h*Ma>7DN=sG7TA{A zi8(J!Y)k6|lSo`!b|o5ovD^5SSWh9dZAK;Ls4%WgSczL8bZrYy!ItfjCTiJ6B&pEWVNN3Dz*ww*FEJkBNWjTl8?Nuklr9;yKO)fO|3UR^EybH}x zhIdol&)DUXtH!JCKUup6SC4Fa9c%a7=m`bZ2;0>^W2fI)qxc%ALg)^JZjWxl1L$&r zuB)Kl3f=x2JqvgLj6DQ5IRV}4UdMJpY}I+m^e(W+{L{wj@*~QQ+M>Dw>!d$HUiC~b z)Fx9!`P?Rl8px~mg`M57Y{eJT;T^tnnn%NkUFp@hH}n?@fei=YU5@*dCwRG|le==7 zFn3J}5DksYRW9OZR`@hin7oyvo*DkzaVyNetYck{k2Eu3Lz7xUI|Mllm=buGDN$|j~He4g}(u7yokQA&#;9voz-h+(nw!q{|Rpq4#;gjqKs!? zjwjG5Gw^D4fUYsaS*`gG_HZBv=`KG+i)>(o@ZGh}4fM181lZVNuHb{$Mjq{VexMeS z#F`|>34=uQO0cdfu641Np2Y5ur3*KXH1;8UmWZK66;R55&qRg7fan-u<8m(Jo3R)sD@w(+n$$&RG3Sm1X+kwbKc0|Mr^I>_u{Tb zL^5--ktt!=bNjK2R0-Bq(adW9mohR0!GtiRVhDl>XUL)@(QaV}r4^D8x5$GsCrLsh zCto^464=PimxV}T8bg1Ou8@Q`M*JXimrR>DK%6J>Zw!x>B}gVWhFy_vmV`A%UXfuW zi3OaEQlTWcfV)u^D2Xcw{UBW`i7bG)T)Jd>K?qSg$HpoMC(2@MQ3YXj5NE|HfapMi zEq;)bEuCcx802Ql!fjx~`arr`tSH|4m^Da_aom_jkQYI76EvwYjvt`84VpENS@EP8 zM5*lmsy)!X=}^`hI5YHztR#)Q$2dknR~SfFK8Eb0 z7{`my^n~UzXwqXG*Fduu1{{L{aWKF;#__Yt1N{Zw%@;kEdeQN#%UI(ywrAJe5}Zmv zgcCvZ3_(OaxCQ*9N@5-EbJ?ePp`BTN{W~Pvj72`{2 zW`2+uS;7e9GsMm%^so4_Vr&U>DW51N4$*$&M~D$ajQ@%F8k%MJA|}du{(mix;^LyJ zo+kagVc@{`9<>!*&@c5`;+h3QTsLk*-OJ(;Je>@Z4$IX<+31*C(=3qMD`0_jl)uHNOb#rj* zly7<2qtp5VuPdJEaee+<b%;c+^+sa#7lDho#`jk-Z!F8+dZ?cO&TL0?0N-tyPV}N4mo~rAl|M5q(Z| zS9iS;xl(ynce)V{9)H(eX+->?JgmFei1|bHqwZ!S%0qcgcd`-Zq57z6FK{@b+^IWT zfIgx!TUWQEr!Z$46D97Yeg_qs%+3S3t?0L^Il2P{gy+mvFeAh55IgIudJjJTh-!AM zJjWa~a(IxC%-jm;|IBK?4{#d)KUqRgl?v;s3dc_RE`H*uZ)s$mlxX7&bL!w9M*J{0 zTN+^t7!J*rt+7q9r!^s7sK2%*RuHQrA1L0~ki&iv^5ZtjaA1VO*EDlrK@W19Y1L?k z3mG#;74vJz1U$)NHrdE47d#G0%{trT0^QG?Zz*`^gE0 zLM^qQdd*NRcH@FmMT(fl1*ZyI6vDU<@CeWWcn44e?g7pLR*HT8p8_YX)EMIyu}c~0 zvN27JE2C^ME)%4BhEe>T=0wiRR2O~V7DhahMb)qWCC1a#M+&-rh)eF`Un=FXe zG;gD?o@$?6iLFsZSl3$U$fdwJ?Fo|s*?4>^8zdEpt)J|a7%PlykiFa1>?81FY~L~? zPz%@z*rh^5>;TjPb^@S%5!x4_eG%Fhp}l5D04~m7X`UWu46kr`MqGTzdilwl9T})i zsm-#jevb^FNGq&-<&=>qfkzdMO-LeYvB!bV0eU&me*-N9I=2~jNl=T{PXFtRmMkD& zQnawC%AiS#Vesil?QxQ*xe!m_EYXy)>iUQ_h%PwZ=VXKE0$Cq{qE2FI`&=mSze3gL zx)1(Wz*=#iCWQrS#auO;1#87zjf4ej#Ybui3)YH{G`TDVhcHP!$+|(zD53nuTPF7D zfy!QSLSely52DrXfC9YIT~fvDEA1C)1=y?A|oXly^TdzGb`atV(?MTKQ6&p4CI$Oc84O zP+`yaBt6kD9U5i66=thS=?Pi3Pko0qD|l&jr|nVe>QJqXih)G z877H}`f)KPq-Zu5ec8koE#P7|m?)yTT+DmZB+()+j$m>g5VfNBP`>oe77PrYXhqI4 ztsKZ}MSN?TKXRo2cSQ5v>fMg$BzYRUCDhW8Sj9gk#z1Bn=B;M66*cN_7nMQruM>tl z5}X}^4wLD<(ubx?5E~1%?6%_;DUVraLPl}sxYJW*ui=Sp?LhuG>Zz(ocf-!CBz5!C z+i{hYt^DQfu9f8f_;V{9DyjdUjK?ofpu}-FqE7B(a~lq+Q!KQh?ffS64RgpqdJ}e= z*?j;WHb0pYqIgX>lo=D1QHWNU1EU0m*i>Qi$_66QZCv$SHGpRN6D_ z60>(y_A|_{=4ny@^0Z)&+iaV-kGiHvuWzlokCqs|Rf49vG*lJ!6QKU*iXBBwr z&D91lF9inl2xw6`0?gmSDQ!Ff&P9lC%P^reuz(ksurpx+pJl?_hXs6!2^R(n_{;$G zd04=w1z=YRDPT5&`BONljUNCje&@Cs&FG`T&^BQ+c7f0XYM)}Jt1H25#C1Ex-B4HV zj-0;hKFJ@gd;vLZ^ZAueftP59@po=7b%cFBzw$}YaTYqx zOms{rVxi+2bi9HN*y-~tkA{w~pySX)$AlsVIxa)U6X=jZ+1XD0M!pS3$oqb&M(z>&huuvUo2pe5`tMBlKAcS5S%Q`nq z)T?}0*N2@X%7v`cc7zF>L&_{T6F7$yT3k)w98w+a5CG00DbbDr;2a`}_6;Dr@N(Np z0aOhfFS|99X2S6@t(kHkj+ecf$zi0ihW)dg1fAa)&8i^*>H9->T_J(Iw*#dfG;6UjPZrw@VOEz-70BzleB zY4X*z*`WqijZAM_E6N&&a+F279d>2|t(zaxj%#3S<-51LHqig$Csa5zFmZfLg<~FV z7e8>+w`!&rcz=l@851?fTp^m(eSmMXDCv9wipG#`z1# zs7`7$e=FIglGM&mC&R?8;4de`#2w|&)xyMeGRCpV_WS@yRSvLj)zT`NcVX|qYm64c zi_}uaS-G<3?3iIlLchrl9}a#b`^=u^#+oFRvHjfw7D6av$(*H1W$QUST|4K%Vs^*5 zNri&^IcdtibN4dH^X9k*vd@Q}nh@;<(e4RR#e`@fh=L|W0uUVz0MY&lks3r<6QUIp zq9PD2n-FD9h$imz^@OMnMEw(@%@d+UAYx32Qb06s;!c_gkqSiI3DHszbxgdc{0UL! zglOVU=O;vaK-4-R+AtxScu%ntqBIcwIB}<=6Cw?W$|gjsLGaC%Swm?mqDrzNlf$nu(S_w6;#X)(IH= znyIDlL$Nzj)`b`^K1oDp^@saEW45pyuzD=(zn^#EDhHLJh{8=6bI1g9__!Hm> zI0{$*_z92*=mu;B{0G1Rb^+1>cL2eFGl1oQR{$p95Mb`fpVL0GW6u7?5RkRP9WCq* zC25v`LDp;f*eQ7OJb^NVt#ND@l#uVSAjR@*ih@(j~s)AP$ z?bbj_<;{quHBfHzRz!O>ko|e{qA3m3Q@qX59(klCJYh5=kMgTwfh4Jg8zc>tuv)#YDad`!i-xb^wPk5#Xn|`5m|vtGVM=8x@4NYpv>Wf za*uU(yHj;gEbP)T2IiMR4(4k3$oIErmf;`}V-abrB8Rx`PHN4!g#dVO(2yla}q zrFeIj<2UM~#Z6sMaOS6Cc^4|EwgoS}(2W|RYN0rUVHU?1QSpabv@pa$FnoCEv;I0ASI zxDNOifE82AU~4i+>{$j|lMFGl47Mg?#lGWi+5Uq*+5YyBrnENyrnHgu@q4U?$~}yi zewiYFacc#-ch`508*9IF{Oov!Jnjy{Fc575(FqV;1oVRNXTUVT4Zt?QCjbhd0HgwL z1HQn`(kEaJEYB*$-Z9h5QwlM`=9u!#LfjcMp*+Z|vOE?L0Z0b;0ippRfCNCG*R1jw zKscbN2N`Etm0iQ(%=T2|nt0t5)gaqp7m0l&;6s zH0$9Er{kJD>&^`LsGnjzka5)i-IfPWmb26uu6i||b)?TxuXzHQ3Qi{4VLo>hs|eFg@#JY^z6QfP?;B` zsm;#AUK7yk7vy0!3u5Z$=HWg4Jk%d_+F=I|O&uf~p^rdy zp2Ne)=Zqr$dJCZk@_i>9`-?`sOQFEsTh$xgfnmgR<}@Qt&$Nri9g-faVrh01s^kXX=Iw5D4`8=(iGVe{4n<$ zd4$AkIP@FE8VS;#mW6(yo?%UlcCUsKH*X}N!+xh_$0bvT1D8pCO<4mA`e7%bY+!Cb z;*+UxU{OB`WvY%6aUB$KN6xFmPN?ZoUy=hVN%8;{7%cAC#A-*%^M-`Q!CY$yapB^MGg9S)gJF1^N zU2zRcwdagGztp^dz5I#YLizvHgBo`_2SYQyu%L~MX@3t9jm?#P71DNjC2E|f>uVqV~DNr8izf^zia{a8BNGUh(7!} z9<`mk+<3|6WuMiA>xKU^5aaxrbO;KoO|s7{MAT@`!=^dmHRXx1*M=PoUn8GpV+@9^ zQEamj2P5CeQ8u4=&KpIl4H3`vk$*AymkW}x>1JwqRublpd2)G55-!;6Ql8m^K4T6m zPwP3j|HTd^@`0+w+M~oqD2J_jB_cxAXzfs9E-DAD=ai_6sxIqwC2ozMrpEM%s{?THCu0HV11NB){_}fYO}%Go`E^8d~7|NfjX}0v~KRYFJ=A`fm&GG zjjy7=nUvsPgMT}TrS3!P)%~m^RVV>-t=x_6qJ)FQ0=B0zyh9Ps#wo+r$*b5*W#l>q zn(dmwc_$aJeKWZ46ajjNj8JEJiJp`Z;jEzP9Wz4I@;tp;Mz~rrMUT#aT)s*@EdvgM z@Ope790WZPCe+Vpz#SH1z~}(ZxDS9$83QJyqD=4H7jaJRrT6FyIj1PpWBbBa%9Her zzOa>wYCW+p@(-vX>BHgtp-9mqI9v}XWku$MdMG4%Ck_MzQ}phfkmoQV*Z-fIkQw_l zFLq=&A5oU*&SnsgfalU`EoBPxtRaydQ|k9fx=A=6d{0nsRb#pXeGbo=?na#7Ye>d< zZAb^)0R#ii0G0z@`Mu`-N!n=D0PX|MlQ!yRIVO&5a7-MC-QiuzyhZGEU5uN$qYdSq zIw;Eq`74mj1UhDi_b}rYv6>3-1c0>K_ZG1dK4de^q66Qic=@OFbc;(<;B+8<~!(8EAy0S)iR4My(pwF?bLO=C;kNL@hAI6`!{=%BU}7zUF_5AryQ&WtxF# zp^kI6$QGGgt#d7&<3ay|al@jv*<+|0oH zx&jZ%ev%|~`R7Z!C4pVR`Lh2cF;%P|q`M^HRRKT9?ntIpv16rYB>q)Fv9ecCJIU+n4^oaKQ3)l6EhZwVXdEw5E&@Z|FlFF&RJ-{tt8Nesd8hM|MuWka z0qJCV!2*Nj07^GcG8&n|-l%AnxMl=>kPk_GGyFa%8f*?3fkgRZ8!5w|sOYp!ug~^E z{B9Q4^Sw~+knC|i9x+L^A5#4=&q-&DM{W2%_5*pV&8siy0T_@W`(RVK$wujeP331c z=RW_7@*bNJI0KhtHWOGLHz*Ml# zVgn`tLIZqDsry{3z4y6x67J%jf_>IsV2(%h`^dXfUkzr0$pZ-izQdG#u9ZMv2l~Yh zKrLY6`&~n*pF!>e@`oUw3$Kt0LlR*K+;t3w{0p*AAVGlLo&o&3L>W-CJOJvI?{mci zT?_PWpvge@0i6dlygxS>y&LFnfc_O|C!kG0=K>Ayrx8YH0eu?i?|^m(x*zC#py9O} zVRS#??x9`l81RkjU6`svFjb*8Ip}PlgWpKolmOoXpt(Tj104qR9H9RIdKS>JKr{Eb zwgMdsv?tKBfPM+|OrYm9V}BBOjGW4FxuU7G25Ws@L(2F=wm(GI61US28`iQX+lzV- z8zDs2m+fMoU4p4mm08zny~q96NsHLQ_PImIZsD4?^dZDn;lj3@A=H1u?d3e015U^< z&!8c92^Wu?;2`UidTR>@Tc@&Fs~WSc0ze6%fkViKhrrz&mj~)~V94wGK;vwcw>UJzF$o+B;^G)dao!$*Bd!cMfZZDxHzH5jSHvd-E)0;sF` z>ny}((qH^c3!<6g&0lG8Y9=4!&$nQjsYU!P7D5T>g><)V0a#NLODHcC{c!Z0I_9ue zeVnBk#&oEC!MNA61RMy77UmG`6EDKzI|QpwpJ>t$UBOF^b{k@*@(|H98tpdEFWQU7 z@aK`EDKz?AUUUVW#(V;?ZQc#EIEZbdHZZP2Y@25TeG@OC0!+sK;bAI#^JuP+%SY-7 z^pV|zfHn4U={Z|SOVDxIAGU-Rzl9LK7T6NFQ1;Yzxku2TME;>}(H&P}|IiHUWJ-jG zx>2W9Vmvg1|J%E>OLtg_d(If;uh%;C_}_yZ2yWHf22{9k^T_cQhxsbCb(`3!n)Mv% ztG;LT7h|fy6D&s%Gjg_tm`sf^ywV4ihOd-G3RaJN)q=W7*}(S{yHf1 zX$tZ%%R^D8cNz{jB7dNFABIS%BH{XhSv{zmU_fzou|)dzmdW7-oXlJYY=P67EpS@1 z1x{=!aN~IdMM3c*)GI?6Gdl~rSEsLg?k-szKM|+o1 zCmZ%kf(D^d#R*ec8&s;8uDJ%)(_F_xUn*XhkR#m%jvLiNt82U4c*sF%uPJxrY612$ z`Mxot9XTGBFaKFWAN0>x+>j6kgMW~Jl6c3neo!bRsQ7?b`E3c+4$)2NrdZLym(#Cv ztS!@jMAWI4Si9nh*-EOl8IqY)v#p)+ga^vWR>=K%pjvP3iFb`qx>)sHjuEPv){ZXx z#T~cM7i$4K0lRLY*Fbv>wAVm;4Yc1t`wg_;K>H1}eW2~5HhmnP!FH= zF=B;qW_@-K?vW;+r6_foq}~X|KRzXtVE(cSsMC_Ih%>v_3!5lw~$Mu}3A z(1B)uQDzeMD>GG;mV{Yqo-E2v!u@7;5%GJ_baR+!M$f?$AHwz0d+g8_wqACQJ+&oB z0*)|2Eq)T&5q4}#piTOe9ns=%lU-*gw**t9|FZo`Srl0@;}K z1m#Mv3jfFb?=3{2?#w_vC(OgluWd!X-Pww~0M^X^fd7^&TwUS1*MuHvZ*)v1?>C&0 zOtNQXf#Kd+-Q`9|=J?KdNP@Lz_M=yuxFeSv2~Q!k?pUMCQ%FKoG`dcOB*gs%j#D*4 zou&YPT^*=9THt&WYDZs{B-pcCq4L84T{l#wP=2pFQ-Io{x@^U@6UV^+&9@yf25;zJ zcr%~i4IscL+E72ISkxC96<5fb zbNNjEXaGm(@i1rU&)~)4?<~8aj~axSzE65iJWDN8=;Px9GNrfm0rs2*WV&EQd0qqJ zj$mGSVFM~yu(>=p&*6+fSUx`wx!knC+S-DDu=6zJ$DekN*a4^oKsy537omL-+83dH zQFGl|+k#u8{?~f2)L{+O*{dr>zfpfCz9$`6f3 zG&87tk7%c6`hNb@XiPJ6HXj>JD4}^m$Q89OAXd>Yaj8lzgTTnuERCI9LjQ>$J4)?~ z*a&rBU-hkLZAV-)T>?87!VtMv(IW}&3;yiz(R@NDwY$)0HHs9Quc+WPQfTVcwG0x} z;PTTo=#r`2rfcp(WU7wpI=e9Ult1ZOyHNL3-MXGGT&VItoxaK;RON=50eKa9jCLMf z>zoHsZ!ubIp6ea_V@CiU;0z!FoB#xX3jp{jz)t~w3h+~ap91_8;HLoJ<6Y-o>Qm=l z73?*6fbBJTWKH}s-O~7F)*I#hyz}M#hW~zPl)0KJM@~W{7-cf}+%oKfe(VW#f^{-P zfLF{W-yCamXfGsAc(y)W_jS%}1c~C)=V1_yQ@@xrxn47PNkPwEU zSl$|mw>_;D_d-puc9RON|B(uHTOA_?{L#!|ce%@$vk|l1(TLfy%Zl8VQZBpc*Tw4v zNsVJf6bsFCbCbK^L4pN373h24f z!ErFPxFa5aTKUD0SAou=rW-3O@cpDa#;gitKPA{$R^i-FK4UDb!1jY%d36PmOL}EY zsX%ZkOrxa2iAz3Y%&ow1sdJ6%DhRElC$J&xY&Zw_18@ZJ6mT8zFQ69i4IpTgRL0nA zV2rwz(Q^#3qqK3(aoJzC1pAC0heXp%s6T_*PQFC9B`G;jc!oY6p_d(FPqq7JO$J}F zWsn^5t>Fbb)}C%cbPEbcE-7&vHTL4Y8BmXSNAZaa^aqW}D$PI=)y>xW3@lMIWSy=> zdXYnnmZ*ATJ75&xq-8YGem8_@eVQ2V26rvFiGE439>T~YCn;QPgmQ6@Qv^gycJw)4 zRF+xK^${5c(oS~o%(dMtH3z3xzhfO~anNhjtlAc|1j@*8 z#qK7?9G;h$ZlZq=MP!)c ztQE}}Lwqk-Bq|z1#RzIdv+)j>O+3*8Jh*xmi{|1H?@c1nB0N-HD<2T;cGzRe7%12c zVH%|adAlJ@qhJJ*=#FSct=`cPra?7!ODLrwK@g@v8_3LpFpU*fRJ3i}^hU?A@I&V>}w&F(phr_QZ zl5N2Qb6-1rGCkDw+A(-iuUuw}i^>>>EfUaXO?k*?8H_m_LKvG{ks8CRhN(!p z%u9i(NZG)Xz*Ho^=jFmwq!M`RU@DUK@G@a4QfBd1!c-)G%bO2VG583Sc=E32cRIM6 zyWw${QbKtv>(|q(QhQvV(|n~|n2K{?DysJKH^Nke+ARs@<*Y+66&V-!J}?!bj?zcd zTvjhkMdc+vAEqLddRq)r5lX%Nzje}KDw2wLGhixGe&(%!spx+b9(XtDn(RIe%%Axf zn2Jz|CeY&26S&>s0ECDN#}IQMM0C~|>U#(gO~pIJn0ca^c;sbswkSObGBEr_IZ2R# zK^=gSss!`ofs7=`z;GE5^gsqi*g#fK{*K#zdg)7cXjQrZ6)vb8xu`_US2tRxkzG0| z?Z*GuL_7F5`Kqg|{$$^3E=8IH)}Uvhm>Knz@fA2ng|CrC-kOWKiC;vwhgQ$g&9ZM) zuZzER74@_>Bh2`VqZa#gR|d*ErCCIVm={EV4ViOqqH)JK$l!V0ICJ3G;Tr_PsS{KAd5>JJu+GiJ8ML!}7H zBMKMjgFxR;jc@qnGxZjt75|Gv{?2lT^H72##?Q;}lte5pa>TVQ41g`*+%|Cl>S)R) zu%h3DSOC`rxB0lAZt-!`YZb&RyA;Ij&N%PajyUgeuj8R3Gpo))Sk+l%@6I#M<37hj z+a@Q?vF;tolTE)p8}r#Yhi*@VjuRx$;<-w~$XX?#EzyJ>q)Je)p$y1}or4bl!K>il zRd8_K1=n40{j&27vTg^U7BKPst~bbRXlFw^8`{~s_G2DE`$6pz?1P;E;3J?Np87w%Q{B+*68e`USZWX z5`8r8R#l_ZarHdw;YJ9cO0e#1bXln0Y(3DpC}`cb_kRT_*$jD$gsco=D263Y%Kt^% zdxkZYwPB;_p+kD;5PByHf(S@70R*I%P=riWL`5Zv8Wk~R)D%=uL{!8~6h*~?qDDnc z-bs`h8(5hUHIs1^9ZND}iHdk0-}lFN&UKxi=lr;@mA%&5o9MN(*Iv(aKlkn1CTZup z%AhE6n2(kD6-zWA+6yXPRz8T^-q?PZU z9?*z6WLVLhI)=He@PuMY*Zs81A_pk1%p1d1g4tLWywHY^BbLafLSQ(mnKR~iQn3zd zD(&aczJUr{+(5_&P=SjYi1;8*1Z9sfCsBjRXCTT+j50+B2aluI>j}AB4=hK|%q{f5 z8}zoh;2Rd856R8%z;)?ebIUrgbM=#Ri#zat>9J5fiJ|BNpn4LwT~9H$Y3xFk3!!?_ z>9E3GwM%1rSXr*xpmAKFn4)Ua*e_77QEk>Zzf|B=okE+J$~2W&2wEFKsurQ0n{u8? zE_B+Zps98WZ68yQr!2FSmEwA2s4`i%aTdX`k36JX!@$)9@FgEP;bur{CzA!*G)APA zt4HsJlv_ayq9Kqdxy4}&mignVWd6p?7IH(tR`DdpWNX=e#3I-TXYWV7<}4Uw?MHe- zR%ph4^d8RYLCze+EKVu}nw&0yO6FMzcV_n^FG0HP4a0ua_oSqg;XWF0QtHii8Z3W` zS<8+bEP0Ci%Jv$pdWuD}lcRH=;-w&8z$Ig*vwfor$+(}{gy;$~){o7M&L`vdv2CNv zT0xvRB)Yg2_b1ymy0R5ZVo#3FYsGJ4W1~w8F$L^^=%Rk$oc_u?-;Ju49p)YA##PH- z^3HT4pUHOdj&)<7$$#fv>PAy#=XpPM3hGnhEEMakKI9a`1Ecd0bu8p}@n!ZJ^Vw0vIKfjFIRvWM3DQSk zJ?wX0auKYDgDxSzfZ(;r>f}LwBAu?D5+A-A7S)_O_B&LX!P(e83g$1##ve!RvmyW8 zI_jLQL>imuV8q5UcF*>eyl9&z6isQNDsa*X7iKMfs*LY_e&3*B%Z^cm>zjXMCOO3hnx`? zn$nufYVq^+IX*{+LC|e4YqiCGz^4)G3POF$EmH>kS4gg#oXs1or2dd`R4L?rj#%+? zNEcF8SfU1eS4cbf&I17-BqMz90lyERmV+1wa*~XhT!MX^q!QEA+;k7*dP80=+XKx3 zN@7+A!eE$@JFNp1V3?nq(ShtTl;);(fQ>0AFIIq!DJPdz0XC*{b7xe5SoIOrNe%9> z>~Ga!4e~JTT+eH;3t;DZKm*#f7gT37_?NJAJtjoFly6jB5@OtBSHW5sJ{R4 z$)2kY36ZN zpfJ%4B$H%{t#%&6*IMC^I?Caj*QcW{k!R>i7_K#bTcyW2c7w(HF|XO7gO&Sno@}?l zy#3fc?1aJ6{rFjI++fih%p>rdac{A02%8{%3k9-kEPybaxU)rtkY zwr;0tr5kT^x9u}U8LzY3@tHD#C+@bVDpvDax}B*?98X?j)22w}?W}QVQwH+Z*Vq*+ zszH9ly@z^3=LQzwVP#MdHS0uWAI2Rl!1vW)C&@qRsx8Q2Mlz<{kjC3>rH=(CBOij! zQ;@}V*f$wJRe2u_ov_xT2HQq3lYPQ*2O9~;ahFB9y=qTuW*>f?(#hyOM0iEqqrI%2 zI2-}~F6yx1u=C=zCifFlCES*02q&4JQ5EmxBqtf`YI6Si^l{o6RN8k;UxT^|?Nw-l zpbdidAhZXeod@kaXrDv-99kN*G-!7~y93%1XiL62F*MY}WX+o#hK8`3qHzUK0Ix5T zbbE47YGMjmyv|j;Iz|VkvfIk7-0CxbV0RIA%fNOvwgPLE{0{3pRXA|OBg#vpv z=`5>WfY-aMWMv7Q^~8@5=tbxW&a4WHjh?iH)nLK+yX3H@SseU{w^)1&%AXL$%D340 zla3du;CA(a+cjTN;{&n|`AWLaCYkMR1=nYf%<;A|-bX5fNUzYi98ANBwkg#uF8#hl zaU6%=%<)H-a`KyJ`lEm2ENz~?A2FGe(VV#-b&j*3Ic-036{oB@2TYtet8-Jp#EFxd zo8Iqar_55x2A~cyE`@0c1f5h7kr5UQH)}p)N^rzd(F8_NaM)7uQbt@bXhqsFzyanT zaRy_mFp~@~zN}mh8CAsjA$KMjd5@EqJDrS<!TM?%@Gjh{ffrvCeH>VZ(o>MxQ z(u#KEtQt%&L~P=42HAzEnTB%JPLR2RE&8%NO26M>sBr`jio1vi&P>S7V9VscLv9A& z;PX=A3|fnDwK)52p2@*K*bj=F}s;a?+wx>QQLUyy*0Lq?A(<&8|mJH^lMUtyBlv z6EVTGCOW?!7ER~qvUjj(MnxBoA+A6XNB<}~PoVj5ywe7;($!dJ50wMfFh3b zbXYWh;vJyFqWK5!3>_BD7Tz&BESf{SOLSN?dwD<6VbQekdfQ>ql=BX?!=m{M?_4`9 znumB@?XYOR;GJ$q-k0s<9c{7X)vbs zVrrpyEQb%j(l+T{gPmE)wQZyv(JtY~T4(jZl38Zn$ORvYzjalxM=!6%eETuMcE$Px z+i}O`#8*xLTfq0v_5kPQL=PK)1HcXd<72d!{_#YB8-NP%0uTVMFXwPRuj}4stOsNP zY5^612Ea4`ACM1N3djH~0F(h%15yFifMUQ3KsI18pc2psU;%yr1A(hWuhc;UzN^I@fBal@;M+!L z{8GR_KLR!Y)&ty`W;5Y-0GvwYW^M~A932ReIhk!cx zHD%S1ccBX!sJ@`XF9oV^ba(g$p!x=Phi(9>Z+v%TDo}m>yF*fe>KoG?aRsQp;oV_Z z#H)c692F?S@fkJ2fk5@0P!oPY6vz*)2|WN*-vnX#b;J!rmU(kKwoLY$aTXKbM_vY0 zU#}Xl0r_Y^0oB(gnXCk=FVo5mNA8t%0oB)`A+QaozIKCUJs>Sw1k$2l=6hc>391## zdeDmus|T}d5w8uYgREMVr=faqMlEuWp?HupW^-QgTD9A1+u*lCw8U`BIK=`Aq~KDl ze3Ig9nKBx(LQ)CuDNq&uj=D~q4241^W9ZLNCU{zuhNWEH}lp``;A(VhV3(+!P-& zCANb{&kGZy-8WLa(=?$y;F#z)Q)s*2G4VN5LVM6+(I-m}Z9VsZmIMfXf0T)$57Y13qGP^IX-DPY*AQoPA@I8J$9TFQ`a5&=CH%$K}|m=LIZOksPNPs!{XL8$aNBiTCBA8}dvBky23X52*%aU)x6 zrayL_a*olv#p4w*1mZ>k!x8!7Bu4CT*lp2TM#ON`Z84gW6dyc6G@aoWA6|+7CeJd$ zlYg@!P76=|czE)Y7-8}7?TcMFcM*AZ%PDA1k3q`~4}Ih{;M=q^Wx^23pY~QlRtKbqJVqa4hc%b= zgZQ{J#E^W`gOX7%3|B!*05gZYSMmUU(A%sYbErpk?%+Inz)GA$s1o<69R~t0;eO+k zH&5?FPv)#?&a6kADnpHN>f!tq{?DB4(J@o6H`c?&Z00K3MoIc zVwtEynjg!NX?K^rj}^hh-KEZEc`}{uQvPHmF_Cv^Bo>-!8$;g4@?&CSs0Az%(=mo} zhc%Ij?vJRJJOd4pwClJCy@&Z&yL}n#=ac?x{jL4N_qC>k1Ixi+^y|emgttj@^b^d7 zxWIA<(>~`1)I=1Eqx1{RU0h%}EM&R&IyFSxm!9FHYl0?8KJZDSK9i)I`Szp!XCycI z?xT!GaCKQRICBhhi7*8u^5(%pifxE$#6B`CQEjw(z9UazML`K4Ys_}F@^Xr|C2llq z95}s^gH>bpIN2kfY8Z`^U*w$_#&3{)<#op+HprzsO*|%5_A_t4)wzk7rmYc>n+R96 zT!BXuDM%|65VKqkYS{v}EaE)v904_p@LXFc@X8|5wDkhQbzsnD30$ueOSH8D%5}m6 zZH2(|Iw?-uARvXioYhVfxQ7#0YWV_MIN_rUvSqP@@}Tf98Tu3Fy~f(P}u^cy>{jy(sL_U*x)SsYu|u^-6EF)~T8%*W@^qes4v zX5D@i;N$yW19w0^^2mr^O04V_UcFVr7|e zbGPFgMZ)QCUp{_(?%kYn7i-H&YEHk4mvhj~>=?`pj$dn;4 z4JAUm7JjXuhB5OI*{;ta@)=Vb;E^!%a5!3lD;a}ZsKC(Nk z6Zc*}5%#In7?)e(4JLR44##XVFb3J-xS0mxU{*NxhGD|sv~YX`ERPvIpc)=JnA(F| z1U>|NgoHj?l-S8McQCIXl^`#HidS4>@PB1xY*SWt_)sIML@ zuSKra7Y~-yqQC0@R$UgN9bA?`_GD3XdOvt7z2?b=q1a^~uU%uaL7B<>QR9%R2;;Q| z&)WDed9Jo5kJ?1|OUupkN(>1V3DgXFRH)ciJ)u4Lu;?JW2=HiXW(Ix3#Wf2TdgTx~o@Pck<& zd2#9c@KnR7*uY%Pn~~+tb-ROWGwc%o)tklRG!eM2voXa6y7(S5)wrJSXXZB%gdtaK z`aNc2D&jieUO2FQxs z0?DFt1K3M0=RgwF86-imRq*R^Z}Dz`w8&ewulZuILnFuzY*7bWXOPiTl_n!C4>cB= zA$h_MF|X;f8zUS=txG+ktr`k7uY^O=D%8V$>AWmr>zJGMN;(M%mWgN9cZvYA@3c^|gKRHM5WUse|ZhgS>=!`2W zqBW$b^t!mp=J(&GFshn;#O(;8Lhb_*O@HdP6e82rpHh%Afl2YF-AS<%WOLV&51Q8zo8&yKge#*|2gcjFC|C6G3rabeB0lNvZTAzIb zPF{rnVAcc5L9UtuT z|8Naw{3=qb!7cGuvB@Hz^z>)tRTg+SwGwBs<_|fx5|pgcUhqiEWUcD;D0B&9ae5(P zI)yd2*S?T&fmPItFC?vDE$?-{>+*#)Qvfz-c-A5T*r09H%{JL|hyB;6qdRJ|6lcQJ z1ZPW9P2`&JY-ym$p(gaEq}t?B1J>eH6RIX8Qc`Rp)mdnY6 z8x39zTg}MPaAJ(M;za~OHE)hTqDgTCpQHatIwW|= z%j%d&cc(dYk}2BwJlm!%OOm5~FFnz+^DagFNnD4|BHq)^0W~Ya7V#lvhVSL0+RNMu)w*Yn{W_nFARhj-j(;;xqL=KmbONo!G?y+u0=8;ukTL0Ht_ za9@@KYjB7#;sByY8G`tGHgFx}xY_>JAW2Twwc{ z7^iz^q6&i&Ak?=~yM|C?zGSVMa%n{#*ZfnuO|oJHqI`Vwj6PaJ=vK)shTgcW#iN1n z2w8PyH>!a`Kvi9Zmc&6lNu8x#*%D;F*lp7&dn5AZ%r$T8Mm184bWzZIq}!-uv*m3e{U6tC&I^{ENoUmNCBG37i>>u$SHF7w>P4WozL;T#N7uB}ILAxYh)vobA zyQESzHlF+fvyl^4$L_1nI$K=Vz^P~2QWsSxIqt1)vNaGp0%^MlZc#J;s z=CXItSgcFB&j!fN(9``lKyC(;&Pava3^_fp6Y-0o24wS)A7#Bhe|@t={l2^2>;F}U zhd=uV;qQfC@nc)wfBE)p-%sX~E4LP=61Q*vP*~V^aMI2h(|*a=N+7=OVubmv+0dMH z>X&1MAF>VKRy0(;efa0MFDuRoFHe1N&Hm!lTmL3*xoU~u`|;1jQ*(Yf`L#E^bm{h+ zTLUsDHNHl<^5X^~#!;*EUd<)1u%GnF%~h}PSUoZ~*8{5KeId7l<3Nh1&;x6L6iJXFH3s|@R5X1EZ00lD+SA%oM+QY zTd(U<+jRRVB-hx?T<{Lwq5L-`AP-eYeJ%}SFtASwbf#G$5Xxgg4ZPtSqqGySg1 z4$%>gYi>a+_JM4vu`JK4A}A9302tpmfvYC35ECcArn{jgYB+_svx+e7B2z})1&!TZ zVv*KU9jYlPM4tu$_q4l+mGUFHN9sULS_S&0Vj(L@;HfF8aDGFI6MZpkG9m>eVeW{7 z7xAoUqv5ELAaEBJjyQRdPHX=(IhlXdknYk>>$a(#gc&2)PU=b!`%5yG=Ft*C2mZO? zqDriBjv;@P()4IZOu58RoyCEs9|j8(QM=@6tYsFuFfY-mOctcgR43PjbYh~E2UEf< zF+xrvx=c9_LT4T|VV(HnAbT4-W>&bEpDR`zr&7v`Cv&O{;k4EwHLh1 zNc&jpm^cS8&-=nB!gi#IxaaFSE-Lw5P^K=8?`q}tK)m2I#0#7n0`Eh-0B2r5Y;%dc zTbt7B+7Qr)tWri8-Fqnx#D`j5uV+Kp3Xv~Ah#vY+a!bIq6MehHf6R|X``uoEyOepv zmATW4Q)FNzP+;mcjdr6hoeB^2NN%LU#|BM>^IJ+5^}_KMdJ>K!R+?#>$;L494hydd`y-Rb61N@Amo2wk)XKa(3 z+km$NN4pbrtU@-V>ZYR=U;!#%QaggKh>1`XRh5MZFtF>y?U)StFUDz1Y%8@u$Kkta zxLNoeGFMfT71>Ie$ePTwZ>62mb(zv~S=W&};nR)m<1yEs!5II`uk^gq)H7&c}EAt&m*MN7kLSQbzn5K~dwas&m-! z4P~9^i+(S>4AF!suaM4)i$>pG=fv<2QsC5?yW?%VNE_i794(yYfqZYsGw+YbbrP@Y z?wByb)Tc*pEd{R4b9SS-KN#UAlc;_Q##FkjXZht}VyGMzDbFE>Vqi@ac-2H6Lq#b< zRqMO$Dv9?}GV?t9!xxB(O$*G5ZqVLXnBpz~7szAirJz`Tyiv!EB>Os~B zDnU`s3KF=FhA+lbYCkR%$Bq5ssZwenySG4k44x>NBiQru3$T284bHlYO=2ev7L3@h zmVePsF*OdBCn7$}@T@9}i?Afo;j=tVyT+6^2$p*|*;U;aldV|{p?PwSWQ)GQINK6q zWhbJODYqoI^vjIPEQ!`>F+k$nz*7m44FPWA=)?HwuNkKd*)@@mi}KX5^oZX@Om&2{vfu8C;(<1P$f=2TTxzRMY7b5j%~1Qb zgHB?IdLkGzBJQwh(X;w(t7XT4M@DTAIWI1SeNTBkeyYNYw|NxuUzNO0A$|>ZUZT;mJW{TY=>56ncl#yhRj9Gf!B4m{;_&P+<1p@a?Qoa7H0?zPNkn{+5TMD-Qb{;UZ4T*Bc#rZ8}1z(o=e3 z-5IXkN#$IlN3TamSm4xOs?)QOlMRKN*Y!s!+Mt zfnbrNs{34@((>+wNc{qhG~C9c6bGF#9Xpa;av5uJ#)e*EEBS~P%a08XxG%9cB;=OW zB53lNMt;lRA(N(?rqu0xbfqTu%HAgAT#&`fZbJWMz|B)nrX&g^kz0qzLq4bI@P9O{NKT+qyA}sU?zfc2V6CjoV1zG4xsG?vx0DyJq?b z@(s8yE)vkX{oWu~%2yi~TM{*C^_b<>n`n2-L@C6usL0)Xo-8WGtF7&-E^m3EWq57|GW1O z5;1^<79eZdZ@QSLB}`B8%X5pN(qMJw4;EIy>O4Vp4oq;#f2AnvFNt^PyHs7>h|27F zjGBN@Ns0b1)$)Wis5)}(qm+nB?)+w7Nh$%;7CT|mo(HOn-C(!;Qnjxenb38|yPg^g zAxyVHPKEvBtPGAd6kw9O($=;l}^v@^;e{!WRW*@wHs zagUzfhn*@b(PdfO+5>(U|7Kcl-dE%NOy&d&@;EHW9mDd7DPaN^5)-ifpZ`wt3V-d?)hhl5>i9_g8}@$GF3>Vi+Jj3?-}n`L>%Zo1>cec+y==EVISN2FD>fyT$1Rju1)H~FHpjAge zwd9l`ym{7j+;f???i}A~!1tM?gYPvE&PN2qP1@fnGkU!$d?tzV`F@(*T2z;zx~|2F ztq4CO%G4LZ?HqR~bkl!oy*u<&uP@b;z*wU8LA-l;M z^K{%0@48lI4f>s8SyCTsx?Iz!KQ!8+e^JxLZkreK8Wdtr39e$TDf%*}f8VG7S@#&)w)Xe5%M#C?mm&jUF zN_gjnEJ6u0@TJ)5RZp6|uT~~dU7&m4<76R{4Eo?{e4;QdYjat^0a5aygNU^SImpK| zldz*ZR@<2?UxuW7yIUYa!ob^uov4Op==|Yjh&By6yuWvBg^u~qF%LTSe(z|3j=9jG zh0~7b=O8a-Ct-b(8s ze-js($kx&h+!0RO^Z2>!;uFPl&`S<0jvXK%6k&b}j$V$*63_DTUhjlj`;awDhR!0rKSouH~I^-2j z+oQ|3;JD%E;eUH(G^k(x3sqfdL+IC9_RB5*D*s5UvBrfSCs`4*N0R`+o|`et~b4ms^+|>o!a+V z=?&>Kd<a%0OX{l_P zcCx9W?#ux4CV4VzQj2}Z&FTWwIO&siDr2g(oQ${%kF`Q5U}rPyQpor(`c*v8iytRH z2iBv%6`~qb3>@AC4U`M*5LK~VPt0fqrX}`7aRsheso-tbc!bloYxDD5!^uUUtV_90 zx~KKX^Sn-th5TA(H#%D*f@8YB! zPxI++&;nm=v=>BKp^7w_@DYp}(O@KEGaBWE{i>g>Ixh5hN6iscs*^_}JCTp{r&LFT zPW6Oa(wl1JXs{cIyO7MgYV3YjRI5(cIF-q1tV{?!1eb}cA>IJNvrQ0h$nC@4QkLi@ zs*{8n_265QX4Z^iyeNBgKbsuQBBA|A(0TD{)1ta9qfT##NhynlNOy^AwTskI8cx6c zV&Ye=qbaFwbPg)__1Lg)@m1{u^KV+Keog(ssaK;vgMV1HE}XO&tUHEahIO|Db5 z(s3DzKU6=`kqYJ8lsu?yr|wf5mA!dpgC^L@PaJR|rDQV+@OI77(eA*=3m9p7KC94_ zN{-)t?=f~PtQtm^?K3J@!AOqrL_4J9u)ND$I<_i&lO(DQMz86X@Ug=I#S%|`5xJpv zlHDaP4O`WNch}=iK=sT*{Q>w>VO-xc;<@}OT*m+O&3#tDZF2!`o2hWGO@;Qd)}a@l zMOp(NrEu&0)IDyhgL@9sL`c&G3&P>nbA`K_1c2Ll49as3YD-M@Fe4Qm87m01vOBQJ z#CcL@_KN6~4q#SPsE!W+gK_a-=2K)6yV87S0DoRFO{ENWNKi6V`-1I$2NRe*-%Vh) zs!nSVeZ;PmOo4BWZ-(@l336Vo*iG!ogSoBvTgpt`DK$!1Rsn_kzZwMstgx`c){9yq z#&aljm%Hs=LZ~bWLS>m1=mpA!I!{vqgw(t$q88)+?sr{juerbXF6!^EGZvj--9^TZ zEn2QiUANSG*#8Z_Xl#+Q^v3v8rdcWUDEx3X346x6ZJw^!;-R_zS>aq6t3uRoL7);i!5o>4OZQhf8{-NCpWm!~ip?*3< zSLkEg$4jJ1>e%7kmm)D=ZlA5=t^1s8?~(pwrdax7ieFtYNEL3p6CteghYxArKZL`F ztKUB`;KRCuI#gtF=S-T77-eF=Xx0%=-U9;^%56rfUq;Vj0 zN-m@tv=nu`CcguJj2MtY5hQm*4s3x5l{>2y6{}xwT#)B>mrN5c)EkUt0$UA`S05-( z8gY3}Bf-B)ck8>1)p^JfMkM;ILSdXFn5>yqh&s)lTeqFu>gww|CUMio!`n%HHI_%g6cA)8VN*<`;$-U9a}@i6G5eKleQh!{u=%@)|i z5H_+31eh2S1WyGH{lS|d`GXP87;`LBY%|WaIM-~4?VQ5u+($hKu@`tHD=j;Didw2$ z$Z)R-x(S7X6Ip8n)KQqjRq#s^mM3 z3z_x}e$PZKek48onRtmIhbL=y-T;rEb}nRvIlLda4wp!oP*z87B3{$h^m;TA!n7ax z0d%043rzDsTn$IkyG7^qV7KMxVYe0JVGE!wfc6fwcc7gJ?bQ8hyXpggF2F&xU1Ju9 za(xD1CLohT8EaL9w(nJha+?9|066A$0JZ{l0onkafEK_`z;;Ebbuy*sL;@fXFbNO| zNCx-jXRoTmp;(!~h-OC%_+o7Qhgo7hnO%0Z$Hrw>w}RT>Kkcd>k&`kpX~p{IAi` zaEQZbT;`Cvbgm{w-2n}~BOnqvPdNd+2kdV`&i$M^5!8>clR4P$(hL*WoaXWhr+;J_ zKF7Nq{*i~JGztQOeU72997=9_A$C0{HkVz9=WrZzvnm`F;A5O9a18c6hJCJtjhi4z zlQIIVBX`|;Q($fxyb==6N|c7zMq-|QD`BPNqL_lcx24aqZPWm*UmL1=jM$# zN79@n87AM{(nQoTmo1Vo!=J`b3#OaVi77G^sCEf)g|u4|H`A)R(=~_%3Ys=nP0$p_ zARovN7*`K@jQCVy;uJfyGt~i_(k#qb*$iVb({&{9gXEzhIXCkaex(d;%!Y(3H7#XN z{m#>-+yi0uBYTd8*X5i)neqriAFE$9pg$h?*Ks6hG4A8RZO(~4i_y-C1f#FOSs1@3 z-Plsk5T_X~8hh)D#aHi?Vmn=&MW0`g(K!bS91;V(a5)G6bxhoLGtS&2bV%HhoosGM z_>_Xq^Nz`y#}NANkwaRgceUJ?5DAS?#YA)@Voio{Cn@{{=a|0`0d|KUV@ zL@G}nZ`TGwt2^VJ+CXS^z16*k9Ha{cz1C8YQB;1@x(V!hC3Eq_sNVp}M+F28A0 z1-N1Vo0#2(QX@?;X_#?Rder1`VrB=3JbCao0-Z@w0jws(WyOdwt_439`H$og!`nLj zDSjPLnxgVtUJ=%7EA!~DNE~fr9@)dipk?KGco3h9e^z7S88`81oEddl6^P9TkJ`ky zoM9N>_EC^QcZxA%+u+~{9DM77k?n9$|FWf0{tc|!Pb%zuc556ud_yG@4SyNK^K3gJ zLZz=vc6FOI#E!6IsL5v@<;Q(p zq^kV-MiRZtwW^_8YpuI&pHwryEOOIV~H$ zo@)7!S4R5t$B#h;{3pQ&uFSM&Mr6~NNdUh$Jejx2X+yiKdk!;f@jTgYf+^F-=xMC$ z@`yw2?&bN+s5ARb2Zy&RKJ^Ar?D?6Y)GKX;;fjbuT#vNEaG1n(E6?u@IJ2L>uy%&w z3`~3t6Q{t$6)^D?Oti+r70z;#^>2F{WRGBQoxY4Q-UI_-RBCS!APx`(mvV?<;X0y-K zc6ZxsfXsRw@LOC6>?m({LjEmcH)r`wx>P|Byy8m z^bGdqB_}mbS%j9ayF(Wv?&vr1&TDM1yVU2EHoC-QmsZ=Y?g~GpU&K2j)BdqVO>OsM z?1(QJv3(|&MUDHv6n$dkZ?@Mz;{7Cxe#*$Bg;QI0RxsXRGYxBbJ7pbzEwv!HzKqT1 zChYO;IeFx^E2=Sjo(*@8lOJ~*U^gJB6Y)2vNxsM>N4kk|;Fn4AdAe%C^m&(M&w<~4 zYJNFqI=D63JX|qk^U7tDE}JlW$pv-+m_fhLJYg?0Uy%8pf277*r|Wwj?NtYWC_wsS zuOYiv6y~|JIeoY<9QV2uecuz7B{bfjA8bBRgWoJ`_e>1^T{@eQ>aaz*+4!SuhW;{V zUR}OkYnrOIx0dwVj+2;Ag&F#x6G}1yV4pE|0w9eH-JX{iF17q)n%_p z!njgkFTa)YgJp6sgTMcDx0OgHF+Y`6G+=L%m_Fsx8qhas%(U`+2OLdCKN}ISL1fR# z`l}7pGCBNs4Oj2~Ho!C`H&w4)Qp&VzB{69gl}*SU3cB|(SO~ANvs_Eaf9n2XY-kCS z%fU(sbIgUQZRl!89V0TIS8%e>MVzMTC8UWd%LxT{V)a>dr)7V<+{K@yN!2@iIL&v` zWM2oqM*G7{tTA|kF(0qp#zicqGO>+HE@m->8Q!>?i&{)$7F1@2qgTr4NtX+H5T^|p zxoLXM=UJwCb!TOVKCIEZ<(BBpYm$1MM#A{1=}_k|4zw-(Yv z?YGwz)}pt_>Ce_xyh7y2+CLqSS|JYNB)Sp}oHfxi^minI%FR55Y@^*whzZ3IZh7sBJTG!(c;&s5jzWc` z@YNsTLyp(8Z+KXlvCDYb3!Z65?p_zKI|GZu73mC!%364+c#z7yyHr z1rzaYU2kl|a~_enE$4$EUz`K6v(fP47y*+T5s6&LEb6mum3$ z5B_X3X+PiqpbG%UjWae9YQJM9AQOy(A{m)*@uGg zS7AanOc>h(gA?|EPyxJ0uY>pK@yvOY`0db{@c!vMgM^>sbW*W|HHis~j}7q36J6Iv zce)88jw>vl@o7z%=ZZX^jdaJQ3MR;Ec+CO+*jYOMADPAHcst@Bx!mV+JH}4-i%Qjw zvV&ObiFTYq_CnR&j#NN}l7LC-0Bu_$e?`S6pm5}0mn(~}W4rW;bw62cTdDs7;{&PT zhGTZfII1SD!>^#p*1@&=6|&X&|0Q*5=kf!(gNw!247XHUxsIXASfgDpwIbxc_=tXW zE~gezWQfe2Ic9hGe{ok$*(+SE!Q1F&3DOjgVULm4Xy5arG^|(XIN0k43J@dVPLguH zZ{3l2hs9uj{@N7W9k5wEMK7p37>`__yv*_x5Jv*Wkt>z!bV*RxT+)Ghub*FcT=w?w zH>6VCSBASVWsV5XS!`||KzmVF>HHYp!osKcEudi}$a6{bwG)3^g}Q?H$tu zb5plXCuN89IXl4I-|gTg3rm?U2mq&5teZSk)!Pkv&C}`ag$T{w!V}9@Ap!z!(MAhsK4Iga=v;$fJ z9iibuzas8nKoQqmefWfG;o%d;qlG`P&KCZldwA$Sk~fF`bA@&VK6C;q0W0&@V=or0 z$F7BTEwpbB*x7&Xva^4jNuj>Wp->&tDb#-WD^?%4;tz1OeQ>3#aAFReuoosL!^DP8 zn81JuUBfmw#Y|%uFt{Qbq3kk(*F0>80~@IFUQY+uXyo>i95Q3Q9CBj4n$lyv8g?v5 zr0)c52kZfCTaai?z9)>H1c(HD|LhB30zv?j0RezmKm;HO;CD}Go_^TEs|FMURvfk% zuNMBP>i~=Z`V0U3&1WVG=MRM8+sFYO@EGeZU9*< z>)>?_V2itoaAO_Ct|t~AN%p|-Jd z$k=i=^F$FD{}5vD7lM&1WWzgNlLK^-gokIVI3ecU8qcxF1&}Px1_~*9oV*f}#gBMf zH27DP_u6DZ6v({e@xY!@3B5dsj@loFTs#O-K-wb22f9iBRNKb;?t+q%iFNrg_-`_r z?lQb7`BQUa(zl%B61kQKBX=JZS=5#u*axR?6~GCcI{!&sFR(-CLjDoxnPxqt1~~Y8YZk*5o!IGL+6bj>)gC*GjKoBqQhQ z52|{s4y~lUAjoWAcgRXCbU{P5I4n1>!7-BdM3ljI>4s!Z7}yYGFfkPY8ziB8dUxms z=>k5cJMt3v8>H8rrehZPd-jlFosy5+^K?c#W%!Lx<{t8x-IR%zC5SuYzH6Osp2pChPumK zgqMrGPRb_;)5CGj$^avwmz)^-L2^}}Y}UjhI$buVtQF7`LpF;J>hsKf@lKtDAnhrW zzcBl0{Kf^5OC>l4ttNPa)}9epgr%s!<&&#pf-5_4RBTLn(H{gIKl*M3b7YCv4d%a__Z8I z^D&LvJ5sD}4e&y$Dxmc0Z|xV;YO`2_YzSTq&aJzF)lqGhYp@NWi?Ms<4}sOO!o0p4 zdx^YKB!(Mwd$;2yFdT_9MMkHOIO3FRv}e_kbjC~ZPo~OfNb77+e$m-j5Z3HOn^eU{ zZLlSp9^r+Yt>0%{W1-W-yzqbONxc4UkjM+tu`T3w|4!UC!$h7!NX??$63;iSik=mN zn8==PmI{&oH-F_V>X3H7UE*`<$?ZXvn5kWD z$jpL`sJ1RAY?S8jr)wBD~J9LcGuatiyn@<=6qd!&7>v zx--MJCzZ2|9+t59k})t8d&0`@b$<%M7zazx|EH|!cHTMe9PAER?ei-=+gA#1ZYm9X zYZ5Irh38gwI7U)_1KTktO;(3}sKUdzw1reiJeM*T*cDJBvmMwKP$E;r2A;=L{5>`@ zcNQ5F%l5519qh0G3e4Q{$Q5A<@!xDg-Nkt45vc5NH+(V%<`GB2BN1n1`Nm>_uV&^5 zZZYkww9MoL(SOG`m$f-twg(!q~#`lJ6#u-C)i2;?!9OW6Kt0h!e+>h%dz1IE< z{N$8Aydwv1mWX$T+N4tDeN`IPp^M%+Xw7?pC-)r!-+HZHze z`5q(&kk<+Bx{6-g>!jVfoI~!Ie+%MlsQVYYgl9eS;kKSKcfnxJBcI`BIGYJ)7r=yPFrg|x&gKl9SvwO3 z+x{=o-aM+Qs|_E`9EJc%2n3KJgelB}6CxsLf{2KqGKvu6gflpzq9#G{BtZp7RMeEB zqN0MLq9P`3VyRLE+gfTJ@}*X(r3wyhv{dht@4M@_*1hZgcUkLQJNtc}z4ys*ILUk7 z{XPK40h|QzF2LCsee=X}_7H%9jc~q#bNuwtwH4DuYbua)>42QeVYH1{;4duDj|F0} z0CFxXkaNjE&ZPr#E{D-3fv^(>T6-OJ8Vyv4nM=`-nk zd|%w#=orBpnYgHQWLwG)G`?nuE&zEU$py^Y>OYdka4z2cP0|%t6e4?YRY~N%xFhb0 z%i%@;QGOMjRN;anp_CN9Q#gos;N1MCT6zv?-uHwjvy? zk%L9YVS>M{YfJ<3FAyJ$8Pa0RcC0Zz3~O*e*#*kxG*Es87=T z@#Z`Ze;zmFGCD%~A!kP2sjj0kioZ%=i6u;I8lQ+&H-2U7& z8R!(Cks7x@mj%dL|8_y=EU1?`pk{-52GkK<5`w{}jktuY5`LJV}RREF7CA z8vK{=IeVH`sB7o_86w;LJ{+CL;Z)a0^!iCM65-M;znw=OWJS#U{ zZ>1<}0DcKD`J@ZGYI3N+l5kdzAKKsED-{ODe50xDtP{wDtLh0=*JLrt z*QFocYY~dhALdnOiD{vS37ZG*LSgPgH6c9`AXKioOxR?v<#3u-iN(!#T{!yNzCz`` z8DJg&PzhTOFdie;OT^7@RD|?5K1UxS5Gu1W#I!TjAj|EI} z5C9;8jZxP1zQBk@7@@&>5w0BlH8Ge~aOT0e671isY#hjGtg+@G011FQaE4&+EbE8~ zV%i_6aGt>wrwKT%>_8lm;lnK~&;8%=?ZY92?!tKMlSl`B73R30`M;mG)tL=vO8!FE z&Z@4&wF?woon6Zo-tvu!`StO^BICSyCv?Mas=w`IX%=pF*;8{c_})-Rpx^KfM?Ykx zB`=sT?(Lb{sxHCC=Q+{t2iO1IbzT+|Gk5TR178f!`L3#~deigM2Wt)*?-h(39^?1V z!7~ez7hD*>>c_55Pg1AV_ktE<<&`SCvWEMudMWrXl>T36q1%6<%+gqGwGuD20|#Ij zz#RZ{02JXPvnc|OQ*e9($B#E^@uE+}yRjH!55e;j-i_Izc;AkI@*a-Sa6A^lu?LRt z;J9LSgur3_ApQx*B`o&}$8imxxii)eqZj77ZgpDU>Pc{(gL5358}a_Va)xvK>(3k1 zI_f9?H@Qyg&bj0Kg}dH26Y&rHgl}?pSsj6Jw87yF$9u`MmZQ9yZ#JZgz5iImS>Lo! z42KIG>2R!vg8`NUScUAGZx&;&A9KatXH-Od_6&dv0Dfl$F~wqE%)1-F6aWX{%vW{J zy&=Sie2mxuU>1NJE87|DAh55&xe-oBOydP04kOmMV)2O>p}`>@1~41I6K>5nFRjOY z86(zVgg1b305(|H@WUFjFk%b`!NOg*v+w;!DCRnkxjHyC-+17ukHk~|!}=tA!JG)75{o$S0a~B> z5loQ+FcaXH0B>2Rio~dF96=qxGJv)KodE`7 zbyyifIBvsn29BXRj9-KCBQfEF6W_6O55Tbr4hJ|!!O^=Hj#Y3JfxdJy#*f7K%NYO5 z_X}+LQ{Wg5$2mA|f^G>%=QYNzJ&BGVI>*rYlnPo9I!{3R2NU(M%!10aMVP#Cf%ew-v!5+K@pYa;}8;Y0p7!mb-FlWw*@6DgTO8f9=^0S=_Td(gS z{VeuZ+-29$;%11sePNu*+KUo@#RW|Y<%plqcJ(>JKf@8uI^pibt6;{!Rs#HuQ$xEQ zDm=Y=ns{cwO`fBN}h72N(eGE+0U! z@bpRrMg?P(y+oXqiV+3=aJGOM0Oou!Z>x#Zd!50K1aJy$I@osrga9arz}%BD_XUh_ z!H68IGXPEroTtGa3HD0>BQfHfD<|uebH*pVJOCgcKs%nv{ZuUG0_OvaSO(ys6~J5a<`CFp0sMrcc!)Jt zV~yXj#(nGSc@c{b!-&}!@q`D!I$}RYjK+v77;z0F-dRWB^}KI=9lQWM!H7*5@zJ^l zJ{R}#x%h1yfKLFZ)~WEee@KLLBAmP6NWfe2K@7(XIPO^;R>lN4rof@Vup+zz<>6S} z`lbos)Wi7{3$XA~y5q27@Y$Mg{cPC)e2poR0akGVVpL2rrrHRg1*3iicnimkD^b=# zJkuCF)A`m<4iAJ=AbbzP2@twK_>ctf1i&zWKLZ?(X}hrIK|HY->k|tC@Bx55M!5nE z!}Top*__`PPHO*?mbU^#4OFPI;gqs`2H{ z_h*rC!iC8oTm&H!;4FZbPuy}}>RfZ7H$phSWuo}D>?E<*7{LkF6iFJwHUqHChI8G^ z<$9k}*XdhMymB4dcWqX4(3&gK6>xU^@L2gT0Os^{_KpBOQy+)N)?J%b;=ksKsThP7 z5Pk(A2!v1R01sZ9H82gpO*lUvf8|2R5ANMEKbta;Sw6> zE|(*Yoh4@bUMB=!jS(tsUZ`z^&)6JsXE2yk4C@xS3p=y7&le z5A3jAblFH3*}$P(%0tz0)0!4mD|Qz%TPUk}p-Dk4cB@^-SBy3+?v^x>S3vUMOfK^Y z_m8B}E#&s_QOZ}SqvboQ;;5P5J!L8RHE~vZrI+!M_)~knm;A(KY|{7^`}UyC^nZ;j zr1Di`Fon9{dXd{R-KKlF5CwSCz?ta&bQEw#ETiN6KMg3aSP z7plsf-uw(#-E54x#{pp#rWvyxgcm&Y3WSB5>U_;VesfjQ{xNnJk>m5iW$@*%Wc6;?pnKtjh%^g^-T;Cw5VX=U=kOttp%&^ymYFVs3!2nQj+N{bW6aC5E}C{K43^^my#bd#`sePcHeg%(^-iz%^&X?If z3d&dhgCH*%L0(ZI*-7=lG^d9VFMOz+WISVv9`q5G`z=t1pl?1FImw&;T<_r z)uBCJ#%~Y%sK_>t8&LN-ykW(C#z&Nj&mbh^+xKEHk^)kND z?y*o-yA~-&8xj!-#cf^?|x%$b~;mXtJ#kLFvGb2RSZoWQpG5d&9i z9Xr^=bqCB$Bz@D0RC%T)(ZkC9Hq$B$o>947^r!0e5K^&axx7V=D2c_l*W0w%ln1$1 z)W~ez0`@~o#of(sf5mH~9Tc@`zZycK3Wr#M#E;VYTxy`|lJr6@^91o;db*c%LWRt# zUfKfUJM6l*uMNH|&y$7LhF^w??%JqH{iIdK^r&h5l<}$}efi+9T)}3hy>WSS$`ILA zGhWXfHI~TtG5dYVcqu)FU-TPQ8obEeM zBK|s6GS6i`Z@z2r_4~;UjZHDrLqa#c{&{G_;J-JA+ONNOw5sF9r-)d=^2z2vv0X_< z18uuFq$Ib2F-h!EGP8mHjX18Ppn(}AW|T~yM>~n?eEIVj3&pM_+4JZx#G^_I=P~(W z$CAuvv;$&FTNqdGQe$7>a#cUFhFQR0uXm_%8VYz*adYk+`4$-r?Pu8?|Htu_vltC* zNm6PitAT4wTAWE~-~=S)XWBJ5A5Yql$(YBUpOjofi&4$1&f81P6R)q%nu%W@l4|Ua z7$csKlvA>8eMk!L$Ha(CuV=0!6TLH?pSfI0OvoJejK3z4oXO|$-Y5E&hKj;oRtW3K zP`6gfursjWkz*>6tZgV}rK$q8t;Ke?i3Qpwl+6^|SBJeK{bo1~$6D`hZ6N ze28#*XGk8|pH}~qII_oMCVyF?L+SX&;D^|y=+%g=glohbGjif>P>x-9);uSpXf??} zJgGZlHRTFQMf_Pmyx9Mm{1(nFp*MZ1WOR4hYUX#ii8A3GZ5^r@r4PEbO?k&yr%Av` z<=M*Jgk3*AZ8`DeSI++?EkT9@XUy)awl&VJF1FeuDKsadKzpOp!JD^UH&GZ_mivtA zCEnbf$s-*RCk+rHTAb!9?G%IK>K(m`Nq%d!v+!_Nufm%%wtKjYxk1ven~R5IWz3J_ ze%-HlI2sS(b;iT-GA0AwJ)E%vGYyXMUMbDP zql`7q8@O0YS&Mu1{J}U&7KC~=i%g@Vkr?rWwuQK&^NyzWyA0PSMBDeH-U~UJITZX{ zS%HmwsATAUqA52Kwl2ut$A7zU3hH;CQb6bk+H!#Vw8voTu_u^Q`LhCg$%(Ms-p-Ge-S7DR7Y588%881_i&6Q58#N z_MH)zm7b7Sm@!1-8b(!%E~5Y?von0HGTyupm8~cr43UDH78f4-dQ#HhFp>Xe<~E~` zmguFb)i<=Yy-c;{U+vadQmT4$J*^SMrWjZLUYqLBdHFW zo52~A>lpit?itYd%rcJ2fX3&KMtTM`K1Uh@GO&^4lF=mt8lP*7m!5ql=PWb7{{O7- zHERCcvWM}Hv)C>_)k}Iz>_-85+gJbR&r$zaSk2Bcm)O3dtvfLK)P_}Cp3VIsxN-Hd zCri#IT+RCX#^36I&986#EqrtDZPV);IR)*c0K=4w>Ft!`h9w#K?MMKbm66?k;LjU> z-&WaZ+XKz#Pi3?z0H%l@osH^3dV{- z`#!(T^i9U-?rB4~=lWDn8f3bOKH<7qByF|~V{tyqzd)hrz;yY4%v+>eV{MbwTlAt* zyDHa{dbd(WmCHhXY$=3aT@{ds-lLQWEI$4`t@^sNPE<=GA6i+2<2ex-& zJMtKPk&thh^^EbEh&Q!$+NVSPZ;NnzY_Oet3pOyP@*wFjTrvEMgSwx)Azvn9+(lNo zQbdkW?>6lg(Ib#Wt`sR%V+Sv~JDLppr+#UKQJ+yYtRQ;@; zuz#-4uzW6d6cVn2`eIw5DqC=&*r6UG+NX={>s4z7*NUBFNT%A zXAi^%A1B85Kx}YaBBjUKpLZis*aNY_O^Mtdhz44?j@8oNXnxkpElxaWZ2Oiv^PsVfT5>#SY)6;!dC=Il zE%n(8jqTt;{D0Y%=st-5FVhmo4D$B!?4jWW)+AEFrABhdx^Nmg)RSfOTVjBjLEY%jX7^*-NlCrBL7D$VWSy5pl z7;fGkkjUOaPOiK~`f|ctdCwQoy4r3x=~BQEfPFlmkiCN(2zU?RZvpQGyclr!2u3YN zcL81i_#)t+0oMUu4mc7pMu!1*1*`#V1iTyYqGDDv*3QM~CcxQ%&j9`r@FBp<0AuZ3 zj9v-S=Yeo)q`$4C#<+OO4M_kOL@U)Y{`EZ62Qjl?9+ zWjU$?Ox3w|36Ls2DH~%+Ya$)f>@zjz(svR+n(SK`<-re>U&_2JsZGoxje}`e3kM|> z7*A1(X=97~kl?c1$Cy2^r%;=|XQT=OL zQA!%}b5#CnX2<#_kUu*gQ|>WGbz-;pP2J7SD|vO?le$Hv9(A0By1Y`?I_DR<^`+hg zY`#uX$|>L;(9JJ(FW}_pvPxYFoPW}-DfJrSGo0HvFn5>>%nRlW8wRstJ`CqFHp~si zgL%S)=HKQ8sVt_0DWs#Ct)^`$v_iGPv@eBHsA(|mNMSrtKO6IOQ~y1dKxrX~c|OV% zVE_>}T_F;VBtq`Xi-qAt#C?UEa9ml@JW{lAl3+h1xHV~lot-SRI!ti1)6T516DS&O zxaw3vO(Tn|2@$9o?RKjd3l26q?$&q+wiS~T*x~x^^)4yF8x%9^N2i2;l#einrG(y9 ztTxA|L`Fc#48Ow)DDKAj)zC0HXw0gX6j1YwYpSOXF)wI_3AVS{u2jzw9B6Y`sTnKS z)n@-jy-{$i&FPJXsg;-6*r_LJtIJq+njkGvW~Wv!)Yg_csx^G=)-u~vb&hs#nM0~( zw6?0u{l`S0-T1KIm=7RGuQ_R?h^Ds}0)Tl znV`NT+O+2g=1Y}D+mV7gb6d4vq|iK625n0UZq~PTXxVtTP)B*GS>OhN>NE&c2Ua#w_TVtSg+TRQ2vjeI`ZWZqYawsl1^EBBIQH%D zzQhx5a?GPY*xE!{0!{8USm`5Hng}Uu$Y5Kb>fOt`V7C;M%b?5xWf>@cfiek{;ecH( z*!2Vc3-Ct3n*e{R&N3x-hLl9jbBV?1awvP3d%#>_ICf9acYKC zS?gkn>kk>2+M8wirTL6*-JBf7>#xsI#)Gt(_p@%AaI7Wo8R;fbXUeIu_jJChUxC`x zv!Bto;PN@G#%CzNPJUC8G_XrV+o|~q_1r0+us>r@y_+S6$2^HdBuKg~6bF|;((Q%V zy#$hOeDRnPNV*;PYC8SE*VE}aXmim1g!U)2pSK;iTfP-m2~!<1U2demA|9H~HBw(8 zC$FQC=}mkzeNjyER#lo>ifKoQTc%URl%v=ae6^TSNIW;qcl*VZ1zQ8#QS9(UJ?iSy zBL!Qks2nk~+IYZxS5SX!HMzzR%g(D}W*TVhtTbAW!Jj=ljS+3&4RqAn$<&Jk`)eI# z8h63YT3fR^PjIx>!K@i0z-CFVdcB~g)`_d33sku_yVVlG!CcmEO@Lq<{y(zsAU-lC zB{*9?)67l@ParQcoD`h3uo{qi_YVKaPy%R|fiL*d0uj+kNec#ly#6Aw9J+%m5=RY& zcOvt6jNxy=NelTIcf9hEIjDNl5bKS)C^2C$v@>$2f`WQi8AFbCs`1$N6eJQZuc$X$ zi|r`QW^a-2tBT!bvM9hC#dtU(KkteQ!c=eEG-^*q}eDPkD_MRRR1q2Uc{vxKN4PuOWXceO{Ld? zL5!1Xd+iyjP15FGr%DK3qq1e?HWMW7OCWLIrt4%ENM}MRI}S?O&QQvB&_m+h1d00+ zNZhyS41lKt9z)~Ta+UUJfKLGa9#f0S$qgNw2X-FVHg8K)I>uH92wcyVk6{DUKhwLO6Zm z*y>7a*mZ1`?z31{GTop2xpD&I)@YK;t7smD#{JfDlz) z13d>Js;ma)PY6-XE~kBRc1P67_7U%(KD>th$Td&zRpao; zxf<78$aAsk9V{uWba%dCFlgA!)7WHl{M5>!2PH6&X2)e~2vcEV^#v@owqoT?|i zqpg=jR!@7!_#pAgNPI`9OCU-34!^WX8DbVqViaVgu^2`pJ7WTi9$<{hNM$jP8*MU@ zt7!9$B2=bi{AP5^NUEZ{7-KUgS26b)X&I6`XMtn9Y#vGI1CEE!tS&%#&jP zJtQ~LmOFf29PJRMZvH+E`kpW}41sFc^ijs9zdh zNLyoBw+KIJlZ8^{T!g|+qFO-*#o73ibhm|(=K53_Y#tkxRqk{`<)d3Y=!Z@Jl;OsE z()|{Cm+MXC7_(b#=w|9$!)s}Wg&M}*qTFVVL>Sk>6`@#esb`o=kS=C$d^0pxLk%~j z$7AW)s_&(jVyO>^i_){P%m=Es(i?50QG`bNWg9I4zlZ}%A$F9bcP)k3(NFqOgFd|x z%jI6?z~12HirMB-z2UFqNTyyndoa)j+ev_9Kf0AYKv-GzOfNpg|jf)ax8_Cu5K_D)v)Tg z-|83PM8ti}i#QR}8)z>iAtgx-48FvpWO4)jfF!O&(!k7-FiIc@e@;_n8jll^eNaCY zCn7gbzYHfL=V$$FoQTe2^qX)ZB6ofwPDJi{{al=goDcX}N{V-;>sR4KWN*_?!HLL~ z=$GI`k%+z zR=4C4efHO9DUZK`p&j?t<6zx?Y}PT~+0|s%e$5d&ytA!ge5_2N{tJoiPrmnca`ptN z)W$bTw3Y4VIl+bndF{$dHsxzbYX8S~tBwFX4{!+Ja68vuONa^5CK2nFN+@j?*##0Z zX}!oXP{oz5>>Cpm@XoW=V}oOw{W#`{?MJD@C)`sU{NjbKse6@lE#M%)!!|f}0e-%H zKiPi59r?B|=IiRaW2B|U?#=$KtXz){X>(Y)Ub^0*)T;;R75jYW=ubmedyNf>=`;l7 z4!h57vR`ETU^_%UC*&)4Kv(-4*a>KBuY$IAKtn*U$9?WnP%eWqc0w%g#lJyYsl6^= zk^3G0L;7oL327trwKw^C>OQd@O>)BCQB5;dLv_LS7katJWqV85QvSgD*gjJUECr@1 z>DC|kkGA4V*!iz!&^sI|da#{o3kqg2NY%Z{Rov$7U-dz`(GKG%#XaWz-?7 zdBZp`cbE&78H;76^+uS=U}D&oIoIWTU|YUkOFsD(4DCX+3(>wn`{L_wDSWhid{H`p zFH8sE&p|)O$SRrIMf=GZHgK%gVL36zbfVUNIVy8pu624v&`sxZZCv)uKF`de_J*vtwUCnhU#AY8vkPB67OqX(SQCb({~f5zT|itawu zQ#_ehjkfsW>-5YeC@BHrzme(q;yXelx+|Yx4ikmlh2~kjC?rBIGYdr#5elw3MihKb zKHVH53O}caF~^BQKT&@(IAjz)qPZBtQDz8=+g=%Yk5CbITt?O-=8pzy#_V|7NP{2F zVa6q#%Cq9>Yj7&(#53RHR4!_#IT%854l{P(RL*UuPc>`|5an{n$mL2}#j$N=*Cb8h z&|A5gNlT!%&FM*+#i6!3zpnU87}zI}DRv4+_4%9ScZCssLbKwWFrg0$U9W_`eZE}9 zQDJmnz;5{yVQ`<{ZbgT1OkdD+`6n|qDzO}UR`*J)Q|JuM4^kq9TB&Z8)}~;y+dJvj zPExXZmrhi}sd7E2bE|Q$LU1#-#-)n?vyN8dmBt&R^RIDEbG@qL)eK8>S+5&W!%yRX z(6MTKx_ES5SSGv6b(_vJ)2+)zqKnVub@7clN~UKRFF+^E#4C^T>2>a>>%gWx6!+f7CHDy_WJu>b|KD?Db!)_^uuWb6(2N z)<^WB-s_+B3BA4^@+0-WkRnk$u8;0TrR5v-!H^`8B?G65 zsR_=@^e^hYV#6L*_|;P_6IRoT)ajQnVARA;A_M{ZF1ptS9m zeGZ$sn{@Z;BQ275)SK#0rdl`BW@oDYwTx|<$YN|!`H3 zkG8AheW|}GW5ovCQhqPGwfUb=-a-9;;fabf*v5$* zoj+u*7JSDVZSX9a(M7+4P2;n=nClFblAJKw-?;Nrgsqzf?m$KueHWzda>JmNV>d9f ziFVHrGJxX7kp_=}=}q+WhPZ+JCgutQV<3BJ*x)(Frq5)KA&{N9lu=`FW#=uWXBtMa zvz9V@436yC{j_LA#yWZ(Wa@E({k`@w%_hOlUMI7fDmWTzW7bR*C@eI8s0fP9!>e=4 z9d{F73r@vScB>*2R}KcG`0i9X8FEY$2S-9E6hYoAf=d?bourCZlvqz6vbnE0mdF?! zZb=z}oLNy)U@2>!>rF+Nd0lnZ5cz^?eA3!Mey8sz#u(#x!GT!El^SQkE{jiRXu7i8 zTvT0DN1+=QWn|Qmw;A#>a_guP!}^Sw1rRcmWE2!o0u1vrrWcTp8?rL;3#juAYcjHj zpg%Yaw|8wrh*{eDHir<^SnY*2`_sfmh;2KaRxxo4#%3`wNqeFU$yq_#%Vl;iiG?VD z?D$f}hlrW22ayA@Z3hq4Xl+ZG{UPG-)C;j^cCBp=No>7GXVD9uBurjdGCeNB3}k$GA3 zQM$dDv=%Z52a0KHHMgX@iYb4oPe_jyGyc*%H_401j_UoU>SB5V=dAuDe(a>R(vLwC zsJYl_C+;!W_i)OC;}l=k54WVQh6>6#)36?g^3ZD)uf@ zRM@iIg5ys{9@E&1+3OO;rF=2>@5FhfK4Okj;>OnUHdm8pN2NS_Z6}jdy zM0lY*)*L{D7AnfjBZvXIqv?>nTaboE)DD+9a9)* z$uN+DjsFPt5w_Dcxq=;#+g8Uyb=&^Frc6*lI3;jx6O(J$^SG+S1vPH-IFl2zYk2dV zzfD|QH=+Dr^9WOUQu>pqiwl%cc)W`hN4xAY)+%p z>LC&9G)k>jitHAvW!n8B$Hf{h%0}D1R8Q9)6*;`r#Ap>Fdk^(WZH>suLu02^HQF3f zr&^`94{83ZACj+Ltlih>n6L5B?r5}qsLt0OX>@p~iPKgz+Q+FkX!kTa#Ub}fQw;Il zWbNT%)-_F_c6+hi2Grp_Q0%xtOyHk^etoI5#tr)Qwo+9s z^y?=}57x5wYl5ZQYN21hNV>1qF(C0!tLjr(G|9M^gA!nZEkV(WdET{YC$=M zVY(ohpJiq_E5Z4&?uBf4Y}jSFpDffeVJWpp{ig{fM?1J<^*_n@mgIiMQ}sC0*cN_y zq^k^_+C&_^;|{TPnxcezIG|9rAka zZwcy}ToMlxf_xLCZ(%n(y$g%j3d0N$+hFLQL;oE5=g>cg z{wMoY+($Mr77VLAa)jB!9AH+=X%#mfcs%fU;PJrYfyV=n2ObZ+9e6wNcDosN?T#>8 zn8S?IUDtpAeJ_P0_GM@C7&T%JJC8@t6i2gB2BSx8%bvZL7LAJM9U@#wV+8v}xRS0E zpxU_^)%A`RJDD{*1&TfzSG`&K@A zGvqU6f|PKEVuUOzCA3n$S|&<~tW>aMv6hr7lDjdbdU6%zps~0*prBy=Ut8K@tHTRC zJG~%LqY*oBCREi0U9}Q_)WoKWk6dQcpvi=vpmv zG4VlrBA2vSwM~0Dm-dn{YR~0TUaF33J8~Hw#BbU!a>*X5ecF~>+%x@Adn%WDNOehj zHJ6!Byw@J@CFQGjXfIjZS~-UkXSKMuI?qbn*y6I9{Y&Dc7O&OZv55;?oL6(MC+4&a zTkX6taa{}l9UCfxEk5tK%)}L??01~)iA969eL)HIe~k;Ja*HR6H%TWzCH1fl+C)QU z)XZ{vrRu!CWYEFQw}b9yTqoUY@vd?mFON1)f`&Z`L>|+ZA+t}gneJ?ij+#8g2t-;0 zZ;)9V@`?66(ju-|hIO$&%MY4kYXj0z9de6wXDm+EE&BLUyDt7oJ*AWp##^WtmO6&H zzR+_^$zd*heN3rs82^CYu9V)y%h89FIyAZdr1u!~$qijDUjr%a$mI$L1c5}at`H<|*;i>&8{hliEoejZ>PZwNw5vE-6WD zCo_$+kSdg;a1IvAr%A*E2MJmNzeYcYL-*ul>iI$s)Sp8&NY=o6 z(BWLF`&$@cNitB!t1YIW8q!c0S6L($4xCA0?Ns@jM%VC$B6cgri&qYuOd)@QP}WW4 zLip5hJ^80}wly9@_@5sn$sahM!c14aGqrY-?h?Cnc{Q9m=YzWSHSPuMJe{P*rGWdh zZhnnd0cVUZtH!y&`KoSB&9I@MH}YY^$hP1&idhia4!4t!{m)s_BZn1`(k=@n{rxpW zve{4UbYBlZQ+wML%0O(pi#!OFcq=6jlzUbR2b4RY6j~{9pp32;mW767mHi!Mp@tHI z({iFdgz!JD=&X+;gp1{k^?^j-Vntv5D8l!p{BP)N&w$RhcoTaTbhgD4**PO9gW|dD zBIs<3XH;iEXIs3gI=7WHSv;kBW-H}e@sjF-R&ua-76M1i1jzNK_K+L6UI-l7HgJw0 za71r#o{hkf!#oI)O+r*iv_YAMs8HC3iZDclP&;91y$=+7D?B098_ZA^K&m&KQ4ue* z=?tw@mdo5aBP%N?hzg04l@kyZ3QMjKA}SPeODU6iiXv`RaAg!xaG-L!j4KKctca1> zi9$~(SIRs@ktZtbWQ<190%fYqwJ~f#MTpF?G32>&vCO+M;(3J!^m&6_mH9GGW4LQY zoXoZ{biZ*IL_VP&uOBf8ZG}&$&@f(l zBGz$%%2|5Z;#2FFuDoh4ikenOd23wMoLEP;H|8~`)KN9Y_08e}(iEelIjw+l$2h-v zLIF9{nAMzGKs{qz)0{lSe4rjCJ5P(dIBpJ=Qe0l)seww{AhH&r*()E_;x@ z)OnX~?VwvfzKf*~^7^^=bjt@l`#F)i!a;7o^LgFoK@U&%3SH8mt0(t$*0VCTjcEo4 zMQw$Ody;u2xnlAp@yvm31fzr3qtE0}%LASuf1%bijALIOD#LeO&w(3+{bu%{ZkI5@ zGTEOr9_ax58k?aIF7Bz=TBiEbZ))b5oN7FWf~U)GBJFR*ps!_81MM4xXeTrKzfBHN?t>867s zhohPp(>9TPp?alhpUA0DV`tid(mm=_m#3RPcYK!H)Y}oF)5?^34eSZkWtePn0gM@4@c{E_@OMi(Qm)}nk=|6Xusm4Y)r8r zTV5#(DE7}*+>(tf7Cw-lkcAfqK2SWDjVtyYCEqXeD-K9toHYh^CohF^wrh9#Qu?39 zQQb*PnO;W6?#cbMBgTksNk3z@(Yt$UKmD;Wp?hLKbDS}$dx|IJhH-NDq&mtbI2WCxQg}^z(SlT>)zV8v*amSSDT6II20U)jikykZqIAd|Gy84CTxOcg2riROt?7Km%Lk z*Br5zlk0QaW+~uNfPJ>dP!5Cg9Vq)jSprJ{_`2)vOm^;<)OrbU8 z^6&)8Q=-Z=tH!oGD5m1I#C4#e)9!yc3QXiE&~6e1rkt8#p3duaD}<5AQJ`)ihD_5k zNkhn$81jNSBMHJOkDiVsh|l(e_z5bed`67?}Ko*(Kw|BugYKzr=58ZprYj?2^!DgoZJ$)q^ZtD7yD|w8TOJ`wM#Ppygxx~K){_Xig@`SMhzL}=ng4w`C|x_qmdTAfmE!`18+s8Z;=)jtRhrciflS_RuumpED@8axgL^IsQYX@pN$ua6W!JbZfjOLL*(@DLcZWkQx zM1IkbV7rL4Qmqsm5Ybj@z7p&bQQlN;>G2qPUq7-l@}ZnkA1)F-gyvVYC~Uu6C<_*a z?8jY~08zvzdVnD~V|pFqxWPRmzm7iNFeW3rj`^E`o>5qUh;2YdW&t9$E*W_Rh}e$I z$SOd@b_+yt$%~1@+7oR^F#1J%xsCdgxUN0dh6JO35G6u_(RM_MkYMx;qC`kAI;lNX zh6JM*+N)*ceBvi$`rGHLY(}o%EcQo~h`bEJqI3i4&xt~XBf_;JvoalVT2H0@YTG>e zwaPNe;|W5=E8ne&yQ;@(7ZM)bp$+zZUKIK}TX)Jwd?NnEC*l)65%Q8QosLbGX2iai z;y!~kCH5C-aV$2z#p~+A6?TW!&1Jz={ttyIjEu`W0rnpi$fFp7kz3AwF$o^7q{3qp|st{a^h`Zyg&7RJ>+;-FTHb*Z9Iy<4)3AI^JnYrdmP$%kM*HF z)OOc#`e8lx?JhSCcWgW|uu#BGdPnC-#@y$preh-C9Vs;Xk&6!{|H*jNV|#cf>UL*-KuW{SIa2V ziIXPkAgf$-v|_KBo)S=~{K4#!;#Y_$utQ4F6XiSejRozENOAP5A8U|Z>t_@+dHWtM7y@WlNq8K z(q0geP7_M)X%X$T>MQLv5oIw^uRS4RELNGdmqp~4#BMDLSG`1i!VVGDgSY^pTBe8U z4N8`h4iRdUETtV%-PT@hq~sH)Az;bKSH09;Y9v1-4r$Le(jTfGBG;E1M_fa$FEdW{ zQG2nNbd9Lgo+-xuw_Dol#gq-i3GK;Z#s<}M?UiEkM`FMBd@=o_>H%_nsSM(>R9nns zsQ!{R_mL`zozliWTBYi)w5^YlOq`Q8^f8iEucWPg2)^0 zQ$F$0vd}vGPD$UkntT!`{czvex}ULZ0j5c4uy14Erx$<{0r&vmP{3Ky+ydt>b!(zM zhJtR%hsna)f^R8i$>Q6>1Lb37!nV*r#YR-@j65M{%0kLSClr%pab;l(>?1Rp&0n z9aP8anff>N#m~e8znWK?o*ppxV4qA4BHg@XpB1 zWV+$JRvXK(Q$0oI;8>CH6G>t`rmeNu^Y~jTxMrV>>7LBv2HTAMA*a2ZprpLPNKsI_ z;*EJkqu{PwZ4PVnzpJ=yj&BtHUyQwXR8v{_E=&@V&>?{wd;fUW z-tYT9d!KVc4moG-s_i#&8N{?@1!qTXm_+%3+ca;U-$b`LD6~w2RaHgva_-56tXDTl~soIJiGwQ`E_n=ED zKB5+dk+tUm;ef)X&gpO5WvUn(5xP^EL5f>h^jBYdD> z)VbP-PAd%SJhuzKD28P^Z5ORpP&3`Kg&GAr(L&UBg8u6vmmJXM`UWwp~tVMC%mv!ORc(JGg<(Ss!RQT*u~&57bB8sOG#6^f79^ z=1C^{XVp&4IVQ9fYKvynHvgs$YDR5yy4s*5wOW6z+N)n;jU4CGlrxL`26L+^Yc=I1 z+$6mMu>=Vj4ei*Q5JG1(n4Ls@ES`l-jP@0tGpgQdlKMq;aO^#%N+~iM%(_Nx6ED+F z33jw&8Y>qRIS=Ofkt^YR`@>`cdx*WoXK;*PMt@4?0c|0I1!c%uYJa+e^ zor!iP+81bFpyiAv1YxD*OeFKl*H;~^zc7W^v*#WWx=!lKI9(AJ!|$p)ct+4Hz30zW=PLP>KNlTz!dwfqVW*|cVLjsWnRY4jjh<( z?8MXQ2^Hibcr^G83={iD(o5A-EvDF!2H??fVbIdeB0gpuc2M0eP$inBPUBn0IL!jG zTAiJi0lZdct*9hcx%MB2s#=}-#>63P-?YWpl-liKdq#(sTJGWOkESsWvIAp_Y}?;F z>@pnw^{;@9Iic z*61FG7vPXa*GqT-j%f7s5PU776+6ukttny@yKNC(DjHGja`N2S`$fLRRt@_X}F zh$R2bi|dH}g*=uwqr*31f&5gv(O2mJ^k;J6TPP>&om-yC$Z;a(SoaT#G}> zxK5i2zlT*575-g*4+Z}49iH4tn#;6TE$KAuv)HLh?xglv=Beg)n)WgNR^@k6`j}%? zt2&MCEY7Q9I_Y)@K=xEP4yJ#g?~;(Ti-IletVBviuyuv=8brONmZY0d3MBs^o}OI6 zyyaM73vd9(TaH6cmxPX*u>MKCRD`zbtzs}$M-bZ+96W5uV_(%{O?v;@I@%A`ZB@bV z3zd(HJO<;hkuS#%6EJb-@2WFS#?Dkhuwy-Tre?KR)EnNV-H>Xu^_k=wYj06F>ft4P zKl)a+QAt*l{uH%ONk$XxzS^cFuZj8--bHIN&F4!^wOhIj=F2vy>{|@GO*2g2tUf^d z9GfAcPZbK+7L6%)TT*qo$g|w?m3@TiN3;Lu*45DiV+TZ2ejilLDYZCfXlr%O)b`}{ zvo4=9^tl$h1Y+GHi}7}}8V$pOS3y!p17ku;SzJzmz#56?FAUPutjEGk-586U3Ui&< zF*D$!Qjaj++S!(x<8M(9vYWBvw zTY7>8I6|PN!N)@9;c+q?P&(&*N>a6eIE3w+H->{%rXtk0Sl{R+<)3et*C2 zpR*6y8+uM0D<3+n)@)ju7uaxNhraH?*=J4-ZMN1r%~^Yw{0lpLb$8EZxaPOnM(M7f zUFX(wVMm(o{7LRvG147~3#DRx4@rBWP)s&jeo!GC=VIgKrx3Zg(7b$l=o)TPYOb2> z&Yhc@rY7y>=B8$=Dbu+XsgvFGo^i*e=DU&E+@e&z8)-8)Ej8=7UIsTXHN!;zuG%Rz z&xAHoZIL?31g?xhsW~QaWi&{gQVmx|uhfESxH4LIq*lX~F{~rA8pT2MJJOR-G1{#o zHwpg&SRH9e)I;i!j_f4*Jhe&3s{K0huhHz)b{+g{)Sc>xj;w3+JT^Q^ z?0vBJ!QKaZAMAFp+re%JdxhmF>R`xRqyDTemi9effd2t%01jS)1268=iw6pD@C%rz z!u5?{fo5g@&T_pA9CMYqjxorOphZbMwKE4;LoN-10?ne5q;q<0lC9dM14cv6`JyO| zZU5GC;~!RUPduq%FqEYXMm0{O8mCl^!&h7IRY43>6RTiVG{g7 zFJnrgIW^X0mgA%8HLT-sMX;^0JI?ZsSyW>b!u|tM(zFo#Ag!!1yTce3<6mQV$6{N| z$Qo)oBP+(e#^*Il6J|&#A$Z}?l8*kwLSHUlf zb6zGdP5f;m<2P=?Z`Yw@wb^XS|82$#=+~5zATIXq<>x&bo3Ii}5jLYNsBHwJv(q zfPTBL4W5?AZ=4iD8jYQ%jN^LScoR1ABTR3}W=0eK$5uCmwYp{4ZK5tsTfT!nU$R8I zrks?^{1+<(hPjqIRn$&uJu^==Y``+i-BQ`6@EnZ)K#oUdnC4znGwBp1sm9=w#0|TJ zW=kX&oXvEm&3WexPfAu59<)8^*F&|{I5o$Z(4xds3lCYFU0}K@eFh>k zo#TYhidci$6$V?xErm6M27VS#lo12Lb-tHG53us%?IC)pn-nxLi8KjYt|O<~GWv+}eoK%|YVuqR zZ3AiE?>}@KFwQ=NQ;F@Wco3Pd0za+!DqD$hQH`iI^ieHHtt<_@Q(%WN@i<&?>ck<+ z0qGMAO>b-qvwszMy#`5m9W)vbc|7|6&%;I|Xj9 z6Tb5nZk)JBFN>SFk-vxZl9#=4@*c_`yyY7cf6?>i#+7)k{#jd<<6_(Dd{i{Yh2HA( zhPIWLToUsX=~E(0;+`TfjZ`v@g?$?5l5`enEstN4%A(NWh*eOlw}Lmmc|t8|3_P&% zYAKJ@-wO|VnV%FJ6&`Ce871{86g3*%lh9S46*if!2SgbPt4!zFqG!6P%}EjDEz_aN+ z0Xu8|hgCHwPk^nISd%SZx zrHnGp5utu3y^MWCa03Y;Sw}>l6pqE7J>+@3%8s~mrbM!!&Bz?j62&YEMtRw{0*@lE zV!t(lxT3IPuQ)vJg*19b>Md4V_csq(+_9V%V;by`&SXYs3>Xr=?^5`_!$Wc*c2!&> z5w;SNnpS~2)c=*(F_MjzZ$#-Wi$(C&Ww*ON7vvVLGPsawhmz92YGr$!HVxYRVsSM( zd|)E9!-Rp8S8HW=?Z>A7$z!$d?EaajoY*o-zo5xn#jzdNui2ngbQ#=`P1H7ZVTO~K zp&+(jGr1&bh_)UDA)Q&g!-LYxTkWy9Cj7}_Fy%k-_JR|_xv_hp0D7TOumQZHDX zQoYb6H?}1_kfco9gRqsnLSX_jqRmpqyZBqX4i|R1Fs;3Z!y(Yv+T$qdQH=^pc&bm~ z1q4MurG4i)bfi3`E)Z8Zjb~xob*vLSRL*P8%eQ)A)k)zLQ>&lH^X|y1rP*s%%29J8 zADMS@_@v_u^QwCamtuDx!G7NKj&bRv>)gd1+&g;fG_mrUMnebYiD(Mn_KwwJRdV2y zSAy+_L-fz)&VqlRXY@$NIYysaZ`t*+{iCNl&Y^xf{m!lnEAd8=_soW#CDP%dU~uJY zCa3?Duc21nOH2Hc8CcT3d5Ussje+z_re&BVeSx;v?wnvnMc=# zU3gANpQ2+&wAvieswxSs4BF*(FP6CTOY4p2Un&h~WnVt6U1Ik_IHt7z(a0g2ATOuW z?IvkrKc~IzMro3{+JGASJyxTmZAwEEd>0DKTsR5XT{kJBwPSZZsmQ7wyX$^MX6-%~ z^dG2mH|A9!$^A0z{9wnYEaT{a7K^7=vS^1E>!<7q(UC2zr<`xmqydhXm*v@-l!3`h zmz?W0HrH*Hz++|EEk44VVjKyz|bvpAi-meTAou$IH+~CwnEcywq zVQNmTzPT(-%i!DGVSE;x!AqIv6=6JYbZSAjxsCXp{P#vZ2WE!qiO!?gZTN+24=kc) zc7(0Eq5W&{uPTos&tjh?f*D1j<%xZy>ze!ymp5}d()#ErmnWh!3_aR+Ahdk4o&F(> zbANr9$wRTLc3COwIQz2lr#ec$Gt4aC;-~PFPQTYFS;*0Nckt_JJJrKFvg)aM>d_q; z_4GiAu~SSg9@5-9@^bNz#_pJui-)vI?etR95Ac-n)zK2F$LOE)nJ3nX{sZ3&bft63_&8nbh*w?qOLN_pt~g+X3G7~s~B zr9EFhL-6~+HS)!x$IAY$&>)vjrso{L(c&&$5b#?T6qfbb4my1@t(L5$I6~FZvOv2V ztbk9Z6?Wffmo8rr7(%rPs^CwiNz(np>g-ZR^#74b+J}rfBU+4-*auZFicIS~?jf&G zcu-yweFPpRCk+|QK=QDYUHZ?FJZ!=M69Hzl0!e`~qJ?^m(|LxeP7(Y_3qar-*2tFy>ryx_C8id z30;4?I5%2nIxRWXb;xO6RhgmmxX>vfVd>J#i>eo|sDFLxQ~tLvi@q%%{h}g8|HF^` zClNPG|K9aa`|$7eepyFf< z+AhN%EMm#o*3V*vvH;1@ygmtdMNxw}c6u^ROf%Px{8N0f5MJe5B)bbwhtVdBpJ)q8 z$^RA8B^I8u9IpK~eO)mrlVvLg|c?zlhTU99qr~P zWn@&*)f>Z$X`a9$pXE($j%Sfp@c7LsEXp@tX-Rag9vu~O6Kct8d9zBmwWN4nR!LGV z^)N5b?V@)IDwaDDg1JooTbN_LN4D^`hN?9wWrR)2HMwDV2nDUuO4b*n2HYsQ zwAC)1{crThPEtC@KE|}vz0vzH`Gk5Tb|$UvFz$=a=xC`}34JBo5ko0OlE3+sb!vNg zODi*ku~f8A!7TO&7d=-P7dxL3EJA_lh)zm<;2Vm+hN49Vmt5@m%GA~+E!M^Xj4{!^ zEzDfp{8!=Glif$12bZ2vTOPz#)V3UrKAV{(U)ki>;oK0~5!?`3!i!j-O+;B6ABV`2 z6RrBWt`CKCcq>ZsA`-M;%Qq@bQOsi+0-W}hA5dP`%`TY`QKY?Bu2#;|g_o?r(Dmg# z%ALBnk|_}t+BfBc(VnHot)30sj?n-LqnMSKnsotOkei^>XoA7T+Y|`JY@d=7+qD|A-1SL07 zpJ>8^Vw&ho4J~N=T>VWNk09P$TASD(DGZJFN#<#%wAc?>`O}j&Y@w_IW^k{PMra&@ ze7~C4AtlA=&T3_DsopgvUF8Vh-AQ(|_ZmiXv>mllGOh6UFf%I&Q@fxPu{-;fmNn0& zHlUWZANzrFc#XXuXSC9&hB@TBP_Wr0G}HZE)m@jsOxJgU6E0DiSQGr{LYZqpRmJfQ z=31^+&EV_LW$;v!`6hFj8r2d$xzEB~mCQHlv)rqi&!_b7p&3%Tu;=Nvoc;psIC|FFEtVw_7kUVh<7Ej_}^ z=S)?R!q+R{jKESc#>;y-BD_|mW`EG1u6_hR*mnjug@e>u&ZHP>uxUD$d=hn`CEM%F zk~wXn5o(i?eRYO6ERQK46padt|M0IS{V+uW<*Eifc2>;T(qz@#tXHxt@|dA|mj_2f zs&`RrBzE$acEj!B&C`!gpXD2!X*J39vniLJ44z%NIjZ2vO~avw3*J3u{`@xf$LEym+n=;muKD@p zvfGcN@jo9|Zp|Iq@L^JcZpzBg&p*GMJ>M|q{n8!Xe((1$z4`NT;HEE*FOBa6E`1)Q zt^GL=TKo5mZ;z*p*wFSebfOX63GGnN+;-8s6 zjOkce zpD#

^i3^V_(Y-+#W?8t}ST`ty6##oNBCE8bM4UB3BmPHnpT_nOj|(?!<1ddeh* ze_Rqvfbp{o#ecm#v9<2Ep2^~ZTVsNPN1mrJZ{NDbZrk_ST6gM$Lu^vY+hFzEmt)t| z)$~jgZzDRJ(Ro00HeIQz+W}!2gv@Q|WDuR5=!_&fglRF+*@ccNI$d=$%v!v!+Z8QZ zzQOtA9ujx%L`;4%WS#imSvHI@w?bT&?3=~yOWd2>mwY$6Z~Sga7cs}mS;>rVw^x{y zI+^~+IFm`}Bpf`n^ukZRE!Nq!-Zl0g z*rS!VUD&T@au57*6E*Ee*BrS19?!BdPbq=YA+0-K@0{Oor7sEyX0XUdB!6lbBODwL zvZ1`-euRGXSh*|5DBQ}Y)RNy~XMUF#r3d?KbcG#CZ_^zmM%rn7Mum%yAVo7vJEAmv zFt?s`!D6N`P?M$gEgdzOT~E2d*sMCGFzO9FAS5Yl29wk26rO1isz`}={z2S3v{;^f zP|_XhMXG4QmbcVn^S3zaKbg11kr&&)sTiw(mkRe3lUG?yRC#rpR9jtFS$C4F*)N2p zx`?3sder>eQmAOvOR}u)$?`DX_pje1eaez81#0)g1I5&Rk_oCKD2TeF*mR$4ly+&S z)isN)*xIwNbAKp$prF*bhQn9YC@3Y@@CV9WMQQHh#gOJF_WU*f=adNN!l?kiqFVcQ z&|0MfEK5fW#&^@UNFTwwoSqr*2AK%*@SGHyiO8u8okMeW8Ep=i(>%G1`kEWsoZoFc zO8lm9cQ0v_>q1%Y zZ<pBjMB!fgs0iSl~e9CCeYp1Q{l>bU@>ijoOIcNG#vJ|Ud0bWi$lwk^l$M?`ztLbvQ{IeL2 z0)=i`YElJRDocqm92n;1jaPm(M}BZqZjw? ze#Cs41Y6f5=IfX`wdABV?FQpw%=Ce=jV`NDBX@&*`=DVu$2HnC*zyi5N|as1YV?nT z2ee6}?lZ_M1LWB z95W%9lIt`>Xsq!osi`v!uv(;aFA6Aje=eAS;=98KJd6FGi$)cZihX~Ox5`$_cV_B8 z#10+3#TvP!lx&@2$=*z(!}tlmTQs^gu1W7cTq+h9xwqywkwcNuEUtyt?RHPNLla&i z!#)SwRynW8wKZ=pc`05Tl3S?V?k9z3;q5toF8wod)*S0HJR{DNAM7$cBN_W(#OG(* z&er#BTM#>Z>1gE9Fn`0OE5GZU%A@VbV>MIzgIM6JY^~pE1lNu~X#}puKga1O&Os+$JQ@e2k^NaGmOH_FbOD|Ar zf)bww`3Ug!RRrOlB^{9{aL=0Ho)v}i3~|pI_P}X$j3Na0Ea|P}sC-u|<6Pi;vZHv1 zYOT(yzowO4;WwYMOZ-T+UuV|8t(933@P=Fd7(kk z-NqM~f2*Q9DJGVm1uEUDAZ`Q_fHV}=m0Or_%mr^X7q#>OgF*fWS9#uL?LoWIHlcv>CZWq;2 z+AamrSIMTDC2g2$Hso;8u8si=1K5B)zyxdo4uv^5g60tvMe}HOqIvXh4IAM(F{z$v zB{`;gtQel@cc99B;4eX??mcP3llP>nXs@F6Mf>y83(8~y8sPE^$~`pz`5xqZkViot z1$h+YQIJnUJ_-3GKwfgG*>s5pxZG^|New{$1o;zWGstF;%^;gWUJrTwrDK%! z8sPFVO0pV&Jz3MdGyg27q>Gk?J?9O69*=n=-pve3bNrf?QbOr*DXk$;z#?awoEM; zf``^#`R|#?#%L;U=`z?Zo+$sL%VfL6Uw*pFC|f*Fo7-Yv@42Mvy(Ss!7G#e2Z|%4i zdam0ip-dB5BIz>EmQb{5r53p!W`cXVpkmkcRVQ^`t+{rTf7PWO`Sp7C>hV~%AWsp0 z`1_GB?`!h23@q9# znf>YMnH`}hgB=cd0Hc5aAQEr}f&p(}G~f=51pI-qfGaQp@CC*Io&YgdAWCa`*RSYGWRUA=5CV1w&df zqyiLY)U~!A>5v4o2h5XTE&%=j<#^+O_C9b5)a7>uyCXhAS=~6`Ep|S-Umtl{XUOUc z*SAxjOS=mj+9^LQmZ^5RIMxNe6HygGOhDye$oKSkM#i*tN5*?2?}I12ljSO zS03#hUWjC$lTpmIfN#^pnvSFeR!vsVkhH*|iOojR0wjyxtfZCNba`b9ChF3fvyQ{l zCZ{Tou+nH zpM|c9F=0N-tETB9OLDu-lf`u9_)ccMrTIR)|Ln9`ZB17Mx3nIc((Oe#S@2`uBian$4S#fyl7D z3cVW^j=~X|c&*<+a9B}etMXh591fgrHxEb2dxsiQxJ)RQdFh{#{wd$#MSjEP z#VqBUe6Y}{Rw`Jn1+_GD@o@QmFJlMRGi9tIqC7KQZv)&%w>IiKu=fitt6yqMOKIif z>J1xMGqEf&w)M(ZKN0IjmkMfv1*`EUu9vbyS2+ssO7c3=2bvsgcklaj>w zEOo1)_}3Q>s_Jj|wM# znAbe&>!?i5O4}w-MuB1r^F)}R!#n}zkzYr3S*^643-c0~$H1Hi^Bb5q3?g-VrEq0Y zWLKb76-5_VlIjNsIhT^mA=C9@jkYn9yk1hNENx-cBjS2|i(S2?@2zEG;ROvTOLL{n zAijzGKr?$|Y!hj;CVS(ACdz5e@{RFx^_FSkHm1xaf6>fHjh;&yR^;pDbrLZRW4!#9 zAg0073#)QPMWJ2+pQLH3DSz9y!_3ohU=WXe9Z(4bwOT%>#K}(UX5Ps1&O+_ zu!;40`(>N3rf5{}eT!6gj61pHWc!xTo#)>BC-;=SyKyQ^E^RkFfPnn%?WPA1kdFwk z*$Bu-1lVi@v*Ld+*-Yfyzw1LwdCu(SsmkQ zNiUG7I)ZOjA9zKyML}+jPS@v16SXd-Hm#ZUW&w~DMy&Sbr^4SHV`|42bVpP12{XkzJC29Q!?8|dZ^xukOw0XhS=RDU` zt-^|8feFP?{139Jj77o}y-2GlVTw8hONrzPk2v8?%}uRwuu+9~oahMlPES}HUtl~@ zo+^sy4fLoY6}b#1Cy^lY2$4|&a~+NDkk z8h4HCs+kKfE=ru_bm8$j`Xy{XWMV1O^SNlCh*anF16z>eg2wxiH=!blG}B}iV}de$ zz`V|5i?Be0ciK#|eKNN8a~(}p4O|17fIgre=mroqu5t`UMe1ob`tJebA{+fmO^AWj zB>?S@^|LJe2uNmG3^8vzW!eB%fCIp|?KBv=FQ$1o-~)sM9>6Fd0Eh&ffndNJ7!A1Z zQ&m(TBt`!b0UU7*+A(O)qCJat1=TiBJ<|59QU3lq=FR^7=;l71>w0 zuvpJWx<>w6v3@dho$95+Ff2BNI#W7B>(^;l@1I?@NPSvZMvYnU6ec>0 z(YbGd&P1YPiOxKtLvZql4#9zsOmHZ{i6uG&XByEVIFpDD!C8dPDRADOcCjytOxY8A z?S-sNe0p!f+PXkOy&CEZ2~cyO{$fe6G12?IggOc8L%eWxcN{wN(5X0$VUHvje;%DN zVsv(+bA*^^Gch6{r?Hkhcu_m=bX*IW!E*b4~KI)XGFq)>un1=3?5kRB1Fvmm`C zNIMDA1dx^zXS#_{6PGlz=0@KV#>;E-rw|?H%WH4WyX<`MZ@{f>e>7#Ta3FexZ#Sg=Mv)63oKrWU&&nT`76ioaXk4$(|q)Gkpf>VG7i52{i@kRbEhUAjY;}Y?NfZS>!rE2H=upQYE_Fi&Q_ z9MT?f+37emanF0vZC-fP;Ms&1^qJzaRVDj~js%^P=)7Qkb^O`$`)N??J=0i2<~6q- zS4wMtGz6x7T@h1Zq&+hDr)c%S37Skd$Mnbgz`#tq0)DgG1+jM5;2FVQ7vAx$lG-cz z0cjR1Rwq1oUCEQ?9ASA+J)gMe*N?C2epPPAbNR|~f?R~z@O`;+>K_Ye8o?h*lo->Ou3var7%V6`zAp)b79GCmOb`<@L4Ubv4^=+%OsY%P(tMN3{L(Tg|qQ zH-^?sh@0US@!mFI*tWk0t}ST&8)+;hgS|C!R9|1%Z;XL{i< zybblZmqoa|&q3+!{a(XQJsY-dt^a?`%;Env@uB}Uo)P~w^qBve7rfy+_;Jr$e!Dhr zS={rNoBnH@H~-gUZMimYw6%L$$Lu}$9CqQ8`tAgw6~gcMlxQ;6aU1;gW>-P60H5(n zfBf|(;6vR7%hz=L^)7>EbG8nDVF@_QZ} z1P*9tGBJZY#$*!$G2L`Rz}&N4F~K2Ba1~=t;7@%wAAe3d#@Q2-IAfAT2vdl0aTs?3 z;|_zi_&mng;yV7uX}|ISB^0xr;em1pl=%eZEGT0L3ck6o0zg>`$}2Yr4iI)?{>At@ z-p#-PL!tPLugWXpde&mxH)0&VNUun+j0JTpaU5p|=9pwT7h?h;Y`~c1_yXR2f`c~T z+xU_Ae0v~##SO7M5wrr(Qiw6c=^rC#IJb{@;XTN~G0qdyx`Pr(P>9n%2ueOdIRi>4 zK_O1xACv{M+C$5y?3sIah0FAVUnLL_IG|i0D4Rh!LQq^m$pPg%1XBxGcETb6jfOEcerYFXi=p@(iZz5H0Q420M~N{b zA2X)F5(mM?JFR|pZ9?gRP8SXKoLKv9e4(g^VgL#cD7Je+@f#F>L9q{tue?2BckgsP ztD4nvmDF}AfIeh()-Gu5lF{1FP!5A~#I&uH8JYn4we@*sbxasTmy9mV?^~#Jgs~DM zu5HLO%d|La*FOcyKQW3&7%m6U{V*ca5{48QZox1ehO3xvvKq&+J!{uI98+b$_zK36 zFwTMT9*)(7DZ4Obb1(>#KzI&oCIMmL12I|0tDi?Z$KCe!U_;RfzSs+7-lF5 z!lk5wFttXP9?z9dvS)iIFHXWmmqOvmz2dTy#w*q_|)k+#tj zU)Tma7wR(n(|Y=sI~1p&h=Af9q40#F4GJ<8!?{N9SS;`MNeO$LQl{4Y=E378ZfCK* zXQd_W*)zTr3U?@KSx_WGF$;?6go0osL$M4BHWcXuWBi^yf6a1npP0>+(3TO` zs|W1`Xy=I2=V97FVkYABeL=}5DBD4~Pf&={p9snVf`ZfkYYZqo1f>C#RfIqsnfODQ zgJ0nW{xtA+;k3UHCpQz6NPM419Oj+Y@N{O)JGb53E1RmVyiy7DA-V>K;ek7 zKL~391Tw6Z7@Oslc4Jyi!srccyerH6th`^eaQD<-j&gYxu|-<@KGOr%)3CCk_`!xX z4OX9;Tjq1PiBU~#@9)jYdp=xT4uvfgb6HS$dt@}b10w-{U@YJYi~xLrF@Pr!3IqaC z9vLN0KoH;sgaK|q2;c`q04~6Az{lh6hre$qk`Fi?OxRX6*JV2SsI1no-8s#}X+IRX zP%I=AuD3$}7|#9bkr7jqf0SeS^89i}P0v9N8=YXH!$HRoovkc%yort#I>U$#!5K|- z2o4pUDFnwIon0QcR(!n8TYh`_nuG<}H(4(yzRq$vpF1{w&(?#RdCN~{^Y%Xa=hR(Dj_Z%u-ol>4gwZevSdVOFIlK!uNE17vN_I5cEbEO8U z<0XRz$1NR26HtqA_<(n@eDNhsywI-X8?#q7H(t=I8z1E0!z}ITV0Hu{Tgf*Witoay}oV7N5V-Y19 zqwy-)YHj+)YFe~suvNF~CBY4>inw@G9WHX|#rp6H%`Y8!Nu;rgGT}D@yUT=hv<>`l zl?aFhE&{Ga9=+}}1o2oHsjI^_%OVxC$e}!Kh_qZ572_D}7~yVJbwL-{e>~Hmfgw{K z(=F()&opU(la?`@v=o^}`PkvTS~R+x*Q9p@JG^s>+{^Qt$P2K;JF$g!&i$V7lqS4? zeWrO2c6e75x%PT45q{PLAQam)lC?q^&p)ENT;w?DCJh}-x6|9jbEof$cc&MKFKVxP zy@o#ntHOS|eTD6G`wtvXm0stb;Z&F9LDtq|txcp3CbW2STC9RD`n^-6YVK7PmVYSh zUkStl(|}1I3WEsxu(8%dc4MupF(eK{rg3e9CLgChQ9mm@S8VPfHAAvW1CPtudLxSb ztG2aRDVs8l3zsxegFNq%>ul$cuE|d5{SX7yC-k0!W(-;zuo_fFrm^-d=%r26X19Cf zK@7>XnM3kpzO;Gu%q1`O{J1@H8h^4OZ6>5dNO=7>{kT1IM&Ufa5zPTxhGjZlHJK}( zP8)S;IAurCHo^6lYsxK|?uB!jESvo&8+HZU(sYjDo(WsNKY7{W3>A~^?-Zk;^?ypOT%7Ryfk}J@ACIQ^%M4tsb3^3Tf4{s<8JtZ^o1a`fyA+R z`S7_(&Ea_gUbjwMU(G#p@^o{;{ZSkS)C!lpN4ZIR=IoHk%H}OHBuL&M?IlRZLE1`? zjuNC~kggIWDoBTknKpy;B?MAr9&9&>qm1A|7W#YM-qbrFnA%MbO^0yv+AOB7>@1D98s z=^_!8M|V||gHX71x7sBr+YjD~mO;sWRHk}(5Wh)plco)8i`0G6yM@~`jaM;WE6Z9) z*DP#RNiD;!S>-70T1>AoAE_LRJnI6N2&hHoa2yu$6lEE+k9bkm^X#dfiuJ-x{P2VO zK7ZemyfLHR>?l^>%u21=-EYuN^H!#g3(<4uxu#}^P@i!}q~_<6ePmbRL`#(TjhR?F z8mR>IK59101+BKnE&snK&idn0Hk+p!sjzOH%%b0ySZbH^ExS>Y!WM4Bo4hFVt){E| z6?Ux|we(YPLt4UTbTf8&w@#|3y^)$*ciTG#^}5|a((e7H;g|QDo)IsKp28ni#>`Nj zSNQY>91u7t!dp}B=zW%L*OK_gA6O?;B86Fb!X2u)Y`M0k+%M)xxu0q`HhKIS`2DTL zCJh!7m74}W2z!7?#pv?H<9aJKr?s<69m?bC%^TSMszo|-|G8F+b4~%+GLoq#w5(EI zRj|u>xkmZxHdFIu0B4@k7B|!mAV-1q=i~IZ$i1A`$vDTE!2BTFYuLk?A~09q)y9^h z8cnWoq}6>viu$8=QYmFHsoNlu6N+6UxU!cT!%@TNg4HR(0`omPr07JT>Uh$B3#r zuZwR^8PfkGV`!_a4b7yxRL;Ths@kn$1k>!;(^SF1W_Fw>D#Kt>J&URG4*nzCCa&U$ z$x*4d(~d#vFK{_E3pRZUm(yHb=*FBR`Z7crA3-Hd$u_69I;xTQfm7@?5*5A^3D+q9 zRoWe7ve@rqP&XLKHUySM&R*VDf;9L};P#uYwoIKoM7e_GtmiUmK1j~0$V5`tO4Y0) z_b~ia&BRYtQVXpfKUK%zjD(*mADO9K+J(H#6Xn~xkeAtCzNQO#ndiy3bRjRZy?lKa z@-pYi8@iB}d8`~sMQo%i!rf^sX$bb!3=nZ0^`U-tHrd)|B_X8LB zUzE3j5x_BE5%3-`24uhl;40t?90cY7uK`%JmffYE-Hk{^nKm=R_b^}j< zO~7s781NpD0at;8z-wR!@CcVznSQ~>WF{tC3Md;Z3RBY~gTj0qt#M5zxSlnL&7nHx!JrLLoQ*+6VMDn;qq;gET=2~Co@8zBBIX6 zr)rkMWiTTh<%n)8%iyh|>hIh3gwlo+IS3q0#7v#a-ntMR;A{ z>?*3lV{pP9vN3O7bHW``rR)qs9_d@eU$u^%xNl8W!#fT9IO|l>-X!hg-lW3mWgg9m zA@qYh@A7>pTB)FP1%?YLh+|02H8hhPR8B1&8Rl}B6t6j04G%SqVhsh6S|F!WN+l+UXoP$EjqX~$}7M@&z+l+nigS@C|MglYaqzW)qymf z7nqtHVRS`yIhr~U*67usw^_YO8`5c8AMmwmgnE)TsgqOh-awlvo2eWPmyfInIG(?V z$rvCvdgSZh)l87DDIa!+b)+g=myw!tj`j+hoOuJZM$aBNWaP*N<+dTLoM_h;c8JxZ zXeJ_T*<+$dv@kj>+PS19%p`yjAB_jp zT%Yxb8!7F`uGgCf|EbCK@P_mo>CJcXEe<@2)OTZgy%tvaa+6E;wo?yVo>tv;F>j4~ zO1+Pyqv17~(|F~YLWRdE+E}}#h=Gg6%EqtV=YL_6+1ckrfusGP?b=S`C zG%B;)DqNwSj!awlfgOcRTgGQ3S5@Z~_PqfQMGgu|Yf72^XPK{(qD$;Rc$ouhifFBR zq;_hle>pdozFhKJwOdE)uWMyQ1k5L=a4+knU?1T8?rBw#*aw)@865q%*IN4$*akcR zP6J?tPI>q#`;Wjzs2*%_zYfvtQiuG2wOT7X~>gjRP&q&Np2}-Omh?8wlS`P$U`$m$UsP`A18#GC>*d>zajDyBk z7<$N#C|Ls|2YD5gebNQ0kWR-T9~z=vmlmF}Hdw_GDdBc|jTNi(3uaxjx~Q}Zw!6lD zELx_c_pcpf40*3Y;$4I0=xY5+SWVUrl0jViWnGW_EL26KRenyE(au@>;XJIcBb&ttPH{{9N)5 z&5UMu_*{+z{DHB6D*#{2W?x_o;0c5RfdKq7OW>c0XzRn8dN*m+MTJ+GixhPx!ooZf ztDY#_!kiNYn-n2o?pN+4M)l31ZA8Vt2i#>FQSomym$z|JI{CDEmeZ*)`=^YVRp)d` z*y2U?>0>dZQnPl8%`q{2D$6n>W-8y51rG;>g6-uIK-Flb%YQ94O_Yw3*A^Qm%C5!? z>olunpQ>7@o1B{8jo;mGF}wl2Mz`g{+v>!UGnv#I76(<^icG^MG*M^D7HjQV9MY|9 zquDKtboP$ukuAg0IXTg$E$ln2N74Q*_IIqtMBBG8@37BCk8QEN!&wncY2kz*nU`ye zV~7#Av1n5yF520A zR<5g5Fb6SpJH1GUkq^fd{!jc`TBu~W_IYE5b_;M1I0<|L)&ngZ^l@>r|T`3ImS2+Fv}CG@o=2be|&IQgln8&rlz>R-kQ>| zA)A^n2C4xmupW>A>i`)5dk^eAu=l{;1A7nbJ+Sw{{*`x#{5Jj&*^vvx0gxRb?}EGw z@-E1`AQx~C9k{rA*a?)n1?&OlGs6!X-s#i0%gB#;%gB`75rPIk)&;*nVz$!6N4M^YV$6<&o=(_+uhV)`c5{8Vz5Y98hYS2AEVZuBf=BF?xR;OvV zTJKff0geMlf)fRgi)zWYr`3|%E_+dDsDVp>1b-qHH zF^5d2SE4p9r8zZ3U&eLqKUHjECG#kh6dV0OHlnmnJ3nM2T38y8>3oCoQ~F+&*lF2h z$*H=l8`m6{tA7tKA7`wSRbPQMz$IWG@Eq6z+yhPmOFA9;EbNuZoeVfbR{gC@Y>v;R zev%$kMV6X&1skXLISp(-_<#eOR+(v3~c{XlV7f0OSS)T_00M0s1+)VK;bGZPi$y}be> zDQqlbDXfisB&FJrV2Anu5B&IY5dx-v!*Y}AL6K2d{19F=|By@Twlq)%d>cJhQSNHW zQe%hAW=n_3x6~QVmSxG;*O|VOj+Qsn8NZS(lM4nZA*@P-B19JZSyg%H0z1F%a2MqUbA{?lkz=v{{JqEbZ#MU56Usfp5R6|AjPsivu|ShW&sYpPWDaQ{D?=RD_p+#mOcUng;n zwH9riS!>NP#``Xrw^w}=Sk-+?^j^NelpZ^-KXJFeg$s-YqJhakBrpMh?H5Z55C(jT z8v{%NB7pHg9559~Z$SP@uh4u2wogmlnLauv{nsA;xy}^z!TJd zrrOCh2ie3wS=X#+rEqx66}+Dz)SB+f0ObclGIyG)T*<3193_R&4qJa!Ce^1kA@ipF zg1QU|^b|;-&y-n2k0HnY4A=|&1Y89E1vUc?;0W*=unYJBI0yU&`i+bo-L%&uyG;B#9YlBX<)>jD0piHy<;^0{Zrt9O%-h1etrv4O@Z>(;A(t z`OHQ46jgqCV=cMFR@dS)8h=LX&&9X;|4h1NSc+SaF%i8&5+W#mg8fU9GP0%5?*rJc zHMAg^I*l_y<`qldY~#u>qj3fh3uMc=2JJ=(p{sBw=B7DJ``bXX%}~_`bX<2=j9u`&93W4~#RuB`6^DhiYU)DucdqI#X&bmbeXH2lfjVzIuGrFnTV?n| zv8MyM3JjsPcfitppg7op{>OO9sp`P{8s0gZs}R1%MyIX{R_=9YYZYpn@u+iC6)xBC zyK`riEMfibKUaxo)rLTmHU1~i!AUOziDz$dd@ZFfE*`hW9>D>D)YtlK@rGJ|J_kH< z2XJ)y5@_0-#0TXwu6ZgydNMR^hV$c3AtLO%OzjrpPHM6Ct}7saTABB^hUcP5wNv>C zOA!qFR+HI6D5Or*es7O+*44%c(}!@k=@+zT?ZM9K+9+Yh5H{NQl^8^J3K?%zi_GIX z;xDNJ%rPBfE~#g`%JWO>@GB*L`Ncz@1fa$1-H0mAT1}%%+@G0@2{3LE)7)NF(QDNU zT(bVMWZZ1s4ckQ*0rtkJZ3%0k9MaDsN``mc^){*NvzqRDTp9NONLj6L7Pylwpp`V+ z$Px#-sZ}xMxIM;V(G+)dRYEx!Ej%|Ju1A-JJ;D?lF2LUSlA3eSq#6DQo|oTRS3)Od zd9UA6a;Pd0{5c}s6iZ11X({EPs?>4JIl=93nbY9=C%Hm3#jywOxeU1H`b>sf>Qq;0 zf5{tA$wKrOHQ+Dl)z0!nuR4}i?3aicifYuncL+vQY~QtpS5Oa$A8Gbbl4CcZw(BUS zJ#0b2%s`o$*qQMi~8@)hv-<~sasTKQ(eAc#4>06(D3%ks?#u@C!*B6fx9?||1 zr*a!!t08WdW|=*D zlmO6|EXMJc!%?`qN(B zflaXgen*+Wj`&ACpiE_l`>MKK9Cnni+U*jwf*Q5n#c$^$&RH$lCU?V<7(29@KI9ln&|V% ze}BL5*=~F#PW!Nmk3GtX(A27`X3HFtyPD{v6+CR;N#(;;PsA#~ykTyrOa)5D< z{Ih6AZ9+%HCG7(yCHuuQ5>z+sM$@@^ zLJuWDd)~!>?gHckz1DPDh?%UrW;!fHodPe?T`smID+pg~q&Wj>sbw*_>gST(xLDs7 z#t`QW>=&=9+0lnw%A912&;%U73Bq6QJbvan`JAQTVPX}F~hpTJf^-p37w$( z-6PfLJlfE0kw%i!}e_`D20FN4po;PWf^{0ct*2i-Am58qVz!RQC$ zb{MzAnCC*{4qnHTQjC7=hLBK!hZ`O18Ec64L<5tLM|dKE3CAObLw}%>bc+aAjEe}k zY3DFn^K6Yx7H4bj*sZ84%ofBRk6?%VKy3(h9Kyao$oF;L0FLo}6%smLFxG(fOfsO^ z3XT3Y8=J-{ZwE+1AD#MTKWF(3@`lR4^)6L50f; z6cgBOe}Vd-{|Otr6)*zZf5LX^e!`9hp7oad0}LQI#=8?@I=9Zp{pc8reh%jdgmVNs z0u?*zY1PbS>NwYuuA*M_dHrhJDtE|W*gN%p*ZQvKAHTF6IPVZ3___(_mpuG^>ypFW zTR;6&Rs9hDIW5ZXFUwWMkCT*R>!BCs0w_*{C&^poWDjS7YCDK=@)oG?C?|NhzpG9w z<2~R>@=BTF0Z)>Hpu!8DB#)J~k5d2oVKo&)%@!%T=@`mQQGRz2h882L>85TXpAcns z2W+A)7FBgKH&LF87tN{#-G_;yRkfh| za6yz?3%U=hMN4Zz_u;Lmyfzf0!*dSSd%ZShz;i$7K17+IubF6E2+#b!1_KLZ`UnPN zv1wC1ys}R;?W~7a_SL4QdcSWCe$c<@^{p|_r16lV4GE^tJ;Z3^GLyjrT~4366bZ+T z6{bdy&mzNBlvk%0W|7`%I`4)0LuWFb^1}V0cbcwvAp>;HrbAxX0DZry+Y9}LuFLeL z7yb+Vi0PsZF-$XSbf^cq4%0~;?ty;TbXkY|KuNS+HRwaIwQt1)2|FCdw%QEhHZ{@23n+<&jn%e*l32tU&=m`0ho8}QbD>>lxyH?9wQ`ng^<2MJ-fPV_ zTtp7#Uu$7YXbuf)t&@>&kvCg&Wb9j1skIu6ePQ3dOcr#D7GZ6WQDezRtZA}M_b$#U5Aop0vK2re;eeYN(LBhkc(O~5L`x{ zYLyPq%BYvE^9KUUAhlF9z$&BtXI(iEIz&cWXC#t`s9NiiMD`FR-8v_cK191_U7r|~ zOb)lECsLEChpqDx1ClB8tTPjt$+TasD-uI`$uw(rBBhtQ!@4-pzn3!8TAIk{rG0N* zml)hY9%s!+q&0v<$il?H2FeO+aU!dM_Lp^aV(2K@+nU!+9;F(s%esZmJlxNzoMt%+ z1!8tOIZk8vjngVeYK*%$o8;Iu!w;ODa&(&U9H&W+|Jv|3r)?1NwQ(y)Gl=0DzTxS!KFh*cVX=IkCsD~*>q+XnG2!+)FuLWIkx<*0?=NO+CY zB1BP*hdFv7?sLPhoV`Ni=f)kJ%|dK};d{<5A-ceLma|oeziar5v)_WaYcz7S7R*?~ zP0kJrYOL`D$7sQwF+At&vmnnH_i?sZu*(e(VbdGE-1rq|y9NK+@SY<|M*M3}Z>~rN zL*IG%`N?4DJ2PLNjF#G0KA6SuYs+F3vCL1w-G`{Mo%==({d|Rs-TUdq#eF z1Bzi^m@jKU?y?u>mo%V@?5p#OMiD>Q^YZ76LZjg;)kkEStIhxaSNlpR99RD!c?j>x zT{(2aU47=Q7s3Ch(lZNNWJ#TsulDb~oRPVyz2K*Hn?EO?(Hokl?znv6>G}gt*L=Km z>e8v=w;!Y5uHW$Vum9RU!f^5F9}64)y!cf4`t6GO2iKia>yQD4R#S@(8(4`srCq>9R>dE{$4S!q3wWrL5@r8v9&(AKpnnz*{Zg{Lzq}n0EXnGZwWIb+ z7WJ33Bj-qF_ZPLJf0nH6pHqnl)w4i?p7MsiSCr7=|Av{MN#S}A$CRT&jh&{0eYkhV z7YbFqKM4%EIW0627;=+a0!fV1s<~W0cKmA%g&WEq^I9u2BiM07O`@61jv;FEBosj| z9s8>^Q6Q${4;rWnGoO$`JFS^+rnf@p(?R21g@F%Szh@L*@bOp3zuD?!$c8b|8h>-cxzR;{R z`+G`~@Xw{*BgQJi1l=sf;VPdA`U%eB0cJJxrIk4lQq2gqE;EM@Mow10G>;n$yQJE0 zjv0)&r2g4FWiUJiwNC2SmG=hhfy)(FLC->$;`HmjJhbA@e{ri+*OXC0?ke?R5s5uM0eYruHr_c>59`%VgTm!ugOc}hW&v*M- zAlb3lCA7rns`j~fmbhH?6jzcZ=C*2`E7p>5Ta9-m*Yo3587^*p><4U~Jz}JA6n{W} z^Eb2p%|{DLsXGB)D>$Y8Ip@3u`Ty}+ajvQLYZ3hDEO?1BHhV-;O@wha+{?*T!Nm* zIgv>`qp#$AmFZi~93%2=p+2F1DT;3icmhh3G2A#PPE+@Cr}jmSRgJo^`Jze;RvHPu zzPQZ}qe<5XO-y@4i7lW7|C5N&61gA=4U;@oQ8~T519JuibW+Y_?@&h;x#RP zh2($5QW>Im`^rqM&nv{e0)yWx9mD|LBGaMz;3VoY)phe^kLVs^ zhJBwiT}De{oD+?c1tzgpioD&-sxgmnCnaje3rmnz8+$m>w)l7)i8I^;D;v7oFD9WGfdC}_Y;(}#dv3*ox19PClpUXgmS;tZRV!b-Q&6X`jOYu2R1poXw%s%J``2l}4J*{eo& zu|X7Lo^7IwCYad-4)t#5ltg4hLJIb*T_PxL!2Pb9t~k|7`W=cD8WORwnXo_H8UF-4fWIoo8S2E=>3$&q*pphM|-aqQs zI2R^{cCx&!3CgfR4pY5VnK;PXt*UT9GkYw$)V{o{s1wAUcPaMCvFDAUR^M*On=ZD- zcQar3uB5&o06u{CO6q9HAH2cPKX^j&A3RU^z3C$hJ9aov=SSIWz#-uApP2H{76!KXyEfL?q|6Oxb8B!JbyY_b_vMP+J z*$o~L5lromNL0b;)?p znxPCH4%>j6>PRr{8H6OzR@3%D%sWE`R8x){jykXUpp0R2HlWcC(9EsIYaPA<$qP)n zBVLg60(T8W@X}s@EpUn;YZM&3|5G#x5!Vf=)+kq=Aa@jd-KbS)gqRe@M#zr}JUKzg z9d?>JFTGzj*gbGQ+MaRutB-0_1kcU9}T zah^HtAd$JYMpTK|sAE|Va>G5@l_{gAV#9@|D)V=ZZ6wSi*L8c67qu~w;!JQ_n(XPX6{JUVg@C|^tI=;CWd zNDK26(fW5y5n}146R4VTWeip6FUJu>zQtH)t|c0=`cnuCLjHr05C|C&Ku89JB!3E- z4k3BcqLG}!6Vy1|MjKHH>6{!{u$?+yRPBz`f!UhH%+!I|n#b&$$$5mjW4s_vld*Wz zzeP&7ZzlHebEop)#j;v@sm}1q#4cWb*M?wh0WP@$cxhA5yLR< zweC;OX^+AYIu>wQlAw}e!Go$#pZgiS%wV7u6oi2umoP@ z2D_F!*4gf|qTDgUbOm#d2BuRxaycWx<2xF(bjEO@27Ga>(O@l58_xE z+Rj|25t<`)F^kmo;J}^mhYI708|keND^$Le=;&Q@W|=_kd^0bDQd0rckw z_eDO?zA&TfOX@I_BvH*X>u?t(tme`>>}lOn&h}vtwAwC889+aQU1H>bH=TZ295#Tb zGuMkL?nHj{JGIgb)mJzW*x>NT;MBzqMSd0uM9vPGdf2#cb-$Q8*~oA8Ev8;JHd;4e zGPBXq`fk%152ldvtEedPla9UhxohS~W2@J3;~kMtBB6Ior1lHfiu~*xO~H58Cc$27 z@m#k0^fdwM@us<$1&wntSA4E{HLw~fo)l8JAO@HML;(|l1R#|Z-o*g~03Q$nJRk{( z1(E^otg+;WzxyX43I1MQJqHFk-49^rhO&CNa1QEh8+ZubUx0nvK{H4Yuk9}yyj+@ z-~VF~-1fI~t#H7$wPvYy-!FBz3t#(_mTuX>93n);Spsus*Ce#{I5!ACvuSJYYPS5+ zj@_Q7#caRkPdcz^96Q;^hnno|b7oo{^(T&)lt{MIwW8QWww;+S3QDBg8P`O~i9vQy zQ$)E(_l?F;HJi8*nY;qEojX1gY?Ir$ahZ{K)laxnGsDJ$Lm3uqF)&|BBb%pH;y!a2 zIs5xica3{QxE4k)Bt)9HQ~G%2xV=)}5py5mjIPWX(Gpk*yB5`4zdqQt*dtA8PJe=* zBt9vl_In5`&)+;$z`MyLQ)nwumi27pjFC+Mq-)t;z@G=;Vnp&$s zUgLMurdC{*A;Ee=Ihh~vDb;x2)FVWFt2=D!^uU|x4bhs~ph9w#NLouRq#hH^uMH@q zEEE;hG7D)>VeKZ@Lmv7EhZO2HydTl`h-SD$J0jw>4_xq|dkP+O$B%$D>K}$c(*XAu>T!+28;Ikrv=;y3zv}Y*W?ZO z!2Ix;>mIGY_5dHEM$T->@HT8uD|+T;0kpzJ0PhNEsYU==R;+D97JS>(u@R z(}v~-#r({au4rDUCyW;=j|)+ccO}XL$5KZ52Q&xMM4FYZi@1hWVR5##6xt}&Osgh$ z+paesR_xGuZ}2%poM7}Qvirzgd`^WA8enjOFQamqwpX) z&&(8p-963hD;)C(b`ZKO zaWlCe(9<3Bn{&#L-#Chz)5_3e94ni%h7eymW;9P5LVe~~(wsYleCC+bEFOZS;d{>E zdc;`$7S7pvOgVkKnCZs!@(yS^UH*NM2Nw4o+4X<-nf}l3Gksl&x^}G+6%J!KjE7-7 z4C6c)=fQYz(>Ivwn}IFgU?O!JoHGYPb3lc5p>j+sZ@2mzsAVeaM9#Et;vk1hj`?O5VJsc)m98IyR(Ckk9CI?M?LrkC?I`huN}E8L@vM2mSA2UqIL@2w4Xqmtpz}+)>{`$VM>m<+T65{C|aT)*uM~;QI{a3x{OD zA&23RRG=FUtA|6l?cPE-Ya4{+LdZf0ISbPlVY-)%h}Wr|W;W&nLu-pj#Ee4S%uOZR z!fn)^P+}~R(=^YObW7MY?LH;R5^-Jg&_%1sXhN?B!_>SS#9OG(QMY=9817iZ6A8)8 z9X6VKQhoGM)k5W>!yVJ!m5w1piJj8$jI-NAwKKIl27n&>FWpV@{!XJ3VkVV*%9Zx#+mXQ`H&dBgGL*ie0eX-mD&8~PD(f(-YDIbR$q^L@kk z4IY(I!%@+i4`wQx6RlO7iEQ3+P4fA_7b&_<_xd*KCR+1l!6e#vYmGaS4c;IvW+ppg zk#@lB%MO2png?ofG#RUj_P4d(?c2(EggpoaT@rVSC+8l1hXgGsNx~d7PE#1FNJkBR z)}#SULs$ytd+E%PBUQKsP^b|zfNF@Ftyw0C5zOqtJvK~mP674Cga_&p^VHV3$*M`_ z@Ya~g>NVzZtqGT)eFl7HE~%yFDe~A9RJO#gtMCoxCdhu5y}`vuLc0pyU{Ba@IPn91 zoy`Ai0f~rC2HLh=N%l-9;hw`@#921+N8?;4D>0}V(x1~3snwAFJgrO`jJ>XkQ^pQP zry#HDYZd$0V7@|7Z1K=vKp$JY+kY@FQax2Ub}%Ld|K3g?`Kp!p&lWSmcaxd$!AnXT z^*2O%V(2q!1OT5tV`Me|3ug_G2~+_G^OJ1dfE&;Q-vD0%&w#zaPryasUtlxf0InXY z(qsc4(*`hm(g!fbFc!mjAIAGIPK0qHj2B?M0OM*HSHt)g#(c$qfzn);{xS#JVyL=vp*3;g8!!Y%9;Zc) zry386sofzw##L3N5}g@8K|@#4GRI8N7Aj*h<2Il_`}!FAZet$4-8_VzTG~XTF!TnZs+zhQk$5roT=JTO$7z}@G8agP|^0__Hq#8EBYb-vl8 zI?8F)DX!lzlNmY;EeYEt8~Vdzv-@Y#F_$G_{ZnG){owJlUMd@@m49o30-<+CszSvl z;2IfzIDLVltKNr1Ib!RtQL5&eW7+ZFswrlW7``0}@35G|(g?w{LfpJ-pW#v{MK+<# zr^zph18Wj-u<EjdBGg!$xT8%HeOfk_8@LCXMi}s9ne!pmaj*f}eSuJnoWeJS^gvOX^VR>X98D zuTI)j>m75HJYlW6Lb9w&{012)t>~KGK-$3g&$?hBw3?vyJKt!RuUGZI;4jK8es1p94&16zUb`d?V%y4gd_Y-?~geaMFv zJmd|qplhp}noK$yl1v1A0m@?Q_x&Tbv;8CcrfCNo{gk?uoTDCpJN3C3UKw=o%3x7~ zG4BMm$5q@cs>amW+kn1ysVmRoH9UWtv+0m@TYW_XlVNv+IljBRBZZU1r;j;`L zXHg4uFx=7J2V36RJk)%!<( zM=)OqkA&jN75%w6$d``7esK;u*ugUGX!V_JOc1jY(RGXp^-|a3{y7ck6zVv!pF0j3 z3DB1zlXRgK`%d?h>2xbPg}FkUn&|h2^_LCNjUdszZJcf|=vuLnyD=n2Hk9Vaev1bC zByx;-kQ`&3ij?MF2*CSyI5>xd&W;3Ny+BoE;PtKq;`aKKqO z;4F+QU|a#?Uoid!qc@D+FdAVr!k7(XHjFo6ya{6rj4?2tfH4&=I14WLBaA;b<{~%1 zg-7X=v2qq%cv2m)D+Ml|4Hr)b<^eO|m$(nV#P0yJHn^HG(YnkPIT*QA{n9mIFzip& zepmcp#Gjx;o-!C7qPpw~8;lB3KX%OPsz^rS?K4NV)PtM%o$(95(XpK-9ib5}ii2MO z2je@V#W|1S_l8Lj=e0n2HdVV6%Fwu@@dr~u3twi5j^BM`~;%oj zm?9JEu7J`&qLA}Wyux=~x z;YpqJ9oFTE{;}}&>QEBp{G*yRu51CMP|re#%@p_e)-hL*6~?{J>_qQ|um##L!A-sF z7Iud{Spf1HzdDp7dO7O4?ucTi95+q(o1#@tIdWAV4A6kUBUe3;aY2o1mQ~2p4Tu;aX{IU$*CX0oA$jyI|&e74^j0@;1u>*f>QN_8<`ocR7a@^F$Wwy zJ5^EKN%gz}6`mVmi7HS};f}YAxvPE7MO&iF5qqT5YNl7BSdhWYt;8;rOsL7K#79Be zvb7Jn&Cupt)`AbB|8M<&A?G#pdLstIiJD+De=yRZK4}&Xf}z6R23-M>AJ|p`(d=?lcI~Ti|tznOF-%IBR zX553Ks<$?t3|_;1te63E6K$(iKEQ6G&ain6kVv%eY~%qHi5h1^3=kWjvn9nmbvR(6?FijyqsFMNZZA=%h3Cn0>`v8;jw4oNoC z0XBFhg^Pm0_6DBP_bHP);;*X~DU&-u4JpetGhdv8`OUu2)YOW}(tl}cZ-ouh1d%K; zn9i)wXu#_W{kLnZJnEF>S;Ep!Oar8VcD~D3&ixZUhI|74C?w-q z!U5GQ$IOwFpZ?D2e)xRm3_JWk3lhw*V`oZ|1toSoP0AIBdAMICRKYAB_ONuUpn!+J zCRrqqv?H~W*#c2J`aj9q{^Cl+W%zna?}dtlSksAmLLIY2oYCUbLz^THZ1L`)UKD$~ ziB*xWResX)emNcEBMr;1phMP&>kO9B?=c*rV0Z?P$Wl*)_QXqkpK{iGQIU+flfkfs zZS*fD?EGifBImM-FMWND0jBoUS%E?BT^DRJ8H@h+jYsH@o-8ypabU3jbw@far<2f8 zk`>MOLl^txzRQ|YlhuR2INE*N*>k!My->2c`RCB**xQW>kE|C*9D(%%p@Ckvx183` zu02BA@Q@g9Sag!WaK~F9pZ4+Vg8^mCsn%U1E5j@SS2m;XfBm+^xz@9kIOO%%U%l^_ z;t}U8&+T(Qlc-l#V7FgeeaboAbDNRu^Z24>;V)C}Pms-j+lfz3=# z`O}|ZcS%$7rBCohQe^%NI_9*|<@9m03t9S0WG5tNmNkCwe~)yG)DhvUegMKO89}&Z z`f%rxTKYYo=K=R^|UwrE#72qOoCAjxw~w7xbViM&vhUdv3PJ{8TY4Qb%SLz5`i zlRxVH1-$v~_4p;R_K3Y}u|4!+aZIgG5A(h_uom6Jm?)l7>)pe;Aja3?>*%Y+QMG<` z%(r5e8&Svb6Hjz|)%nF>8h8g(A+9mQV-BdxT^CQ4eK4?1+jWo=l*2tf@C~h2w2{Ih zk=<4iC6q)ZSQYMMHkYb71i$25;1_^0cY(SEkO2c>kFBlE%M{hH89u!aY;>OlvO{0{ z;R~pxd8N2G=r>D;Rvhu5JEpYwz`?W*&C0Ms z+a2H??8fgQA6KM%6?G+UiXNn=F-8N@7}dUqi09#uQV4$x;Y%R&9|+5UuyGK^NMpQ! zkbfX#9fYih=~uu9IGf0;XavGW76QdyASk#gTKMU#!D);jIAj|fk^zTogtN|rL)>tP z1j5E{S~Sr&8-AD!@w7BX8>B04Z_dX)g;;Nhb3oiwbdUoyu}PG{K33F=mE zj4tAgdXPIs7haC5mHLwNo?zV4_-61PzXJ`6X)Smjb&Xir;>V->D=v^Bcr+~J@x9u~ zo5fi&OgmL7UL+&6Qyk*iGE_S)LcA87;y_D_1+{dC`95QzmhLRyyPW=;h$#!{#B+VJC0FdSBWE7|xqY<(EzCb{1IRaC+5T@x0Cm6kRo5x;L7-y5ry=%|b^|;- zRJ=i;C3%AUHz=)SnLz#qnJ%di6gH3=^&1ox$N}n*igvcwG^j`IVUw=w!W5lsVhZJ~ zRhSsq1X75YM8AgU-?e%r(vuSlnLw_fBo=wlIA37^8*D=bq!5q|VG}gZlr&GlC~*N8 z*Tr;W8{*D`MA$09%om8qhW)T`y&Do%!NUDyI;)wa3~i0sfd9+BK~NC{3pZ48$U}>z zZd5y5968rleZ;k_D<=qd(?RJ<3j%SFRK+eKZUgy-Z4p>QQ=)9MYl)-$TM0tmFp{vbZLI&h6ux4+8tb^Fya9Im^7_s8V|Kls;tT7A)iVMYQ#J=OS*gn ztjpu|JDsU5#4_fWHbx7sjPaRme2Z@x>zU1`1vvzA1*P0@OWas^kR4}df*WQ#G;c0~ zQdLT@c=mvQJ8cdu)G>V=+&@p2q`uv_(V<)V(RgKP8};MIkH39wJ@wXVUbGGKB$T{;qFO zTQIV9x}_USctq$(7Jy!W>InDJ*#&#~xZOsVVly8(%P@R&f03(bM9as{GTyfa zP=5C7nY9y{Y8VbNACye$%H0XZi$ulc4zCRqutAggSJR8Ek(8e;Z@?69f^qo6(Kwe+ zSCQm`_`k?SP>y=3bkSEGgjKrXqsRA~Ck#fI*PUKvykHG+PZ*3bzd!eneywvg<>w#I zrFN2!zEF3iSwrV;R;6B>i`lsOnwM`Qpr7VkMp-g(Qfvw)L(&uTTSpb{17+a%UGvOX zVZ3>2d=xZ@6-Y|w=0f90PZcEHtKQ!#83<{k4aD#J%N%aun7Kxlf z?t=#_O6u^%k{7C-724-$(L<2C`;lmMZD8IT)ms!KXE>5HFe7S>uSR6}v*>8xu zt`Tw{^f8K?&~8c3oo!bVGb7DgKl{d! z)SM=Hf4=|{gS&L+FCKx+V1S#E8DlL_X?e&{bWnly&>kX#?lKbt$Lv!^}VLiE!XX zj_W3Twwt=ox|sXmX36YoP#a|C&yu`Py$M^eYbZ--CpqV}2JV9yv!}nn?2`68>oE-a z95+-gTQt6#)f;b)>s+RLEMD0idXGGC{{BR;fjp{Pb>m04Aw1wdkd$TwLEfS2^vj1X zK}U=^?&9Be*~m8Csz0wlZu%|crmyH$z5kIFB}P-05SPMzVH)?rlp%Q=HdI$-tfj#- zGvBix5*w%1!AB?1m+mQzi!xs@W>Ew~UI_RgKUWwQt zeN(^Xjx=2$mE@^gqXV>`ao5W2EHj}sJ_S=@7)H{@LG|)3-GOxXxhk+_9QZYQfnk=j zlrr@P*OUQVLxOor#VzVfYc*wR_`Geyh~Ev{6bEz%P9#>;;k+epJX<$I+JR?2Ru{|s z*+!*Iy*2O4VdQT8@ZQjy(uA%w$#z@`Yz`Nh6Fg$cc3Mf?JN0<(+CTbcCn84q<}Eu$ zc{ue-?%Kw0?kK(F3FeIbqufTd4|i?DgK3GVYT|s~(SUD*27>@906%-;c24U0#8e<0 z7ze}vQ-CNsXnN5jN7nIk6dUxqhLG+<1rW)!nhE|r!YQ+kp&|Q#*dA^qT@IJivHkz17GF~5CEU~ zfo0x+-~7NKFMtFP0bhUs!2A%*55asg%qNo;UuJEyc8c125V*`OX; zRE_@0zN&f73q%6+g>D&yKFyywdj_FT^RkoC?e_%oAic`eKFEWNy8QA*qXeSiswk|M zOlNKpQQQ;x(Y{)xE0!OBTTOK(^T))iilIy{Yt*}(wg)r{L;2zH+A}U9KkAg`wJV^5 zb4qJ);W~Ir!5Na-!Ck67?(*%3{8Mw^F?FQT;&&W!m5udYkLcT>#EyNBnkQP~rr(45 z=~CB4OWA83+jL4t_(N}Z2De~(V?Nism5d#^lIi=0k#16F5&{f`rj|^f0OODo4f`tG z|Jhe5e1iTCvV&!GM4Uw2UqFYR%enot>Ckg2>z_r3o=dQH-3dLHVC`Cm-!Jh4B^1mY zNkV_o8{E&5ivBro(4qPdrY&r*wT5p@ds+!=jju$ZiQaE~(oqdTXIO8%3EuCvY{H_` zzSgM2=GsinU5c7axq!!uWd~51B$#f5>2R2Cf$0vIu7l}Fm=2_ZX)2Z31R>WUga#pb z>0fK~5VjC#htTDj@{u(V9>I%kg|p>A=oK%5_fZJ1XxxMy2Zx;g1RS=^P7%xu!ag=^ zw61osJ>^08vvz`@v<L6^ z;E=10P56CqNE{sUW3$^W{~LZd5666^!>-Z|Dvs&UtMnGd1s(n$okwvx6Y-D!sqGav zY%qbUM$i3LmHPEgTyPCNRBbZt#qB_hh< z?cCYtm21p#HuaHigW&I^7Hk`<$cB~ql+l-|HcEYJruPy)(8k;5%e>1dE3HLtrX}(Z z&2#f4OW17HK68X6Vm6erkGF(BfKv82OVk4>WuIElnXKAk4zK4;R^Kp>tLI*VQudho z$V<|x-o0ja`Qx8+&h)foS=(I!MP==Dhrv|h%Dq;rI3=UwaQl%Q>6crWJDk{aqCN@=T!CIwCHKx{5wHB$p3{L?=*p89bfA8LQE^=vSWCuPwwHmmBtpy@Vc6ntYnQ+z&;>}Vr(V)LQKD^d zcQ@V@BQF^xE-`*VprT69VvCE}n z`U?2?-=)Mp@c~SjG`?@z0qp0}iG9)o_)00RZ$=NyvTyc8wGduXKNA;@@P?vKY3_TY z`*Igx*GbcdyWEu5#+~BzEod@zff>>VeZ){6T?8Z>-fKsscoQydip z9D?9)QV}g5Dt~uc$FxNr)tr>f9R9)vpSn-#KlAzzAGc9@VF>7zcZLw6cuq@`gb>BE zQW_>K?7==ZpL3v!0uz~k*?A+Bvc&t?>5$WTL>-aH_{&k(kLaNKJM#MdI_O&*0bc!3 z_~L#{2Q5PPg*DDsl!l&ae60Aq2HD7lnbv;dD6d@aZ}lG#+||vnhWTcm!S9yhJEf~I zbEUDva|L4*@3D3$S&?f?P%H+_27C-CtPv0W?4mfCUHxyyrpyfq;m|>D^QS=W)7k{PpFk zxa-S@tK05*>Hv9wC4h3k8o)*6-6jW|IJa(tK-Gkmc* zXf$#G@=jBSr!X?aH{DG+L7HIqkBs%rbz|Ss>h!y_!d27Vq!pxp>|v2pyAzm*cSNjX z%08;En)o}9tCe&3Op`{ERn%N2z-{+)iHjdLHs=eF+ey)4;y$J(-CSTp+$6EYxP5fDU@~G1;ZyOVeMC2}6gy|b67Ft!*n+?%n$WBn%_TN$9U(wB zcsZN9ZCL0|n2dTs*rpr3Jl~>`humU^ zOwUB=H{4Jd`mkiy1>L-?@xurE!WyDqBEH(NN72+r+RV(v?Qbfy*E}o{=J?2)S!?vQ zSrNjjAySOr8~dHC^}-@wXg<9c@yQ0H!X%{TvvArEE-QqU9MmGeY)Ae)PFr*XVG*fX zJK?fQIGclfOo(!fzbp_|bNtzU^X*yl63h6T(dG>!3ZoF2$m+#iYceX%3ke<682zW0 znVorU#95^8?ZNXP?c_S*Y13z(x%1d%Dc5l!O%oLRg@McHkG0=k9VJUvc9O8NY8T=0H1Hx1LtIOv+ z_<2yqJOE|P>AJ5j$EYL&%i2e}RaA2OBrT199+x3e+v|;C@hl{hrtgL^Kd; zAdEnK0>oh;3cgMkv>TVpGeYiJRPUdv0R$UBVD9oibSn^EpqmNI1Yq)j`2m;*@1JiQ zJYN(%SPl>Fh38uDN*7eXgWa@ymf?@#!Kv`z`1v8s6+jHat9LWtX~x4558x@*cKKg; zGYwvvkN9yz6L(Xy-y$9?gRePJ+ zO!iGJFKdmw)QvC^g2c6vjP7bTInQs7Xj)`~AbJ7%;D%?G&CTdmR-LXcD_LIU_K){l zEs{p2*!Yjo0e=687w$vYcudU94Oy05st8*$6F07jXE`Ki-lCh3xz}CVsK@^T=yux5 zX&%FQrpj3noS{rchoAo&3#!62Cd z5;jP<04hKT@B;_{95^f_L)jP#Kmq6gA^=|P1iacEc&exHRNoHkIT^|o@UF*W zSJdxDj=%T^di=$2wYz^}+rM2g-LvQ%OLh8QaQBbP(Sy&NV* zWDM2me`z2M>i+R})ZnvvkX{7oPaq8kX(31gL6WMnz9sk=>qJgQQ5dS-MgiF-kX54q@bxQ@(m*By853l| zAY(8pIU#^RKm?<*jmoI>O^i7%Oa;UNCIF%V;{Zv3DKQpd6kseM0Wb*=3m6YbiLngN z$QR9P1c(9i05sLj^PG$?Dp2j^$=HIVoyfyGu4zlIvFt~F$@AQ*W2u&$4+a|DUVZ}T zJ3v1`9p3R2B>wg{o*Yl3ilLg?9n>8$h#Cx7fqjlYhkT{Kr-iF0&;>vT0v!T0x`Egm z=zG03V)(CDjh?4}phr$}LpKMcgql7~Y6yH#?#s+PIh@^4^zdt+;d0@~PfcIhWAEl_ zPM+F^icm(Nd%t`e`0jT){94T!61J%o|Q8^~M!|3-Gm*nhf73 z!}pC_jFA;4z*c~9XGM2#VR`VItHw>!yV(IdhZj$-xLiG=BHa1;A$^7OtLV`%c(4#2 z{8ruFG#7|-Ks*OxaLcCYzVVGFzgOPFhIbcZr%aVLVeFe`!KCAHIx?a>8kcz{57kif zDbv>%A2hsgW}PSd7!w3>ASedG!S@0*2-qM91Hl>)kUKu`yQU)~GeKSLl0B0$gx0u%_aARvO^CwxQAOpdSbs2`9;Kq`TBz1#KD|8%-tqpN?cbbx3$q%Ao(gZ_{*i{sMYo`0 zV2WIJ3%@~%mP^twQYgg9Ps6#SjAq`Icl+aHF}t*U>jW9UT!XPj8)D0~8P;)z=(`$@ zHOUZjS1YhiG4Lm9&h@{G2y-m$C-g8scdYEkPG(g)=J!MV;7^Wq{pdl~6vy&@(jfCo z$4CA63f4LZ$Vu)Nyw?8douZoc5`Wl*P!*Fgu}<#rHV*kMq<7b*GD8_(**{cf_@q4n zjr0gjgmaU}#zFS7B4CVGHhhqS%>+|Ylrqg%x*0}M%T%Jx=zpE+&fI*&MW>`QJ0Eq= zxu8>;kKFAn?S!epr_NQKIoA=#ocWzlZ+^|WtW$CwX?9k~FVEivu9^?RoewVF^b6eiAmh!SdcwMhrL<$>nXnbeSStRgi*k$lR6L^#c?)b1 z%ex4-XuHLgUD#WUx#D$Q{%O>E;_NPT8hw&@eitc?bx}OF3!fIaR!r)GmBoKyBOY;v zjfahRzcZ}8ntE?ZUsWg${BeCn4Y-NM@5GBoutN~fQt6s)*$q`_fe&C8er{h$15^|2 zw?KOgjBP>RSnlu%AK@iqs{WNT#c~PS+^LteTJOg~StwfXiclCbC>u>v9m0`7PV!sG z$60U3d#i`{Wgv45?;zQj&xtG07J1WrW$noQt~tV-cJzGLYGFYI;%ApsD6W8-_l3e~ z706FqGlkL$bcyRD;fz7V_pTYj>_OC6SD0|_Aaah| zBbY>XVbqwS$>`~>KMh076novs7~VWrLT7Q$RzKwku7mwy)Qj@bluTMQ57q(4z!IRw zpBFzMuxe-UCJrPjHA%df0T}OE&PyLi_G-d-$pdj-Z6z;rAc?M-!lMnu()Dk6gbeO> zot_tz!QZYgln{rH$Osg3smCDm&t!aH{}qBOvJRlDO)otOEy#wXF<^^`89weN_cG?# zi&`M-^^`V30$Hza$aLFolRm;-GEMf3ZwVZQaq{`RslIF`;%ljR_^<%;mcGI9 z!0PAAWn$l%l%6I5&VIox8QGmz8B$6 zr05d8R5uttesmt@Ud$lZ8KSs{edI?F1T*L*HRm=EUYJgbGDpU_Q+knD=Q)eTM`6-) z#q&m{2zZSMscW01%|~DcPSw-BfpXX<&V#Li4@M>lqPA*J!IEP^DE=4MUW?90DrK(M z=XoRKbHId4ixsiJgd1O=TjFKfk_+^~Ua~FjhOXQjYD>DIpXf!|5|VXmymVVivK|Mk z`GOO=9B*(<;t73>7grO%Lbu4vu1Q{@XL~6%anE(Lyx}!T&-LkEWKBZ2uHH+lNePGf zI>HdxMGL$^Ly3Fz$zJSGe3fp6mjyl`eYn?uDDJ+l%DbLmsGt#E(ptpTG;B|TRoBQ1 zRu@X}Z=__Q2=@4-i9#9d@xcI@1orr(lZE-P$0tR>x}{$x)o9BVx>(6(%?4kml8)-W{2sr;xhqihuI3- zceq(5ui{hK#WQbLY!P5@n{FyT7ocyOPbu^Qe7fm{;u9Mp-E2~rZJ7VYd=u+UOB6e8 zlzMY8Vuh!y^!%u>L)kE z?b4r=kcPjgA@tIYgBfC+uiy+a7ksK;*5DhdzdN>B(Y|S`FjLJ1p1}T~(fA9R2a?&t z7l&|Ljn6#+{pirZH;%nZgl{?-vmQDhB2qb{2^%#GrNCE6M#s8Ts=S_n0&{>pXe2Tt zVUgxfWlT4Bk=CqC@8&<&TvH}@^B!xD%{!ud3J3x02FwNA155&31gr)83%~<50dfIf z17ZP(0gC~@0s;X$0kZ*j0OJAY=0S_{P}t6R1HTv3;+hR-Vz7kuKvUzLORa3cD-HX( zhYY@*r6UsOr30oEUe&2sD(#OS7)HaZCq}7r?O%MJ_sccKw2`VQpBdCA_f$xFW*0{C z?jJkM1X;;@*^&3MwD+>|_p;C5%c?;39T#Ma-^<1t4dai0CUx$*GP5w`jsPgSzxa0&maOKaB>wca-PVc54i3ZnA(EevD`| ze0}sXPkE6=`}uTnth=fVhsY=VOeab{GZFKHlP9lC#Eo;(>8&WU|x9e9|z>?>V{`PLcJSFsG2Ec=(ht?;3u z$rsiDiQg^W03n1sN5K4Z4P0WQ-a>`pR7JZFqTFKbn30G!L4j_X7m^bT^l@HtTl@{( z2j0-O*p~2Iv)Y^7mhxPS^=6iFUO-f8fWu3@uP~~~J2vvc z&%Ry%i#Mo@rVQ(Vi|J8tz0WXw)H$mM`Bd^vC`K}*=!{$?9NGCYbF=XJ z83*t6rnr%J~(FAPx$9gqs(){Kz?o%czO-Qa6^q}pMer?ZrEII?)}wL^EoQ5pb7ibyiric%r#% zg;Vi~)@scZ!~|=+Fvu1etle%+6-4jS+_c6CqRPPzF-{PBSM$P}WaHk|nygc7uwxN# z9czQ1o=dC=&{?1jwobCetpUGItSxDcb|ScU5?*W8fO`izdi`Mx@>~Y)Z^O@uy*|dX z!1a2)H$o+AN9;Adv(Kv~HpCR@zjMt23pl=)9tabcJm0JeT&{~X{AD+;k$J~a=#BA7 z2T_+ylRO{S28P0{RP6|2G_LqDZ>=7wc~GST#|_`m;R$n%JAVzjE(Hp3}UwXb8 z{v8`72^ww@kaA3QidI2DCbVeO6wx-r{7YF;&}POTnh`6pjrts)&-}s8FAB@2?8F{w zx`rx&&48r#ptkTE}IX$f0y4=mQ2MKzacO`XB1JY_p z5to7mKc*Mg?;6kD=wmyX542@ofg!0EU+WCup77C}jH%!|T-+=gMbS;wV%|uIEU^(k z&6(OIH46cZ@sk1Z@7ryOU06CwnKl6IN&%yfN;S6yRZ9H#`E#3+ataw|pRU6EkXp2*7%6T!iB&BvDFWr{l)vVzq+fuw*951s* zK-c8(B5M-q+8ADHP5gGvB3@h#qzkfn6KWt`aF&%{la!}Tx2Dx3P_*?>^i|bB{9mOB z6E{3Uf1dq9n;iL%=uUHkWv(m}l5HY}Xxjr`lE1;P0s2dzGl6~pkq|$Dq#7b2sz5fC zAHkt+hhUp;@Hmj^K^6(g5q*#vQ6|e2PUc6bLE_^Agdl}yY+!B=*bMR`zeE?JAj@A^f92v0e!c*VRg`A_|0q3WrHpik}lwVE~9*d zKil*OJr{J#f&LrlT%gAS&DtLD4CudsUJLX(px?s(9FZ(j1%J{EIJ$uj2;LqL%8ql; zTHvR7vHm-0@bCs1sh9bieKvUT$`>FW7&gN!AfX|4jDA0lVG(r{c1kZv=M8TYpx#0`GNFh(8f(&jV#Q>z zb`jbs-(qewErPMvAXPyt_E+fymADljD8;I#m11^Eqg7B*GTSxDv&)7$VLs~FXv3{A zJ%N@uB88WTh#YyJLu` zG_Qc%8;aKy4!Ji3uepk=9rCA}GPx~7BsyGV==}kF)*sph)~PZGfMY7TG6;YxRSIQE z8#QT4fh=JoSf4UvDfjU~PH?OFs0-*(qD3P#H!nxmp=7#aGI2k;YQSiMoZu>Jp1v7< z-lcAa#j4e?zh9V-`p30EF3N|d-cq?NADVhs$tBm}jyPYQUx9bI{N-7Lm}pm$JZ}(p z&=n@n8N@DhO_3K2!VNK6E}o2GxuWFLCgVPJF^0FQiAN2KEl1Umml0^Wpe8Ia%(fg- z`!6w$x13dzo*3T!5@nU}<%JU5Us7hDNP_j3ruE4r_$^X$pTvooAx-SdcjCU4hW2GS zu}RX&eR-|eFB$%hwN~0tO0XVjC2$10bYg1|CvlfP(u(Ck zk>@-si<4Zgf0aF76OaXfue5!s_FRCwkE1B9filb*vT$M=j>8B>Z}#7Uyt3g6;%AT% zK;i^RG0+pzaBcKpbUx6Bfc_rnAfT@UJqzebK*Q13fqo41pFm@Qz6^9x4Y@NT4Oa$7 zCjz|#=xac;fW8X!OrYVl%i!n^pl<{H6li~-uK-;FG`#iz9K8(avq0YiI!wtPh+Cwu zR8j_#9_ywk!w2A6woVCC#=t z`CBv9`Jd?W^-#&0Qi~W(qUhE;BZp7=FzwV_QRF*wNwwzP=%i}hCtiL=bb2R4OSwvm)Fft)?V|D=?0JbF>ic#%n9w&c|vUnU^iec;2vNS;38lx z;9me9unCY0_!wBJSL{m>TjMzm%m+|7Nh-|Qv3 z`8Raey`gU24Sk0f<&H_#J@eAtk;(dYFVP)+LO19Qc1NAi_jthqxk5MUWed0~^g1s^ zz<;j0>1F}qAkX%d%_F0MOyW{csN_MQg@ffwnZuR7kCs~tXKCMN&~oF z{YD?1;4Iy$R?)bhriHJ&PR^QA- z)K5-jUu7b4inF+{BoQq0b$xR?5bKx zXtSg3cF4Q1P?zOUb@}(CoYe=wKfHYdgd9VqC#vf|byp(98dL_{3f$jmi(H-B(UBD`X zqZ5H<13ew+gFv4GdbRtgu3;SfX+MPrj=}>U8Dcxros6I8c%b3vXFyYc#si%VbS&U7;32ipBQwMf z&jGA%EB2U$2kkrORV3 z?FL5*)_&5;_03?SE}1WT+Thwrl{#wS+8JlkUy=}p&j|=`X$Qeyo|X}LRr5|VM^)U4 zJ}gRT`7OJXx(8-2)kCJoPQw*pBubk)Xn{#6?Qo7VZ? zH&CFvxJ>A+TlWsfGoIki_MNQPyWf7khil@Xt)?#SE)L#m9)T%VgwkY$DOL!T`-Z!< z4dpc*;qGa}dCk9ZwQWec=`(P3V(I1|!PSZ04)d;`fw>drT{n~=iecXMQ!sbJyz7=S z)J@Yt?&o0cH0!zg45$_ z=ekpHTE{-uzwjb$+;E-AOSAFA^;dc2kvCyQccz34ff$G%AReRg0BYRj&$PQ9UKbr# zEwa>SjFrc>2iZbykZ+L|pt&PESvf0jzSEn5Xv&2D=ewL-DXFIkw33FJiRNckX(0m&yI`3C4# zpqBuhgb8d5*O9IRy$I;jK>rAIJJ2hDP60Y)s~+nu?39mU*)XG}90@4ny~R#<5jyin zq4MJs1r*B6GJOv&F7zz(X$2I@KsUPr3T1Aa%?c=#NjF_nK%q>!`IrIp@i;?s%q;;2L0A>7?r&ab*CH?wcjSJ%D8;n}OIHupHOu2OtCJsjxxB z_DP+vL6qScPlF92FKiHH`m*`3L6kLoSOyzJMu=M`_;UHMLA1crB%{0~Z6FtH%)%Yc ziqwD48#~Q22L}r;a<>ZtcxEEkWb?C{^0>QfWUKjO5xEHKWX^;vW`8I9 z2XSZ-+Q}Lxo?Jw727Vz%72*GP4)b5|85qH5`HRQ&`|$&}h>87}1I!r^i%vek{ubH` z;D$8`+6#yWpz;sbk6Qp`oH6~B1?=A;e;K&|43+8q5XAAhn9`4Z#HaKk!9UiuR6aw6mvWK6V^D=+>}0J{8tRulYd z>G2^-sS!Gp5@X|MqCSP);jJ9(QNuRz%+%mg#(dF7sq9Ny0gy*b?U} z#ZezJpD|VUn>SXNJxX3=sMU=^E_F`moYjth>cnBw$~emlEqEw#lyo;LWuC>f5ZI~ER7)#{cN_4Hfg_I87Q|>IUH3DO<}2aj)*JZ{V%=gbJUGH zVSMJf00oWEV{pigT>(7?XWi)Mh91ueH~zVC)YB_KghQe3J^@UgUiNf>kkJ0%Vn8y9d@YtDt}R3jzAR;gn~&`cWKxrli6uS%6P%L16GAaP4*-N+~U28E61(-}oOB=_}WENYRIOIH2q@|Vf zf2s&xi6@L81u2&#v5l#*`vjIC)5b4G&$brn#3w?jCWj3{C&+LFC+!Mo!$B~wM8_Y2E(L9 zqNOy}8Ct(+E)8Z$Q$=fOj8)VwQ7$cH6}?upm=?H-HX@o$i&({Yr+MzB4@I8P?C~ZK zu^LM)ANz{5nGbWZuQ%>3NZlGWx=M73`a$%Bt<(=J>kVl_t|XYl;rWw^EjZjJDk?k6 zPrEO&$=*rLQmFkRhZ_N6z&yW5A+L!FdCOEzP?q0jy6c)M)QaIGfb}B*5&=F1kN(l-9g2@+ z(>r4hM3;r!q<4@$Al%+`1{0E1uG<31#)p7j33T*<=z-vy^kqPw-J}v+`?Jsw57`9h z0oG;YGs;86-%TpS+UyL?y2wy_V+$gbYIKNOFrmbI*arGpk}qvppeiGDVg{WO*1!gY z189IC0IPSV=jrfqNN4|$jU`u0KU$TOt(h>Mmj6)d*@x$T)GU!nVWt`y(Qd(g3Pm|3>v(C3cUUpKs;a~AO?^Q zNUmUKM4TmcQ(@f#+x&#K;7BF0?^g!5q(_HvbjI37)fUr00g! zo)c{W&y845Z<$}XLF(C8Mh-Vdd%DVqdkhObN6ILBj4aRjGD4MMrsrUpf0c2Z=ZiAZ zeZxnd<7L(9-jN^9eZt*7;Kwu1;F<UJgJGQyFfl_Qt5iJ>smPPeK zp7I^;=RVwlzy~@qWV#lGVn1`f;-2;)7tp5aI=r#XGe*g$%+(^wNP;`A5q;kI2N!m5 zUKpl|s%k?YG1kMKY6=*&{E4RZqR5dHL1KZ12f?VN?HHFcPF~TDi*^Rdi`%gWofG8c z?f8XGtbA4lBmhOrt12LZl_f8#z<%l+C!bS+|HBYsF?AD;n&w(|b^9MRPqJ+6CM_|o zwe08)SOQ+Ht!lp~rd-P&HTj7-)}mDtgH4MqEow@zInZKM6Ly(qTRv0!?=p|KY*3TR zP47P4uaNTl$|bnv5+?X6vA;{w`l=-OFbTO2ez<=wN$i7Sj7mvp-%Ka=C&}c#N+*7b z1l3o3Z0(fSrTnR>)7Y6&LOKd>uW*ksyoc6m!U znGs}Hwa|J}#%Kd1i-z~PQ91ClJ*vfjGxJA>patEKSO-0quzOnvJ(mHT*cZ@q8PNur zPev=EjZf5lW2Lt7i25T|zqS~Y?l&u^Ez+d_%!+A?&eQ#9Wwu4->CaoqZLwE%|5!uI zxL5TXtf(@6Jk+Yw%XsnngWj;t{8HpK>CDcoQgnj!qt3irh-1C#RJ z_WoBoR|Ub|cKI zq~`YH8)9GU_IoRbzZ`;Ti$5SYa{cfp97H1koKp&GBsk5L9(ywf{Zn@ zJ-drDnLgbTl+}2gdtm^!75{I6tZ%scG7xm5p4*jy*={Hl6_3EYBSrtn>nDrM zglzL)xu5z_2O#?@4V+c88lXb&Ls&FQa&vppL9QaOWkE7ogor&7<&JMe{pkD-ZbGq- zU_ziB+?3NtDRt)g+-*Mic>A?Db0pRch2>c10~mV3L*yeY8({#P}~P@gklWHd#)nF;9$NTYA-~V8dbP9DuadUoBl~QZPEf^`6JYP$qM1D zN64QgRl=%AXojRwSj0qpA`uJcFi|CvdBT}Yni7Tj1Rt%%c-X+r2x)n{5tnQSTBFqwLXIUv^8!zlE?LqG_#w*U-h_eh!6bEgTS;k<+7dFCe zL%HI(&HuJ>BIFZ56VDpOej7CL;1s88{LUJ36vt}FXN@t6i#5c0!y?7u8cMy9tvFXh z_#2uhPuBSVZA@2Osv%Jf^&U%20L4i0F#2f?@e{RQS;uC8VemT`UOGR7>!tqW*lET4 z@?K)9%~hU|{*VUPfB!>Ltty3p*uXdT0PmFH{X;PCinf2J=SwGXCqY=tu2q{HFW014aXPP&0x6EI=550SE!Se>`FbwVMjy0GNPK038qvU<1Md zGyoji4aarEaoup78jeweUJZIR=+&TCgI*1KHR#pwdTKaE4X>w$n}^Zuj6~yv*w_9bXg2f|AobwbH~v2&v*oPwpc&P^A~V$>U6v? z0sp4{G7l}_jnP?o3_;8oy_ZK4M4r-Z=YH7jzS2_Mx6n9w-i9hS9JL&@;mVCqEMM4=cMZEN$8FfV#=Dj; zZRm-H3zq#h{6ynx%jp`#1;a+mu^P+;;}y%r8W?9fWI0@eTVs4|Iah;xZP;NsS%baL zIxe2tkL!uOt9xq=<8bdn_BfiupQv+K864h3{XQ#+16PHI)(~~|Dtv??+>_nU*bFhQ zxz_QVxYwE@Yl^R|hm>P(R6xJ=X4V@=Mk-=+AliXR^~-1K9sE>GK0DjNOeI4Tr6X-5 z6LJI)W1X86hd2=Yzs-hNNP`K;#bH=gOdg=pFi>l-Pl&S-LNktby2B`Zr4d4DM~6UKy6bzpXEH;)ah5 z_)*N@MHwJxGUnQURVMhdmcc0S?~px&Zh%SF51q-w#|Qj-8EfrDuw0$;w>HArIJ`dt ztWtQ#ck>E#)tB2bmo`oyG?G?AU*%&y7#jJ$sO!^IldnTxWgGNW3ZbVGgzG{04ul0w z69{iX+)f4%0TcjX-^XEjMmqPDfM7KgbB_xA ztq`ttK|oR(>bQpl0ZJp0d)DUXHRN$m*vMYv$D)QJET1}4oYjxz(|-~v`@{IOFU7_E zcs^sDxNam_2JQ2$-YLy_FY!lQh~^wJ<^vbExqyuO%|&e%lfk?!Y@SBOe+FTyW*=e! zD?+zSiE$@qq9!O)YQ)|WVOc0H z+qqa_^M#Tb)tZOi>BGG~Vgt7q7h(F)?l+R;lQrOTO#2nBzVL>`M#O&CHpONiVKZy0 zzSbKktQsZ87#=`hz@#=9Q2Yi46s4i~64zeEE*~i$hPr~h5yFyD(jp^6an=`b9g?yt zYKhkwhaC;IxJ2q=M^-H*k^ZYgS&K}h1v-jr35krIj=EZG2X(e1uhzcO_OLWvm9qf9SV~b9 zJi`1cO;(8?;R2=MDu`=@$|)7ZHO`hIRS?&BM;fWhX5z+6X{zZ=>^W(wDt0nUbMfI3 z#2~2<>uFTSBLXg$Ak~~bMEm~XQbhQs!>D0CNDhN!@_Wf@kfgkq1cBrjNUGjTa!S!3 zO8+`atHxD#>SWd!L*f#xz?yD|f1;_eCL5BUK+|ldAud=`W{or?1#2^`sfL7I8nrdf zkg`jQ0gH~HTqChY%M#1AeCs$_{9VlgYmzMau9j(?B8!`-DYZt)k|t`?tYc*f7c{G^ z39^(6TC#PLTd+oxZ;f>)uF)o1$GhWSYnEA4+{yQ`6C_icOM38i#<$#a9K;KQmV1(e zd0}*LFL6*r!#<0JgCiOrS~}GcP*w@q<=OK5%?Ot(7nX(yd8Q&utB=#l-Y*VH#kI2L zizlQ~S|QZ{n~E%DGQ`oTgi@$BnWQAzc!zXHmBF@{L;5F5oGo&ZZWl}sM=#RfRZ?tG zk98N6;kMZKy#dG?E?c)zNvq+r^;eXH8r}}wA!Sfa%ntoyCAKDVmTrfVRTDi+e_QEa z6Lnj6RvA_kdt3jv50jFy zjNt!ULRl!BF^WB8zT_Z}1hmDy#r`L(V-)|`@QLCCROuMMSM+9}*@n}KeHnPR@lQop zH)4mutT@t**WjW}!Aq&TL=oHc%}xTr?e8xAWDt8w+lUlr%n$iEFc6(`l$zm0bkm(*yA;he|f zs~&fwM1tCDaC=H<*id#61l##H#8_c+h&;T(K8s*nrWzzLIm8*|Z->dD;$gE4*U0(?CWo-lB#Zf45pds8jmWJbXrMo$gOwU^ll;Z{`Jb^Izz$@gll; zFZ9Qtt1^ZNwi;@8BvHTH>en4@(%rLix}!|`i&ji`Y@Y64D^tzQ({Hkp)%>fvudShK z-c|i!D@q*`ulvg{e_5G6e3}LBuXm^ zlmz|-nK;TazTz}XC<`hv(KVt#=OA)&tdhgbW z()$A!1pc85wMMw(?xW93);G^3BUU>_&9ljhYal4os(!6AV>uYsIr?ze2_ps$*`EbScpRr82N*M^8U87O0Hl3&9wO!Dr6C%#-BCMkC z7BB9?uA0Shs+n#Q0K zvV*iU_ieU6k>@C82%w%sGbS2Qw z`=%-ihax&>J5PSQ+Ju3YS3e4zSr!?YVm#nsv;;TA-_(7@3(Mf$)PE<*89wjE^in@_ z?1b?>xUN;3mw7^3!Wt6l;5M^BRr(0^05XyOJA$CyD`^})EkM8sg5#u>3L^+OXsCn{ z1mat&%Rcfy8Y=&Q-|q!HfO!Xrj1O6|k*YC|C>bm(t{qCUZZxezF3!H7Ss5v}|I-}l z$ujt>Qu)|!=4E6BWi{eNlOJOCSGTZtvM`#b^ZIll^S;vUo_AI^7aA&mZ^nBH3<%Zu ziYeWJl(4~obN=B?D{%o|VfDEWYaBnT&UmI}lniuNmWhzu_<5aFefi# z^>-fX9J@QYj(eTlrMaOkB)WtiC0+n#H`sBoDboxwS2RP)L__2iZJTnkAzFFoVG0fJ zq)mgtA*Pe@4Ga#2@~Lq!I7H{ukBF2l!F<{WqT&`dpYfZht|j~cHAs}#LOVeJOthpW z=m2ewsJw-BfbpYfO-tAU>I6|v3u6KOyl4>=RMJ+9X0-$^VEiMhZ;5yW%{c`{)JOCU zq7_A)M>MIZs)+fB;Sx0#g)*tpB5@I&Nk1rhUru>#YSk))RGKT#Uxji>7t6B};cVr} zVZQmGt5}|sh+pVhZ)wkfg69&8E(20{##**#Knl-FPgreuDJ@VmvzAs0`C1><2Hm30 z7R{(--J;(SEw2r`MH?@wtYzF{oD;394M~Ge*X-KBH2N#ZhmJ_21&B&UsA-ICqLm|@ zGt@%S^bzJ6`gfwGBcW$#DWbU}!DkpBir3PPgg=O&VOKHxMX@yWDt4D>XS2+53>JWl z7|TUi`DyZ?rog;SRpcJgAHWp)MfQzx@0-xo=BzBqi^&q+0l)4DJc_%X)sBKK@r zB+}hcV%2f8biXRcmU;IoNM-S7bqkdtWyxptEG51yu3k4&8CaH7uOH_XmL+T*t=?A8 zlFr<>p{T6L!Is=z;mWyiG2}P-Z#fr24o-R&!~Bn1d-`v)j!X*s`1)VIEpO_>AHRMT zj^E5CIXGQ@n^|T@Mi*w3JqLd&_qN05p4dj6gI_PX?Qp_xnRD=;L_JmHEeOls@Xh&? z)1!_mK2uW@w{6rwnw$NqAF+(}FQQ{BvWg$nvN0i=~c>z8#gevyC3F*cP#c8+y zS;J(-F*oTfq<~&@2h>9f=wX3hz4U<{{$)R-N$vLq5d*O%?Hg-G1~*TmxAHUid9do0 zmH~ZH`>lx?F;}%ew-l(dTJfl<$+7MSy3SU6}D{4Qgy@fit6wJWU?Gg6jlNT~>i zi=*)rlSQftIBqv@iT0Q^y*uWK=BYKgJMsy9LRdGvtp+|J_&a9w`wc?$(ei%GAnko2 zN5_lxCvlB8k*`dTx!M}!b4HTmjg_Sqoxu^LASD37mcpm~>iEQqlEo+M4m+cp^DA)QxLD0u71%h}xaR+dt?!I$B74J44;?}pQYEy| zK?H*$Wl2N@Dbjm3Hf)e6VpNorRZ|pKMMOo&#sVq=v1Sz^Srgs0Agm47mW_>7RARxX zsQ1nPez;%mhvzpr&s%0D$s{x9yk&L?Jl5L(I%C|vh4MqNNY`g5M4SSX0pkbpX-b5lD27H(I)VrQ+Jh&H1YUM>qpK2J)H7z~==ML+SL8hk(VzGr*|=f{9T6lKDP(laQQ04g zy>!%3xl*x-j*F-0wE;?uIi~>=%?iv+ZNQyoO~_1Xz^-D&W@gl4UdrDogzX4dnM|?0 z9pfs$qL8+u_R5Yb_O#>TiMNwhW}#0xd5Ie#zJGSDO%X%}Z-v<{hz#D}j{XNCgT*kr zCHn$Js}WP>n-p@G-I85W?5jYXlOI-WhS{x43s4t~lY}>(OcI8}_7QJSVyik$QB~qU z5FSPx_S$W2C%KBjftr|^5>Zf%O4czWa?(&2b@L;j2bGU5Bw}vI=kP)4c#5~nvz_*a zR28AtuSU?NPaoYngzY7t*RJL`n#)G836k}O6qVPwuY;gfpEN3GU^b99Yb$t|Erb+p z0ncs=QF`p%72;jHanHMUTedC5`Rk(5bpYa%y~0_%>zb$Ph3wfSQ4!Id6PhS@?b5cr z6Hb8ZGzzX$)@RKK-K&ZC488II1Zc#P1 zPF86MAM|J@OxF|+5}Sz^G;2(;>WRGwDyxb+>jQE(tDMXFfX-*tap%|}?&=e{$#$qZ zxfXJDFp!BW+Q)HG`)v~6=U~)6GeqY(Ik$f-JXn56a{(4o5^L?>5FZP^>dzXGCXbo) zUc6N2Xh<^o@F6tMlae4#(S;kbOu_uLEx2v+NP~4G#h3CV(HUyV%^i2xAu|~QBayzu zI;eI@o9XQ@Y~W0q>33LgixV`{=diF%Up=~|3E4u9(yruC_+{5{;gVPbE0Wsd`&_tN zUpp!*K$MYfwM#h!KIEZRN&F0RRHTFCtD0g?EFS`3-%55FN>w&JFs1lO?>Z_hu>D)Q z%8;yr0-A1#I2B<&X$s}!tYMCx1N=hPuM`=p9`c+tjMIDtLQ|z5tvh`;04#8gItjttj>}fqz z=9-CnDSN7DnTdQUZ-6S8SXbFC#rBzKS9!HUI@58l)XosKoiLfYC((BMgj1x##Nh4Z zQUOVcGB&a3?%Je*>j4`RS7*6= zaAGB9WRajhyyk_HVg9KBae*~!Af*Adp0#)&qXGGiRTz=jfJW<6*uT;padI2Bq}^(h zY&N^8-ENaSi2X~u%?#NRb^}zuBSmZSjq^rNn=!S}CGfFquVGpi)PP?i_F{UB9%#4S z;QSF9*~Ob#lM#KgP3%(@m`jpN?2Zc5CFx)Mn8bLKd(aM5jk1g@3NPjZ#SoV+9v-YVlr_A#A6`-3Su;f zwJv)NnRauyj7|OwuyzM=J%}%Zm)(>hgK+P7^^q@8u z)M7XJtHDbNh&w@i7sPHLehf$e^Z~AeY96S<@uH zkI%jMu;%`8dfj@fAo(_^N*UM=p}@CwX_=+hP|-T~%|6RWc<}KKF-yH9MgXV&};TP?2TPK-n_12Q1kh z7($Lw&zGw2SK=i~#ZJ0|1IbUD1UESax6qp<7qs`jce)9azs=6(HdP=UsK>PgP6GE} zg=4)lUT{FKRh(qFR})gi^K_AhfGvfn8~9Hp<|Hu9%$xWlnyq-?>zke$`6F)ru!^6@1T{e`yr zYHn7U3G!}M5)w#R)RLev)b#gC#D#YN*7|{z$%yr=WbU3J{0HLJ#JWM3lCZr3I=ARN>Hh1-ikLLbbP*WVL^ID))E=8Yf+^UA0CldIcc{;tG7_wbTiA6c5cDrH>13 z44?d72G!{UxF;D-c2vBu_}wMMSy{4XxiOOqbw3_#|KzC7c1ON(P7$5gXBk?0u4^tR zqtqGI$WJfqtvsDBi}3F&F^?KL6)=e4U61-N(Q%>P$e?UHAm5(;6?b4jSX_$jv&?y)KTafG>YE(~f zCkCqAuw^b*hh@jKlX><{VV&40s7TbJcIXN3gyk|f&1U0_QK;h@PnjajIAIWHoHU4U zz;;jJs5T_h{Tk)0AoiY(riAC#6i|tOe{z=KhJHU=sJ0CutroM4p4|lxY@%4NA zwT;aqn!HHLI7HqpH_jPNsi_`a1lZk#_)Z~e$3{LHS^! zZaGdX6X6dx$N7EYf=I6%C|P@ygKYPwh+c9C?cNmeUL&-N4MDDxg+tXODC&GQvD8E! zN)5q()ZJxQsZh75j|DiWb(C3)A0q@O`Ix3xgyadKM{GsV9l=5lgaY0XQaIBq0yiLz z>$WLQo2^d~62$BE;p_wz@)WsGRKxM92;U&TlQ-TsMDoa7RzD-~3^RdTeBib0@quab z<%2rC*WfFCYoxQJkF&z5?y|u9%w>1lcPWoG?v}lEh;VV+(1fTRL*xSuL&x!lN=e91 z5Y-u$W%mFgf9^A_2ee+fP$aqm^;Q120il{U?0ZFkW)*UOrQ;naSc8)a&&X-OZ-$8{ zZWh7aJ4oomTEJ~+N7YkulNM#!R}*e)BaLy~4j05Z*-|Z!44NPb@%Yjogts)@}3Z%{Af z=e1a)C-*lswZ!)gdb;kLfn!3bnQ>NOWJh6(@#lfmIMiBsN|Kk!WjNRqzgrrRm|*f9 z4sSv2mbYmUCOh>{akibL8^U9{_iP0pSrS@?xhvVNIc;1JF|!BNN%YZtk8uF3F5$vg{0h~+$C$RuOfW=`bU^>7D9G8L9Z{QFQ zjvT>>7dVLr<62sbFhjp^bk7iKq3k#tD%M`3+=mvw_@?0RnD@GJcCXp37UmMLMlZ;S zu%$>n*s3AxUZ?XKXVrau$t0y!L6A&Tyy7ro%8NAQCOD)@XFu&$B}om*vv*}H3`?>Q zozCk7!H@{_dpjbGn49R8MgHJ?TXRS`Rb6lkL6z<`)MnwEgI|hH>dOpJL7+Ko4A%yE zn7)Hn)x@n@Yo#?KeKPj4Y#}^&In+DDpO(^|kv3xMK=>*YGm8giokDx)zbh^<5U0rd zHND2ffg*QIkxZNv#bZ>^>JeLY+ZDetY;I9g#A~6*gwHwAbUjaTnBkd24GUb{=n|J>|gd7HTD|mtSCdj+~C8bniC(`KO%k-{AVnS$bEqKmw(kxGyWWr z6^DA{ybbDB&trG+-M0`j1b1~&hFnvKi+2k;T>e5UH)2P7_=Gs08G<(5%+X)?7-*lH1U1ndAAEA#DmgC9DxCWXO)<>%Rz!!PA@{8Qh zFyG`i9M(AFBegu`BQ+SPDhsrO#%bJx1ru(UcSv7`-vRL}pg*$fOt9v)0d^AuKKp=n z14oY&SE{ThllQ^PK z;Uwi`dT0&a51#!?8n7%@Z1=JDWC+9v64f#NM$fj}eTPOaFJ?7Dy;Hk>osSUgaU6@DE_n(N>%)?Vnx9zlr3{dQ!7yhKrt(WQE<8Jz(0c3v zW`4w6cYGP;p+>Hp#xHBew2%YDZTdjNEM5>lt=Za>ut>OBch7+2IjA$5ZQl@H2&cg} zu4WMZASeuXQSZlYQj_cm)8P^eS3~eCPP)P1I_PCb+AR)-;)K7uSbdQmODgBt4~KSQ zr|TzjrD}%~U!2%hH^&eciD~xPBs!^!;BHb+Xb!4G2gqSPgNJ8iJ+Q8)t`>G0D+gE) z9P25L0w2BDz%@BBa^mb9DBA?-M(gfAzH_xx1{6V9+}+BD)sh>cMk8yWqzAKCwmWH_ z$z~{J3&LJEGc#igZk7I(;*1L#GPx7&BPlt=CrX3dI%`y~qnnM(GbcVmF3|gSpXX1p z>20E5%hR@r!WWisvZVn^O+T$a%usGhBGsB^0Tu%$3sNR1BNm0#|H#h+Guji@K^`(VbRn-%ARHeeQx@T%MNv zI@3HrHee;dw#hq2u-JGPzD_Vy`5o;Wa=l$X?r=%I9Lgj4z|a$hL;zeUBZw}j4DY83 zKH5jG2|T-(?$AQ<)9i#CrjiEKHrd|>?<|M7i8h+`97papy5k#Xvc^M6Q|IPjFS4Q| z(sJ<0O#6s}TbL;j#P@5vZ6{%!P^k1&&mFPHNxmrhDiB{_TInnVO+kXA^Pt@ar|a5{ zN-P5cXkSRyYYX9CeN%;PI`Oq8P&s`#%mEP~OE>U&6NiHwP?sg*q(wX{2Gbqc_<8<= z?S_ece`9N(<)0HhGcvmS9^bLAeVw0L>q^QEq4`(E-3LCl8xSi`iwztJ5e zs+h8&IDGU%`G2-~(yNKYzU7F5*ZG~OrMkp{fhsr5o#(by?9$#*+}OMO@M!sYQdUO# z)X&R;%~{p|LG{2kaVyJfH1&I@7RR$UN0*0T+}`IhMvHq}urbe;X0uoJF2tO9nmx*1 z+WY*UeN%UI{Vu9|AD!RrQ@#>A&GI6+eC2BopYyAs#@-k#HLS@@%R%U&CEZUsC_mPM zOjz+e#LDeH-;TQ?At*XrtglG(lY}nVF{du!JI-WCSjLm}E}64>paP~!A#=eWl}$;C zj)Y(ND#+tNK^_NG+FRb;X2#v3JQhyn7#7@O@Uj!aQX}C(>!RinhtVEh3B`q$D}GaZ zjQG64Y}J)2RBE@$ginIQpPRB9Md~+w+>l%lFw@LtFnE!_I zh4DxqeH;9PPH^#CD7p*tuSM5zUGiA=mL}UrPVY4ZkzQMft>P(qR76<_rb^0Uiwf-g zW#O9p9BV$*hxC^oGCT}9%3eXqjqsBPHSJ}Q)2=FQ4ll~WMxAIEeX`(WyT}o?jVUD%~T-1Pz0C2I7MZN|uo`Z{4fGwzO1QjKy@F=;XEJ|*-uRO>+ zNgh-HdkX>8-YZI*Mo=vW1cQ2&1>pTHYPgTG|J zJU}*JB_I|2dxQUIdC*W3i#*=QA}<5~F<@E@rpLkbE#OSZQNuRC4L}#*Ex20;<{5x0 zaNz^ydsyU=E6TOGY1I_ybUtD)gQ@eT3WN-%&VL!gH;}d_1`a~R%^b}eCDa4k3-!Qa z=>bIo)S&Z-caiX&GP-@@KLQ!2Jag6vK1hB>A*+CEc}%f)7;#iyuh=x?b&G_D(qP1) zY>O0loIfzP8C4{`nYffUwZf+#^Hp|Iv%%;-kk@SckMw=gIv#R(Vi?MU<=EY) z#<))m!|rE>a}~q(4$kYfTH_=xpn!D&MM* zo9*2p*Lj|vGLSp&P=EFQvWboh9u*pX={c#9u303kTysJA2C(zpTKFsW(FCqB3A6Qs zhRHm4MrpHE|DU$j&3mZMjMQdKfB!L?=AHRuMt-wR|J78x=GNUV>cnPb*tJ*oB@K7o zhQ0f7)E9bu*k5wTlAwO{^!Kwd7v2H%8xn_0^*T3e{z9c)uZZ5z*B9#kGFmIk(Yws^1LueDOC$ty)R`OQk3&|S6z4)E_pa@n6E?e&! zF{cB2Q$IB#xdZ=J>ZSP#rHh4T>stB-oR_p*bD4uS`xZNxCj&x?9h&xp;;%XH(~gWJ zui6ea7!u)nQq~}f1f4Z` zgEkFL$CG9a;u@U4LIur)?(-G4S0puxjta*sQU^t1HD;Z}Q_-V#$#L2(k}0X}zH1@+ z+_9bZMRZi@)$acVBIXe7-kq3ey;#xcvNp7C`;qgb>+z-9-q&A#eC<0F^26!J-D&1rudt7OKkmN? z%{5nj?YUW3*E~KKef9gN-9h8U;}bof71wNgV@}wRJ)!Ht>$>q<-=heZU(Rm(@$KyI z?b)@-KYo0zs^0d)H%b;kA|H(I;?Jb11*Z^DbMlj>6-Zs6v@S-f{2gR_mkw8NJo3)1BC zx|=+u%t)Vpo$&&LDF5_??h`LTpD;%_{AoPEcq-ZUz@JvO}!#BoKQ{DU_4O zUwsx{_D;D5f+n{q^-a{x?vV+ga2^zP@%|({24RORxxT<-v%AXQA(pe;eTD8E2tQ{1 zs&fQv1EmDkD(3`H9Cto6dzoae--fMo{bFVuaqiQ5r!145^NYE>)&GnRUT>xOZ`hVx zKSUwV87FLZH!T8Z<&jKD9~|2mivuE%RY2CONA&%$ms0S~OSKDopHOMo2N^I-5;~d1 zi%4rgOp)(ktLfNE!XfQ(&T8&H$vn}^|u?RJR)xde@S}AFo%%TZi2>IsnyU$DJ3F{dFkbH9%NNiqju_qhEjs*_+LhL z1dI7}=}`tLjksC(pOG7p?2ddyOc8y831u{IvN@C4KjJfptqq~ok4YJn+92BLu{{ij zYa~MDKEHItN!EPBb4ew;Q_}t*j_2GIo{npkOYVj`wTU*;=JMt5Y<}|&?}zT@+w-jo zb~yT&Cwa~2o(xE9w(WIRd7LUKvFfF$n5TaF09Db3qQB&opn8d_9jE4gz&w@@l@$4{ z72k%HA|KBE#mCil9YhuBblC^^2^re|CCd&0+3Bh;g(cP-2rB96Bs)x>tYGLLdMC0^ zx>V~=NG;o^U&WS7O1qC6aiixYyHv|L5$0sw!h5Y8D|4z2_0MBiVG849y!MK89}liX zPGgRoc}+SiEHx$sa~Ifu5YuQe&t$UmiID``ReJq&#V?Wz=XV(6GiU48mMu0RhDzhC zu1E_03l8?gJ!3BWPL`x-J!uroRr%#4EMeU*Wc^OX4#}#ESB#4@S-Lz9OnJ2Xx1evc zmQA|j6fW3Hqj)Zr?$)%>#%N2SgSQ9a)y`G=nccf3p;yJd>G zpWv@%25l_PP0mwDC94JwbK)xeIZIFd-h?QU6of4ge1tpB98sL1>`Zhsg$?EF9=+Va zv8f2=TsXbI2{O%wM6V|<6x7oi7kr|=6{DFWw`!cdL}*sWBTwIW9A2KG@uuBbVQ)^~IL8#FW{#u6$f})>pzGOMX+h~* zsIRb|xlH|uyiZQ{Y_ZLVRkRKjb&N_kS&F-tEJLH29kdQ{?;u zqJQBsmrFiHsdF2!XQll6;`=P5I$3w#=Rx2V@p)#C^pA(|n4FS>`Xu8&+4z-7=JrWG zE#fEUpdDp=x6PZG_M_dB)$91oTkw?4pHhEW2X*ENRsWcNVK~MG8ZS(5ai$8|^cxKm z2ygN4I);%gMAI4X8}d|*E$vb1Q`R7{$JaP#W*OyzHW+G7&;Ml@>YVaZcjmJaA|NBA zOUid^7~~-MrMmNnwh;0~QvnQYu`&Q0Bd)`s^+HleR zxh5s{nsD37CUoo52z>nYpB-u&FSHg|K7msfY3m)Gd3_;@xeKHjjewpMfYvsv)=oe3HI-h_Po;t4wM z8349-o&uf&V0;J08~(hEA8*>`XbuDiE5Ud-7;ge}frG8F%fydY4B_@!uyP1j4BKMZ z_Pyw~Kl7~H{`;RlyN&O;7-J6JynJ-E!+rL;vT26ao*GTxn%4pkz9Cy^?8 z_^?d#79Izj+kGElcj^DXDKe1V&G#A!j7OZ-Z&uWst!|OM#20h{iWW0zBs3n&|PpPR7`>Hf~in3#kpK?hhtsg(+f`nhhUk; z?i9IPSi^~}2)`q8;CKwv?})$Y9SsP$wtP3B@DMPcWTbMthY${gK2frM2Db_B`NLv_ zKDve6gF~o#=VF-rTs=^@3|*@8GPsxm7oa8u`LsLt|i_6`z6!M|Z+JEotqOB<`SVdUpvUqEm{?Vw%B z#Jyr$mMwRCh4orVo`EyyT0;9rkgD%hv<*3aa9*BNHR$kx@H(k{5Lx0sRkVRqH&AYe z*)3nHLGVJ=KiQ%3Wv?{3MqEUu9WGzKPZQ0dtMlS)a8jyvm2m<0f(x?pPk&_PwSR1J zi#B4XEZB%mKJka>x5A&b-5uSA=N;W_eqI4L6|fXg3|I$90W1RK16Bhv09Al;KwVzJ zXd-|O$OLc!GXWKV0s#0MWdh~{asbtUG{7=I37{4rGQ0NB^8~wfQw$4Cp?nsk8cBAs z51KuDg7Sn}oM`@>4&;H8m&A7gk%dpRZh)Z<6T9RNe{|s;enkAzIDYWbxTasDJ=3na zIzH+L{N?KCzhEo=?ZU12onRISCbz((2-K&8>h@^Qp51`fXwOo_gM{!277Min$7!Fe0G|qjt6>?qm#ejJdqK=oWG* zv$Q*J1X3v0vM&tVZEz~pY%>;&?qoQOht%WyBt#94M>FSEX83haRSq8v~Ae-yYp^{TukUL{eb@=xvK5sAcnj<5!3X$*t^w1D;U@_ zrJ2kUTbV3L(WG`DQSU!x&0_0Ig|? zldwFuc6ud#7ZcMSB%e7qmq0jjG>BH5JBRt_(Q}ehx%ND-_CT6AH#H71MskE|f`8|& zV(L0y%Kj{W?MKVc%ZS5`QMQS{7_A2qWE zeIU2Tv7YE9c%t8Iz?cY(tXjKs(u~B_ra%VtK~1MbX#+VFM(#CSn>5x?9Z7BWelCpC z*K!-pAy*AN;p9YP}zpXBuhq$+`*>5lMp-mkf6OdORktm1+k zaNDHGnkU9g2#m0c3v|F|NPQqMVkQJe*u(|b<8Da%4QmFmJrnDZ;j($!RAn%~5SBs+ zm&F7lCSq>0RT=rAhCb-g~$aFN|N@jDJLUA)Wb^@p)*>Vw(ofJ#6aU_(0H@V+@yBXXau`D0|5 zomEra&6qaTOq({fV26iC?H&)0kn8mhjSEun<$$Xv4~V+}jGQ?)3acj4W@;) z4re4-_OSx14OF=%M&&Z@-HWJ``5D4Ze&*s3?0x;D(QRg%@!&?WhjD3lb_e3TZi=e; z#O51DD5o+lGp7T}seDqX3$U{#w-pBq;E(sT;#2{?hWct=+l+C<6`5C3tcgf>N)S)i zg)4f75J8giB$kSh2_!XdWPVjw`mw%z}X=%sOWX7Vz0G<3Ibgc|&T-yuQuv7&y+ z;iarjQ)VpB%ostelkZpb4q;s-Lvt6?WrFq0tbv&%^i~#cfZ2eU1EF|udw3l}@qQX{ zD3VQ5?4sKi$yX@0(h*-}(={8EaTUQqf*K{QB0Nawpqw;JKPvdf%H;~$q3P61Lt+-% z9cDSitWd6Gi0B@)M0}Cy!Bw~0);q0(D2D0Wh9Nii(0bf{)}jHHJG!1ws#O^o1G(;S z$p#3Xu%>WD!w%`B0CALj zevuq$aOYte`6br<#C+jkoSEpgKx;zSGwR-!KGoCb>R zu5_q=5u)?rgejomLr>TE>fb1l#79G=-vK4B#lF&EEyOMR<)5iFS znGYO0iH@)!D>mRvPU@^UJDfa4Gsg%I(Q&v$LG0l7AKycU+ds6$FgMw5X{74?=7%9EDzV%sW3eOy_mQ1Lk6_Uu9n`5 z@{&F?%rixsnH|vNp-QtT)?Ty+PzbmOhz0x(SOfSBxUtd|W4i(XTRCjyuq}T&*{TuH zdu_2($aTQg#ZI-mZcZ#{xjE51wJoWe)|NCnCtYl~-;C3)1}D1!Z^7^wI5-B|rLbKJ z+gGrC1=|F0wgH@tH{4`T*zgl*mxII&(D!_@RSaMQ>|)s!KtJFw0PJ=gb~n@ryYzwG zErQ*3!R{V|@oPXG82**=@>az5eNeq0hE3r&Jzhml#fB$)7UR>sf+Ukc_z ztBuex)Oq<@#g-wr2J*+G*g*tIvWe}ea-@g53T>2;?ex8Z*~-B7kiF1gBep%L2pVko zwTBf!gN^9+z<;2!S$Q|yCElVePx2UaxJ7=gEmNY~1Ez{DKtu)9_M7aqAM$Y~4yCwb zT&3cqc|5BM8b|v35sBaPg|x<|eewcMr8f|VfXD-)*h2Vlq-)9vsn@?M~FeDtiOAnQUd43^XKa;m5* z<=V{>^6hD?j`l}>9M;E5Q2At0$p@A7pz;<}z6d}C4=VA#pz<@QYy*|`IiNBRRJ<%I zzko`BMaAOfzmZ{BP8){hv|+>VfX{%9Bc}{{z#+ghz^;)~Y-UYS#H4AV{OD;DU3Pgm z^)z}oZRvNgD!bueb!k2xQw5lJ5-sB0Lu&T{%p(HB@eu)AB-zz3rR9Y_rl^*Du9xIq zon;x2RZC(Ebw{6(2*(<;Ssg{`L?B9mumj?)wBU4YISlZinm|$|n72#5X}7UP95>K7 zb)(sYui{qekXLgcr;ghQl6w#a`a%LkFc2+3e5NT4t@4?5;ZEDXm(gk8F3`QO-Hf_X zgM7SD?DzR3uKf8nYRL6gdO>3Xb2Rt+1I-Eo;dK}5;E8;R6{pUJw(i?;iTdMen)97t zmTyPjjagP5R~)SJ7U3~VPoPDo??r0PjkvJa*Vv6F1Lgs;0V@HiHFn+J({SeK;Mk!i zzvF`JbV^vapDlH0??&74mW{T{uKt1j{wLrX;0mDs5A2ym|Dt}(2Q2&-HSGjf_`g~D zcXa3QPdTG>luTk(L?#nX1^Lq|a!Z&4M}Ltha%T~ej?JZs@(!^u$7`q{^Z{WW2%AAD zlqha{g76s#gTOkML^yTS7ZeIXK?VxBV7&wsZiB)SP`GVT@S|0nZUfE^ils!d5t-UJ!nj zf&fls3Ansn1_Ciyu6i67D$2_RyCC`hJ=UObIM#{(_k@2|Q7ihRy5{HM-xLwLQnM&E>E}D=N3pv_f6V0nYa52$_?PJqYKe^v`uWCi zHHq|mz_y$lNZ)dd+H07b*|a3QR(nVhF<$mR!x_EZQz)bv|Jkmam-Msoe*@h6{|z?u zStOUnErWk!5`V4=p_jPQN48=&p2u#ypi*$LzgZM2gGghSM1O4D^v9!uO!cQs^W3zg zf7deqO^w8EEGBKd{7&@80{I{F)+GI#KI30ikAl1Ez-U2PruyG3en>dI1QQt7NF}|I zlVT2t{y4qke~M$X{--$W(Ek*#&gF+dkg!$J9C&lrrZNX!!tC`i6}&m~;LVu<@7`AU zyj%lm6(8YKzMTq!aL}F(@Ak(nKx6~qabXX9oP97t!e~F(Ie@dNGr<`foF!Wb_=KjW z0l@{r2Z(x$#%v%efanLaQVR*6!&J*R?il#u0eKnrzaKueRZ93!r-0@gAOqkN+aCg? z<$x9g(Pq)|2I4Rfg+MH_5b*6?)d|EJAYK4bYVq?M5G)|3!`{Lugj463a0qk3aSMv2MC}>)~ji*6N3}hz}wBVcg z5(ipt@Eyc~=|lKpN5jsRw1Z{@2=;+slSM!SbIbWI2lKNQ!g3~X(cCTtVzq^^oJkiD z>w)+L#B?~5j|$1M+ZSmka<@tT%$p7m#vhx2cmhO*g$M+q0f?JG+^`VAKr{pK9*A%t zF3^G40mM}xx`5c~wEZ}I%*7v%0!b&_J9?Kn|JV#UXok^>qh~PBytD^W1=>$Q@Q4K3 z;h^0J+87W>X~vwl5=r(PXF}WBd}i~K6A}je1n`a?0l`hC?#N-eB>TD(2s4 z5y<#!*$!rUMy%lpGy~0e*MN?{4n#;sEPEmJ0qp?t-kK}Ol^}TjJP?2FYPLgDYn%J% z8W4&=xDkYM5K>+Q;%m3Jxp(`5tE(V51cIv|=)IEdP|yMvtH44Af+`DX*+&@cV*!w# zK=T-odElbsFVNlxZY;v}Aan#_0_=Fa0bHyEA{+=e(B1$phMIsZ2J$fYy$*t65S+1S z!w$Pc;YhZDAmM!j`a8TQUy9*9IS=ni0=yqTWRmRHPTN`k1k&WEQpW@2IfFhZI*lgJ z$zlH5)+Cvj!6PKKIMYONek{zI*;1=_4HUxDd!-=U0>VNNt^gqxuucL(D&gsoiL}LY zvzUJ$+#xwQYXM=_&LG+j|6G>uoTJj2dvmDUCm-P_#o+SqxuCEM6qbTQ3@Ch+ z&D=W@0D?Ra><7U*5IBIq11!hDDmD=WJ7Hf!Ao1YJJ%qM+g#?J-fY@vy!hrY%i0we6 zScp*YR|sZuAR~di3_GcYJ0LQB=cL2&{^t*xr9k=uxfAx7P5`Z3ASMHG z6Nr8%AmDv?8Un;g3t`d72Oe_m%Z;MiJ0SO>zZAi#m31m2w$hv0y7K^qNZCj~TTfZrm}N&@mOoLWgZXo*2< zD-dGPs&w8yc>?TgQV#R)`As0W4uXpo0UYP=mNSU~^ZP)&u^gx6Ou~RTZy_uimNSV5 z;wuoIps~hsCf-17wh*AP1{^<)1mZFfEfx*<2%iQ5(Pkkm8t~CQjRoQb5M>q(_+mZv z17f#@0F4Q7Zj&r#xj<&Y0d~QuY=QGz1MmI(EpXIsK+a=5opnk6=guBKTE?U#X3Kn~ zB<`>Kw0FL5C4cTrbKV}XCLhQXK#~Y+b{ql0P3F^Chr!~S69_YyEl<~jz!AuJ()NJQ zGl7@~M23YR1Ca*ihrv7q$a`SE5(Lj>U{2f~uqT|B@$PpJqCmJ2gmMs4z;~@T?S+cT z?0=F2H2ZNkUG`K};nI9f*T=P*zYsv5Og%?*WFKF=M zsO5z}ym)DO;SDeLT3-0Ui|MSmuCij?`$yB*mDxvMuJ4ck%T2~TMA;4jRdQL625~4L>N{-uB0J&z51?M57*wf^p zhEB4dq;DZ63Mv3};2dSq25%K5F60gb?yntfAF}c!_6aZR{YQTpvTM1v*1iQ6lWrFF zXrnl8{FvZaei)!^99#D135PP$eQj#t9c?hcN=4j4GG)E&TIh&7Z~`yB3mCj7*1iHX zjc5&9N3`r^W9*2qbrJlibrCMD(_MOYO?OHA)6>o$OsW<+;@-}8#O(yL)vVfvFY^gr zhvHeflp`5r(|`ZnWYwbo*T`Lhd%#upb5y%f`g)4|Nz8Ly|%;tz}!6I!#r?w8zXFAX$C zE$O3z@YuT;^s)Cb=%uh-3fot(eFfWur_l7`+I_q6hSk>Q*tgE3sgL~FVoAoXZ@Id* zE0rYEkrRDVbI!dvwD{bblfXZu8M7Chl>zmbReN+KhR_=Hb+bi38}z^Xf$#?fjPA2) zHLv<;@rG5KC64@ufkt%MYUz(!&C&&}p(qw}d1lTnq@G!tSuz4Ms%sSoVG_pqW76V5 z#7LN{XcEUOGjB2!F_@P*aj#%HGdr_vGMda>nVEG8v5T3SS$GPS%Uqh7dkT45*Q+=O zlQ2%pljguAjPq-43rxae}Spg70{*$L)6hCvTBi!2&oWTM@pky z)sR%~X~c5ze@3^_7Bi(MJWu4P|77q{xz^I|2r?klF1rJNQn!s=Z??Wh?h|~55WA9P z7+YvxynV>7*Qr?JoOK}Zbp9>OkNF8yavVt0F2`Mks^UPwh)JvvlxQtV<_pMso=8kqMjEWxEif~8cM zFzg?Of5_4=d~i(Z%$SpdYm)~V?6Szsfk%ZWnX9;G+L8T`GxEEV!pIo0?x&vCVwDc+ z%v)IMvw1daA>PPXE71CVMu<~c=z%D&oD>%y|R(laafnhZU_A(BjzWa26b?5b~&UWzeuUfMe@>x^3;vugGP5I$2&H3T# zS?*SwBw|e_FT%y&0XapU3}KfpYL>f0B{@ZKU$@;*!y~DE-goY3PN#)^BU}LB_PTA&E!h&#Xo}%HJ5a_e5(CKwj%*U7&#n=_fxm<61~<;x^p` z!(yI4e?~L5g}g}o0)j2pz)DL}Gro-U0zxmbh6OxtKC1*XL*mIksCMbuy9&R>dAH~; zGa~{jcX!Djv9}DPA33du^;QnMBrkGwVP0fJ{4p1PsvUx;gWyZGb5Cd|>a9cw39YV> z&M#BVBsJD^Xq&Z$ok^*BC1yu?ihe{RFX>@!gpZ94YW$#4$}Y{}hL5lx&7{ zRzi19oK2(jGGz4gx=Z4ay_5_Q*%&ywmG4>`+yV_e7HMaj+|6Zij(Jk5W{HVb;8TWL zs=vzK&&PI9eKd|7U&v;)+2DLYbHf-7*{t?4lDm-2YBxlGgy@s1*gIYDG4d%|N~Gs9 za+UD09@9N14O1sMt8ImO^xaLE@07Qq*PKb_lr-cI*%9{UCR~l|KlbjX!H`Xa)_fdG z_f^quMv#bJqW_gegL-JPjKn|_bU%$ppO^1ZY-z$?k=;`?H=%8GCEc5wp!ULNg~;rl zL$XcuQ#s{O#7ZhxKBjb#pVJZ`x^HK08C@ev48rp31?t2lqn6bXC%H zlgCgobTrq0QV0v662on_mkKx8c_~y&bC^tcm6UBlSNLzhT-RqPHkzRtL!TgzgRThO zfL*Se(cNXX9|?#Np5a7Q1e_6!ae^V83-6(i>FzV5-6wv>?AMhW5=~PWGne7<(6zd$b*sPTZya58@$`bFhnKOAH}d9?hYa2|u*v zcO{XLk%oLBKLWP{_9gVgV!6(4z$e&C%>eiUCI@>B@7d+};mQ^K+C|UM>rcEB_7;BD zEGYb(*w<03sLPAzuE~p!m2?s^{N1K;w>l%JJsNmWofmCvUF|FR!uRd-NHw)&=IMVF(>u$?D`7KbJ-u89Z52jfORPdaKOLP6AXABT)*zv4bCZ$u(W7Y zJ`BOr^E6$?g6^zpY#*fh`}5+4Lede%Iw||uFm40&p*Du+Y))>5?7=ocpYDjEisw2M zmJU%8QJOwuT6c0YwnlbR8^%kQt8Ldm$CDsuPid@$hNzJ8V4GykBhUlaLM}Qdv#11B zL)|T!qzs@#rvD1%6uQ%Tji)l49v%jF1__y2AMm?$&lD$I5Jx4!P%+J~-MxzjWGjh4;=Q&rzP6*0xAOEppNSvDo(5MrwE@!>-Fo|3A}`gU{Azromg?f zY+Vh@zx76HcOS$wL5qNNjt?Vq9OvM?Pow2TF__Dco1u?qglQTB!UR(!37YN33~qzj z);;t)ZakrX9h-u0l!CaP>8u+T>)Y%PmR62>3GzBhms$M%wiD48rVA>>e z4LMn^&Hgp`Hu)s@!=*F_UV%ScBm-`B`U!aPO1-xsjz?#dyW_%~Hj8cHC>hrR1j zkaMc_gHjDS)yV1kNX2djcV_jO;}Q67ZEfK#}9==-tU3#Y4JdBfkS@u zXFc)~9QG49>?!(Wb`RgB$IlZ<)E!_e`H+|D3A4lj8egaamLG@phm?Af$*w7=1$$Gs zl6{Wvc@5%fKk4L#^-#4Tu?MQ%bqTiVtVUHX*71Zc$Wx||LVuKNkiQXPn%We4Nf2wa zkI3JGeXnCgl=oQ2Q=e#2CQ1QRwPtZ>1tE`c<&xDJ=Sb_?z(>$TZ+>@L8nRq^g#CLH za_pl=TRfut>n=yFjS5xk(~Ep8tJ1DEPV1iA0inxpwLvPI4$3jDs|wdaUaFm{a_FGG z(mERPqX*1{aR`Sr$Kw4*QytRS$qs3TyY5YzOMt4_2;m&SbwDWKIN`ojB&;p?-=LH`FK7&V>WxOy+oTycGjBn`H`nd+`DJpsbrVG`IH3Dm99GQ z|7z>R>%jl3Vc4ye^|vUjXNoZDx#occFHMgpEV8B;+A9bYuwL@T1{&|eAY+69^sCgE z=G;;DS8hi|*vIigVLP_5;jE#%ipFBx(ipE1rP+NhI=5XS*T)luVP)9BYX#D*K2nz3 zJ+b#=k_&seI`%Qgg}YpH=9-^0r_b#hH?2Wk<&n?&QiGb9=N zW8+c7W(V~SYrm%Cnwzw=*7do|X;FhCU3l+8GXbH@6weFv?hF->|88U%RL#tI7ETlt z$VQoSG!=pF6_Fjp*$p*@W6l2Yo)eW`fk7yHqUzVw#e=B>ID11EZ~g$Ogz}R(B9L4W zMNlWC(kmhenl;PW6)yn@yPQ2bTByo_@S;!^4Iw-m^PoX%xE$-+A1qK_Xaqml1(eai zY~oAG52g**Y8+Q%@pZhLgaFD}QSRdRMAD@Kc{2ofg_aUG!>cw3@T#Gdm=3R6A;7DqQsNDG)eZq(sY{6=@M?Q0 zk$FEn_NSA$JP1FY#QkvpcRA%wrei0A)n#Z9Zr zQteAQY>GZGM}8qUtoy5@tl{7DC@*064GfbT=v7i7k>+~Xyx<@;k>8*`lg zT3nSXB@5s=MQ~)N?%a4(@2f z&$eDExt+l`6+fO`m4gzVZERP&rR10;0Y`1yx8+s+^i^1&{Ryk=?`q1ae-nxKV0c2R zXH{MWWx4Bjrq2RN_ZbTFyn|CSStZI1slI(N77aOdmP1-W^mjdJ{^X#0Ex(tT+qlNI z`{gpn{eLFcKK{?ApOvJVoBFK#-nT#gGo=|BrDZu!Kj0nP{~GgkLkjQWAh&}KY=G2y zI**Du)}Z2@i*@N>kTkv5=*>A^l-q9CMWh9Z1HvZE?uHiL*;vX#M!Kf!npg8|FSj#p zPeil@$u6uAC2s-Wg%hG`PsI%cXOk{AhViz=vPal=HFeiW&E>-`6qmgs@q$T0K_#}a z@uUImc4x8AYZTXL(z4-y-%h8mQEk7roX&rNJ=}1`(A(|N%6OxZKE}9s($rOt>B0zK z($j_@Lw^-3pT1S4yH+ionTQQ{3l*~;hjfPD$NksTg>}r5`JjEcg2g{st^dzcdHif z_eRHVin;*$x?!=QaS6$uJc<4i(62E3bhqj*geSXI=OH}Xt$MTH8|nj8TOo|>R^7T5 zKPYLVU7}19O<52!8hsP@0smkXlKX!&-I|iHxc2AdpBLT9fBMVju^H~_8n?_Tw;x13 zaOXdG@L=BUu-gw#cFJ*CF5#Ja1Ml{O{;$s7-Eco(+)+A7|M!dO_cqRdw!dfNs}Jv9 z*0=n-V$s77?@nuqpy~QY!;T_+lKz}QUqrs7``fU07;{PgITTeA*6Y4A>>37dnUjWX zz?{|n0Zk8Bu#=E0fjO&tAa5SVlk`{QC@^Pr-$RiV(JT55)F#e~ol{3l*PaHKMNAWe zY%Use2b?AG30QEco&MRZ8OoVppI+>X%d-IQ#~1s9C0BmQ>3x%)VGgx>wS{ff6x#`H zQJb)e6>kEDO8X|%@|32rH^c8_kP9kA-F{Qarh)iPelq?k*Fh z+Pe#iLJRDaqNw-Kh+oiMScd=I@<@Kg2`qzq=JaZOB_NuNp@Af1^1O@nyn9Y&p{Jy z+_C7yFuOXB@9!+IkiXOtY-?NS?HsMi8%)&$w=2)`!xBTctN!AHFXJ4go*&#Nc!=L6 z2?q?V`zbUYsuxrGz#4iP-_Kdv0Z-Lb+ob`QEM>>nV zLZYo>9C-TSkN^ea*8yWZ%Kt{$X^cd{_;u8nj6#Kdn~{$OhpW~ii|D0w02c|j&65FK z?nhCw%+JD|EW*h=1*~%-zHEYkvs%QIaRuzZMH6KK03e7aGeOC*YqLELgq>FVxZ14J`)!Lb=LC_@tPs*2;EPdGvqqL z+-D{m-3FpAsgr?q8F5KdXCx2AtXJcVT%mBiCKXthk#E&}V}LOHtwy212%|}Arh+aE zBWXU?Uq@|*ZAUL*#AeM(1wj~-uBIqhox*fYmV(+Dc>{Lc5~Y$Ra)FfqfDyuvR)I7v zn>5+VlFrHoW5#%CMmBl1l?sNbxaY9XplXBdfS(L4&@rLEXwX8(gzjI%?jp<{eWRhV zh>!w~>a%(Xb&)B=la@JyC3U2q(M5)nMYNx_Y{Qiz*Ce#SaHz;VNjuqaw#e-gy4rA} z$m5dM-Eeu>Wj#6@niHt&wNZu(!<4t^XNJ?mw6|J6!tBAr5PE+w+S|ZL0Vv}#21=* z8L_j+2}kq?%+zXD9e=B8w>_~fI7j&t@JBM*39GDOvAN@vU-genxUp$P!W=L^qZUQJ z$IJp#%VUY;ow~nlld7?io?HNnxOUNZ!Ol=hfUPfGIo!p%>?tT}xHb~We zm8>bIkg=HI$mQxN;L;Y{$NggYtb5*l>@>^t?mWmu3%MBqFdY5^g#!hFGA|L@d~oagxnX$C5^Wk4n}hfE6?e!h%2 zQ!YQmS!}^&Sf|A+co7t9@z`z5w6zsX^U4oW2PwpGBIMi zY88KKV$2++8)TvoG7$-{D()cF>*8&?+5jLNs88_y`a*7NzLbyvbl`GIpKaSbKz+|H zHqCBfkB6R7l|m*)tyE5fOpI8m+F-|5l|v>X{thW*A_5x|kckM^kq?=O1Ukwf6A^}E z4rC${=O}?pgeK>NR6WEn*oHHjz*0NgCGQ8Gh{ye3Pm z7-=?@V72n3sZ>(1l`hSq5)WHrrRh{KMt70sG!dQx+d$kz`X7suCg@{IbR6{ZIF7m+ zj<>lS*UmItN+c{rLk&l2DND6W0FFm{j&cEx=lWbb7vOmAKIjyH z_*vBn(Js(E(1ohCl$D9s9$=@ZcCa5;HDuziq;{wt2HqAO0M6_RG=4Cqfj%0L>Oyr1 zB#<`KaT?&vnt?Oh44l~tV9Q1}(7pVDD+|Nvx>V~_Ut_FSluj9E6naJIz|AnxD<(_n zY8142z42{hfqX$skPnCh@&_^A_&NnIrp8VJMS!M(LMy#vr+{KWGb+8id7xO3u+Ai} zb}G%=9av57buxA5<_6+{Mk(=IAk01mgsct_vWoyA8{QFI>TfG|saj9JrIg}Drb+39@$;RvRB zBcI_+uHiZ)qtWvE(P-PZRfFcaj}4mB*TrP=H4wx{4I0{2_dMcNH;_jjagz&(nn$EH z9>Z<9p05g8aNLv&`W`eypI|Ej{6MmWl*mxv$C(OzJGBR!(>RRza`T_KO>Pf}z~N1yE-71-8$N+#l*D2lM^Q->m_Z!SAAlJ^lz|f+)X-fq3o} z)%18<=%u3U9=3(~i)or-8XC1mnWzW_Tn(<;x}2W-0y}1%-z<88cLj1~ixVf{{3m7t zNjCf{?rY0E-o-@B98|+Q3?2>eoOR5ymUqtS-@%xn{KvYDcQTQ1TYH^%B@s6fJs?US zn=~NUs=jIW8sKl$blC|5A)By2S!XtZGLHWS{oT;jhy6xd zFJ4#enNR@=tJKC!?uT?$rhKU(n8dC9QX9wg06CY`f*N=uW8t1XkH zbCba?(oI_4PjI(H3`+Y+pIasj7WETnTRvo+`N)>dMo8aDSc9nufIun?har_YaiR!@ zXD@IJCXCUb{aCYxPpgP|Nc2O>24xofKBR82Sb&*_qz)Dca1Rj0;5-3#Ix+{Kv-m5> z%E8hO%tj<@aBe?vyYdZRW`es^JQyVg0BzPRZ=nHg)+}wo0&Uh@)#BO&435keViU92 zTmht6#!u#=7JQTERC8^MTQ)sFspU^;6TDJ>&5vp0zfv9J&u9ywD1S5Z+5#!6Tx3CP z9t(RGk;Rs>@T-vO*txBkzrgaRycMj{KJAvaV)aOIH?*$KLe@Esbz*kvbhb${Aa#8K zMxuex+NS)%7+w^7T=kQ``{O zm;27-IutO@CnB}*z1Mc#7#4Q8b@tsTsH?O2#FEt>QxF>VobWmO+oDw z-cZj{`1VEK(9EzBsw8miqn!z4XX+_%kz5hS9tYd+-xW!Hfs2*()==A#HrMx_XH8LY z6Yg_Xnf&6o_t}4$rpE=`2Xixg4?UTsHwE>0C39w(JjcRn!*{Be*e5y4BJnYnm5w=G znA4UlM@biMg=MLuuq({FhqUoMw#AY}FYY4CEF^kCC1J=CLZ4SjinDO&d6mQ-OM(MB zbQF3&-qvB)hwRIuvYrVR&kdrw9ddx9^#!%B%MK@@J=LR z&uGg?`Em(x~k?p&%MyvrHjB zT4S{5!uC1V3a)<*eUvQSGDE9#LM!Kka-uy+7_nTr5;~0L-v@kqy}{T=4A=f*IMatu zVXYOjt3C4B|A;4#Ve+{iV)rqZJFIQus4>DFPOjK*jCzOtJsfy}Z)=PgKSqgXofZd; zk>WWkppEd$Iq@`xYrJQRcol=#OP855_&yb3M$I+}bui09I4Ox7Oz+44tQ!XCEdFQx zS}~cytznIcLKy)y99Qrkr`NExqA4w2HQbpZj~3Qz*4Lt#7T?#LFi}7Y^ELaWY7?Ig zv{?<7@9rghNY)@Fu|*yDG-Q2j{zA-kBt5olAub4696M(r_7GASTe1-U39=@(a0K%N z$pN-3&eKAc8wcD&w8`=_18zsqI{EPdk0V-~{F2aRF`8=glzH7`NlcM4)=iGp~rIFkS~>y`j*re`}n&Vxzf382kt(*ZL}U4w=IW|p!>yI6jq zg7yaG$WJ?6UU){CpMha|V+HYz{;qA-5D89e)TbrWfC5b>OURDTM_sOhS?5~gBtm-)cFUT!_0M?t)mqIfQVkA%yUrGTWuh9ppCKW!!HM-5DAmST!9K z6d&kVQITp}3@QZWfR=;igTx>is2VgA^eLzqv<@TzEdmvQR)c1PKC4JQ9F(wqwhO{s zD_U61MHv5x@~Z<7PY+Se7~MkOCdwZ3vM_T^)9hhr)P7Z>eQNF8eoVD>7CpBgH)dVd zEb7O)T1%TV$4^sdixAvQWMOkYg8drFZ!SadVMtB$91G^9wvG1%N}Qu#EAnAX=#Q~0 zd+qa@b1cN)B+1QU3)x$OYn~|}?3VDGB?3~hgxNe>K>SHEu^A{IQzewKr5JiTUG|_^hGU)7Jz5W-aXI~;@d)RKsiff5$}eoQIT9E2vJ%U{33n`cdDLl@zi*wfG6E~rYy~>mn$L)erGg4eYCCkkOWoDHe zy@}J~Rmq-ZN*D_pj^3&Evrp=V)BVeqmE8b^*kH-(p4)}{4?Yww7U8ebmzg*9(CWhO zYED~7j&s17MQ7U#P*lSxHC+JCY)&Qqk^T=Gxd%TkT%%5dL;1)xnjk=p%mmbkext3T zbBC=6R0~2tyQHD!uR+H^rw`_+AEoXie7PmbH3xL7A<6Z<%W7u3JID>>v6@*1ugj<) z%IY(b?`GTq8ZE4n1v(8Y{0KS+dIT%g!%Fwk_7SE){IEI6bvfugta6_MqJdmNu=4$n z*I?yjSUDM1PKNPh7*B@rWEf9|@njh9hw*+G@23<{`e`6nkbA-LO62d}ELEm47LC*? zg+?J7u2WSQ6Vd1_rI%5FhGnUWjPbP@t=Jw(F+HOd|5Epgcd8R(*XnpzE8Ljq8{WZD zK(R;jdPXVR(dE2jqqOZ>f8NDW*E#5X-r-TO@7*X0AL4d!-ig4NwLgZW>{akGLrJRF zir4|(W@VE?H~^(AHx-Ek{B&iPLNLIIK81TXqs(`J5ltHboBEZFdVD~G9)Iz=Rh9hM zE_zs1%`5LqY=$X9dNkl>xnv4VX@p@u3=dUR8``{xI-uq?c;T~vn%C+@&eD%Tp$N3} zYN1es@Qwa!VCdn(b;k_b+eqR1-=Lrd4}Ry$@qh3i4?98ufCZSw^qX_yf%{ z?2UT9B49Ksg}l+ig{>fux7rwS{n%tL9!;sT^SlICu^Q_IIqIZQ*^e{}?Bv+8ahG&7 zP2Q16P1gp=dx0to#_BzGp;zcu)fnvY@N$$|*yEYm4qHKCv66Ah5#1wGJfLQyb2Q#| zsuLNU~0lwH{2KiG})Ki?5#YB34AE%F_;garLp za(ylCyzZ!cZ!PJ({u%hzW7p_*$#>Nf*XZxbx7Fg`=q|_)ILjqDK$g8qZ!rVot}e`| zK8?f!N0vrIy=(@+S2B7`7od1(AL@RUTMh8_d5%M<8%qb4cq6AxL3w=D2sXD}u zOAOhre8LY)4BW0NM^;wN>A)OCvK%LBJ;p=ks2)j{R+V&MmstJ-+$^V^{hMiOi+?-U z+eB<(l(BZ3f?K@HIK{xAjp*Z_QGJQ5sVW%3+(U947Y2y$83D@Q`BVA=Un%P)^Q-bk z@E^3}ww*%Ea=qG?1Ekos*YmJ_-2D{OyN@v0hNCz~Y%*J!@ew9V%O%=o!jxQ?Qpew;E9rp$#Y{U4`%-br|<`-UB~*&I_O7RZPQxX`m??g;xwal8zVa3_nYGB*qB zoH$ZO?hksd9ya=;LC>K`6;GcVPZ&dj=;iSwSH!bf8c){iG;s$OpLN0GW--jQSZMv(o`Fj`>uQ(VSC*`%SW>SzLqlmdtIQS%cp#S=%gm zjVYGoHqU;I`$@8*Iqfxes-(Pm)@yi<{eNN2dSP{Fi=h+J5?m+xAT7}zu(}~)7$GgSzZuT7VN+NKl;Mz;;fqyEAuXeSRr)|$hW)B4fwYX` zD3c&9BRHz{iZ}p+0Dd5EPzq^jSz_pcv_zj8jzL;#zc5@ZB9@>(LXjn@m$l6N6{KbG zT|my_D}oXLIqO*wngGaIw^81CK+aAW6`a@Hg0$qX0pu)qG-M4RXFWy(-vDwpU^F;| ze8tLzBNLCe0795C22Zh9Nq7r3R_aU8Sf{9L0#M)vO zRuh^yGgNW*C9#FR#3z=x*!jNTiR~II8^?uccgW8q5|5ze=KQe4&< zfRd8zYR*mOGgD=?|4jxLFcplOp1VwS)!vcxdUYe5g$Ul`^wxrIqzr!moOI@lc{sDn z$lqGryUWJi3V|wn*y+&)w7I3#6S^2{O(oUbF3*2V>#GAQ=^m!^F?uC)n`!ZwS0y9Y zR5-?}^!(nmX3X~mJ;szX#(cp%ZCXC&^MbL$G=Gfq!t=uk%NVQ0#N|&Mjxj1@B>9dn zP|{V-kQvLs`?BK9Q}`TbVLu)m*UbO$X-;v!+a^}EIgsJu%Na8>S}@}Rruu+{UzIN) zeT6Wq$^^tvWTHc#Nz2i(Y^|BDo8XJVBP1Ls(C#_Fm~Da`*udNBvw+DAy{-9+kMHA6 zRO|VkeS(RaZ-JDVw~#m&NpS2Mpq0ul$bWkSjphbnjUi~@QdOHV0S$hx zT#L-3m)^lwBO4v3Dlm54X5Rh^f?a(|9LGU2bc0cwlHV5sl^@8l_CsF6W&crJX_jc_&6) z&uHiKE|0peL{oU+TC-BS$%Y>a7~$YW{&DmXwnmf^=QYAj6Jg_6EY@{VV4N?D6C`59 zFKIxZ!2?9R7dgA9X7N$ zsqq{z(C+b#XGe%8^)TbPCq!;NK6Qe-%AJZRXHggaq-FhJNhJnqCw7I*iLF#yKGWGn95(G4WPI7p}gc7QT1#RjXhd{NLtjwp7%oDz3w= zk9C_^29(+NR2A-20~K2jd%1G~C%O!9qK^O}J0lQh`dhl%{5c(KdnBzee>b?mTz~NY zftPJxUlrq9Q#GUgGY8Lii6gdM?hyKxI1)huP&_CSlnmm7CdOwv!eLGlCRQ5o;Jm%C9L$Gha^ra-HCN4z`~+O^03I)J(>^ayWjCiNlv|Hzqr zsj~3dnfy7L4!(P4$V2kil9J}s4t$toy<`6XW{$RrcW!`i8@*}U*h1`J7mJfx+&j2G ziAgQ^g{-OKkQTRvoB(AcA3*J|l$Cq{wZBsN@@EW$QIusyo>RoaU6d?n&R}8JNo372 z;|C`@pIN^$C@Y8*-CaX-1)c&ZEVRf)r;`}kif}spVM9YAIZOAKp|uZ_rPmvp`Uv0X zzBRNvJzKeRMZ_^~r|^_I%kI+|`5uZ*>!JF}FP}X{Gs zK6bTbo^Wz}F=KPJku%+XToR-((C zyc-C!z04ueG6uev(Iff=II{a{xxL&m5xE6ZLp2kV8oO}&(vnrtfi0qY^i)IXfZyPB zAj~pu6JNtyVtpeOdnK(>nGzT#dPJug$`cnG>VYuJYhNE5>su2mZ2v4a(RWF#pj{rD z&mv!kZPa9HfEVLeuvrO6SRg}}@``Kz!)EfAY6$S)19fO)@A2;Nt<)=(q$20pm2UNQh-nG#QO6z;#1T(Y8wJtnCb6DZ#%&sJUYf0!Xt0YHQ zXx($_Tnn|Qp=5q?Q4mde&dw_ey^8%ktxMw`c-MS`{<}O6UEMv^k~GXz_*J_1(9Sm& zk$-_tZb2C%ArwIavgXXKR*xAF}iHy4Fhsu~#k@}sze)h$+aC$SBKhc?l zgeLNgYE57UeEKFi6B@#uITpgBEmZ7`hE14F@J~%^+=QWRsljHzfny%(1MFz**3I{^ zUm;;tWv!%8#M_}4y5#_zrd8;%iOn{xfSs^;`M9H!MO7gq6P#)J*d^dJ6fFoyj5)4x z1A8suQuQn&r!R7;W(HVmN$wEqwd-xiJ3US{juPtin{5F@E@d7EDa+9iTlo;{KI=PE zy>V(^aEbC4dr(zIFJWyOmhew12%-l>4@s#bvlqWjvcZAWU~(lH4!|mZ57o%2HP{%* zTt`+7{~ zqJU^1R}hS6!+17~-vk@=Pz96_odc7UsaFwi zD^wD#?api`>8;+~@ZC0ry~I4HnpPKbOS8z1D~gVW4i{!oShS|Vp4(kghFu0=r;~k@ zyISXW>qcCEp;o$ps2~c62Kso-9pnb`_=Wlc#$Ukr3(D8zHCsmT8&Y4Xu0YmaZLYKZ z1=2(I)@P%NrVaQ~B|sh5-oJ}T{! z@=)MBQ%`fWtu~We#qV%)26wn6dfz6t!_-agAUBZ5Z@3&t?Im!_4A5D)2GgTqO8w><>|B`gCFlf9I|tKVg21Y{4ElK78ibocxP@C_JRehE1U3Z zEwxp1voZfzkgD=*oCmmFNwcxrEcuS2Y;)F5xf#|Em zsc?*X)agIMoT2ovY~x)>B)mee^G+w?UTF`AHjPaZ^0ungb}yk|tLB=WAmnetN~|-b z+3h&1^|YbE>E6ovQZvU6e5G4(2;(6wY$raoOqI@WC$lX?sjQ6fg(X-D{d56vjLNPi z=CkWnOYPyE(Wg{CaEzKa4lE!*0`*~^qxItd!M%S_y|r^Hc*`}L?X(KPpXwWS{|f$} znj>~>MTo!pS39F3(0)Pww~k==Jk#Z89b(v*N&Q(bFlaI4zf)vCS=Hs6rt7GTi0q5`8v9de39mr>~K#Iyk z=EWA=!T*44jGY&cnS`V{v=xNK(7Cd+0=HOy1o~J=zv_OK@2|lAs^4Y;rbQ$xKqE1- ziz5Gb1517{ah7D7V|RtePAyG-aTE?-a^#0csfF5T`MFWbU39tpX0HKG2~a}6Ge1l;IFE3OI_7O*6gZq)@;Wz;U3!sX}tO6!3y;cph=(^ zsY8S%X+wl(5T8NhLgYf+1#uU|`prhyQw>Jf_Y{d|nLEf$;yLc83vq_RqSHY^u<#04 zcroZpSo|dD570i)1JD)FJCFu+9drov1hfP61L!R1FOVMeE$9U3chFwYFVgr@q|R;m z5TV7gfL`p2m05Cm$DQ7YXNI_@nrfkcCC;wKS(yK&*o)Ka;CM7fWLz~}zC z)j!r1P_E#9TRREL72GDGfAJ2XKWty(-Qzma`&k+8-@2!iftXVooIOM+^w*@?rwSwI zYxws1fIKs@P@0Vpt&$pPs)Z0NDUoJ%;Ag)(F-dd#>UQ^5##C`}%Y;_Xe?{wL^nAKR zERiwunTy1WWB?;eArv45(t>>QkH~E4ygQ`HNU1cBNG}T&A1xYZ{pAvYz@a-%x!V4Veb}CX(85di^bbw6^5e&wDnqF!&H}IGukX7AtdNC&~8S--#u6NOG=8_>wm*+|I`XN%KOEED)w?@9B!XrUXlj}!a z&g*jIdq=6~_0jSzqm(teylv^9Ev`LC(!fi+!WfEa5}ny zUOJAk1E%V-osLG(G_`gYG-ead)$%&$Aa1)2E&uZ?h(a}(S*?o4U^QzTV7Uw~sH3aLzh{Q{XIEf(MdbR2_j6nk9v-mu3DSV=0w zW-r20o!PL{3%68%(6H4Dxa*G%`@Mj>-eLfBnvZVC(BcKjn!V zF%K-M-~fP|Zcz;8MPjd5jv6i$L3!`@qVgX2EdEwp-vgh;5oS>jd={TD%X{Fnc$rz+ z1E0k}5$b`@;tA%=9@i$|=~VO(n;50$qMj(ehh&^3?|WCv{r9X*(*JPi6i z^(f}sk4ig=iGUaZ@dU&Z5SKw*2Jv@@zeAh=aRS7>5cfhXg;)yl7l^+=oCa|k#LEyb zL)-vy1H}Iz9@vtDz3Q?L_rhZzuFgA&=nSu+I99_CF9OLyd*O$=06)-6nEXh;!A7nQ z7#I30)9itXk^ZV6dz^D-GIoaksI9Y>v{n1e)>n(oL3i1>W0ZE*X>-^ZX*~R)`jO?s z&#@Ev%)}_ZCJq*pff%3#{3I{FLaE{>j6(6iRr|cbQVS7WV+QA0$n&6*Y^DI~2bE+w z0{mxCNtV@t3DDj#T+GDp(fuR-q=ggD`c1U4#s3atw>YYWc!yprp4{S|&-h8as0E)- zzbKyCLT+WO6Nj~Uw9@|-$H_1(jzlDuu|~L7(R`Wj2q#z+En_a^E)o~YXbU+{#pyC^ z2bV1_k-2to3c#t^Co}N4W}%S;+Z<}d$U$SCtNM&7Xpj$VGN;k=;&DTgPw0|(atq?- zNYFj{Rdvr8TpN}``d$*@K;RoSvcVw&i)tjJ3Lq^PkR^`OqqI|cf7^;7&oVg5XAey% z<7_k$<1p=>cqrhKB8jNkHU+?#%rvuW9Mjhmy80FIiToSNG)1IS+=PFmn`tw^(-J4o zv+v($8#-o#C_R(8+3i7mC3bwdJ7u-0|wM3Re*wgs8%U@_S0T{@CXT6HP z<{r?9?QXH67uz!Ht9fwE4A&kZ9bY=ZD(8{vmi<3?y(Q>&xi8!_mWRC69}v%~X7$p) zf`!_f;HGf6=``cFm`(WA)|ZC!iGD5b?PW0UFSr&B3-!5#>t+G9$rr9Kh3m`U`unZ0 zn1_&m3LZz&;aVwNTb==PJz-MxK;WOMO8X|b{uNx`4{Ox=z;*A;p#7SB_-*%AKLxHo zOotz`y!3WCf^$U{(xDW*8lgJ2qOJ;E5${qh8DaN`7c$8GpI3%qPeYCR907D}@EveM zvQ5Hth&tf^URG5`KY6(}-*zO^eL1vHO1_wKfOwlsV3png3 zl(|+y6KFaVBE}qt(!=ngsN*WOky8}16v!I1BK~u=#OPndd#<@?jBAV9qugx_YYW_? z`qVhJjbEbF8rfb@XxL+P_lo*i-D33fivC#>Xq@CFxTM4yBSyh!CB-;xG$vaw5Hs0z}DA?+X`@* zTzhz8RdIaz3De#^i2Vn9A)28Za@D_dt*F4}ooeb~kF_%iuZD!7x0Iuud zdJN3%*THq4F4hXu%CQ9gJ4x#r-^mPiB=kw(7=AZB<4nE`&zr1j6Ll=QEyS)4 z>>5`-)+=JWa)=-16}DaVm_OAke2&u05BB2SR&Ii)+l&t46(nget%LjkAq^HU#QI4J z2j?%ue5wQ8txqAb-Zp!A7$T*tJfeS9uI zEDDp++Q+`_du3=iolmNFW))Pyw@XP~X;js%xzE*q8U2N}Uwl+)$upa-3}1efKZH8RqeYu&Np3 ziwXV>r)}ozj=jq=Rtm;{rOa=9RA&C&u{WaDbJHr~it8&*`2~evKI)G!t08AS5XP7| zzXgMMx{7OBTr700IJ<>lVa_y9Q?SvfLQSH=y>@OFvD%tM&+Q_QSxL>JN`k93q&c&a zq_uLIkxJrBYeI8&CHZSBtvU4tA*xv`I3A1?TMt^8*^1Oa&;!Z_kCY06IDB?3? zZm6jW-vQt=WGnas;Nlgjm^cuAL|OTG(jV!p&EK;|Tn>Z{c&FqwN7Nm$;ajL9oUg_H zEw~YOm^fw3e;{U#3df%;6y8>*+BZszI>37^Nt)k5wjv~H*+N1v5+a?mkaQT~NJ|zH z7aQNXE;!cf2+SBS31jM{OjS_G7}+bB&=8jA*M;< zW3v(RbqO^VzD)*6B4e}q@#*?udA8Te*aYfSFYadFCXZ=u*)AX|i1IHfWJ?`BFZHVG zSl}a5Z}STI`hh92L9jP27@5%K{R+Na{YzR5Q&z#0i!h}hrfeFR(#;#0P~`Q>JragF zTRtQ0Z1{|n0!B246*HXKb*?+%bYNvQri)HCXN~z41z#nfuzJWpcQU%zTTDsSUR~S_ z6KTwI7*LCQEwb)nUwo;hy1Sqq^NS@$emT)~4VouE>SVuQeyMr@`<)r>qz!4E>WzW< z=4T)-=so?o?OV_Z(C;9TOF>mE91w(nJ{}ZAgHk|&pty$cL{=)>mv)e{wLwap0X-x$ zwn&K?u;eGO)EL~3xBwUxmY4`r*{SSt9}oxRpUQ5B+it;a^`Iqi%PP3#U$`X|ro+m8 zuyPqpc~3p~^WJU2@W(~KMYzAr4K98ha6L#l1%KQWXj`d=`}e~A^`Mim#u`{76sAu| zW#5PCf5J2mn6?Fm55aIX{8WO5@LE_c8HV@6a10FZg5i&U@6|92f8W{(spr-rhbHBV z1uZm$^P8B}f<@Tg;`kO`@E|I zcqZDB^vZK0vN!d-`+H*{8zb-0>i~lkCFxk zKA@3-kCFsAs@tXvhToh}5w%C1u)rz$CAQA6uLAq?mYD>0l7xKT29Fs5nZXaMYj(5IVBvG?TC zwq>zJuSsc0Ky3bN;&o(3Y}spakOdPvhe|kP;l-9vNuOBgv9NLQ#1b1jpGx+$xWvku z2sG9CAzjhuwEL@Rnwu9XA{tt$tu< z4hKbRuGl}U@B3aDg-)VgZ=Lt^?G0oJ(lK*xQ_ojkpETXbpX^iFNl)!2W5qoYM#X8A z#lW^a-0aLCw}o!0Jk!>nC|y}P_DDP zv>iwY>K6Ip-K^Dcj)Ku!XF^9dZkF{^;4H#FKyi0!J3d0x^CSP!jxJ(LKo^^6 zN|MpLxZZNj0AYe8uzMzpv{%CDmavGWlDO{K3!zyhaexzSqRE&e=p6Y`q5DdSclWGT z;$_Ly?u=IQ1_`k{H=ppIB)CeHPa;d$Rhjw3Et1JqNIp43;$D?~hwzmos*3L?F@0Ub z!XCXXBedsaFsi_T*Yp!ycm@|n4j*z!JTUS0jJhP{R1do&6oae(=M1G;irnI7uf_65@PWXd`>H(46`wuFS-n3uu|cz+t)*tEQdQH?sg1+ysRaLzqx^9|EEyt-t~yAD)hWv-c?vF zEIg6uJ5=baJshzW{#>Y#(?5X)wJ^M}($AiclvXDh!60a;u>JFjh+C3g_Bt5;7d_A4 zM7o5I+lVqu4VwtNo!CqIY===uSdU&a92Mf`GbQG4jb5X{XG{^s#M**Z%xUd*+iLjj z7?`D*BJpWH>5`>ETJV8$0^&-%f)dRkkA{nsCotI2IKcJ&=xb!d_BPn;epJ6;m`CutUP|4PZ8 zLYK=g&h)05UV(J+>D?1QXLMzKLO5Z)BL5uC87LXU6ond-&dl#6PC(+bp`6wf!jQCn z2j+beqRt;#_Th2{2BZ|Og<51i@0;r>cLn!%=@hF*!K`QCQ-<}l-D1)2Rsie9*g&3LIa z8m9jG_a7=K({uMqZSBd*<7y-3mAA$BD%UAdVb-uSsCbFc{ct6S?uJView&V#q^Vg-0$ z8t)9JHj$$wH36kst>GN18~H*pu`dhRapvD&&yt;fw`17lJsfg=iFS^>FQ~-Udk)>d zl2M8OM<;YX3_W9hBzdiT$(?G$GFCsXN6Q8akrPgF&xB;b8|4;Bd`kf1Khq&T<=a0j zSVtQ=|F0)@dTlE5?jp2>CZQEGKjiu1{Uoi&K0vR3j$Poqfa2CHsrE?@Gah}{n&`Hl zBYUVF|J_KfO=~5t&~^UuW$-te3zFVfj~Hz63dYlS zYbA>YGmx)7Oo#UM7`yvs56g7JY4oTMJejDrA^oF{p1y!OzCTcnYKitqL!7#3dk-38 zNwdvjtjMYZ*RFDX?RxtJ062P%rCKl8s|U+1X`DyeH*)1DhNP< zQW;~dH#}emBHV8MJiwYKZ$r0C-K<|?a$&q&J1*Fd53>GcTf$hesfjtyRKdvAG%@Cx zix@Fzljj3-EyLsUYr=ttRyg=xa+ z`-y{1&(Ra1`6D#5pt=7!`T{inT$o0m{3HJLjCaUM(6eAau{Y-(^2$%O#y5#U9TCt2 z&Ck&M;&s6!hcH2W2k{$3Bg6niC&UPZ8sa9z35Y+sRvY(0`~q>QYjt|1%Oh*8%cFgr zv%N2{>qY{Ybev2fqW5|z(~o%*T-0yb->|?HeX2|^VlqJyvsC2GjN7dA1fh+{I@D3~ z3@8>R+*0v%ZQgFT)GS@MH{6X%bb4=Ml-d~*yP+~_CKUTaWmKf@auZZWEz})rg32f# z-GwISIL~uN3uJqTJeOse1-#-u^j;9#XemL&kzLsvI-LY@R3%R2T+Q60$PoEd$Lvvt zi?D`iZI~QORDX6G?njGn|Fkx2EO%mc*lO8*23`=kT5*aKR~@oie(Gz(S5)?mV~GD> z8JpQ&8|Ce`W&JtLAniF-w1P%1xHxa7i_De77JtXY#CdKqS@cc@ju&>XMr0kw z_jfoVMswW6M_ZlSJNtO+a-{6MxJSTB|IxdXOosGPS|1-a=P6D$!}$U*eVjHcjM=4{xQXL;`^xUP5vWD zNcsV7+vy2awHPXFNKPAZE(uM;YAp+d>QVm~_=P=BG_?ezUZX+d_2{T?o97eIC@Hra zk`eb+N+HzD-&cPxg#R2Ts@@tiMy5!ojUigRrYdq8M}#ZprVe`g5HDn0S1yI-dT5e- zh^wJl0nIgYQ>9Vk0#gHgh%M@R{2hQ_FrJ#;HI7&}^-Ap`=#Cs0*zNB_tc30}8P{Lf zW(Pr|p&q|XwZxj!7|;!MgBQ8E_HDh`$COK^^$eo4>KS%DC#|FS89vCo3;9`eOGcb? zOV$9#1~}%yF%OP+;CKg)@$l_dwF^_F$+t%HeQRTH$(C_S?WdV|chz53T`R&}t+95r zVz#Mn!`%gUg^@y*UM(b3TOS5N^;%C?m2&uWXJ1u8h#dhux?yQDI zKLFBS+DB}63BEV-4d#-$f_~B9_l)$9tQ!`qBHmy>S~k$94-s8d_;mINof#U4+GLUP zIN1oUg~Q9vhLoD`b>B)cySy3{`J!>m*kiJBq9|tcG5K;Ei&vQqDK!KhzYkJsVtA!} zxCM}6w1h=#2Ftt^mRcS+22p64#>Z@G^Ra?aU8aLg(L8txNh;-MH(CWyE@*+^~jlCe7D2lF*z93&M znp_>fTIMc_0GVr4fTgB?W-2yCdz?)hAq;~;%-OH46>je(;#!Aio5XdIQWFB zW;|83P59j~hN`|T>>NhzQMC(C4CD5w-$1$#vPjhe=|0#Z^gC6d}6Zq3O|3%B{%mQL%2*)jq#~vHa zSNLym=7{rXajnZ2@*(+b+X``6E%LVN-%CH+|BiI;Wn4p*S{~33jN<0fo+$inh~7wd zg}~;~8|$u2wK?@hUqgR1|3mK?Mb3q69wgYF!m8sYus!WacF}23yU{x z3^@zZ+9DF}XE-x$Gq)qBVHAd_NdS$Y1iyjH#^=TA@)Qt%Hs|ZN6 z`Gd8VBltRvU}K z$-Yd2H{=dE#P0p_JziLli`8=}8Ke{cR*Xpkc6J&1}%TW|sxiY95Cgs6gyR^-W=Y6Y9F=gmpE`Z74Vpy+-qgHI9WIik+`mZ;naG?Zf?} znPg34(T3um%kkz~yRHO0hh0t5PN!@St%=i#$@a`LeGkd$oEY#jr3lZKI3H6L3Qv}} z9aG;h%@MgZ#obrKx&}43EBtQIg{odE>>PC8 zqY4n77=(4|3gM+ew<6VXSrZm1or2X4`j+61rp3@Ml!<##5rH(%1`Z`?@7c>()V>=|HEO;-bh8 zv+Tx1r^o809^HPA=+bx~)Gbj8^B|T1Q3=Fr5LY-7(O zdM{&^yiK3j8-5FxiDP<$Z^@fsB{?igHl%0thD6D`_2WP_1ohGK3w?Ss+C{a3&E{jh zJPX<1@ZG#f_t<VT*Y3<2R+RX?9u_mF0vbY?SNB($5u9X z08`+Z!=5)lXb#)2JjZnvZ>x5`4Ke|g0p9}3()0-jW&sRTZ> zZ(x__UtNn7ahFC^;B3MDyn6grGp4^J8(oaffd=;psyfeXHN+h2UVT5Bgx*(`|znP6Mt8NkMn$GvWUh!6{F>-zm1+jQ zDRjAF3pdWL>U9gGtToPUq-B#n8VeeOvdKPHNE^wfVNC?SQ=iu+Qw$%|N9CIQ`Gh`- z#gxiN^);y7sb&t|b&g)ig>NTY)39)6c3DSacx(xECpD&FrfZS;2sV1oPl`g;LetA8 znRTQ|Cd|oB@0b`)FQJh=+8UglCYmuAzd0yHga`tTIV_~j)p$w*cWy$vyN|!dU!~C_tuwbM;L$CHC}#Dr75`hW!90+ zA099!wDqWSoNE2Z9{wt4C->_Z%odSI_C1SbY;5wjUV-=wu?6A=#Bqoh5PN4V7bh}e zmF2k@S~Asy{i3p>HfD(BPe}sn8K*e=8h}XvtF5Sr5QDJ?;2x(qag@TfdD|(cWO8xi z`&D_tXBc$t4DP8m2IcfwbwSsyxcq^rEdVqFu-pN}0?+_JHvoS*fOr6=AJG>g8#P5( zZd4h?^F(!F2>>JjxDJ2}fB*nz0Kx#+?O>Dv-~+%s2jE~-0)Pd80zjdIQF=rVhLM!h zx2Y$pS8ao*T;b>;K~Eu2Cjj*x0QWoqm<>QI0OuWmgHa5?6aYQ|Faa3%cmRX|u+;$o zWB28Y`%JqVO9y_8nKF0o#I@sR$Ap}-A1Gbd+xr^3Afqf}{)Xgp@3%MpGVXTY#rnQ+ z%jf?Q9CGelv(IXuefOUC{WLveK00Om$8qDdKkYlZrM@q1)qKMIx%b=0&q)Y5ckrNN zo*2o3y5Dv*{_^4W*#E*p&Uv8Nn zBgKCQ^0)sTvNrv9P;UP3aAiy18PT|L8v`3Z7G`HWKf@e%=69ccQ!n&(?^!VSOL)k; zvX;h=KX2_@yf))`eCq${#N7O^vodsr_q@4Z+Cu;1yxRF6r=j3KPR~5xDF1}F>Vl@A z>tRg(z{{ENr}l$C^=aCP>hneLMm~k!BDh@2g7XI!?SnTn3;?PFpaakXz_Gw%1zn56 z^9N2|f)*9J%V4%eVE~*5U?Kny9Y6#CR{?Mb;5J-1_xSMEM!@qOgy&lYZ|yzD<&gxy zuK;{-y!npHBOZXO4#2^1Tpm*a_!j^-U`&9^;~o|!jPrtPtr2F|LQe$)UP(UC_QH8y zhAa3U1t{$f%5I>Xa!^8mQU;U=b-U~ff;E8{QCtlPHjrEQO z{7qig!AwL|LDxFRYnkpCtS#u;@A&KLfi@F>K=>eiP(dRT#^gX_0vD(SFz#p0%c30G ziSh~4kJQ}DZoFK04uBu20AvCn0N|Yi0LJ-r0Hy(;1t1m}=Q#l61Mq_ba4@C;FbjZF z0GtNK2g8hCPflR0I(1)j_jFlA{>4RC0dNCgA^__g^qr1|`tE7Cb}lZ`LhotDy%QI- z1;-x-=O0{je8#Gi6#%RTVBM@EDPWv>??emfMDB++=y}08IeSWc{=t{n#+L>0*JJVB zUKjoC%ZHX5qa{Be&o}@o0P6to17Mj0pa8HMfXM*7bpSK~>Hugl{4To+V30W$|5{t_ zKAKQ7$$A*ThNpYbX=(rx0XPT19RRMW%H0QpVCXy;S`5RgZp7ki$p9<`Kn^S=fLm;P z(C*OT?-(8j!#_hu5P)^{07L@t0)Q$2w!x{4`NHsW82%Q9-T?3mJjv*IU`YY20&pYD z)$9SUz5!nSPWV%Np+MshG-fkaHRfvXa#KRS^k@qPqfxz#d|KqG8Qhj749eQG+Ja{{ zgYz3BjsqY7V7UVb0pJV(wE+C(06b3g&Q3qV$YVEZQa5oH?f0UdSeVZ_a%?8QaeuhQ z0nh-L2Ea@JjsmdD!N>rh6oB6yfP=vXfD6EG01AMye9w#=@bp}?zd0oT=Vt=wDRlHu zfSLo;RRBx?;I0<{SpX~rV3h-KF!BJ{0Dv0+iNN@21^{ya$Z-I`_$eEJ6#&En(B)vv z0$?!!OC5lNkqf|j00IDLj>!M{$YD64T*ic)6z$!_KNtX<0-y$f%MKtIfa3sE0j13(=Bp8)Wo!|SMp3DNMP1dhfuXwcMm6EBqEZ70|8+u*U&7<~RyKApk`HtQEjB_`*{z zhO=uUpICUd5&#?ke%zNkmw2AYJ6n1r?IyMHQ)syZAOlbVfI9$_0eJ0TlmjrE@nL2Q z^+euZ^)oi?SO7h#5&4Intp!@V>TbkZK2WlNQt6;*fKm*U?r@;20?IN6Wj0XCf%4cv z*#?v~4vJ%z4M17XfhVItj~se7Fg~QFd7XGM(l}#ELj(8a+FC~bou{)K*99I@-+ebK zIMQ;129&?G(8z~IF*L4GPyA~?J>$CwG4rR>a0OUDM zEVR#Fa?<)@u)q}Gc|vg*qH4};Y>(xbOIZCKb3B*@4~{w>WWa-Yjt9B$;CIIZ zHaws>9?XOXySeLrTDNCLUfCV$A2VM~VoVX7X8iR1y_1dy;Xj34zC7cf=e~@J-HSCV z3){nf`qOY>2F;ejs8GGqtPF0C`04z~Ycn34#4-M?akGp@)pJl0bS(r>FZhKl^*AeYef@>na^dpqPXFh=khpF z+He$ARwD`?j-$#0MXce-J+ewsGUIz^5m~9KMSD}V2Iv={T>{A4AQ`Q)i5R`B*oOK$`#MyM0f`bIM--~F-eIGW+ z(`lQV_<)pLPg*ALv@I21k>ZD7jbe@FA6O;b0DBqeH>CBjmw_}CzefL~CYt`Wh1g}I z-l@zqBvGAbl8*Q^(bMo`3r)CVkQfLX*O}&GD}Q7{Q_MBwZ1Y9o$z;SawbIIxIAzne zfbcq|4-$uBMxcJV4!y$sR#@|FLQ}*S#JiAg$UO3osSm|#88=NL2`WZig3p4)n;20* zo2mpK4aqmN5>L%aHxqCTHwd`JBAoI(OKG~?WD;)Z6Ltj8PZ;zb@1075@EPyDMB_u4 z2()sbod((kpsfd52+$%gD=YN)!Ps?*ru3{2&fMltVm%m<(|R zVm-uXh?Znm;j(6|o!yM>h`)^*O@LrRBtwKkBtpbM2x{@yJf0~31%slaqomrfI#d-1 z&nMYv_ND&g#q@1H$=){z{+c;B_Zuv9rmac-))7yeQB35s$vjF%b$UiP#P$-6LTTccq-qs+4HDz zA>X%|H1Fim~F-8RAYyaMD$ zZsGRelX8?kg~?nbo2CzE#w?OE^b?s;FJ&Tq95e2v+*6;%jP#Y|>w}rGzVdN;7BhOk zY`H#?8NXldqMy{tEQ4gBu-2F|`8m#F@r7#iZPHTHC4E3E=N9uaSE5&O*YNV*BR+8R`qST|+_=m7XS_#l}&bIA+juWkO@XE%dX(Po{Y8Z%23uG>}ZehZ4V znujqbHSN01!?;D7K;4C55Xh|4eK!pCn2y&&c<=c=dl?J$o)p5a;u~-a3cZkME?+EX z;^Mq4CaxZ1DA|SC3m$6ud|v@9nEk*l6>nnV+r54_73xujlrP7uzIcb3~U8tw<-k+&PzacL* z{i_d1bWA(D4ZpAM$UjwHl!YWFF9)rfjF$6+~j|Q0GVJ=!&xcZKMeby z8;mOlXnm@~&hxJiV9r6pV|g?2IT(eu8xVbDn&KmDiKGh%R85wVA&D%Bi9=_>mdFVM zDh95G-(gE+n!v3{v)C9Vi7g4Z1luc0P}1~nqfCeN;wdHZn-y+0Tn8(kkV=ZgwbXl| z4=^mo`7D_~uJ806qX*)r!k0=! zCv^;Lq^sadrCG_IG?f-kkW(ho>Ub7A4z-!^ai>~7R@buk;n z(t1NG@oO!9^g~keGukpaVFU^$A0x4rE4uAcq8Ih8k|828qyAQiKsHwJ8XacdqB}C` zw97L{`4iN%WYj|H;hpqJBa@i%*ANtQ9ppqgN2$-G&l{PLoK=gyO??9H-bitKGGtIp z*PTp;42lrlQIW4WxI5iuOTT>gfUGzQt#-&&B5%0-Z5E^hI zz&_$m}w07NgN;ZF=Z3x-*#=yvKI#bU-s z*X0~3CtZt!nSAV=>UCbtQA&=1{s0@(mq3fAM(+t0>-!<#Cpv$bW+A&@&Ooh z474^@04r<3z6O|cVDKQo>j4e{*ykqx4UAZm`Ime>bQz#)8Fa-%SI|v-pz0Z# z2$OAru2GmDo-Vuo8G0u)zlZr7LC*uuqRIaz{ul=o;NhgoLW86+z-oAg9uKTO7!-K8AyY_FZY8Y{i`~n2YGVRJ? zOr6(3Q=Z;+v=r<|RW;VuVfQ-96yq{|#AwBP)D5^_*)oj&;?HF&`f&s9 zndbw=kS%3Z;rh#Utzv#6-a_w;XlPf z3tG2d>H%`>a$iwuYh<{rOvGr74VR}t+A(O@y;NtiH?VvXJ!Yp*XHgP8e@>qRj>C*P z$ZX1k&#O-&tw%<+L9JXJ_Oy8!6v_m2k>ECb1YaHT1@osx($5~l-X?EUZnI&AL%$#o zT4wfh$6POKzNRNhTvpPKvxySid#|sjayaT3-Njz0MqZ*j(+g%p{<@xCFdGtBYa9It zUTck5M!bRd3{3-7Q&A%_j&$01R6oIx-G$t%y?4xY?@T|6 z=mxF;QA43A9+|nqdV_a8Wv}d!<*0QVEX?1AXoq+M(bD8C6l4>`%OI*CHf9s-=@3E) zUN#{iEgaY6K}KiStC8UrGlF=-jA$@JTsI?j&JoEXVGrOgOi>2(5};23I*E+#1NwZR zp99JypbP<}61t~`<4Rz*80e-#w-&m?p!+B2F3l!%q(V20ZiDV3=spGAHy|be%kF1CJxcZWz#G{T4}Z@s#&0Cv z{-jJJc$3<%js}i-xROU%)NuRkm~88dk|tw(XM+4NMAe*cP(7CaVd@$-Is0ojIggQm zIG!3VP6hH_X2F&zP$3Y(A=w+4F1e7dR>k)piX3ZhJL&ivs`@(?%Q3#IYHSC_mg?eU}F+R2iI#XX95q>#W!)>cqJ=Q zT+RX>c;Z?(#XP}EbQot1FaJH_C?}5x&g}Ex#<|Ua+6A{te}OL;y#_u93TPCE`XVGL9MsuE1V~f?c@1+#>l8A*Y~b zxX^m5H%eSMmtsgc>mneRV%tGjeU&KAJM_8SBuevUP-U+y(-I0|P)RC_5X1&IYmW-Q zEx~1Iei!a4LDqOhgBpR$P-v0zfaR%`+KC&Ax(^@Sl!S~n{2U8T41Q*BJo03dEjz7o zoz6qC6P0iYCgd)`{-arHP3sI8f|M$}IoB?nOOZ0qy3{wcp~#F=MssgeLgZPORR2`!i)Y1@EE`wq^RhS7UM}(L`RCvIW=$ubDxtcKTpaNR+z~pK_i%n z(A-MOv#M1Q_PJ*Apcg=ysq$E0EQTg^1akqJ0nl6kP09#nH8hViT8ux#pnWiCiJ2^o zgQ z%=NP$1#=hAKjAxe%4o()#1qXsBXWS`U;n$8zXoC(g!*@{TG|hhqiK6)+o#7Ix85kp zHRW|SgB3PO3j9^R1dW2kPRgkz*nj#P2OX%Sid!3#zNw- z5tez@Cxd598#}9%vmt)AMTs*674ZiJhkBqU)uT1=>%E%o_co66noB0iUfbB)r>A0; zYewjMn-I&jYI;Kx<}b|_C`ondjSs~7K+Yfi7$g@*F+-2Z{|7mLoNTZVBk;2Oz(OpB zH?0pW#Qb@)`oKagm6y?np9e~_xiMfN#^AAEqbQad9^~akfpc@I%}0De3Ln}Q^b5@k zHf03W8dZt8XU_U#1-%kC!5j^KVwAg{XXFoUt9Y5+$d{TV_LLE7Yy4xJn|ZSCJ1H&E z^DW#UZ|LW|M&?*5`?G67|D!716@&!5dK5*rB)CC#f2f+HJ3Wf-B5#Br+{wfA<#!|g z<>dDBpP>$NX7-ovLRD}U_D|U6GfcffSlKWKx6aH$Pl0ufN=aY(TTr>o^B>=z1l_b7 zg!j;mgYFlw1cC;ND=dMy!chxHEgZApm<7il;P?X^qv040$5U`TWf6(Df@TdR%d|@5 z;~lz3X%b<*8AXa$mZ*e+He8bGhA9CgMc@m*C9U>_Kntx`X^H? z$3vGAy1s+1G=*z6Y?qT^U;GGcm5VVnX{f?A)z^d#2WBKmAY6o@HNmEOAPoK)SoJVy zyu!6lV?}|}eL`lf({oLOF?EF16rYB4H6Is#2T~E9hm;nZYX|2wEP?G6?i+Q9ft3h~ z%@0&HU5nIc;rV2zK-w0guLOS0W*SqVd^Y|X-p@=Ff*E#da2+a7bI5ee8!KE@(T9Cb|%`-02UlC3@?L}^ho=8k%!>78w=U6G8f z^g3?JvJs@EV`q>r*mIF*G;LPU_vns0t4tE@mnY5HFTV)Ki`*K>=0!iItTnA?1VJ`0 zemw^_80{h>a@ZYtUZ^0=|EwW=aE8<<@;EH}38s4*Xc50)Z8y;%zIgXqv-n^Lb4ziC zJITJ4NxTLUoJc;&-)pxqnD66HT_6vEJMx3c_}ci}*r}>0YbKvE7J5>C2=2(Wt;lVf z{nlc>>lny1JmCgFksNlTYMnKYALLJSGgiSJd3ho#f-}e7P>ssf9J5vp5XK_*D`)Gm z$+2aM@%lpL`-|@{xSmx_q@SvGJ*!?#zfw(Hu5zazPbNO|3}DxQ4JYX!yK(^gj9kI4 z8*rPedZUvKBV9Bty6wYI>hoBq8b)h0*K|$8c#Zap?ptpJ=+d$ySsuHnG!Vy|#w!wl zw7ZtQR^r@BkHbk(q3h*b@Y~5vV!Xi~L zWEutD^_J4?|kJyVP`09{1n5C8q-9dw27wsQN=Jij`8*)w2d`^#$OdXC1L{ylSx>Hi8 zmE@=FH7w+N4>CKC<^0~0sC*2I-kGT`pENghhni5Iv?qkJCfsdWgIo@tRq1^Qnr5Gs z!EzPE%vWZa{lzDmT;s^^jK7Q04AWu|#hOjlC@55jr7A%LMSQx%rJekPk>2S~phg=# zIz0uj?ERf+f`OgtzF9p%Xee>bP^}UkDIsRSU0g;dsh*lAJ7C^voey83jETx}3srnz z(B(SZ#kCDWMbTtqfGEN+`!#Bf`d4cWB-&@Dx?NWDk(ZO>M__slnXUdzii4|*+Q^UXp$1MX>XN2B4QJm zP?Xxx3FWV0k$Q`;eblLs9HjhcBX@wRH&t^7{2(Slc`PE!T-Qykc@Ay_s2 zHmF!vl1fdd7=F^6x%e|$f2&&~xjS;X{355uegQt2q_w6i@X5@327>B@j!ZAadM?{8 zXJY*SHmY0OrjR(Lq`R>P*tj*ggs33HLrQ5V!i)p*)9;zcCnVj z2h(G>kqsYA&js@DZA-+QW{|sop?J%s*p;m=bzb+3dHR&m(z}Qr)qZPhE4B`PDlgN! zk5=5p-r!8JZ)qid@p3at20X^XeC0jdIpS%F$giqn)+5!pFO(lm8{vZ)R;Kt_Pl2?e zmd$@G`(ro$|80)@0U+(zv$7;@RKew4m~h3oQ|!_JaSt`2*HIBhwo+iGy_ zqO4J_wgvWA)}sU9cXFx(WMSUm51P@sb5c-xAj;cCsl(ynvNs~eaB#T1#kQ(HYYe+i z+rti$px#ryLP1REaQqi68m^35i3Bd$g>1D%w4L|)GL$#|MH&3XYmK*PcRbE^mHVy2UP zGUHq0L4ezxg2ijT^)N(0m(~OIk0v}8tU)6URf%Y#>baFYfJzN5Q;g^-P4qIQn)SkX zq-llqH;6`vfue2Y;`yD+o{Uz-yv9AkwMW8ANDG~dGRhQ% z&|GySOq%+WXPdeUvp6KybQwHB(|+u*qL+F!A?fmd8d8LMd>Q-L%ONT-1G07%PhbLGqCtU==8 z4oWHMGkyp7ZxAGwPi@38*;`A2UD<@IgWu|hK;QOU6S9sp-o$6Qjz!H^J~8{-uQa)C zQ?IoWSwUlwR9G|)9?gnDZPdc8aS7@RDGL^j31iWFVA0q`JgW_J+yXboZ8f{w&!ip1 zl$w3F7q(%4F{f;=Xv0r3Je@U?$c$@2hMCXlj!4~idES8~V=OPD+v%2OFDx04W5!n^Ddtl; zz0|G1^9)#Wx{3El$<48kQ7=>%O_yu|JZ`t^Nl=KLFp}09_cvIn#J5JKAGQ;NDU-BBCW8SMfG3t^?u2Md?`Snk$1r>O-Zg;X% znRdEvYck=XCPa5|)V+)LMCoqBi(5uXE4`-5b8QRyOUF=`Rflz_Mky=FJ@PlUHT~25 z(Niozy1k?1_tYEgpb^?|^cUQ}X6OE@F*HuK6Y_cEy_sLoG}UbDG>NYOEaA15uVv$f zT&nm)uS*>H7vt~XxjgL|e9JalqZ(ZWvCEZy#7p#FFSwidFiclK4+bHxXixc^jXnl3QUpbTBjybHKtCY7JnKwpX^)mfuk! zz^zvOV9J$X2gBMiS1g6rNXZ0gnE>5FdZ1VU#bA?Ikb{qddKnL>mkES=8O2_Uo0Y;M zbVqGgJ}^7kFMB&b_Bd=jV9T)Y@pgMmO_HxM|7fj{xJvVeTprUd%BP43gVA8GZvInv z$bhb8zQF`RVZ;qvja_ZP*G63jA&sln6_TLQX+y-nwRq!R*d*F5b*hcMu3Tou*iScs zEgPuJNxl6&8)V{+HSOK8RE2}bRiwpWtJ(^#vNi6(W z+;hboQQ+wGKKw=v)@mq$->q8X6A>#pc#mwOIbWO~gZ`@d-8!`s)$AElEhjpu*G2CVC z6IdT6y!YBDtAur{a({4EsnVSp^?Of_NCzQ2Z+focoux;p8zlI=OD#b znNFKE)2uj9xg~RrV!l^B*zwHZ``5!B(N=w^H>?33;4BoMW1?$7qWZKRDo%Id=Wwu~ zMUA|B`wT_ihCASMreAITkZVt?Cl?y8>Z1&K1?YdYFRe&EIu)!6ws2xWw;D(0 z1b}Web|>6}b+i%#Np7&=8r&4)E05H(nxgj07V0CV*@@_%xhoQ;cOk}evl6npQ0KUd z6EeGyE4bwed0pst-1QwGr|4=~D{LHgqH1%6>S4kjO`LGwu*)88jd0VjdyytkxO>>S zNLy)@41nL#24mR(_$~cwTsHuIOK4+;BuzSvfXUNp$#?dUt;^|_Yi-A&&tqgg&!!&qM3F*S%Y zs&A}?EdOp0&U;{)Vc+bHee9K_xM;4nUobd#ht%NSYRZl4ZAJEL2DjIwImSvBwU%Ck z|12Ue`r&Vn10JI>6N8}?W*U^jd~5syvA5G%envz_Row{3T>CGb{(wg^5R<(jydeA` zJYirQ4BP+%x4@tV7<6b38I=jqb3GhWr_FJKTq>tAA0P(;IU2}ofXsn71OskD^uVC6 z@Jz=Y0RtDnIUNY!aloS&uF5uB@piZ>&%#ydE+IUFt5RUg+YVRdT5}a0uF7X{Ro0CV zdp#o9$dPy^d}C}fNAgOVaa^+xuVCyP61dHtXtpv9~ls zy5?T=Ep4|>(Tk7LjOljtB6f3;;yp~K+tf|QN&1B3VlQMNhtDhYLf_*^con-46F4(@ zvv;A+a~AT-cOh4CN_oY*&>uK!c~u1nHx9R-SAg2enb%*^jNPv~$DS>5uMWSB{gF%Q zpH={s=o9*972r>Ud1uC5%u;S_f9_q}pWJ|c_Fe1?^*Y_*R$_`8r#n~ebXk?5J5^1% ztPa;*sdibXny))v4XV*p-NkC>PpTr_nQFIB>WR9ZYFC_UwY7F2kl+CS<0{_%Yg`JZ^!HV~J}E<&|-TXo|FQ2_jy#)yf^g zNN1)xS5glcqebJTAmMRFecHOJ)2%!B0;E2;+xHAQKc-$WPVUTAteJBV^VX8${J3G2 z=W8{@)>WRh9pO!?JB7mF+GC19B}1NI^gv2c*KboqzuF z6?&hTQ=G5C6#goRdI$}~HVBxy)-h!ou&2Y6H(<&VZMquBSNH!N4&pkfz+9 zDUJbRCJ^rcaRj0Y$UQ)g5P=CP49JB6UmOEyr@WFW5CIV6Qw5*9)?J<@kI_f=M(vj` z(NF4)E0g)_!+Imj95Sj1eim`EmH55UJW3r!tXE5##NZT`U|t6Dm<~jA{Dnz^@r|w6LOt;pYCE4_LJ%t z-I*rzC#Y`gX~N@FAFZ{W2!fZZ2`eHQcriGd`s*uGAnHwm4B?b?Vd-<2SJpxOgNQQqR^9nQ%tI*N zE${T{f*&F4J1HwY9bQ0ftd}ilK5br(l>}3+C z_oOZCDhcL2I0$T%5C|SOOz9F7!85`ngrDQ2<0hU2M<6dSi6!p!9xqHYB*=PC8vKU> zCZ&5#B@%2sx!5F?xPh*ci6cR0dnTFYN?fx^7fl5cd^UNFX{ChN=kbpzdj!$vNi;1P zaq1&Am;@u3K60L^c7zb)aR&q-P%)nICeesX4C#z1e*_mpUS?W8;@;-*7NixCZJt4< zMI+8_q(i2%5o{Z|%Cv68ZHdPdQ^p8-iRVGP=5erFIEmsC4nC7Z5Eqy+H#jk3ff;r* z{l)oa>~T)2xXg@Sz(I+NLNPBm3~^;Bj>hp6^Fy(FIpf5oq4;8si@5Lz<^d;4TyX@K z#PJo+K7zdnPHp8!@M}0Yaq(QtKb&xJ)m$8rL$&kfVjDOU?Im;Zc^r3p!86PqPMkfJ zhqZEbF2*0y!8ueOg*byQL_EfvM0C3qAf>cclsydy(}8dU2zfv_1cYlqs0G3(6$lj= zd61rG`nuPIk9G=oQCjs*PQe<*cD=V#m`2&J$2f(|QgrCOoFZl^?RtWWeoLX!`>R54 zDSP!O6(dT~3L5X>QOaSxiz@h}qDk+o3OlJB)Z0Lc`Mo5{W3)~PR%9QW*ZoTw}ih6xO zZ|FmiO+@!HQWR}^a&LHw^0nTzH~6xmS?|{yc3F9dOWa=m9%K{aw->+1edGkeo|bDJ zf@nK*Kk)Mq@Y7XUw1!LIMq|3E*XgfgVBy;>}C~YM<=52~Qf(@TDxgY{1V~ zeHI>*BIc{N2ro!6&s8^s?NZcp^>N`fDUPaoA^c8?q^kD{JEhn?st3XoQuH46Md2kW zzDV^C$gv=b)D6P(qnP`uJHpeWsQc*67IFvH>Nm}`)lfNra%(%HHBnKBRRjOHJXqltiKoE6ifmi!vPbCg!ZSf zOe_*42X~sBN%&?ji7ApqYz7ZfpGHJ8#o08e(W#lHHenkv0DIYjX>O=)x|b(6zH`x-%VYHuRL-G#Gv{bTZ3F5Eh6l)@FkI3cnxx=zlcN(Or`I3!+=V`f#W2sMJLe(Skc|91aQFKQkbm%@HgMYsDKkMZev z7I`RgzI+=LN`yX_-G)L5#&cNUP8$w?42n;v{^>E8DV77ey;9frRBd|Dh`S-H4Y$GK zE5102eotO+nj#7sj{jSUv?08iizfbN_d0K+^Zf|aRYng!jX?QeOprKx$GudN^hoc> zIP78bJose$*OQ86o%&$!h<40VZix6$HQ@~{$B2u zYalh&7@`l876|aiR7FwwfV4cZfQU#GF(N|Bs3|BiV?jm4h>F<28WABG6J^vW~cY+OjXqN*IQ>+%08u+jSmW_-e)<%XcnE)GGhf$bXDeuV8u z*sjtn!%#KTXzI0T^i%TDu$N=xFRDlMm>jUouhZD*3et^wAVNs1Pa5lGV513#)ms#1 zIrQ7OMC~l_u~KV%Z(|QgKB|sF9{L_7qQ?J*Fd%mQ*kL8XmqbxzE3BaQ8_FkkY3G7W zppxs*+3|uVfqVR!^o?#qI(mwbi>-y&kr)UzIRIfJ9sS;8i4Yj#AjQ;zJ%0s#ooW?v zhHfH();aSY_Jq6=`PMZ}wYi_r8N@}G$=VS6TdVe@h^}=Hblg<^pNAI4DB$wZL!^`^s3G1R#)p$xtKcIAg0<&0QCSFU>iUR z*lJ>BFL$-JZ*aA4mY7&I*PEPK9w9m5%%TRNmQY+-GlNW)&Bh|g{wSK{ zMr(6B>IVF%b9h1R&K*LTBBI@`R3MK{PRkucWyP*c%Nj(|u3J8AjwyU>(IJmynhzic z$?HUm^j>LsQRZ(X#bAB*ZTD=!?*_t|ja|4<<2eqDqi+^S0WfE%VP97Tpn zu7GzH$i=^@ll2H?u07@=1W}&^^ECufUkSG;r+BJY(r=zW;nWBWEUN_;beb~b1=7K_ zkqK3uBIGr#at5Lsf+NZsvsyQ3NW(-araI0@SlAfZx-Qmym^6ZVCu1Tvy3U2r3A@%J zH>}e0SLCOLt7c{rBLw<+JAUGt&;qCJGRIy2ui0h|(QLD5qkrsONA0)$fkq8AZP(G*d&%dL~5fkw4{GDsvMA+xZcb+*rFlgrNF-}=EXF*xD z?%)tqJOy0s8WF~G|3Ej$zNyH(7=BU`q5*8IBrcu5Aj+r(C};lAyNo4ioco_2{}|d2 zWG=HbncI`2AOhgE>Nk6wTeyEAB3>)kbv79v`AWKlx&y}5SwZ+HcweSzqQ(?WmW5WU z1iQ7UF&W>f$2%JFhh~dzMi(ZDmZ*NKcMWvqI^3$y!Jnl+P>)L1271Eo)*Spt*qxHB z9gEXM#E2`DHxGaJv5{-Ri07)-}CZw7$?aI-Bn3S zXY3MuqHDZ5p6)prK8I36UoB3BU${8kNpJ_QKpJcVTnkje>9iV3I(nBpQ6=kl=v)wr zD3T{a_+s$bNu_;_=P4*3vl+_AxKE~sqGF`ibj1Uh6S5j~pX9i@lxIFU1H!F|zr%uY z)?`7x=>r*+vmfeR$=|AQ114qUlWGs%>>>LB;|suOv2Xx4MLY;Lf_)GnMIGB+VmeLz zTU9mSI7+w(R8%v^Qpo~NHeemV&^|qE^jVt1=^Hk)kofCbhfOS`<+{#ci)|7|h;W8H zghHKi*gQoNt!q=h-Bnqtb9dOzer$e5R_nY^iC;qd4wp9K1sToq=LPip;e=7#!0;?H z=CQB3r!|Os%`X6X=$UVO`7twoKYlOQ<#=Hiq=9FLB2i0UBgOSV2>)6 zU4=^;f|n2Je0gR&h}YGB=`rE)&6uTHua&dTTVcUKY*x|BF!CpCV$Mpp-T`rin-q+8 zh%1yS!rR^E!!?Xr53>vZ;L0ypj7reHpGLkFs9Fiv!>v47RAkJlL(<%v%bJQ9V2quID`r6LCB*X>{ znsd4dE?B&}HptkXU?~(sbvZXj+!n2?PQoYKQ!-RR+4|O|CQF$-jA`73*a60(J^JLd`90YA@{8(R zE|KB-6xh|)!NYiV+CpFOAWf*(>(LdxlZ1TdU4qqINJorD(PRxQ?6`3|BO1F?Q>=ri zopy-Y$pU9?M-I-PS|lvx&T98x2%cc~cCTLCc}-X=ggp-up9#D58`4szAO$xD!kRrH ztl7+;ava!{Xcd=o%uhjyxy@X2R~>vz3d=5PwRxwjLY8?3MoB zdI_G;lsuN{IKBkPLEmZ)wC!y(5v3>y!@efK=4LePEfbXkp}48rh);vF0$RQ)y;K+$}z+HwjD%#az|yQKFkByTbS6lPUt$p@_tJlUlV6XU_=De(47m19$-kKl=01s)u962$>n z&QivjhmBfj`&Fij5PmuoxR##MEgdlLp?8b3*_MIHpD?GTg`DnT^FrE2l^KuLjQ zt1l1C`vj3#vpJ`REnh*!(_U+%r-TV8S5C-b;K0C40AH-hQ|eU}9UMWfae{T=2x_s$ zQso1>jX}5?XK=@A!#iW^A)Iof&XpH4nUjP#Ai=ASa_Nu(U=&UJMMZ;k!C`~#e2Y3; zPwa$z?}ZXCgZ;e5&k=zHHhE0WFiGz8Fi9TE1LX-&ehBCWdG$YG{iR*KeeMawEbaX5i8bb{!FTF7e*0weP02b|;kaXXvMMA}t@V>O&3+3Z6R0$8;R)nx*&pT4^W$#eC?{t=5Xryaz@;TmAv@%KO!F?MLGl)s zAJ2Y}dh~IMvBw!vH!vo8t`;T6mZuf%K$>g*(oyT)d{iH%EauJ-_V)xf=U>r z0v~WFB@E)XYG1ZO72Z=2z;#?ZLuWf+7E1Y3v`pb%;tz>B+KRh#>Jfh0go^#v*hSJV zc#vA=2Y3kWG*{tO)~&>~1sNu_(Jc!Kx$=NZE%A8;(OKqq3ww;qDXWB!G>>$j!%Nf- zU3wNi{f$K-Io-Ar0^x3VF_^n^$gRi2!9={2wT|aM>~b4|JifHy?zFRj->>qK|sYd5mp>KBQPfuKbPwzKB(}p_9zwpS25F? z=;K&VUaMxLTh>!|3xaqYc$Y<5d-`LaLl}B*c&+L?T+OUdjHSd!tqH#cFS9}LGAr1k zM?iSveJD?43y~OJ{7{Qz2*bcPXn7`%G(Q!IcNbU+*Xq0mOrrc2iHkM=fPo7ct3 zz%zC0c_heaH7g`v6zq=88#_~iFC_jAIX4RflON*>Nf*Tws{yym9zl$DfnZrsPhz-{Jh6ls+FJ%H9n4rXHuzd!?^RLOO?&oA->U+n+K4I{y-krxI#%p zMh=kc7HJoAw({+#JX#Qa*UNDaWJgsIKqi84h(;UAz7i9|9}}z)B{ZNQn-&p-ek0cfIf{K3Butp4DS{Mrz5d8h7c3c#USR zSHF8S>XP=lbHgO2**hA$UR$gS9iP=tC=&dk-R*oJ2lqjKnu+X!_t=GyJ>br8>&0!- zhP6s2sbtDCAs3!s`BRAbKxaB{oD`lhWj0@$)p~9c+w8tj9IVf-xR3*R`F+AO`gIkj za!hZN-m1R9^NU#qT&8hpg=O&Q{Jm8)gz}c1Z2fr>o$q!5Qu6#XV1z!e?c=ul6Z#Y`^hXl~ur zk1dryZiOiGH?j=Y>_%EXJq7&_8&~3-g5NEx(FHd;<@=imd^9$#2N_1EpgNapI;^MJ zu%0I8yF;QL+o@u!HDa$!*t$na3xzcB^W4A^m(7A*P%a_G41H2lad%NY&$l+${CIWxb<)KRt$=*#RL|2h1fGf`h}5`bWD6)pIe?N!sY&1rP%HzbOQ7@-lxUz707`|R z)MJ>*9TZAorbVE19+W---h!eHU=JV{@E2ef;1Xax;0quOCNeeP&=JHcnDD7#Lip4i zGEC|Tla|ATS70(d;2R9E1Z5{s+!YIeZ8Qw1fg_kSe3xTG*l5ya^=q!>s*)qMFaMuJPL@SfxoHI~k7aC0$of=rNP5W=xDM9v@uN8Qce0lXFKE6ytkp+t&mry^K6Clk3_ z+R-XhTIbU{gxz2xaxWFzDlFPP-iSIBj_tnwVB_6baZqUwd3l15?70=Elvd5I|6|#3 zKZSH>XQV+QMa#i&tL(o>wH|FT-@QU~m&T)qNPt$*jdPgpz66VCo&d@U42 zJq=_Aa_b$xvdnNXG8f&3AaadsoM{u_K z(BtU=5pi>MesH^G91(q`ZLA1~UM91`5 zW9OQ1E#%X}>)Ogdc8`T46djq%wcw}S!dgn!>uQ6X>S?P4U0l}uy78*{bzO|ZeSA*< z%?whOgj^no+z$8U?7df1vjbpOEi)9yTMpVwq09Gh-=sHmTa=T0z_}X?yZ(S^fD3?l z&MHQ@OaFSuwEoW>)7)ejl?MR6fCsS5FMtWW4)+Z;-zzGcHxh6`^iXe^mQj`n&7+@a zkqf@c_j`SZas{Fx><#%7XimdC%=vY^z{7pvnECRnx+ESPTX-W>Voe$eNEu1^D)(&_ z^qYK;mgzzpO`E+}iyzZ1`@K@If3XX$U`3U#eRDGPT)MZ(_G^054W81zl~p^!Rlz~$SGfMkrUf}?1~bI zBD}>r6(%`A?hz1cKDMXbIGT7~6|a~HRZRsG?2ThBC5WfQ-J*-IqDbb=%5gr0_!zr5 zFg_G{R+Giqth7y{{rPWzSnfGQfNU2m4;=Ul&!O%}9zgWuY(9`eACc@+yXvWdx#u9l zED~N4@DuV#6@LI(4;&c-3Ri2d2w2R7Si>rxrNCP=szuX4MeSKF?uBZoeqSN1-SKY0 zCwzexaW~ftrQLSMPS@J5BFm*?)?d@ z`lN9}2|VXF1DEtAJ|-Wyr2o;9A)DN|RDP71JRaH(F~c&DoAB@=X#3JjK}P{kCWKn17J4 zy~eb9@}&MdK)kKodt?qdyixlQYfnnd(8*H)cNPHDh2z*Ezg`TKQHKFHK>01et~0B~ zbf)~hDsFtENzM2glbSAXZ0{uY1ogPud)#Mo-idj_fCs`=+Ul|MLx_bCR^!uUT;nwp z&ay{vmc?XzmG{B6PmSkB=J-~%eWG0k(QG*5p2At{)C8W9OaGpDhO-T>1ba9|w~4bg z#$!K+@3~jB#Qq*TyJD*nlkfgUd`*EYfphJ6kM*B~ ze0}y_LDAHW8JzPaCZ*q2`l(LW9;W2DE)u_~&9fWZS9A$G<^NFRUHfPy=VZ|c;(;`h z#qK+4n)5!pTWqetGhSc(2Wj{H#uLsj!}#0(Ji>{7A6MHASvmCx&rV$+a#qwN4eklq zuY3q*OOFC03eR;iu@YT(J=Oeze3}zqlW$aY?P!JWz+{x^sO-)W)w{`CMx)ZiG0v)* zR}XjEOYh{XyC!c@>>HpVg+_Dzo&3v3etvSuaS8FL_ypal-{S)~IN!)?m@YvyGW8>zc1~o< zDgl>^WcX7>R$%V{3&U;l3YOQvX}pc+=6{ytLdo`eYvbG0L+V*vU!Y!qDiHjN7Nt{j zfQP^ovPPPljBZ@t@KDaEpCD&S0EVq>f}9Tzts4sKcDf8WnEvGoy06*O+Reb0O768D z2{#?ITFP9>gPJq9k!#txX^>IwPkb%>s;{}r%t!cBUaFQVqLh#edx}6|&P^xgdwC1v z^z^$qnYiRwO2y80<5Se@;5R&X*=Q`hnROQMF?Z->hl`hm-%JdUJ-&9@k%MhZRYNz(&lAxNKxQc690yo(_t&?tMm-%D=Y0NrFA1t)O zlo@4s2d%~3d83&9aJ!X57=Y|a>plnrkY8!t0$~8M@2$Hb3_vb!Z3xG_v-&qG=ds^5 zQEk^8)oe&r4M-6n^={UTl#!bwr~6Sj=?RF%#ch_1a@zZmo2AVhWj{7f@|e@skB*aO zs7o4Y^-{LQwQF?zb8e$}ysKFFje7?*alHlW5!(w%pwA#{J8X>e!mf$@1?LE+^j}<` z0ZGa#T_cD~Kx_qKc@4TDzE|i8+P^^8G3cs%VaNCQV4k$~iCL80WgC7<559#aSDWfL zjwvP)J#M?ey|7?RHi-fE0`?CuTg@1s)#TrcI4uuQn{}DpA{PmBxwD%577Au@-J5)R zaUV5doZd-lk4rDwR5QUjIEn1>s>Q659#B2f7k4t|P}4PGT#xi_NGwq@>GVIi0U*9VNAEXtXR8qq^-x54wdqy#@%28 znXTF1T6`k?uFnI;uwR5Yx{ISO=;E-72FxEj9H)Jf-#2|noXz@?bA!Y5T^gA72|01C z8z<%#h}B>i1ai2!+S#0@Vav^OB&~OI%8mXD#xhwsdUsB*mAoLN#aHj-N4Q@ziRC z`VrS5$L)c5od!2{YRKp*X`hPQWz!RI0W2j8#|}=SeTk1$3&uSs6Q;1yU`|feRE;e! z19st|)vDKBF9iWyAQYB8;pC3Sz#4+)+wi;53Bot^d>*34&qB0H;{q>4X1%0%)r6ig z$+Abs$e!ywd8jGh6j7Fb$A%nJx@nHz8Bq~?W@p?Ie4o@_x2}jk<_GR4`)KunX11%0usv5O4M1z5ChVW6sH3Y}BB*{GFP zU7n5_CAzB%c^*Ta^M&6Pq2bQAQTXSX7<*X;u_8Q$t!fOXAma@JIS8UaAO(Tvp}20( z?+r(RVZI%4MkaIK*N!7Pz{L8XbK6t>}6nfUc=^NPLg#=)_E6=0(J z0PBR>0b??uKxL!wVKBOda}<#b-)bm=N@I9`1x~{NhQA}uT_ZtG6(9J}_e0uSH2w0} zNPpsw;MteklfP!Y+wtS`fj{n1y1O%p>wkQF_Ns4mOZT3>$n_syNHV^@{C(<=vzoA} z)2?|`UD&ZNQ@#0v$f>=m)A-35jYZjm+_2X_>ZVS|1l;^FeZYR&|NB70*zAT6D@^)& zkAM5|VN=-K>Gw`S-|D7luV=Y`>>D~TT3-8_FD%&)a_I*r@DV1*j@bP{k!EM zluySO?fP+HcD}oS*sz zMiy#9Bb*lsFL5{S4J6cwzHn1*V+rwURO1!bx~~3&CrJt;eq!^^y)Ch`IukOJucRyd z`PrdK=I0c-Z;o1pwMFOgR+L@_I>9aaQ-k~6al*sg%2K@*Nqt@4HTc%O1$`jSv29mp zc(eL7ulY#tf5V#=*yZ|u7%cRyO?a|QAJ&;)HZOTOxA;ve6`%2bZ}?%-@xm9#XR;90 zI>?7EY&NZh+kbfwP#pmJb zrO7Q%(Ck#WE@ByZpUR`lrfeIHSVqhP=XoD~>3(aY3t9!D4qmHIhli@4aWO;waY6^k z9P_#+tkZ1d098oU^=kA6jaz5fw(8E*DAZ@UmrlU9wG&2Ib{B49L=EDqS#vRG$<^n)!L@b znX;HKTdPazLhi8Iw;-=!2zA@44u}p?fiTFf*|kb=Mh_*Khsl`jj zX2-M}z&&;=$A!r+=%7ODIm$&a`&ryAd<@3_{i>A;Q)NLVdY#0vRXmJ+N8ZScAD~rQ zePagByuHj7#suN*rWe=BL_Y@)`{NLe|%@rI$1ymEF|+_w)` zui0WJG`869i?@o9U2$qv&Y@!`Js*^mzLn0{`>>k3^~i;m|Eop2mcpSf-SeeIS zHLmS~W03dxeSzF#V-3z$2#!AAe=CgDfn z=E;d*P2X;p=__XA|A($0Z-X^IhKyFv^{#v~UAF@BWhBOqh5K=5t26fOlG-nQD-d74 z#Mm)|>xxaU*G-?5t+M+N^TTh`^uE5A>ij)*Hv@hY*WE1bT{-+?>LPi%;mEG|HQy)d z9-aPizx`h1`yVH}+^-Bayu4ACnETNw=I)QP1&5pKM!Nl*TPDj-;rrrGVjsx1atg?W zoGU|SGh->ZnX;3dj2%ljXNPbXVmIP0$of=H=_h~`ZA155R%ZE#1(sV3tsOi=D;rva zm4;R-w8(rztH_|Y0a|*dL9do`ARO~K-T{(FU3DDtCf)XMoJIU5qiqtBu4IQ?*A(vl zWXiepdgVuS;|yq-{8sr)!8{v9}HTKPK+)xl*_&;L4BJ|KxtEE9qXn z@m2do-P6jQUv^K26)BJ3{*n7fRzyqnP~|`G?@g~;e)-(lo`Q!R0hIWo59|CUO9?Eu& zp0{@QWN1My<-lQjQccT|5)JJbom6zh%eKpRlAb4=t zJLN2BsgtqC-gi!=0Se+ zhsY{&fsj#kq%dbZwS%fXHE8Wqb@X2P=)7+yhW5Ql=n8-X`IeFHWzklc#tY19(x-KQ z@Uu9&I>UZmMyS4|W45-dves?e(K(sTa6tMc9rM}quO2))9baWh%2ii1n%5KlQYSQ` z>#b(NE2L>X@sc{H5noR_3I&e>l&Q_QcTgf;6b`x5AB0kR3_q={_t`wX+1U1kO}ZtG zZ_aOFdmncsvQ>xZD@!tfFsF%O;Yf2=krZzH1>_1=b#?9$$aRi>zFHEStSPFgf3-5aK^88{g&r)7_3)CXuU>(rs>8 zja22FWoHG(=bOEfm2z4V);-)CP$~$EP3~k4qD%Zp^MIC~Ppa=*<#hq%e^rady@Ipjc|)M<8i-J>vlH4xZeT>s5~q0#xF z33zL_1T>7#6dlm7#Kc^GWVBFPrK2^L$6Q(T7-%s^{O_-b#gFYv2s=AnP>ET=?tcAG z#DL8S+Q@?;yV&f`^h)%;*zWzGmkprHh$E*S<*@_Cwj_kTe(Ld65X0`?GEt-mn9OU- z+qjDMU1ibu&Zsxz6aI$;$=RK-j`+;)9h4>Q{%f)@$a<7C((>Y)Hm!o$mbcr9d{ynz z_^zThrydg@+fDqM9%O3|K1p6G1H~7d_z%Al&MVenwj2MzOyK)2O*PJ#(%d0gXV%ZyY~mY3gKn5JEm?q zSexAMt(>|k8PSzBzWZFatqFMp=z8)STL={`P3E_0BPnRwp@b@ z56A_rE}2^}PHgwQch9QyNUOn~B9FL` zw?Z07PFrynq;3O^W_6nu>eE(iowNw0j09Q#uCZ*rl#qH;xo`@zNb)Id>n<)unbKCg z>YUYa)`~{Q0p67ybU9&Uo?{p=4cc{4AG_WABc`1CR_#Zh?bdd3$mpT;lW*f^Ziq6g zEq>c$j|-wl?CRj(9JqGF&iBws@5pt+ZBS--UfY(IN@^sN*4VQ3Ue*+(lYBDT`Hs8p z!mYO+dx~eZ9vw2RmVRRHdddZ2-+OEF-dW*UMLb5{E8qNAxfa8o+XNe8tKE|RP5K)7 zEeNeOt~i}w*<|B(Z|y-fy`aK@erDO7p1>XJcR|Lmu6$P8_3SH*2=VUN>KpfODt!3twk`j;;@vG?PM;m}cE$iHiFQTxmOi_9 z#pYr3GTEo_Q@M(OCck?bmtRS0Ij0gVC-!=N6@Q`6Mvs~Y5qt?F>Hjg+I*%Q(HhXF{ zGR|EkKL~e=RF@4vi}POgsq@T)CZQAl*n6)8#EC6qt3Um`02yEpumae=x14l+>eT7?)M>1^Cq{RgU#EIDJ}vkg-~ia4UM8+n4B;*t zaA*j(0k#`p`wh0=V2g(>9=2Oxy9KuK+`k2fx~f!NIgvX435N>0Y-L5T>~H%Y{}>Pd z@V>yJ0%x}O5pkJ*?e>COh*_GX?HRXFmo#PD^KK#6Yw{~Pn(!ZFU%>Z|>nE71Mz}8h zo=-7fw0W%pzFo5mRVV^plY|~q6Zr&_*kw(oyccr~)Y>&h^Cj-BZ8;{l$xgxr`o(FB zYG9>)KoqPGft9*_vuiJ6DO{HMU1rUmy{OmnmpW7zuz~up){oCn!s_2!vWmqXcW8I( z#nYrt%%$V5?eHRyrM;u$c9~?7`oQ@=qupgD@F1DCyZ_HA7GDPC5Dx%JgK0i}8uyR3 z>MnB>u^Xb$gCWK(e-xDsXW6+yGEzSkQcE}Yonv46V6dK zfg{%X9PK4kw3t(|uN_fNqo^IA7OJy9YMtx`q?n^3!1D?&iAv&qa9KE1l4da1rIS7p z&NEZf?LNUee${}}Cn8!^z;zz>d52vmSGOLpHhD+9%B%}QnGt~aOwX=3&9^awC=fM7 zowzh!0YYwHYpSv7=J;Pl#Kum}64<2}o5sOz5bT!0F3s5V8ti_f|402DM04DH`Oa3< zr23z*mT|v|<~4Te4kqLT&a$FL*&CZWN`JyOfY=MfT?sj3e9)#tmyNM$i|i+CDoj)i z6AeNa%+2?QE+=EtLWoABL0b0Dljc3XwfNJL^SZ1qizL!p^$IS!$$zHkPpwCwYp~<3 z`g({3<%rNbM`Zk59B{{#Nnhe@?n)nx@{SR zV*4q~hM-DwOf0E0Ip2JmoD7aq8z2G1KhmD(Y$-9WlD$>MC}s?~zr$Q+$9Kk8qBgLL zJ5wu>-`Lp|%t|z#y{;nR6JiTHwIcQtDxSTnBIy(Iy0$>~)39lZ>~e-v%H~J@{dAn* z1lPIW<6Uy{Vs6@-nIthQB?$8Z$ok5Ie9tF9ux(}#>IGC-Ud|==6Ar0IxMuyH*C2+@ zp5c5=91{CxzYk*pslcVNd&EROxG+1mZMs`QpYf1F`i04BeE5F8QTlwAadyvSOQx3xaNQ(B2&%T)@EQuqTLajYE4^3 zd}1a!KsaB&w4xE1SE#2&-dtPY14Yy1BdvS+4w&tvjNhn&Gt$r)L9U8dme&r zU3UqNaJ@>vpzyo)x^B_9afwSb)(?V~BF1Nxxc36z*=}8Rm)T?IUR0RuW$RYp(zWtY z|IWoL*`q*F=zlk56#2Kd5C}Q(<)m-wzxB?_x%Mar4YA^A_>8dS{vSjs`p~;zZuHkz z-UV~xli1q31!Tl*2>Ln7Fshc$X}!n*K5vM#OSk_7Ja$hMZo{6pF%uA_-^Vb=NzQ@; z6ZaTAmtxHDbRoT#xTwQFd&|SVxJ) z5$Ou`3I(>we-T`_YdM=su*)Fcc9+ue7Bx&TOCJ}QeGd6i1Lca9Ha#xoNYmK7&a`vr zRkF`IKd3C4_ZUZ&K2k?QeBP;H#8c8bkrtl#MU^Ng$!*S=55!3F$_=DeAYGle0gs?3@Yk z2Sfv006_pRz$}0}V8-W_WAUSpIC-Owba#d>s*Ac-iTf5m!#x5-asjaYq3JcR(gNhC z)sExlLq5IOZ<=+SzDY-WLX1GHkFQ9W!dO719b%V>J*7{u7-}o|*J3?2wiOGecD*}P z)1A$`XI`UOv_0zt`Y%oO_JT5a5!D1%4tvh8_g@W_1-dfamwVMs37J4sq1=>xaV zDxg2Yf6-c`F-I}Qk~$`<%am+&nf0G8JejzGmEL7ZCVgY^c!(VYJZm1$sM*Uxyg+Zn z0O#XbO;B2#J-!$yR_%FKJ1EPUBl(TkX3sOCkL;Nh!hVYzQv24Peq(>~B__7fE|a{T z>CW?3CcMDz)Glw8^&_&hBRX!QWhUthYi&AWkWehx3-&R06X8QeU_0CpyTMH6DHA3F zOH0uXU}Bk9aV(sa?=fF^lfArRQ@Cj;d8ulrzU*#7C~7Nv@!i;b>?x~1A;l!4VrvN` zjlF8!Q-a(p-=$kLU~-P&z)Bo2&i9NEFI1S8_;thcHmpKC4A0xyU>hSncB>ZdgF7Pd zB|ns1W=0Os&e7J1^Vl&Jkfj4GD56Nsl~%De<{eqgiVwn6!tD?W*HjX(LLnYhCCL>S zMom5u4zW^$aG!v{VpWjEC*pH;fj;_f_G8O;R1!009HER)!hF|?If5n(4rorUaD%NO z^+2q3Vd0<#A)MO)mxT1VP zP0oc77Q0&&J01)HaVQUIwt6ntw#l~;Z7Cno5yvCI)q}{;B!R03>qt8bt{w~if?E)? zzFxOH$SKP8DIx>%yiVj8wUAbcUTgDo*zuYCbYE0}be-xZ@P*3wmOb87@p5q7?wy1x z#ZGX=S_RJa#fE6(IlCsUZ&44x6`K*5U4wfiy`!!f$MWaa7*i!n!9{D*MX+oJdxP|Ld%YI)y>6zdMC+YJM@z<>r& zJ^)HFpsAUNPHZbBOxfOd&LM%aA1H6g6mwPr3IH&WGZ(NJkO5c&NCd2a2^(O- z3xEUZ<}EjT$S^vxgVi}3;0g$VnNmSB8?X*wXs-ek0qS5h6Tkta0V)6qfD%9sfDecT zEXfov|Ll3-zH>ia2KB(h`#t6hMip)lYJr)>_S&Af19wTA>)gOVr%?Xo!>`!OFb&pR z(pB;t`#o>Nb&G8kK;|alC5y##VYqnE=P%B#?H4bcR9d1-gEqy8>p&k8g8%)eS0t zg31>wkiG@!VL(fbV3NMk{I5Tq8M zyG{x7y21<&Fz-7?V_asuJX8@8*G9bGMc>-KjkG*=ZBK%0$AmOgQQZd(Qmk$IMkaJi zp*xy!Px8hM202-Q-Wlk&gwbCm&}fjsG;GkjZWxdc4NpU30W^*p8jGM&43D@Bc+}mw zwhfp)9UP*ZA|v=NRg1>Bqvv#ohnA~vcKspB<{rhKzLsKoM4Mt-D0d(vH8>D@93!2Z zhyWV^1>gW60qg)YfK#M1-?fU_$!u%9y@|ecQLop%_Lq5vh95NOjd6a@h|32*6c`$$ z<%6rc5)SQpFApvKB7}yMEVT4eY+L%x!!V%M?cS8`I{kOcS~@h28X6wZcx`C7Kw~#F z{7K7e$9x)J;djeJW0Z5+zNmN7&x`8(7EDZp(bv}1`9NdcxWC~2^G)~|%?k6{`af-_ z$N>S9vkKEYy$fT<5_`%y=M(%M_Q?i!UWsdq8+{i0=E`(ITh-h1^tCysNyn~MZ_J#- znL8@rECyr%){I(n5&S!Qc=U`~zWHr_Tc3ok&1a^U4eH-kOc;2X#YsV74Dn0uQuHuBP z3v=0}=Wci`IFwb@XnPV$QI_n?Xp1|ZPoJ^qCH0u08u`|RM`a+*2I(KMpi4M5@TL-U zKS)7Z1X2{}?stJ1SRge4DHJG=J5C2Fg>r15qX}lv!iRVpROW%oZ8AuAfb=j(BN9P3 z*f4|Nfmt~mdXOKcsSVKMYxZ~ai zsbMr126Y&u1t7Hr>3xH4dsu4X)`go4hr)nEL4wl1-@$NPg@zr&mp2@aJ&afiAMS1V zeU2T72*HH}Kl)$s@yN)thEnCy$d72KGhX=qmL(Jz&-*nb1}ck>+TWQ{K`nB1)W4n4 zpZ@K9bpL;yrT^>vGW*|7$1k_81i7Bw8NFuJt^QHvoyT?|!FC@*Ca%@rn*RQ8*Y7cg zu4Y`np=%2+*wFQt$k4S092FJ*L>u*-{NBQ09x4-c3 zrun!3?LGP5-q`>4Fuxg8nrXj1u7`2+gHvyY1RqWPce5q)-%W8YY}9%1Yxu$M_r@H4 zqgU{&LfPuLS3_d@t!DoD>8jy3{DFM?0GzX+sua5zvAJPrz-&sg-t4MzWNXuy0~ zd7$^upjQapd4_3{q4CJjmLrx!Dl}K-8Y5mUWgR}^w@8DAEH~_j6aE{$KTr^1NHa8rn;mpIqpc#gX#>F6oc}oo!%~hD! z7UsR504i|?6~k8v0+p=>6~hJb+;EtwApHgnC`W$iJ{r1{4f7f0xbX#d2VllC%==!3!)zjAFbw9p6J01@Ii6*BK zW2e`fQ)OYCM!qRk{zLoy*^gZkBhE32(JpBM=aR&1p@hLXEHPOqE#aJ(SUiweb52T( zA4qdJS0v^$CE=Vy64RMdKId!#;)3ia&b|bQw9#<3BtWE18#pg;Rq_{{h6Lni*$&Qu z1ng(|AXr*(_Jrl?b##Zx!Zv)2#7r08NStyD7K-U1letTfeZVxZy&q>GAJt_yqNhAo zi=MIl$F}sFZ<9pn+WSqXfruoi(P_%vM9>H1@eK^jG-VTzwHt*4sW3@l9S)?z843&( zE)qeVwQ!&L;x!7haBxbQ0&gkfhBy)Y^bn5@W!9A5-r^NNBJAHGvQyY9y*k8s3Y^lf zR1~S8Dt$`D8z4$J;Ef2caO5-Ih~pJVzOSvwQ$gf|QKwj8%=h0bqA6_nUVFva3M}8R zTO6jq^L?tXZCv-qkA^~L(XcsPmdbJ)w~_d67BRTi67WF`XlTyelU5o+k+4a>f&eu@ zyR=3Gr~%5;G6<>lxN&XpcBU`hSZlI9;RHsi_1+$P0yj@UJpUGoqcjomGan(OPVWpwvBD|LyEiT&~t}d-5puFKzVpxuf`5 z+KbK*l)75}9&#&Z_xsc$zGxbp`z9eE3D07UhcMh~k(;zGX|eXeK61LXY0{ib>l0f+ zhQR`RXl zY0je#l3z3Zc#eZq8q^_J+*-uId0wK*&_I?_ZoI?0guV7VYfoTZN2+2y-;{cKLbd6 z1n=~I!!FabhJFf>89*d{qBg3&(SwIw6#~oH%)8mK=Bz}gH_QQ9EI10S%_#F!fsN!E|DS=lX7$+N1Z1z3m-x&IB@E&Nl9h-2rY) zug;_$xSMR7&N(}2n$)4SrIEcT@Mt8=CVm7eaS7o-KuXfjtyo}&JgSM;otw07CLR!+TW+ZIt`Wh;)ZG+6*Rc zfVPDEo#`>)w1kRgEetYR0!G-X@ptYKTlf=Cn=^VgWZiaudd2%S_5IUrA0_JoH0!mO zY5E%d6H{L8_`CBR0{+CJthAm-<(o8PCo&cPpF)QNt;$K<4#HyQyddfhtLMz+L5@3! zBxY_9aR=CE*9X~TLVZGZ5G51p6D|#M$Rvg^vw}#Oq_fPmU`i#dVJ3qq)#@X2WsuV# z(Ue)xMHnQ>nH#&TLkWq@xn1N?tDDTlUG||wA7)0ERVe8=b4{1+Il>BNVi)zC)m!F@ zF2{33J7!)N@f<{cZs3MMKs=Og@fl`Z5T>arQ!(N|- zh2W@kP>_0@6r@ka1IE!t1IAxB4`aU1sT57SM5qOX{hZcG@L%)^)Ox~Xnmul==Csar z+-@>w$|9OrCrH&2$H4!xSr(%X0!86TXOKG8q>}|O+=18*TI*#O0^(8$_`14u=cfd zFkASO1%}E~Sbk3{{%%MeCpMdU}&}|3Z$u&c3=wxZ=OJRR2`C>*ADF6(RY?O82LtNBX+I zzI>A!=}t+E-boX5y%IA=2}5^KV&W(*(RE2IS|rxGV-n*QX^!ra#Jo__rrVi-E0n*` zZB2ko${l|_`#$r=Gpf*tK10H|CrF_CNqp}K8T8o_?_fa*-BscrEVQPFNW9Jna_Bx1 zzca#cdZff>wSZ6elmx66V(4KBj1PiXdO(8j2ca81Cc)c8utedU;BO)%D*_X|WP(fu zBf(E53{`|D_{ z3h#cu4q=ocqTi=fP^0kZ4=9Ba<1-nIH&9~SpW*ukN{mM{ylo*I*M;G43nj*b7+(Jm zZEqgb)EU2zW)F}AvM0zM0)!m|6%{d&6OD?Rux~;dH{3x{Q4zB!W28V+7F;z+yaB5dQ!y#<8t26Vy>q@g6lnv&2Mdjk~BGW%6qUU-v>2u{CxB zRKs&xqc>~ZGe$Y*PN&O5OMJau;vz3!v%)W@(nvbp+C_`4g zvf&3c9Ue8zeO6HgekA6!D%~|9C1!>Cku7%cQU`X2_L8%;!)J$%=!|bjCH@kfsoWPb z&H88kQRgh}ZU0v_k5*QyJ$P6xf3&&_{?Acom1QQXgy*Gcfqz@v4EXQyC9x;rUo)XA zatZuT*j=GZG@T~2fImw8!+(Fx|NDjWyKa1=-(u8Ba0m1@<9-R|fT6{>RYEA#KQ-== zpbHI+#_baP1AVXYO9}QNW3lMp!7tU`yh#Jx%krMcYOC?vF5JZ}EBz`dkH!vwkiy?Q zzk!gmnnOI|K-envLmmgbx(J()z(G|P>4U!7c(}{wg8>6piXMd8>vXagIDE(Gl|EOK zz|T|x@^b#w{)b8ir&n#T9yft+W+Ne8?3<9$h(Byt65B#rxP5{T%2_UKTfs2C z2$|fLGfe!|hH9Hj#!a*Zw`GwDm%#1m0vGdEyVFuKl-LJeAphErJBx;VQ-W*NuWSi} z-@E-sf-j>c>*K9+T+!vyYDx|Jsx@ALOW{vdUj=EMoI1i4-AcqD>?mW+Uj5KP7+@y!vzA&XnZ5ft ze_F9-bSl%&8fx}TWgoOM%=lDRku}LoN#*=%C7H3E%!$@$GpUn($?9h&cCt3EzU&wJ zsl*onWn*M0R?GKRcDW`}#nnD0eULDD2tGV&Fo`x16?6m?x>Dh?3J)8|9v2TqYa?o~ zL-tp}DmRwToF?Q|F!}7wYQBpriTZ@OVS5z(otxMKw_KxZa{UZ{;t9KF{nTdMVtZu$ z>}J9XyKlXy8SiT!Uq8K>xZjSi7Z>9S>|yn@iV45iS@r3~`0@5J^)ri!-`Oek)B17i z?XmT9`U&st0a9T<9&eu{mGl$0+Obk36(_cbN;6WSMwuaB$0Q#u#Hk3o{9SqSkQLY5ZUaBGkl zX`YSn58^K^wc#m9YLC=L+>T&+^7*(~2(KrbPxuj`_Z0K-vB>D2OeXOg#IvWc5x3kn zyC?T0<}cfd!9#u62hg6DE`k0Re$W7Omrtel4dTTuPqA~;U#nJxycfQ!uQpBtItggj zE}vnbANt%Net;=KfK4#12Bv+kua*nJOFMxd#D%MnVOkpb2C??PX37G`!{}xI#D3&wySH^m?F2fEIxRH@wz-I6DUDy<48%`Z0wB z-@uEz1@l-i?j~e_^Lb}X(Ve#Qja?9qUChO{)#x7h9d%rcF zM@r$JRbS=#xpV%X_Lh;5RjN?lxRj{ZP#joNo&gOlVE(*Ph8hX=Qg0TA3?3GccNln1 z(53y)tv9 zEEE~5AMDX$WdZ%{F_r)sqn~xoGD+sw&sk%^$~dXae=MPpIc8HV3a9cY9RAgXkD z+NfWOG8}jteXeMkgJProCMtGdZH!5xY6po={a!R>C{4ouptQNL^~f-Ox_EMZ#xU`Q z9aRth9Fg|m`Wa-x3H!F-?Oe=V{Z8S8p+rf18rs)(Jou=aJRdT93lqunX@{YEj#NLZ z+Vd=Ek$4Y{>58n?6f1CDu~XHlQ27u&Ra5Qqt1qoX<8Ap;X&rW}tx5{gOJds^X?8!# zZkr`7?#G1LmP<4HVYfS1TG)?WVA~|kO$8N_bZJQ{hGm;4m8GKh*s`Prsn{IbYH3a< z3M@^fb2~9(Y)hqCo#=D6B5Bb`ybmpmr@YAHwMOt%A9$n7f=$X=UQ`+1q`JnNP{vaz zkMY9G!WF7#ys>2=F6c&1E(^P!;%S2aMcW; zj{%(o^nRdE0lgOJ2%zEYZlIq5O#*r=&?cZO%E)c-+VbJ-7@+q89S!szpict5259)* z`Ed3rpzj%Ua!nI%tp0|4cN1nTxI-G6posm2{9qIMJm{5dYr?Muy^?)R*tMWnva^Z! zR{vgpI3M-au+>>)_VA|~tm_n>?vfb%WbFdyG_(H*KUb+ygt-e&VXkO@cGl-(y$$D_ zd1mjC@Oxm%OBmeN2es_8oUMK2Ps}1qqM0=kc3ySGzIJeDANmt(BJ6`XDRFPr7}>|a zI4O@0`=cW4)5~F(a@@YSd}=d#k-eaNb~E<5eSNv87{#%R%cmD(_SqMdi;K~D_MGxr z#n}5-<(P3l0N{85ju+s#4vy>K_zsTm;OGNKA2{xW<4!ovK}I@X@*7a83{UIo29Hjv z&N{OJ*GZplUD`nIq}_m0D&DJ0li%Ja2dgD`p1wDDmjuf*vXC4#nAO9LA07cH~bN-YenzU9|+#xir-~; z5WKY&o2efQqSd5K!`k5O1K7Jzmpj41X@OV}p@KahVnN{*f%732#IB&thgi_q3g7t< z3-YdDJ%w0MTt&cBhy?{!FrGpzD6PWpDa3-%6&xnSf5ROY`exR0ucGILXu@VLB}+neHi^*8ox}I$>4=H<>YA zxVnMaPQ4+V+2G$!j}-n{pJSZW71c9#KC6s#)~gfH?o)uDu;mmcz+Txiy&LtVJ)=k5 zjhSm-)-$Uc{hPhGC%qd~xTYcU;gXVmnG@2hs#D%&umSNKE3llPyp${9ragxlG>d5n&GgER^ zXVP<3k3+t;XzO$2^FatJYCek_aMr7uan`HOvjBgs_7vs`OdEnJTVRR;rbNzXHTj?Q z$^iNp&_4nF0_ZxR7Xlp(G+dz@=x0E`nUeeaa|gKq&xSvf1iwuja>%laI!C@3T)bm! zjQl{C=P8dNTyAX@=Ibe`s-J;A0Q7R83WJlI97;k>s7nNTS_FZEFq)t$v8Tt{f&dJj_2cBsEgapyIn zKI?WlI|TUI+Plsc$ldGCI@=*juYK)2)rz{U(>pssCRKaWso)-WW&&0LW&oA|3IQ7c zQvnMBds|5>3>%y~T7CZ36P$<2JpMLJb*jq9f%jq zZY`sf)NZ1bYz1uFM0u!vXDM=|eZF+-pLZ)Eh)iDP4(z8O!*ecbB(9m%f@-`;dq$L< z=#MZ$g_VgANJEj!HsYGbt-wfv!_`|9bO}h3*c4t8-Z6EH zVw5EOnC7X1APHHhZd9-(VGA|A3U5j1Gj+RyD2Z6B9#(L=g4b&56h2-2x9V<1P#5nl ztgS`$v;0xN*w?)}goWsFWDV*&h#p^XgC{XjR5Fy*1r5aSA$lzIC*UD^To2J>_7x2e zqQ}|(XgfrYi$^?XXn!@fbYN%bE*aZ9h&Q$W8c$WBZtAufJF9R}+UrJ>Hd8swkck%1 zDA}|d!kh;GY(}K;pYnyqsVPa)q-Nh%)@^bxgf9Mo(8U@EU2J&VE&K?yC?%;Uq1m?# zA{)s-9{_q5&OdO6T*VF$Porff{~sieLUO*5k^=_Ju2vqvS( zAj&i2Dj73H>)_6$-V)6*V}|L`qLpT^&iGtSnu6jMo&xQx2|aU85kJ}q3BqMKEL65i zmO*(Cd+{FLJL(Kuz{%TS+9WV;OR+GpSE53HC6LWZ*6H~ecPz!)@DU7eCm#b(Y z9hNR?u{;}`xhLQ_?rE_8Vx=G#C z&6@qLpoEztq=kmh7XJ$WZdQaPwSwNwIc~vJjEZ3{vhXU{G3@6SdPQIii(?sGL5tz+ zvv^hbo?_-%qAFOY*!L}?DgsWi##ts*FivqUSZbws`Mg$4TVyu6&;KN9nP(2_d~Fo! z*C{OJUq`$wppyUsbfDvOTz;X7@D^+*&Qzh_g6+hWD*R>E87q2-P#y75{mTB?Yn2ZV zk~XJKaW@2KFu4HvDFNm9t6f z^NH?hdBh8GPvc`g>ut`-4LExl`A|A0Lc9nV}kJAyoO0$vY(-Hq# zO$3W#!E4C)psHfLAmc-=it>Vtk4F_`m^dbG6=az5Ot>n@FpV=YtDViekw!N*z&3f&*U=UdS(?SbIat$3I2LGa~P zEM0ps_(&^}uKN&dE<^3o)&`#_!|l>t3;wPQlc_xx{8bqtQ}-sE_8tQOMo!fL7UI`Qn=RP`;{nxY za=QtCfx+vcCej1LdZVVvXM!GY+@0?+0g8SN`Q(fGFyp~|uZxBS#%=lD8}uyWzI@LO zh8*W6^Qd9kQVUTLI}m+ORil_R5IgyL$SsbJM884Bfw)j*(GG`QXE zTSvR1G`pzW2ye}hD=$GbLI~GCvhs(BO))!^kuE_~)F+T#NKBYJf?o)6>x?0vrm#== zZ|$4qJ5s!#=^64vDW1;^S#nhhBwv%{4JnX(T`kw8`0Uk_ zR|Uz}=klF!E9&>j4_86*^*%(8Ao;q|y3VzEYX^~r9tq}wFc*Jtx0dX!7dRKuSR*_W zET0f-RKW6?F?djmuL0+lAf9&$&!s-f3v_4Mh}RL!;691RC$`QqnV0C!>{ktzH}bzLa)#@JI{CF|JL?9Pj_Me)^$2BcM$`%BhDiNRG?1nGz)Ntv_Cjc2*A$R z)4euc$)Zc}OED)iyg!5%IgtkYRE%QYf zIl@XA%SQcKm>~jv$rv`;IbpHP&&F6Itd?>3)PICiWI=p7MW}F4`LylAOb3_G zm?d20V75?y6wYw?x6osSOC0nT+Bd>N$EX&@a^VICdp`9q;nbnPE|3-J{@=JG^_z%Y zp&QhlCQcVfj*UQ6SU8Nv+lj{d0ce}4F=_{V^m??hiR+;^h>TmfnksYKO z4V^Q&)tH;QInWs6+X_)Yy@K1yPb2QJbBua7Bws;9+O9+7&ao#1;E-IL!5zDIsN*`45f^x12*KMzwD!c*_%(aXYkntMF2 zvQU%yJa1H4gh}%bA@`KnZ~;h6kIY8+67laTu;J(0u7G$7?xHT*c&UT5VM`Eez_%vb znCF(M9zH&vwdIM&*jBvx&?p~z<=K-17fyNxud3dfGz3F3V##VJ%tHv9CT;2j9v|oL>+>o2FFMSUC z($(_Hf$@X02F53Z5BqcdHh9;OCK0b~JA`FSxuep-lopt>3h1zQa?_|nLIx=odu-cY zbkdYturCGU?m@ifm&YW+>K{1dXSMO%ZjvYI*)}PjG^JI&H6+$*s^2TG055KSOIZG> zyYv{+V$Z$X+HuiS3e|gnJ_+<1pu>UoyGuVsdMrKg?l9F`p6)?xO9W$X<`3v)-r&`} zr5sh14u0Ldz`i)TI)F#(^F2@MV*vsH4DW!Klx^N`x26;Sy?R8m5`cZ&V_o<71m@AU z<6a}wLs5hCs!m$wzz>L5v*3|;4$B>un&Xth4<8R^t4h`E-5$vuonHf_AksQ(yw@bpI`CK9sn}H3*Zg#1W*8^efZnk{=&q; zLlXxcnr6bew*b+Km<})yUaCm#hznQxL1s5H9OQRKcYv<#M9Az$A5(1vx1IQfN+Rgk z2o{1B#@MQuXUYggTvgOF)gnb&RUBK%QG`@QvQ>GC#H!f6%5jRws_4CYYTkYLV`YR0 zXT~7RXc6D+g|NRCG0g;owN#XB_C`2=ipXZPjp-+fF?-tB2Sxs7ybZL?Q_U0`=T{NN z4B_92BA%JVXI~Q0%|t#3nU6O6@HzjAyoO>WV91;8npj_0?Q>TfBrF^Xl|xjzN&OB< z?kPct0dOPBY`8DsMlP@s=E9AfW5fRj0p+VJBqI$6rOp z4t@s>SJd&Aec%@|<0+=p&@b-*Ni%IHD4P+df&+280Cky$w{m43bx_5VBE!{jppjBW z&S2WDd>JN#9cE?9yfRo{S(9ai49)^8S?1l%{M{NOLwB=TR)3jiH*1eIRfg~8 zN(}R7D^G@vVUMxWWuzF^IqPT{F^03o>Lv3z#r($_>#L9;aB_trNg^gfq)Z zaFCZVf3$`>Fw5AnRyLT7u)eX5br6>}nUebA zFDh65uRg6uy1$(p9oUiJ4X~KT5AGhIc!LAL9g~k+T#fPumFcztA{gLVS1M3ofCnnh zBBz#1-l6va{{xQ{=u+CO+i86SDo#7y%#r9c>}TB(X9pKn(>vDbL->@i%edqAJZGJI zR5R-;Bx5kmoKP!1(W{tw)EYKK=>XH#|ARN<`aI3$&q@ykUMt8|OB5_^Os+`Hu}7}O5vxCKd-^TmQt`*jVL&hn6(gVZxR&(;s!hwl4UbJFtZ|S=sKIRzG_Lch{f^*0_D=Gp{H!T-2@@Z%qvN z59fgYFc=)H9U^vx>;Pq1L08x(+;{fG`e`Hh*ZM1>H1Hpe|AfceHXDs8-d;L}u`R_@ zugx;Hrcm^{Bx6SkX@+*Su_eW4hK>aLPmi10nZ{Ec-CV~5N@OeR8kX# z(`bxJx>>l0=2uCp5a!W1m5d?bI$F>$)l)d9fjUgr30F37JL7jL(|D0?As@R*ysBqT z3+khIMuM;fgB34HkhGvR;=;ir5@IbpWra4Nt6{q{2MHfM(M3*U6j-DUEHdL4%X8jD z_mrooQhl>Cx`9+3yGrwz=fe$ot#052al>9~943@|Dib#k2}#Ih5}qKmgc2s6fg~o# zn8e+PXF@?EE(?iF$Y~_}g!m@RZNw)b;}fzPiQgjlgraQRY9uTnFPrcNVI`Di<4MSv zHfc7|fKb}?MAUVA~3laZ8cVw%laGp9rI^BpL6A zB(=$si3brk_FW zAp}(@IQT@R;rFs&&KgpKHUsK$g{)*wDU6 zalOoj-H%k)7w}O9$dvjVKIRuhQ9qZD9*<{rNIY2j1U0%W!{_bKLguu}km zD;82Bl|9AoL29H0OjHgclIAcmKOnUL)ooWHGdv zp(#i~kF*iH9a#?wpr~1pHp$M${0M22;%sy*lGBr!jr|7FCWXDI<&ZYX?Zy0sEFV17 z3c1jWMolYmg8qYXcNuDeq1I?9!(G&0Gaf9%Tr?arZYv{ffR?mD=A?*8Nb_}kRY!1KBkYiBoBpwoF691c3*ys>%CA%lb`2$KGVwNr@548 zkn*oiB*akXS*Ij=$Izcx6^ZB=8pE2I=o!PMA9k7 zx7H1b#AVdg)~Sg;%jj>c3(cryG?F#f?6Hhtu&y=ZUQ%aTXPZGj@0N9i8RYY#ttDo! zmyEBiHD*F}^gT6J5#XMEiulMtaT3k65!iPhwk<4|E<;a-ST)B?@u&Z4{lbhL8TE|s zj_sa4xUp%sb7w+?wE^G&9R6aqdLH1)56!sE+s1o-@?1?H@q@|n-P6lc0X)EHKonpC zAiVpA*UQgv=68VifUN*K;48rIfIWbp0p|e!0JZ~u1bhSd3-Bf2H^BFRj{pteD&Q#K z31BziC&0IWHvj|R7T{|LKmQ3h2>3POtEkR{hh|4oMNBMBTtS`Qh-wf|r-~afGVx;S ztVXm$TtH24#3qW@Q)gzQ%wjQhS~jLayns3<8$BeBs25hEJ;izTl3|=q91l5AsQCRi zc>e%)m%&Hq<>0h1KMJE9K`m^oa8x3-g{2WrNaVIaj~`j#(IrU3?6+MH{??6y9H{z~ zE2(}K8A^T=>O-qLZod3H_*i%v@HgNP;342L;1fUvumX+%9s?Qx4uBaj1keGd4~-W< z$Mjba=c#jhHL^-Is}w1M_^C=Si1P@hs%Ai(C+0Fb2jV^Y@-Jc<$FIcu#B2~&k6b*2nA6l7{)*-h;&iE20}qT7Y!6p-Sya@ zpJm+FN8VtFg-{S{7<4H?oq8a8t+ECx6yw*b(28+f!CR$B5yXvotMRd4kZV&=Y4k^` zozUgTfG)>u=yGICRvmE#bHi&0hYh9R#6xvw`V&fZrPlkdaS6pE-cz-cEs_dc9etX$ z3{)?t`eWzWO68~Aeszq`${|-N#Da+7`X};TDd=#+Zn-`Me@y?A{6Gr!nBiM_Z3=Or z{ta|cqZS$r@*N$xXZl<6LmilBhOgzS4gy>Mr@Wy9%{CmA>pJjz^}j+W2)oyC2|_`{ zT>Zatus_c=Yy-;>+&%qu`Il9gdxqn3O%(`uJ(usULXS1?8evQ>jH?hJfok2)K%DAg!O`jG%G+nM0O4 zyfn9%kJ^SzDbMF)u0X(bTmx<-ZkKv8GP)e$6Q_y229Ha?E-xD@&_<2K-c@c!3J1?f zh@TiQtQ8GVi0Esb-r(6x-)~*qfN!Q1SPL2`&5U2H>l?7e)bUnv1F4w)opnJ2v6!~r zn$zG@%y@5wrs94o-a1R>(NEuMT`t4*d#=PgS5!r#-u+O7?g)D5Wzh`gq5^9#UbtIJ>C|eM{TkMXjQmOyKdnQak$Z+2v2O2VJYQg zP(Q15u9PPJY~>9Xzlk?nb;6b06nPJA`k+(~W4ULxfVW<v>ei>5#XHoqF9*Uk~ihI|F#wQ84wD+oy= zhCu|j(M@k;mqL_wsWg{N{N3guEvdt?YyzpQj;55AG7B$6HGPD_+fUD^eoj?z+_(zdhUz6w#3Q79^i%H?mWj~p{Q`4)OC@?y`bFjH5UJ&TeTZ|4mQtR`$9F(9 zx!8L>>hu;5RNmEI{QW67<)2^lsQtdUsy_GySQdo%SpKRfI%jK9<>QJcb#S}``h6}? z0=KLt_*}(nradmRJ}GZ^PERQuO!kkhV((z5`b;C0Y&nXLzpBQa`2nxF|5$B3*cNR4 zwJmt*_mCdtYa!pDg(f!0H#FEe!H{o2Am301O>B^FNJP3HjD#vw`8-%T>6#QCtem^l zRXkWZcWH1uSUEG*2oF}yObwq0E9YJHd>*WvcQs5NRuVZ{oy}uNVn=I|c_c~nS#>4P zPZEDtL*@~?1gq2;JWf~4DoqT}rz`5UdKoXMEAF+%--POl^img_s9mvMnpBfVSF~PT zZQ^#t>oph?PQd+STI0TBcqjbA5y*thsLVlQGLnQ9iHt_-x6n*OY=owm#TA%FT7;;e z!mE*ST(rJ|kWF1A5?6R<)1QkLRG_nI98pe%XEtM>XiWvamzpPc-xn>f!1mI{ ziRM<2dKni)n<|LO)ODit3ZG>9JJGx$R5Hy+lr`j$%-AVfJ%nqg&JoQVBDd4;h?Wjv z+G%G*MMLa%2JMg6BU*{^do5;yw#;}`i=MC*!F(z9?-ARubH$jRSR7{{@T}J`l*DYP zMKIq_@lZVsS!ek-WQp)_eVkK66&P1`QG4QTgn^)47_!G>6rnZ=tAY1{_3`g_AYj}%_E_p4wq#UBtWhC zPvHG9>?U`GNRgX35Qc*uC2C-?`bRs6@+6>Ywr) z?V7d;(4fOm1e~Inz3*+!C;pj!N<#^`XT1jW|F={ZY7s6~;n!}-z)iIKwMFCjeX*bJ z?>ame@-=w~?q6t1`p6sY9{W^~5^Pexf`rd2F$fBEQ3vPs5uL}W0;e2~&*9(Bk#BY zh67HhbS}^AB^*Zr$_sn(i;zj>xxK{a2)4W=8OK3F%Vo)geehatflo5;fa>dzZ0i^Y zrkE3Jr8vC$ncrAr9fW@Na%+IYyPtxk_LBfUSZb;Vfcd2*)Zv@To(mPbtW?%-P_Y}3 z%9#WeyNphVgGD?1I@zzFLDi=!I<4&e-#=SQUno6HL0Z8FjYNUc#t@)Em8y**Xv$pa za6_XLZPS8}xw$s_ePMA0!^XHEtgi6mQ`ZTnRB-t8cS1!)5TE8F%p9Wf89QOw<+f1g z2xkm2Tj+O$ONRVgXz`F)p|>#32saFknonH`xl#6f`sbzgulGn$XSG{`zn0+6>TJQ6 zB$!p&mf+(O!YbX<;0qG;Yi(oj83`T)1A?zeuwL4BqftWi(hVEix=?y;ow2nGr`L5G zJGwA4w5ND}Yosh@XV zS%0PZmv7${{<-OSBkMbmXz^?0tOr>o_#mwgmOUl1#AxYsCT@>7L@H(ya>O+0EGGVE zaiTPxNgN~gl+J9#ofAh&r!^ARh<&AV8u9;#$M*;ui4-xuN5WTLnk!|1#Cm%wg>;X@e4!w^^^46PDQx!^9|AJ}OyBwRv)+X2J7<4hgdT$t075S5`&G`{_Yf;F`fKe^*(#lpgx~c0#Z;* z6_8)Or@C+7Fj(7%dI)VlMG8h$(K5m-ZLD*ynbjF_UiA(NrD6A%27N!%6dZT{OTuC8 zq~JYJe8c)zxWpXPLL&+bE2u4uZJ;cbAc^0hBtv1p%pbqsmRMilPb{!`*5@?iez8T? z&uu1*xB1p*HRHdtjju0iCa$;P>+_0n?`>iArNsou3QMKco?f~$!Y_u#2zaN}CYPTy zqne~QEH!TF0P_GpcxwM2XW-E;qBM8J9ElFs~Jc5VS+=N5ek{SSy8Uas;^rk)UjkVZ0lv5?Wg2Jv8F(vWW%JmIi; z*fMy+$>lN1;0b3ek5mRvIBR)+W$=XK%_9!L6V7ZNX8@jX*3JEMy^u5c286Y$jR(6R ztc5Xd13f|g6yrVtgtd6aoggcyR~QcqygwM|&>rVW&}Yi)K~m5#8lKTfwfa@^CIOhw zc*(a&P@!TTH!MnhM;w+=m`#|6uo7~!@lT)$t|XhtKqv{aUfgaZHld)Gkc9*!h{U%Q3=@iwpthW0 z{IAI5HgMXT2wiVkWZWg_dMhFmHrl9dd1O%1OK2-46NxsDa%mlIn@v!jQVVX<>5OVx zI>Uea5zig=9^CbLWokxZvh@b{fHRvst9$}XVWcyffxZp&bD&8;+kwsmdVFno$A4Gw z1+V}C>5O8SdRYg6<7qhMKAhqQr>q0K1NZ=T0_Fhj0Dgo^<&tL&&IBw46ah8@rU4cK z@&N1LS52%9cSium)h={>S!xY9;EXeq`eWB>HrfK~k(c;)`c1(n`oQ3hX!+<0t`eR) z*|pBsJStUiPr1!jIM|j?45a;OJ*vP;!pEwg!Y!1sjIa}8=$__)7V1RH?urS{6Da|M zmHr-8;oi7ZKO*Lk0gJwK-B-!6eKBZC!IVIJ@|G*5O5BV(qt9}VsUWm4eR5ovz^?V- z>gCPE!|oel^)rg`hxJP#`-*RYmg{!cSV_dEto@$he-mDa>GdK$bi;iN-d*K8pM6I8 z`qR%Vl`%E1$96?MgrbOT5Wd1arJevU58tk^(W-u)UsvcVRVOdLE8?|g#1t$D_JSfq zfq;Kf^Mff=z?+~lnUVzI7nLs4L_x>~)h-1}5Ju44RWJpi*OX@!V+9e<`o}L#~}qZk$`Zpr@n-9e@<}$Xc*px~^$%^Ck`OMk`PALI>DB*h74~0bP=)hQR zm|k^9T#-=Nh?<8?PsnY=JV6#Glr*9lNI`e= zTPP;zWu1k13CU|Ks>E(Id09#v*q4k+)=vV@a^Wz6C=Mzg`0Nf^F)L5^|0_=O#lrs) zr&-g>e0s08;zjifHkGkB`{nFqZHyv>oSnVQdDR6T{(pbD)BXLTw|(<=K0ou@yq)|1{mXnkQ2hQ1$8yId9yC;WcGKfE zrS@p~`6|{3m>FI%+_wg~D1*nV7~Qm$sw=i%ohu!bZq_U1de_{9(n|Q;@@bO};|#Wq za&uKs3@u3cm5Jk?HcYr>+vY4CqPgb`qi=~z+olX-qs5!sW{^=|+l6gY$(W^fdE0C< z`cJ#GO+?1}*~{Ce*P*}yv`t)xDYBQf&8kEHYR_*=uftBXSGCQ|KwYvUZP!12-Z|Z< zZ6fRSk;bMbFTG)>$TN}B$ULmfbY)2ktHI{;j;LS=FNnB|``w;r6joEBv@eV%h}hB} zsc*U_N}=8Lq}~^5Yth-9$?8$A2x(R~BvkGg&%5!xv~MkkTzS&GZYT&^X}s(Xcp3Hy z-uQ>*D-($?p)~1Vu*I%X#PyYSV~N`L#v}PCZzc(Ju04|31`)%IONN${q#>WOxVuyBYyAb3c)pk3k29rEs&)k#{x`Arnz!1jl1 zP*1dPbjA&(4aB5@O>>De(Ban~oraqW0w`$?Vt@PxH4&LSXdLhfq+=~t6~R>q8M9Mw zHGa*-1TxaCPZZp$DP-atJ*|PL;8zva>HDZht=}p#S`1v$Aej1;4+{F@Ckqhr~o2>6%YbA0{8;(7(fFw0Av6M zAQ4~&Q~-tmo&X(SI^YH%5^w^r6JFp?@B$CR3rvF-SPg%LI~88k)_m+`=2B}Ol8`ul4a;5TkfEyk5I$>)jCH(Y>Hf~J`Ah)=Iuf!%uMyDVf(0p#CZwnsbEUJQ?t^>a4sF< zyE9Y4&isyQ4rtfT1MON~32wJ-rd(a+TgN)1akwZ6nVp`sh6@(y&{%HFMHSja9EjMU zPIFBfJW>@jOb-Gl=lGuVVe~8gW#hpC;zRmJtDgc@HH(akHym+_6UnLZwVFk+Z)xXx z9%3HleYAH-HYcXtEzQsla~Rf-3i<#qeA)-Y;)YMI-IC2ii(9U^$^9Uo6=3Hyd{S(H zVK`W8`@M+2jLkE=5=~4Dy<6H{H_Vv~CzZnGV#+Ur$1CgG(2w@RaKdajA^L9V2xT_N z8Qf5mv|Pz&zKCbTKhyy&1RQJiTPIdGy`VQ~F`f*YHV6NTx4^OI(AWMuO$` zj$uv_Xy-uA>{pn38h$$QZt2Sk?2CB4@+N2>3-qejCZ+%+$L^ZQg29Mlx? zmblq~wfH-zBXI}d%^lekc0hGlyc)Ec&aSX!yUGVoq+l`_)6|i! z{DkTL#Bl8sYf1%%8#)_z)HYq-kwPIuQKP(K0#|Sw_0hiHcqWBZEqI_TF~wGuHiKjB z8_~K7bk($CkB9Vq>T$LO@XR>A3OZ6t=y~AhGjZ@#2Rk+PG$x6#+M(f3T$V`YqIRo&iO-8DY8z&4$c|P zJiDJ$;Gp=2JVbBPtrJB%0{mk(K=X7&S@;H3xM^G&KMh9#wd2hW;>+j{YJwuTHJ+fl zr~ql|T4+lVw1(7*ody}rt*FFZ=3>2U`O?{S(Btj)s7@S@Db3JXI9V$PrMht@%W2;2 zI7puJF{RZsRs7{T3#U}|k85dLZU*sd`#8A5RNQ5E2&QzzW3T?OMUlveVNRarH2gwy zyDoTC4^4EZk3b{SW_78(87}TK!hfa-vS9|l&xg-5gQe1(a#|)L3})0rMxk>j()`mO-fH82mIA-h4a3;2q}9h7}mU!SGwdCsW_{&R(RV zXKo|vm3EhNxeRoiXK3z2(oHV_%R?_GUPeoeh*B+r#9K=%I5&+E=E)eTyd~h?vV2h4 zioK});0!dw)^n6{qWz(9cOS7o{4G8}oZchy_jmwZiv$O^IO2eEDU#JQyB(LO)mRp| zN@4#Ny?Y6AE?7MDs%C*UhDK+or z+=iOhZ!NS>=f*nce12M;Fs&F>`2Kzzdh`2;eVQwFmhr32e=SM4KlwZFZpMAhu}|j? zTB<*{gysjYKodXQpP<_M>Bd}n!vOj1n=@-zg^NE@((G8v=|_6RFvzKzrz;dB`bB$~&&8b7A2A-_5>6T(8*91fCHe;A zUM_x#!C~CN#lB^3hBszhYs7>{Q?BW@t^9p)WFQo><+WX?@_!k62=_1eDb%!XZpq6%{dM)fDUv5iuJZc32xy)&;f z&v?%{&*yXR4Jr42?m6el(}sE-Hr#Q@H%(d-Zca*ftJ(yC>~_d$gMA`CPx}#}OoWCa zv;(2rIplbZa>l4D2<2k3oxj*sW3r1=8zqzAzVDD9J0jh!e>>b@uYx-T6V-!Vh=~wt zznMe+fJyot?wzmXVdFQ4{3ceqTO?+g0P}ZHv)6?4FvAZ}|HYv^$ANR;!oCV%-h+vw z;_dpy?hlxsV5YAL@4{IQg?SU^1eiF>Gce!490L<$m%x1Fb$F?sJ)=>=6wJ@b>m@1V zE7OF{eyo7ccur4HZ7oM>BPq?R6Qzyo(uQ|hZ}rGb6PHuMWiM3fazeO#yXw~jn>-p- zdf4calX;4GPydT*O@hN+##(8S(FO%owy$J+aR*kOQ|Lo_CI1Oe0DZb9_&9sZ=$gky zHZJbGdLyz3-{p5SKh#4KQtJucig>NqB79I!@>UL2$;<8Q@Ov9CB$P)-6Qgu`)&3TS zPf#?8s*KPC7{_;DVaCa=PnNr2nQsT zbvtFbEEUo9yA45cPW6$OrMWumio-nHEOhdl-RxZ-{f8)CmsoM2%j(wk9g}AGUay)a zUif|+`PR)s_wp^bhjk3yGvCl!)h7O+ABPX{d;A<9GN3@Xg;#GMeJ#|rW$>P{hCxs{ zP1=)hP*fF&BlN3PrOA3t{#OPry(Vaee61qgfAPVwBs7|O|K%3v?v2^W6{t#m@G_p( zz4bP`Bdvrq@7BTKp4#jQ70MRh?z-FDisIxk+BfQvJzKMR6=Ra8Xm=Z@R}?0%z=!#> zS8d-D{+6^s z{vDSj1k=xzE=gg&#BBLm?Hwb{R8z@FiZ~~Esr$*WtS_N5Es0RZSgDzyj;WmV5z0ga zLw=k~D^}F+V?}+9C-E`klBQT-U0Ga1e5AW#7#Qc+8j+074F^?g%?=+Kd!%1<9)^{D zL(Hie)C)-GIBQ1rOB+f_-=+D*kv_Vmh^mcaIwtp9Q)D~wIsTvCh5~m_W;HooKPNV$ zpRz{zL~GMW^$h!g&#@13l*pG+8_J9GsG)z*RL1#bKvRp|?2_TC)J$#m%%DxsAO%v6wuj+ANPCdxv9Os~wP}o#dC&$QQ@-zT!|S94xTbKg+VaxbT!8G`=To3W zHbCppY}@ZYRO+X9H*M*%o35B^n9)42pSeUdNf2tz?{F<@jjfm)1Q zGNRJLgf6ToC(1Nkfa+*D9`~i=xxFXLWv$fCn3%&YaYg|Lp54&`2 zh5Fs8QNqy1s50_m-QF+n|NZQ{`rk0uPZ!NCeQ$nW{qM8YhnCS}4)4v#G@a#Hy-=*? zH}I@qDD`|XkLa%0&);OGM!Mh7xc0^H@OSuE_ME?yN83}S*w%OLAkPgO_beN9Y@D`i zcwplbHo9D}vCgu=z{X9!w2NrbTiwz{8LE84S9TH3D0cIAb&<~?3vO*!U~--t$C#ea z9gGdVG5y}&%$w$%{H-$Qe9kp<&ZnM{?^BXf&h_5v5jZ|XVVaBoj9`GmqI_{|KWi8L^&!7U%Q29NKoy~02J+KLH zj>eFc`?hzvfELHhn1iXhEK>y^pfnFbtQhuyJssN~aiIVoTT`X8xSOs9~%XbBz_TMeIYfY7$&)+{TE7#`4%Q_T<@; z1osR^XJ1{=dg>OvEpmrx8E&D-PQHfxVR98S3#;|4?JGlyq_;UAZ@0P35XErr-feT8 z0nO4=e;!aS)C^;epZ`QL)G&hfsO6o08S;(&`u)|}U-q`R^arVz42$0rQ2%Sn%XsVl z&9_}*Bm732W+&J1mn7F1h6#hsrMkopKclzlpzPQ$!;Oii9kS=@i+V@?aoNCq%hV%l zV+8p##XVN;id|YJ?RBP7AH|o;q8gD5Y1$y0c4(TKZZ6bi-CJeMYAVsax@QOdQ%3VI zr^k1b3Y06f-n8P<+4`0I-LmH=J{bv3X}a}Yqx)RCf&|;IOZG?qeWXr~xZW}w&slff6D4hA({)pnOs!ldU_evew z81R@hgmX0d53E@{U=Hh$Z45`16a7w6I& zK@tDMo-^ld%o-7i9l2yEemBI-&nW}sG*z=~NN0$EQ64VXb!w+4sZPmDoEvaiydCY2 z$LiFtv;t-VTbDdLBF@VvT=3>oY@C}a_GFoFCtt1m2}NO$~AoN-~rQ8Upe zRFLwevd=9cP3QeiE=Wumr#tiR8q`S@vi*i!-oxgNU?`&8^i?}>Pwnwv55R!nS zegCJ?qhh`6&GUt5#IjBn{PK)(SVe=ZwC97~&p@O_-0@HhH!x|t?s+ILYJ&yQEqS`3 zpQj0uTS|1le|E#w`b6Elr6Za>OM(UD{#nh8l0ZRje^IkTNvPnL{^fmsEoqU|VG7=; z`7s5OUF_b&OI?m=Z!!xWj8L35xYKsMJFEC)7)rB$e^$9pwM91a+X&rsT&)w|x;C$P zGHDKJsgl=uaZIn(7e&{zcg!W?@9fC_Co!K{x8xzl6$D=fN1M)UOEyg5`Xn*Oi^g!O zp5wmNE-A#g%hnft?dZ%l4L<2kNx{YyP%|SNyl9ixnvOO?8L97>?QP|C-1m}OS;k%N zWv=%$H<)c3|8m0zJ>0lrKpFkC<~6hJ@n4QyYHOJB^{~gTg`%^ZstIF;bvm^M8gC>$ z##Pr_qjyKT&O`K(abNs}U6pV)iF z?BAjD$p7f)@DV?b<~?(Ak2!oA{(sl zu&XXS3N_9&&2(C5IO+33^Ky8*IA%dDY4VF$YTNZGPF1@v`P4RC@-c_39d4Qn(s_`+ zfaEniR_wQsPfU5Pv7Y`ygU*BBw;NpO-^G&zt4v4AZKf+p+WB9vil2PwBdmJ%l=P$h z3bp;(6>9&s4bJ8fetxmTfEXaf&#%cJhy_?c0uTfY_VY7kCnxhKB_|u6R8?tKeKm`o z18%@JAbh1A~mmrh;VE)DJ4 zeQAk2AdiPj$G~>abjn3|#A3&^A0!OPqz!2 zhIdVWfN9t)hA>-f6`GN_kMV)jItKmE3GQ*exCp zR^rQ}s|iskaGBU|=j3`)tHsApW*&ITb(JVxFQ};H5uH3HXlb|!-p8Y?cl{Ulv^;Q$_>5p!LUu2AbHoM~G1`TrU9Gk%fy|VW!>N#?i4}^ar>X5) zO7h5svRQ`l+<~X)>ooc5xR#>9g!{4shN4b<%<7^KgoBFpDESX)u3%JGv$OtRwmHhlQu(T^ybgx()cObpLRAG`Z9PXHbR3C+6I-; zTR)R;Pld`T!V3}pits3ea0-;A4 zypEA{_m5Y9CXWPr1zi7tEjH6Xx-Lwe-{)b@>32+aU5M*==Z|h5(o&kOK6=<|t(t8d z+H0uNK~J@Y_R83xcwdK`HPlNmO)#19zBP#Mh1ml$1SXoH)}umQx$9ZkDQp z%w=1N@kp$fvPjj8X~+!nsSUd>-Sc59;o`F}()8zHr0Scwl=fS>l>Y4p9n2%My-Z^o z1{rQAP1E>rN&UgIBo{eRcy5f!+<~!^dm;LEj*oUj?&x;h++`n0bJR=}dYi|b!n05> z!(^emIlJC*J1!>dgwedP2a#|#uC8Ty)bWQc8otMH)U1SdA_!@hN3iTOn4grBnM6IT ze3|ChM{Eo$!_&|SD984?OFJPNi8O%YNCTjskbW~dHtndS4|bU^zMy}|_v>`*jd+ZE z@uk`y>JbU4AFUiAr>d$%uI@YOWn=`fKN2%J^E)!CZ0eL7Qtdmbrx+`>X==X&-bdYlCv-7%jD&a#zSsUXF)vCA(h z(#dLrY>j~{^zT7?>?`b_OfBWa26PzRqK35SU~0C~-Qd;fniSzgye*e%KNww1l5%nx zW36O|Zi-==5ZNd`gfcuEyN_pMi-m(L(|t&f8CN7{bwa~jVNy>>78bJU+VjSsj?#LE z<+9w=Y+-n1c0J{>2P$tNwK}05wYc%xwZ=%(ri1|>X)i@>#*&WgQ-psw#j&aNREpw* z)?Y~QjEuHU*KzobEuIea9iqRC6Jtk}P(~|mXjwwCXVh_2xQ~b}C~+G&Lpa1U<~V__ zJIzPwe}?OQ=`|xImeXx>01~D@3F);ViK1-XO+#)cttw<58ZH)VJ#ewRzhz+6HmQR- zk?IvYa)yT~bA5#2p--V^>G0#CcMD4h@e4)~Ql9-xtZx6sx_@hEV(re*MDy_MMWzW2 zJ^X@(9>e{lPHitRf0Y3c>M>~CB`?ZAw`nSCtY94WWZtO5121vO*x5*!f z1z11=5CjaqJ=c`o@Q^>L;i2J4(pl}QW%H!Z0XJY9kPqAgh5)CH)TXR{n?IC$G?X|< z6sJftjUG*t+KI)w6Z|8!j%VagG)wwMpeV^|gnkeIzpYX)3Qp{oyfccJf@l^Rwg?2t zEHrF!6$G%*uw|kkj)jITg9Kr$h#!RKx4(jVff8j={6h$w>4uHs(eciAKU z%OiYpsg>hDT4yW2XyPFqdem%{ z6uMmek~7~VF}t*)v^YkdY?#*R(aQWLF668-)p=T#d64ih*QF92>gUS?40Gcci|Cg$ zBLoSRg*8@>^kX~HYltKD<)#Kt(qoUGv{ZplPw-{wV*$~elVR;AAE(+->+lVEF?(w5 zz9~0ot>PRVupFKSZBkwpWes|s@=v;@D75vh zp~q_~`$)C^)z)-zGWtD9+ZuGsO2%&1gK?=CV+; z!x&@A&ypHm*Hg3~fnmcPCG!`0TR(X|*rx6FOqcenGhO;O-tjlb9ZWOjW=Z+eL4FBh z42Y9}$snHuE@D762J~+NIsRZ;Y$yg4g8UBTZlD$D2PD96fEq9Yn}Oef6ihY^ShdhQ z^2Ws+>}nan0j2>sM*d0xN-Z;3vRxXbXV}z-*uxSOJUyW&k)6{?aUI^Y`4& znJx_UHsf*!Fwomf*c{3VcqQ4a_GJaXLf&0W&gj8b)9~D{zKgomB{wSc%?{B9ocDj*JG{Z zf^LdRoZ!z_0{Pdm7&AuB6C@Xb9|y_? z3#A^Er=aZd2ZaqvsD&~KlrT`TEtEP?{;(JxFxJ3`xA23(p9B6&8Tf@brU5YKS|Xu{ zRKf5-qz#AvfrG&@mV=XH@B~mMhk&vGltK#y$G>od#lW81EC)9P{1cWv?*{)h_)jbc zHyV^T79&V7U zpe3qPyvk)3GRtC6=36Ma zpcI4h1e6DvFh*DmHy9(c7j*;z@%ZU0!_VG7_{pO#`(087JO-RU>?8XS7Szb{Z!|LtqOQn!r+u*S zvp@oe91gq#PS;1mp@8EM94YS2vxaBt4nGgI7;eoe=AE*Cw6{6E5F^E{@C4d->127A zUw@JA2Ub?A$;!5C8WsZOqsC zj? ztIHQ#&MEU^Qk0_L9=L}w`+pCXg@eUz^RqBv4Jct4XE|*>#wsu&XV1AW%4 zIbFA+BS$hA+gt2YD#BR3%RQu4La^+BWhWiKm+m|K3{iy!yPhJl1 zLrl^Dd%Lp)JBx3>*utwgt1``rWR;|`ETLg;{|&8P^WV_BUrc*zCBJ=={kB11XLpum zcj7sD^$qvc_uor?+g}6?xy&C=3=~h+6rM;%2vYZy1iIq_?tZt96q#8TB`{V!1 ze;E2-e(<*c@}npGb=s%KjeE*FN?kr=BD-@|3;qr{cy+XRbx3##zbRQopFsGAm;12g zuSP}S9bWEFF5FYg3-LFon4E#X#wcu!#kjqAMc3ocpEw#09vn|C4i7le;9$eC1&%BW zg9Aq%9CzR-!ftj);}5t7yV!|c%)l;o&_KnZ{FD3Y@F0_IviziP~aE<#{=yCk>wp{ zImqd7uE)@`cw;=Wys36!t~YS(#$0c4+UIh?iU#WzoJ%c3@m^ni0`DsiIKNw1IN{}~ zV5Pya77kyW@NzaBTsV$n*ajTvNF3;=pE3CsII=AcobV^SKkuD{^S1BV0-B^)tu zT)@eGQowP9c`pBivZvrefV%k80olBJ#c<4rV==w+*zz&#v#&~Nj}E_ub0mAwk>v_7 zL&0nVlM3erixcdXEI2pG=H>f=-G%`45|4aCz|p1im^Xq$#Vni?q6F~TJ4Z-agH6MXhaNdBk;8_&)D5m3W!>B}z%0%!1MlA;6 zH3&mNm;*xBRuFJxC^JvISAbKWi`V8MPJanrn>UP3&VyjJ@>FsE31!}&5k!0`EA#T$ zn-12>nA!MJZos!w626p|;Y<1bR(I~Rut4?I+yW5lLBN-C1HP0S@THuDFXd(7^76Vo z_s+qGnCh7m^VxUy)yWQwTTIK`;?1lJ8NwNyISYil7DAwU=C214EJbiRf}arl?Akea zrauB_We2V$!}(oNUe_B6$3-{@a5#eH!~iQuJ@a=S=9goNTudWi*;MfeuH8>7h z9F{pOXEYlV-@)E)xnNJZ*i$4NCoK*d9ECWpbzl|4iQm}FTOOT*|C0*VC^(dGMB@ec z4;zk&aNMvsER0cbjE7?h_Ev}^E{Ve4FweEqaNM^zV&IU%u?~(m*xNk3UVr2DT5uA3 z8-t<6m}{OJ=E}reOW?=?b19Cz+j6oyz?uQ)c?^Av1O1QXK$VzpC0JjS<#kDz>%9t& zbU2(X4*VJ4H)2>JhF!trzBtfjmIECJ$3Zw6a4O42!!ZetmllVGkp>3`jva86Sr{BR z^5M8|aab7XaAd-97LLbY{2hWlt;epl7g*0ZIC(HZI$tj-=(#NIQtMwWby zM_ajB$ov-c6oe2E@boiuXAlUJKu~~?2f_vf56FAAR58`r?+?K_10(h*;Rt|ZKOBW{ zOok)FqcimDX!f3G#TfRE{c&6y96K#6DOel8O2Its*vVJy;F$+)S;O9b3YA4ZtQ~C$J6J4Qv5+0hailE#X}ofm&cIP!DVd z>VWM4#&=J6IBnS&gN+r6%E=dw z%f8%uEyqS1Homy_wJj&n-W_=tW!(M8N_Oj=b0=g=i@wnNUc4eOAD7H!8E@VraZ1z! zF^z|DU-zbEr_5fskmg}@XGZIyI3-7%T!qIHdAi;uvdV8(>)Cw{33*BO zPDnDpgycq>K4QYeR-5&%G2*@Y8wSrlyM%&PyY=)F;-~u82J1cwa)52KT<43o=pPyw zohS);OgM-f;Mtu6>!X*8;@R2A8FsD@eoURAbk+=4dn6QoME|h0$WFAW5B(m6vqIx)_(BxH@~hCZiawI}5`;}Y`7i6z0KB}eqEiglij$K8I? zEJmB-vKrDbS)XBa9N`p_(=(Br?jIuErDt?-GstIU8??s+-Yrpk>D>*Twu#dgNk$Ax z)b94$Z8%<&)iE6I-JP~?X?L(ZGoXW0W&f7`MEo7kZV7RuBv!SRv)oU6$rx&q)!O>H zrAUvXu~BU;+1KNuBvd!Yw9o9A6kLsk)f6nxWSfrGk{al%#4nL!NsjYqWxWvZ&{dg! zSmeF@JQ$6rwzN?XPb_rQQC}3sjncgXXiMl z$2Wqg@6ahtTC=!g!W`0t_&>B`yk4u2M)^%)e)F+<8AbX7AOK<@4bTDsz%RfQ;4gp% zYy!AIClCiT145vWSv_K}cpO0b8>gbh+WqT(4n=skl@VY8W^!L{y zt0&K96RLKdAe&0t+%;d|h<|_Ds`~1EqB#z%gJA81Gv(!MLjUFy%*>YxGxxzVi@y#2(v30kO|g@w^SN#98e`Q? zaq!ncqzNCUQGQ(8;lnpDxYk)*Ti|*W3D;SR>zKv0OE!7YqX45v=~9K6bH9{X-MRRz`AN*V zf=`c_IqbV+4rgRe#$BpjU#9xG+-khyLRwg}Gb`#dd77?Tb+pTGE1fEO4;@k$s>DuB zYh-y#$E$4{BkLeJ>eU!t2gy-#V{{Sf!~Aow8Z%3to;nw+F|@Ukk@_jB;|V@@>0?CS zjANU2B-jmh86&-*kK_9ZBfAQHsGsRqr2pt`4OT*Cxuq~gu_Y}~XjdMYMb6aCR2?cu z58FFw3x$;OfLqp4`bnr|8JfVWCppnxN($7D2`KwrEB~PR%UD(MbBmQvShV#Rxl+?< zTu@QdLiC9^Zhb|*#84?j?m~%U79OPM>OL9rgrtPbZkrgTG~wG2GvmLlc~LOi3Y2|wv0W3$>RblrTu+DyuToMRZ4@tVy8GLSNN zP>^iSh_s$8;}|A1TUSMGm;5d8G8gpQx6yAP`;FL-reu3`vto0Pk}t{@^S3wNll7t@ z^yhYNQ~W|k@AaNJjCWrK>{iLTut4@*WE7<2OzcH>;PlkRcoALJii_~d9Zayh>vmAG z29F_+X zk{g_;iq(yd#~F)LM>L0`(uiD$M=su6zmi=W!dkKhTit(2a+r6O>ei6h~{r@an5l6A`L@{ zQ+|?7GD@6g8mE}#mDW|^zT`dfoFuGq=^c8r7ydjc%rss5WJmkxuGf8w&dUME9M;TIWc%1c7_jIjyoWoJH`%a7_9!0xvN}SyswEGT;qs(#tN$Vcx zG{^0!c4!=74t|iF;%s{vTeQ(};+gl%h~%r^caaV07~T+AZ*JRXv2o zJ)TI2eFGc)+pzBIkTb5|DqQK8=GF%R;OUZC#u+)K{b-jmNp-;NcGvY}+T6YYje*tF z6^fM9(!S8f&=>e_iD}wl9xxa^V;>8AyT-IrzbnZ6lxCOS7IP_>#RU9Fd^?Kb**rN$?%Hd6X(x-AMdN4>sXY@iA$`iR ztvJWRW1IabTNs=$VJl&V@>hco*Qq|@x^$@8>Xe(CW|^9qFgXuTZLS+eamgh?hb2nR z5M)R=MY|2rOjC!nl=u*iyNr=U=((!hwKm70mU|E42BR|w_o0@1E+e^OWr$x(0P>w{ zuTdY&crV^H=xgd)xEF@_^}sdn+B4GG>F>o42YuDN*;%E^1v?Pzo!6*uuRkO0j{mB8 zw6n_4fD!XCVl&(~o{_#_A}U5m5&9(~*;EnYR~fX$IjQa%^&BR){P z*QgEH*KLGfBK!g2)d&j^4%_0~T7QjNhVTi5?<4#g;dKa4M>qmuj6OH*y?7}?=W&!P za44IuQI~`L1+MR4OEZ!?!2Ea%!me;{z-jKuXglCA1N6zD@3}^$OnomF@2ukIg!p-4 ze`{d=0+R=`;Tm-`%uO)!U}ATdVK&1ofr+spFy$~OhWOQD>;YL3|8S#YvioAq;O0;> zej)cLmZRC6Usd#HD8N(jML*0uzL(VI{vBWTDX0+jtBP>4dLmzASRwSU4e%EG;j#4I za$>b>rZ%I~p+B-tIvJ^$6HZxQR7f>*gt6vKA7Zt~F^!)w$8<2kp&hv_7vE1Mu6lXR z`o#-CzE@i%bl~}~C)?|V{7nhIk?x_QU}W{@)m#6dg-WLx8Kx5nZvCNIq#K;sO{40O z^c=4V7l!bnZV_ke{^TD^pdO{alzdVfXPevP4Tsh{*DeoUW#xxX)Qg>tPn*+^?TQOW2!z?2l(;YG7^u;7( zpp@L>hL(m>@`*=rNswH{6qAUzNn&}M_ms+Xj=g(fjh@KRGw*=+U!-&JR{20 z7gW@BQHqpr42zmc^#MyH@%reB?Ol$y+*b?^RI_On$60m{WCGPOmeVbIu;!~es3mnP z(L?dOfyt$mL|&InU=Oc2#Bz?NlMM@m4lSd6?2j|9h?f{EE4C#NN}{r?@5{E}aqHBI z-3jE9m@M)z*&WR~^^o$IEb1_Md@5BK(lV)@oTxadjWYUF97*u#4taoI+2RgpLBDl* zDIK9F8={2)J(1DGrAj4A9bGFgqQM1TfR0R~_r&;c|76@A{G0cF%c{Q&;fN_vg^d~uv{ZfwCK(o@|CerqL~sy@=P zJ4qR?Behfed~2DHskwTp;ipdf4EhCas4?Ai2=$dA-$b`LlTE98sBO3fD->9niyW-) z=>1LW(DK|Z9TnazbD0AvURPm~dJ?J`fvE6i)P{|g?$LXiPy|0+E=2z$-*$JpX1;)F zF3ljWk{vSmUlhKgn+~peA66UqLUd6#*)YFvSZ%}$$ufa&ZOjWPSwP^i+$9_VjTh-I z4Hnq)!naFSp%?5<9_7bPv2Fhj5}gNqAl@cmC$J6J4Qv5+0hah461{69PzwZw51EnW zx=riOCC@=B%}}o69FKciCoZX%F+>~9weNL5z3YnK_n{;f^RqRFQ;JJ_lAg0NXH+kF zyn>?I+BIOS`*$QwOwK9jrCyi+leSD~#e!ZhS?G^Td+~U6TqCZ(^Kt!sxE$IOchVLM zDUG=P9-^P5+MY1ruKP<-qIzIgZVCC7;z-)aPTRX4OGOuSyH(;^`_JwlG|7VGuEI#G z@AB3BRn0a&{_fH>0^5X~Nb)*eimI7Msgtcr%kA?k57{n#At09r6iBuU+!DqfrBIba z3@**?r(9oaei4jJ$e3fbR=EyUgSI|lL#2Cl?iHuH?AD{7cC%naLRPQU73FS&b+dgv zYl(D=&Nr&I4fS>kmR**cUNcjjP#!g2bcZvpVhxLYi?JWq_YMigTgfkF3k)S(-%~WQ z>KHUN>2BJuYS)(3Jc@@R9G_yhlE}m23GBrchZ+gd^dZ{kYWJ2=cPWL_3&cxy<{J*T zhgU3~?$#1=(ynCJB=YeaM~U~9^9&SpV&JwyChn~vq?5GBWu*3|abyR#)p;H|d=ztH zDt7fa&O@K{EMs8B+8!iLtkjmO{dyw5Nzd!8D$wHfEqbYY#Cdjyu0hU3j!EQ6@nu}n zrlSmqS7W_F#x-!`ycb~^;3q+3Wl0Sw8_NLK+QUtG)7>f)PueAInM7{5d6am2T7mdw z{1J^kj#Q8EM1+T*v}@fwiCl*8354$>JOJUF2p1qc;-uXooW#4S1>&XgM^eAEhj#>? zwENf&7ua9oj}*PX3**9|BdPB&WXffF&z3&xZ_|^+)n3`ERhQ|W8~dy&Fw?xU`4uoX z_gSxjIR$1V%uRjPqhWGkE`o`%mthKFR$ZpoVr&S^aWEIa#MlEcH^et+PWkNDgbp7s z{`d7?$A3E(u436uSh{qFXl!Zpqo}dNm|beY(qZGnBof(Xg`J&Exc@Ky{*Uml)XDeq z^8Sk-DsR=#ZuqC^<>jr!4}$UHuW|b|s{l#uME;bFuqM_42G1wgE|RuiJCRF?q)*c> z;5r?3d9EG5$*MqZ$3Hz5Y9O4Zf;{4D_Ozz5Ji-8WeiJv3w2QsCY0_P*Vs>Uz{$1ij zG`=alOBjL1H@SC7=TS6YJlJXl8s8KSCVm&xOa6(=(u$$P%CE_-U|kMN@OjGckqhnC zUrr!jLHH?}Gz1h$x8N=|_<`h(dSF7}1L;XMDIqjb@*!)uCgflL=wE zgZ}$_$P?v{{ZB&%S=Q!%tcUtLGK!b?4ZrIDOJcIs0%av)c(IFULu&St8I zH0^&>%S9#7DKB;)FVmg%KVf!yM!%F=9p}Sv2~16k8^~~tPn{A+XV6Zja{Gp{LVggI zD*jZp)Z$`cRob{XkM7_XkA4h9 z(xRi#(RP+17hgAyvy}0w^$GT`6bn@i3C^#S_A2pMuJ|)OEG=-8-C+0sXht%Jl{4Rq z4$$H&=Ia~=D{I9sXz?9P0i|ekd+HIHSNXs=(p=_r)m)v!DFi90tmI}`#~`KchQPIwlR-HFX~x+`XVW<| zy*1!~XqZ0Fw7r({*nO3Fsk%jTo?BwLz=}3iGd#@x3i~QgJvmunB>IgJiW?Bxg3wHc zM~|DHyd17%Zi#9>Ob@V>M&cg0et@N9MaN>WLyeI*d9+Y`0`sciO2B*r_2f0O#fAaR zz7B2!G-m~Y=BzoyOSm{cs zSMrZ`IbW8O3?4$4{%|L8pE0)9f4xXp`oGb-7jt z^kG)3fICkaA}@3_4~rI0G7d5qmk|Dy9pRs8p}dg2Mz$}h-EEjQNoe2hu{3Bib(3|Z z%Q)?*O%9Q+*R;l#>HKje@lAt|d+|ahN}n?wc>%vmwlN3tf`6CZW0H7*gCwV!bYAEn z=_jUrm;bMlb<6=>LBC3GGO1n61(IXJjoLE_SNSV^rZ%NNBah%DH%)j(JfGbrEj%aO`JTl2VzvE8;#N~1x>BCJ7Nmuejy^=A9Lro6Rn%0KdtI? z*oWdsN+)qDiX%fh?WVfVmqx0Gpi3L!PxgR~rKiZl*&{aQo}&JTP2E_$$ohml3kUA- zUJ>oTr^oKSa-K@m<7B7sg#e|kow7_N>v2>ll2x@mXp&g1`nkt>yaFZDo+z14Q`LAn zTvr4@X29;catb;gAk~wGjt5BfK}phl!X zCknzFAq%)%fJSZ8@iENkNNp!NLLq%@J7GRn^M8Wk0PRC+E))l_a`HkzC=Yl{jna?m z7#~TTul#Sb^T+sIA1VkdM|UOYf^?KsStrUiq>bsb?Frg0y(J*`Fq6rp`WX-fu|0?U z)3rRu<;XwX!LwhE{L>9Q=WobA-P2|BO_|9TbvaNJQT&Zvb`<4ptxGfdqde5cHj^AY zCW~ms3FvH!D&1&&E-63hd}9lG$xn63v1Pqfx~>rIOszuXQvRtK*BZhdP<59*%alUbBQ&B$w z3HkhEX8KV)5cp)AkA!@y0s0gqgY?M*j+&i^XXe1w6oR&+o z_n|jyg*0lti%>g`=3MXEr=8gsZXO+EH3JeM1wq6okO-+COKhW$(=OZOP=&VNer&sn zw0+hdobZZq`=Bi{*butOy+87I@eK$Da;91pvPYYaKnhmTZm7FTtfo)WzC$PV^r_VQ z=%jw|s_hTg|7e~thqVNhq1radaNw%_Jb4uaVx8a0h=$`Vo44{&hBlUiuZ(H<1fmKjJXGE=v>z%xBZ%Uu6GjZ#q7E6t&HaicG-=8)k!jDxTsOhl!b(gd(?wu0f$Ak z>Tp@`VR4r_Q5HB$)M8u=;Vgm?&6@MM_CYSE(?)TrL9UC_1YGAJ+NZRMeIa8*yhYFO z<$<+^t;VR0;|Eg?;mc$6VDd~(JibGye{<|Nrk%3(;zVp5*XlS(`G>!xlrJSS>ZM_dg(C+HEY4sKRQX3Y!B(SSZ1Ix`Tp7;4W|q_z2Vh?Z8o>7pMo$Pq`)j z53p>?b8ShXu}TwnbhS9ZCJ;@tdgCf4=ewfkEYm5Wcv)tj#ABzU(Snm1Eo{;N*@6u zfeXM&;2+?`Z-5|t1cXMt#WtDt5Zpx!u0$$+8JEO;>7GHhU(yOwgqF5h{alf39C^z^!V7Y=b7ZFRn5qnQ&Xbz%=TpRgC`Nw7@Hc z@3PRTJXjW9ATAdSk)geXryxp(mcu;3NXSo$5(I&=h@s+2K|JIqMTPo;jj2^mu8O(- zo4TA_l>`0dW?~Rpu1po+N_~l#As99`?6W9O5HmLFvv{x|Wo$$n@oybrW0{Y&mo8u< zx5{yl{F4941mdrrfozNa!36RG`5phWW=bn0WRi@LS0mcUU(tqm zauwB1_CcjG`!ZZpM86r4(G!iv!>+85YHPhdTlKTqYb#?%T3DYwE9y9EmJ4$VO32S4 z1zXmS9wblFIDOQ{pySf(#_SwUKlw1EEr$q6c^?0Yyp181rQO8&vWKbzEu>lU^Qto~ z)K{|aD1EYeC0~m!#MX})|4JyvI4s|ZMjOK_p$NE6zp#VdYL%&<(2>zPaXleIEplgu zmxrmv1r8*`fJ&?CRlYp8iGpqJix-D|GO`WmHWf4uDTDZw` z5q(_h(oKwyF4t1CH~D;Y4NINB$@L@c5Y%D4KhkHWuG-}8;PSU7b(61ytCxnq35`&9 zYH~JtIY5H4Vv}2q%b%LDn+Ddn4%d`#qSrttgSQE)StrDOOy^qv_YyhNuQuqt^qShL zmT4zBq;{zdv6KF-wy6zJNOr0{Yl9WiKh@T?f#W4hIbpGdTT#!3AJwh+QC+|nvz#B} zl5iB476}a!0oN;%man0z!+GI{#m=0?O&NEs{N(qwSI{F=-e|iW{VDJyF4=9dW`-I? zZm-1o>SP`s=gel4AVq2YnjP3w)=C~KyUfQ@@=*B!{>gI68QBZ|k#a(^N0fGGr`;Tn z`O<;vA?8u7Xj(W|lhx_o>-t7BuhX}eHV`dSX}$E_n(9uks^D`Xk~$)RbxxeF9$_x} zXtfsQXQ(+F!H!fu5rW^{H49v%V$M8jgBG(J~!suMSnAYos3p z)@F#wq+mfp;^`Qzh%;>Vw6A77)np5j%#$*#cHqlhSqoM5Ygi^Dm$>C>hr~Jdha8YT z(L0#d*4iFdPBLW24d@TXGMWCoVNI?5584M!W}IKQ>pSs70ih-EF?FeaR7G|q@rzzy zN{u8qV-ar?&+dntpX7?btCE>a%+_Bq>@-^^VR_fSnb^wMvt3z}c00vi!LwB>^8M?0 zj%wu)|1~^&fnu@$cAm39>FB?{%f_h4^l#{LFe23;zu7IwWsme{!GN(rXQVm-bu6>Z zYMg$D|IRMk&B{{$)m@Gg760u7K2f>Se_faJ@3=Ki6k6@`pr%nE%FLK7%`nDZja)B^ zG_tOSuNTiTCR~lq68RW|u7+iaON@iBMzs-6$m9JtB-p=K%uh=eIz97vA|@J>Sgbb4 zGdruAS|B2zR5i9hM8Gdi*hgmtR8xZW({jf5BY7r5RbzJB>Q;y~cE_zA7sYnQr8(Sw zbOd^>`qfO&qpcDjHqOtEQwNF)5z=QEfVlbYM-I+%W9>p`K6rCKesD^iq0BG&1# zdtB;l8od=dDnpq=%Oi}UCCupZ7^B#UncTxFBkyJtJ0=BLm$I21`9YLNY}by`Ao55y zo~{N_FR<-8iuYNsWQTVY?xXy}_U@Rxk4$1G#^&rpFA7R5Z>n`VJ0v!5D&-QynM9(Fu?5d4Pj$2Ttyf7Q&}W8vLJ0Jkp`LI+CgDRp;eh-%KGYMY z%hY_RCrpaecJI&qYGcvpB4R+T3-p+nO{RC=y0cWiTchn5%vnsQH( z&!bgl@hR#G^dv7_Wc?jI$tN#@j9;`t)MMv_^_-18PHlKfJEfEEL%X2ib`J2Nuha-T zJ?dTl(TwZ#t4E{OnQ<;vffRAPAT}Xljc9&4{c!3En@pP$3mgEuOq+hsIu~=;-~iLY z@==Bbz>bceHQRs_zd#EXm<>D`MJHZd8)E&#GSC@=yf7$Ya6m;M5D!?kM*zcs6int1 z!~!f}J|-0c011(g6{JZ~| zN-Lvmt^e^#YomNsT2-HCYj}gm+88#L)gWdYhmVac69pNA$A*_38cTQKrY@kl?Q`i& z9Zws$&owS}7LC4-)|^^I8?cWqOkGa%nCjA(I-2G;)%Ap=ok_ULbd?-s(yoTMN_&~M zR|7Un>X~Q-zFFGMBv)qcvtGsyhbk=PE882Yuw*hjF$ZtO)ocn>VbRDm1gfwUJ=;A8 zZ^dBt&>XxK_p_a#3Tr)$9i4->;&a{X{~tmghQ(Zm)&PaHPHo*7JYMoZ&1ei9FFmJr zXbinB`KI=%ggmh$o7{o7;yUzz+1}{jtqfPm8|~^8vs619o$8d{s@0VPPci0;3e+K$ z#dnFvICD+wp$;oMhjnV|1Nk@Ag-ZG&XpxQSbXf!~vKi}qLS5H8l zv_VboK@!GewOdc%W6Da-?2hbK(l_N$&t$)+n<0wLK1Nl+pa3 zd+bIl=JB`oIE_~N@@sl*FC$5yzQ^&hGMO*wK_){re{+v>h?2lpc%mXTjbG>Ka6lQr zU*m~pPE+{Xq5G<&@z;CWK1K<0Nt|~({U=RToO^5NWieThR5>}r${xL_$7T?f`l(Iq z41%BR5dV;+`P?1tpg2-h&~(WLeWXcVi(>EL{MD6cFZDulTbI-{v7LGZeX>vUQ1kso zTh#1w6c=gBn?2{?B5iaZp$ivjH;w5{oJdl!ehhLc;<|8=_E5i|DgCI`WLdU$hcUh> z_o(%GU3gRRQS|foZYn&Ae*TF~laEp-|`Tf7%kN3m<@IL3+?^=7G0OxRW)?RD9TAC;6fcy|_?gyTiKNsQR zNw56l-2gOz*DHT(;6u=P1;jm^KC-A|yQvItnGbTWfR6{WWj@LK(F_|>_M>;hF=^vu zhkE>S@|fqVih$4Szpe30*iaZKhk|m>v`P^BJg`=pyx$7Fs33hGk;WZuI(NRVdpu z&FE0&&uyohk;fEAq0@h8maqRJ(E8i<}?g)5_IcF^6r`|y=H`eiU zdT_7Q7*m!dv64xbZ4{9z{ps)uO71JJ0}Y-Z+m2d^v6S2FWfoGUZz49r7}U127@n44 z?1h#PF;vn2q1f4W5;{jJAGKX6Mom_nYdchoo2-1_c4oltl45h)@d5ND0*g4!H!w^1z@ zu8&7gQ=NhWa@PiWs?N2B+CaOhi?8u)U%Mw-` z-YE+(yIa{~*j*5~-eh*^$}GeAD3jpZYZSDB^9W~5)Zr~I*8D;A0cEN1$8M)W$g;@m^s3hfU)4V2B8GVvIipA(=Erq833r)v*QJu;j5S(=x1Esel zE~0uS6}?WCY>EQ;ya<)VLlbOGrJ^QYKWaCKKZ2~D(t_9RuMV|aX(JqgNCH9*gbNS} z;4!i!-X<2CZ`Zm$4}9 zohe`#$nV)z0v`iuiL6_LPz1TltQwNIpa(-%++ZH8M3R+yqq*#-lgz zlKiy>*Xz4l)}mqb2CSA3YFxxwqnK6Pq{gCA+$V!w<17LiV_@?61ndR_kzY)Jo*L2o zd;-pBu;-UGpaTrS{M-iYj|NvhY>Lb=#PbUqK!p;`FV6%ON`Jl}6I3Xv{27_B!5qsk z$izJ|5co6ipeGo@M=muxJtZzZ&8)&qg?^~e(si}+-?j?_h|h{B zxHAKWgI37Ci5RZT6>_P_#nu0VOfT|rWqptzG>1iJdfHt#a-x$xk$%SCggfF1)6{;# zhIsr9)epj!c;_2xicl8s5U9!%Zi{ycR4>s{Yp|KLdHPsOM04a_sT=fI&1=D(F?NV)TwUm5c#uYP5e4!pRiMu%IlU(L>lc$y zuOSW#dET!f4qH#c)diSkD3*78uvwmBE*LqNX6LM4Z&IY8w?G`WISsi5^kCPgVY5|3 zrX6XBZ1oP4M-#q^o#JqFsL*&SCI(4`##0V4_#MiKny0Ip2wlZIOWqGa3;h+jAuN@37(OWbLA5a zp8UKX#|6rZZReXI#`CGIQ|$7P;s{Nt62*DpXeR@!j~|ZRY#@*9vf9PcGdL8BZ)NB% z>0Gm4Us)ZYM3KYJ(0ZOFhv^=h^ZGz>_KoUp+dcFWpp!}$>7GN8QbM$Ef=LYg$`bq(!fke|63mh6KJN04#CX-m8=L(!M z$&d6E0#v3$ic6*wz!Bh*;&R6xKmgzY&H%9Ycx?1;wt)fg+2}2fB24XK5~hySyRH__ zc3mVC0BV|HpRzgAB#&;;-3M%%?JAuE*!oj6(r43bbfUv`(1g8if5QH{eVzM8k~N&* z*EJ3h3YZKC1jGR%0f_)#Knx%RFzJp@R{)^Ezr*-}>Y$Hnvaez&_0CP`I^S*5D4u&) zUOS{9tnMnUfE0wluA&O;7cRYPRt093vdSdsb#_*Hni_i@uEKu#>?RLS(kba7mP>r# zTj_IFQoJu-vR%WB55P+wXristsEB!7L3JS&^=#t_5U3q;4_Tx{^*pJr_ zV_bd$z;P=azi-TP^xl-^*t#CD5$n*p!Hdwk$%|0F9_vuP5j!L7w6dy^sdB|=RQ=4o zt|*OK%iQRS6R9lBUCnkPwUW6-QM%8C>VF$F}#)AltV2lu|ybaMHOg^cIU0@08 z2<()-GP`&9)}u~AdtVQ;3qP+6cg}DC8p=67B&~y|D7GW$8{)k&Yvgb@#vNj}2fI=3 z%FB=}?-EP@2=}dBEX{>8-eMoi_%5SE>^7uTQfQteF6}{|(s@iD(*NdWKtL80ijbd`1Z^1Z zYvgU?;;!V^hy$uCrtw;r6VxyIV;}>&98_WhK_%7$RAL=LC3aIW&LjDRd^4!T9tQI= zP=^fg_Ze{C=2GXq4R%U%V5gMu+J2AyRbrHGw=YwlZAo9&dKq?ZE-3IH)(=D0LTy*n zQ1C#MNOmf@10KL}@vh_0p7^hJbzVq}r}I}kPY2M4guK*Zg?n|`4opz;e{hE<#0P{* z9%xwBY57P{W2i37M?8aEg_aHwU3sKmB|z2rymcC5KDA?Tvq0V1r`vyl+y}y8+dCZV z>F;%O1U_S|r|2Rs%GRr~Q#H+0qR1ZES4pU6Wa)fBC3ZO^I*06)U*kHCT!5y1ib9i* zC9XT9L|%H(PWBiu1#lU#Ml-b=a))smKQXkaOx29H7S$rQ@`^^dwWu84%8|@byL+HP znLLV&;=Os%bVz!kmQC$vaA{xJvHd<=28vCHAsVQ1c6f}dfu6$ljG-E6*Vzd*UjD>& z^7UqHn*TS1(wNoA9YjtyE^5piMEq==*_b?t3Nfy2Oi!>oYD{UImVlgZyvE!wCfrvC zG38?XIMqDncCqs~H3POvAs?!!r1~sb$yw0^0&~+j*-eBY^3R;bO~{_WTv-B()*F^9 zuVXp&M%|YoSzf`x_vOhfRB-q>8H+^;4jm^Ku^fXVPs_+GkKmBg@>~`!IAW=69E%Yg zwp6~<4BIS22pYGXFBn4Y0HRfwg0WADMoyLh`H&pI z0cDMcq#rpm1=xp_Ih?fu=N{<4ht(h}gF|K0G-Po`K6W#Y*2m4qWbr2SW#;34;W4{h%{Z$huPJM`2#GTn!_z?9kcWqyJkHcgIw(U@*{bc1dXyfEqOWMpS z>POd7vN$XI38TbcI63{uQE~)lNk4v+v_yVYGhsOBDYQECh-RO~pmaLj{a z9vmOQ@c|si!*M(u&lswsOB?K#8CH*U4nQmH&BE3J~)2?$?fOPVUmBX3IVr zL%R5N&`9u|P;2!LCnZT-44AI`GPI8>L%7FEs`IZ$`ao-@AoI9l=!h9+pg}S)tq%TU z?qmrvwj|8nD}4l*05}I&4tNi60&E6k0e%5Q08aXT(!B(nZ>$rpf!o&4-^a=VjDy?P zZJWz${Li=*n}$$plHW?NfZNr^NZ~r0;3{aiGTR;FT|vhc|2Og~2&y}80zKE{OOivr zGj&=}bQXgP3tZgpV_Lu^8_dsan(N?l23#%xE`r--Kpm{O7LWo{eBr4JtCqo(dIG?9 zgw-Qq`Zbtd1k-1wT#_7z>E~eT1;EM}@|f>5=|7@aYhD9lolKv<~g0eVh_4rkzsC z`Ckg-d1-@aO0WM4$sNdK{9g*=ekQaqKdpYk+%$k%s=C75J%C%P{%V?Fq4oMXOM1-A z-XLe`n0XNBtvL*GGr z$)05K3XZx9-QCx~!`CiU>DYF<+y0m$qwScLlut>LzJe;HlrkuLodsJl_>Pcz%sE2= zzqAas9Hj9&tzHi)>m;Aelf`*s4(^I;>=X;=1TB_auq8xyJM2}Iwn3M+y~-(V7rPxx z6l>ak>~<+pa!@gS-H|q)`a1}`;z}y5yQSJ zNvpTp!L9HAk&2H%o#G@h>Ex1fd%=^7d;o2?lbXH5e?3oIf@SBMRlc*VvqBD zx~7d{7V?t1vPW_M811?^1hj{d*)^Sj-Df0r@d%hwWAw;HSLgFelJK&t!wT4&bc}JY z3%McLFA5(BxFLNaN*M49lw1+927&^mUq$1r>6r+eA-|fRiP~hS9XZ#Ga8~azDVi}` zRKJ^=n^9ZTEAtd$=vM=wUmj)5Qx(_^um-?nz{owNf9k zm#KgONc!Wr`ow+L>BT_$rJyJ{DJU0tQ0Z~W`$U7YyfY8zt=D`6J zS$T!29l&i+^V`zdebGbN~y?122ZzU-3`M}1PXc+P7|slm#}3LK_Npeg*pEl1v@c`9gtRi2{Mv`rb$1GV#V-3&&eR}<7Xl_ z8wikq>*TJ+v?+_xdsPK(dy2hQbsF9KK=3NU7}%Fyg;}D$$62U#>Y>C%R8k5PD#Pwy z_;WhRjg4y})e_XmT0DS0gUx87)zW@vPi*q4WlUnbG*L#W7ulz}4D2Nq_hG-clB=^V z(t4K9aMW8lV)hJ~RKs-j$4m9I^)g?Ly=$mSHhXr6{7+51t8cobN#pNID@<^WNSDXJ z?HhJWRx|qtiA9sp%nX$%HLPap2bbpXPED{k&AtmHduF3#Ge8w@ z%Ij&-sSlBFHlB2Np_(i#A2=es+JSrOxQ`CEr0gRP)y0p6Em6O&;vUQ{#SOS6(c!c# z{U!}0*zT2Yg|BbgN{2+!N!?OQTrs4m=NPA$#`j}SP#5V#`<+iX&%oFu{!R|t|EkOu zUKu9DDI$f3hVgOA#lkbg&gT{0!sEjZiHs|{xPFf+##)_oKcmj~GrXm)>&he8T{f`0 zN(sm{24Pnb0rADa@0vwG%~JkplDHzAH|lVkl1=hcDJJ=K-w{12hVDswuG2>2bu}@F z79vIebF@F)m2NHcJl#Z5XuKb^u@z0W#$~!yXWv0TQ_e8eH7A+Y#Ngm-EQVnys}|fr z?S|$Ahr}*p)WdGp89gqM>J6sdka0!Rx{ihJk{maqslBRI-wT@iMWlpUYXCSWOWNKXukX|({%*L(yxdJ#s+h&j zuE7kEugM0@q2jDE)GEUg<|(V!5Im;F%#(we-_RK2thPq02bDgNO|rNILoiWfF044q z`$(^=K&qxRaYFu^_Tp$a!|k%UzGAW(*W?@NJ#Fq4G4&|g{48x5ajPFDB|$k#w8Z8X z8P22~C*AY=E44>a>u(vC`=cRjk#YqIlSvWisU!%+^y|?i6!qg#l>>+=w6!ao(v|UT zXBCdO6ymlM3YS~TBF?HNyL_Sphu37E58uk?G@VoAgnY`+oaIf(hr|&5KN^}i zWfVEV$mVAdkmrm-ekuX6+{owW5K!-p)gy;pp^bV|+d)^1J808K#9(6~C`O5Kj)kDu z_!vYi1jQU<9L_>eEG!1o6LDDfD@fC)X5yf7ps(-_r22;UmEXa789br3$8jY)>BW47T$l;+^LR8dM5 zV!QFzv`f&PG+!G*P8LmRj$FY#onD8SyD=LvcLQKkHsZzlY{XNi{m45uI09VuBYPN= zF~!8mAiG+N?}`Ql1L6VxwIIVfOcl-_1_{=_5Z!4&>Oqi4O*vwB02bN>3l_pL5f-bX z!y;|~8o&!c0eApxi~CH*48REnTme*oCx8rapA539aH0snRDd6xkOwEb4JWz_=mh)+ zXazh4Tm^gs6v2l;0p#Ymc>n(-r;IbJiw9AuhPBoC33fLPDb-~O$RNWtQ@fautqd}q z72~gx?&;hto-MQ}-34<%d{FuPo7+eN-6|5T0e%Y&4gfrW7^9CSITGj=9h>Ac$~Yyv z%0diBkY%kb(s1B5Y2^QX$8u%`R0icj0?Y()X*({0hwUq?Kxgp+`-&^Do4NFn!@Y=C z%9ge*y_f~cL6egOLN9M6$24{w;dq%!Lky0>%TH_UgCkTjvc^9c_F7q**kJ!#5~L=) zH|Uo1H*P>9ysu5-(i*e!F*CRm8mH$&%5GL;;X~w69>j?sB7Wx0Y|MWMJFh8?>{z75 zSPG$Lf=C_6JY0#_!2XU3dRk9=x7b@8St37T_8KWZi*VuLs%O=rSMq|YOKY(cd5r3m zTF_H!HM#UVjs>okAJ@2avp&miYkaJ*H_HIQDY+}z0T)AUf!4V*Vmw>uhxF59u%6H_ zR}kauNq?^QjX~4}9G0vVg$}^K+L`dLHusL*6hr37MKPm_vM9e$mJYi?C!wW@$@NhBiu=>65*nnN2 zdb3b7fWD#rrD>G$nDuwm`BYFdRe)3~#B^uLIHGy}UyV=GKC9P){fi%Hts#BTd$E(V{PzLFNZlZKzcbv(Gvv$<{tADp}54SQ`(BDjgb?MJZtfUO?+ z2z0Df-JY<-1hAEWZ3)b~Cf^3pv$C?S?bo_V)7M#Yfd2*Nlrpz=J1tdD0T&nel-|?s z&q`3ir-Xczt(?gge^`PCuD8Lp=#TB+SopMXjch#&bQ?s{ZdRNk!YpZKMJgiA(hgRl z*zHj+vQ=#`9Zf@e!yZXf8rEAeWa>1wIqV3ep6}VLqAL%CxP$x*INcg#XN`C|H8BIijRxVtwmqw7C{sM zCFib;W{=u^<>vIIjv}Y>lKN(j;$|A{`eqQ&UPfkLIRSbiRri%Nz#l8EFS!Bvi=ncQ z+kn_?K=-jT(eI7^{Gv?kIU|*ymx-BR$mD0;K^7RQ_|xwoXokyeEzOSU@RhAy>_cTZ zas*m?DqYRtXwgHkHScX9^+qIOCmDm7my4ZGt7y#a#h7u5N6h`ju;Fr!xw9CTt9aj} zW9;*jMxEy(XH{WNsqS$Sv`z-nW1v<{L(Y1wLSG$t^7J72A_-H@)n1m&6V37;G3wJy z>J*qcOTNY&-R-wUQfjUQ`e&f?fIgysWA>=dYC&96oq^#?SnTRnP-jJy5gEFVW|lR( z4A$)?T!mVSJTpEXYAJ3S3aYtfYPih2;WD#b<+I@0S|@*E#twvhQvy$cOLHPzSLI+^ z+a*Y_`^R`4F0UYP%YiGnl?Rs~?tp?}^0SQF_Kj77JHJ=qOjo*^)Vus^ZX%XDvB=m$Nj*=N0+pt{dl3#W$oA$o_lm!g&m4JtuLnnxt2S( zFQWo6m0Q?XFofREi|i8&VgCgo@{_%&r=(S!Fahx_aRYn2z%`b{)0Jw1D?xJjGbBBM zID%^@ z9IXARd*Q-%3xTlrTXQ9LzIJ%8Fh`z7+GmOs&|U98>2jpL{Gea zx!P1HPI4S{ev>7g^5;~)>WPCg+{d5RbngGTX{0qwOnVidmH;5H6X1Z8n;-u)DN4XXrmtU zi?N_DxeOIyT++7F>eUnR&F-Xe`N$S4`5ECXi2! z{%M8Oa$i=cYp6y#n>E=rQUr2GfvzDUsel#d3jc&-Sdp$_W@*jrCb(m3$^KfvbgRA;_EGpxtSd;#cK%;gxvE{eB=kWW`pI=jrCw(5pz#WGBs@*0MU> zd5Ctdu`lTITOVp=0miKl9dJvgy~cy~=q5g94QvZsfsp)Fs1R8P6(R-p1=Y;;q4BQC zG*583>UO}@8aq$`?^-+A(3hctR=|l9cvXPdwX+4=8?r!Fritr~cqRFbJGC+OA#N29 z)tD2D{$wm|lT;G$s>5xKl~}x*-lnK@P^m6(V*1^wj74mRe)pUTGcQ}U@-I05~*d^&Vp(R$_6rm!biTsk5bLnAgilxSd=C@w>ZhRcEt zi+5IDxtmvgpvHL@Q7##;g_ zy$flTBtVF}v~adkdf_@5b&^LJ$%ctpfRmNZe{3+~{@7%MT8+ZCABvT2d4MxwT&=@x za;+19@-O>i*EG&nKu$kt6z@nMbq0`TvY&OWh8uV_XI;M+!9K%{Kmd@h=uBOm`bGf1 zpFyB})m8WVG!Rj`v>0LoS+1*$acv-_=!#>g4e*^+9OIcu^wV);$eH9rx{4V0Owtd! z{1|#B<+-j_>v@M5rpvUrH3t;ReizZ2{R-tPjqcHq2KvshI(k+W`i=4zp>zQBA=c=V zwJzc0FM5%MR?KpS4FpbCz9-g4iHbR_`iel4P?PIp7}&IjZCVRz14Zm}6RI89xvhDgW2Z z=t?O=%u>vP9M#Z)kWT4L^Te*wG3O78Bwcxp*K1I0{|Y&FJFOm`#ECk6^ithHz;CmM zB-a6cX6JbK7qEB#pY%DT7f{TI_z-Vdo|zOM@eT7#ansZwM&4B&F_l^fEtGrum1azQ zRJrVpG3@IMM;5-DsgbE!?%n;Rg$1TgORNp57~?9K&%3S0Yv%6Ef164Zb~H)c|!;R zubh}QgzD$j6H};mF+2`2gNoGhW)oAXh#H=Nm_tQbcr_!(f)Qj$ojF*EBP*Sm+F|rI z#a-snVdOStC-dU4bFRXh`M;(IiOh4&PWKf8)Wz3D-##Y&`LX^}#bEDUb=|nP-)BDb zf7|rW&hO8YEPgA8(;u+RU+>)uJmz}l&bn_O-u`u4aH>J^@xUAJfI9v6KYkxKVV!d9 z?WgwQOwc?ZiP^bzeqDZ~KK5nO4?92ITX&_X=X?FW?_;D-mKT=lmfjWL-dr1Z>wEXE z-j~n6t)zW@nfOCc;*1+4=l`EnpcKFOex=Uw>!~@9{`tOc?cuLJ|15j^ecsyat?v)K zpJ81We)an|()DY+tM6OBcm8`(JGT3qkN5D*SL?o4^nZ6bK5!uX^npuXSNd^3UCa3L zJ#x|R6+0HWja`xU{+`sS_8qtPt55V}`bEdT{AO|g!z-fvF0>o&_C|zg!`?pDi&hSO z+c7}7m=y3~+Tt+9+NOO4qIE-acUnW2Ugvl!2CIoUzlolPgS}2T%krU(@_5gSe=@gl z7so3OHF-Fji*1y*o5;)^+Iao@1H;-)U&}7c_DmX=@*(X$Yld&dkDU4GxqCymaev%) zXR%*NXx7mkw}OA)*07$t?T-KSiZ@p-JS}Sd_)`3>?(5pGTi&d_Sa&z?&Q`g+n1;hy zay&f^uj1ns6Kbl73!j^qzZ&(N6JQ)5;&9rST_|k`V3M=5D5DB5S_sbPi#-e<09yce z0i7`Ui8e(s6>tR>`C{JMIpBlC)iBRH)-bIVOVr$r%` z$Gd@JsIxQc>PELo-`_lbQc!_>0^h=C{_k7(h^!a88osdYj;HhlFO}@(W{;fi#gvog zff&x>zS1EmHtI1gZ*uAJeG3~vcH%rLdI?k|FA})+_^BY%_jl7&0d=wl->3OsA4^$@wa9gQZC+u;x>L6605u~>{3h=r*LPC zYzT(FNq_12q- z6%!96sXX+Vq@0v_%6FgcMT_7SSxBiZ|G8g-Bs@^oc?)(YO*PL=j5g7J%P77MvH zjJs^)f@1JldOz|6ndCB-3Wc(~cKhEHg~F4SPQNPCygL~=5+@c?r2ll ze&ZC9+y<&NF1?Yg&^3p}jzh4e*1|HMyJ)y<3MYjO4r-!${a7dV6^)`kaVu88Q@d~w zr2c0zO;WHw%eSn!4ygix@hZ|$v&K9gv{*-KVq%CbVXQ-CWd!U?rDEKHsH=7iMOwnF z;Eggh7kwy0F}tnZ)j5(*Ivg1=O_sr}=*&>}w>2m#SImf^@1Qi9BBO3dGkEALVW42C zQ6z{1Vi0A4fwEhyaYF@$3Vs3i;n>m`Og^P4?NsDpnLA7N&je#FKZASqD?SGGkWP|c z%e{jxfG zi!uMv1Usq^6g&OL=s(iOJ%Mpibj%d7L&7eVk>anJ!x)DSyKN z)|=Mv(x3aTY;|^Ti*Yd5%S)BVCwTN3=ln~%tk+`-t;p-{S zk%oBmAFAu78KiTr{~81%Es75fAI$N?Oijh1L-7uimBZ%YaC78C9(T^ocPvUTvHx{H zd?$SZuh%oV9%(L3UOh}rZ2OU3#A@X*eU)Gc3F|jUK zGw-EeKXnu?`J1dus}f1S=418duuYNN#?jDR!!;8t6=F|lXkI>? zVexkj{X=q!n@@J4c}U_g!zfWMSER}cfUaW3PUFt{;=0&V8;to91J61xDbZBUZBpy&Ny`=Kg7?4~OS?yw12CH*jFrqH1QuW0MdZ4uZpVa7YD*Er*{u$M342 zViJG;S3>#w0xBD3w4~o&@$2`mr~X`bJ1#z@&S9MLAoGkBUqQOgE{yT4p!l&@#E@G; zrb#^^77%hnQYH$I&!~goV-0hw6*~mG6^WLJ-l#wcQp5@l4wNQ~#s!C8Mez*O>hn*ce~8!0!^6^cPUA-cgDJ;fl>ss;f}kB;D7l63RR>OIBK-Z2SYGzj^$b+X&WrTnZDxJO1T zKcxyf!v*p)s<1_hh_>?s_)h9$U4dvyH}n$vO|idi%K-F=dtxfl;>vn)SW}M`>2++DTC48#0`2mAO6TvBUy55$yD4x?LX zGucy`5UrG%oHhMkwZyg1-35{_u+qCC`{8Zh(j+e*TwqkRM}-gXz1r1Q`uQi>e}6kV zt_DKvyLL7w#}b7)RCoCQwHr_T+==2p5(ej-eN^z}{GXfdX04Q`uUt8Or9#o+y8f)< ziK=$x%9R(O9}H5)Ja}IvRcX?`-TU<4#}=Pfmz&jun`eG4e|PE4r_$e+=G^-JbxGRi z?_b}noBrw9Wz!;yw`=HA+;sy^*li^?5PhUg<{zTd>)^qhqj%LZ8fag1@fJ7Ns6=QV z!+=)S@Y?hR`X$nu;hpEGnb{oZEdATOFgnE(JKji&&ZtApRUZ;=iHF3FCHjOK&pS|M zgsdTlLzNNB;#C}e6_;Uf5gv_q+NB&Xycq9Ts8|M(43|Qsqwrj}{jUlieTfAcGetsY zn0Z~CF=U)-mOjwpF%TXpkAUtm1!IWwP*1d?2J@P@PP!ku$7GaYE*q0fUY4inv0}Yn*HeH?SH?K5xPn&dFZ<7Il?reXnyiBuDRn zx8-AC3$D`yCCgjZfYZ3s1wS6`h?wR_3qRCB0E2E6zls>Iimf6)i@lgGkKq_emS zAr}%=Px)6jr{8-laSk$ztfiyKBI9afd(gS6-JDPhJ}sgg zGvBa~c~b1vPFW%uHD@(4pCa81#6|(Mcd`8gamAii{K-7-ia4!oVqSK|ELHR~kGP_i zDz(fDuDG`f3-eU7-CL!Sd94|Z2gT{OW+WaIr@NZ5D#hQX$QaaM#9Y}LLpVSG4%P^X z|7CYD0fq!V)M5T;K=Mn%(Q^zeeqlJ2#FF{t;TRgIZ3@D1I}LdLj1%YrLny!C1on}^ zoj>ygMC~W@^G-niF_vFigmCl{!z^R4ttL@qMkY};=|pJg17Ig6r(gFi^W80NKYw|Wl3 zx0n6K-6n+ArxuhJamG1)a7}rWi*(oF{aIo4sHGI?51D6;GporB*<>EFEIsn6JFJX!Z~q#fu!-(d&d&CYa_oF$nZey78FF7C9v;d;^hn;X=GcsV^8>h@St9!I}Q)wEGpz*n@vsvWKT%C>;g9=n!5wL zL_JQ}5$w28xm4I4>@rP(7q)kRYFxVTYzN_nB2;*y1AjxgK-kma9H?*?YC0SOl_kQ{ z9ZrW8lc5W-<6-4$;iV3jxeBcCFleMJ*XXPI?eCB_>&yDlcPLrg=xg||KD{4tg6ySVP~%hVH(7elT;G*li~Yxl63U0+ro`z3 z`)O5l7iolfc2_|H@;oGRT!ucp#I0~s)^uegAXcdVGkNtx?ax&GWer1|li=`_aYc{Q zxLEn47$0T5>4$!wIu=%v^PGkHaD#_^t-kDN4etyyz3c9jM42xkuQvRHAMlw-9Vr;( z>!jT~B=Q=KJOyy-ITyDHkZR`Qo*9(RTW$c1O6RMaDPEpiDPAoKK*KVR7S%G3I>yU5 zYvSESBPlJ9O|^hbKoy`2PzOi`hyXX^FX(e>7RxgL2c8dNuNf{n)o%t-Tl&kmZckWb zDlC!;jyV=uH~j0nX5frmh=&$Xr}RnYXls!t;y1%YQ&J7JjByk4KO(wAwm_>zbazCy zY>GMmRdml<6>6K}4YXlGr3Gfohs=aD-@CFMX3w;MyYh!-WSU>3?5sJpF?$SsU!B3^ zj1k5`!o@U8Sg(IQYL9VFBX0~gr;^xlmZ09qPG}qv)tEQtv{ZSVdBKVsB7K+jnB9AW zzM(!Dm-E?!b{Jz8KWz}X!MKRe9Ym~De*bYcgESrb9)<*mZj*{wlY%32C1h4Wa7eB+ zmo*_c;=W`YDpjcG#UQ{6ZLO%D0=JsesSCZ9HgGoi^TpYc1#sR{Lv zI*Aj}k~bdq`WwncC#?knxFw64=F3G5RYSVx>P`enS&e$=WM9tZ}OhERnp> z1XTK#NOx%_R0jMZ`&Hvr={H$&UNfaKXtm^nCTN(sTDrwNz52kg(`3+Yt?y^l(!c6Z znxtX4OmIeaUqJ%K8{&D_&GSbTu7pd3(`)3_ihcntYzob)?1B z5gIY-9H9tZkZBjCRGQ|*IP|ar_J|&yT?o zD9iNKF)j_Tv7M&1Yal!7E4B6wB(=U+i*BG~=*3z>Ch@kOt3_s#!}Jwed?x9*K3|K? zq%73eYMt*8|Iue^5qHQQ`YNr%9nwC1nHF=0QmU`hI)xJ-L#PoIPM)F{X+iY-GHkXw z7YBZj{AHfmmE40XRDINkS`ft%iRgufRA{W`dIBB4ab=WxQ6GyjT z212i*9~l_oQH}$Dz zw6(YeeL#_CdeH48yHewU{$h@^PgFl~U$IN&)m=y}f%V2l|hI$+61*C0870kE@hIepc zP_VX-ys?Nl=6V5iZovQr#E1X#Qo-T@;QAZ5J+ryM!eidxk_s*dU|tcB-)vq}z-s}J zNkD#wh01I{MHG;$f!qw!_krO8crS(}29=M6e~i3Y=>a9|k&XF7*q^!Hjb%fa5N={) z?hx)M7tvTkMbGE@HWpH`e{)HV<%1Zq;R#dTjjN#YIDrB}1>MM@3y>AG00`{kD;Pg= zoCVk+>Ksm_z)HkaRg;g99w#8#x$7F*J;Mqx_=#qM=yXqfl&wF!{w0>%v=+2NWDr zo+Wl53@cx2b{p9vrb9hFYL?<9>?dHlW)1FZ{j#-^;H(HVwN>Jsjn|OY$yY6=>bg_< zCTqETiTSbPmK!Unp6VqmYuwc(rtV=vwj$EhK8(*+Zql#Rcv#C5u=T{P@&`2(DKLZ zEi;`0ya6mAM2_SDmJlMVBd`o>6qeELEq5GL=rN0Ln2;Al)zZh7P~?Ax<7w7QLEDQ+ zjokH`f?SfS@MmstNdV(u<<4Xv(t$V%#E*0!rU6j`#NRf;=D|$v50%^VjyJHVC&wxI zXK#fAp$6gv5T|WKBoLc`XaQoWjfenZD-bq98&;s8P4(q z++TUaDS5o$5*p=TWkDHy1_vMlfY?a}q67#xAm-Qzn@2ei7$9gs6xcjw06_zy0Ei9$ zEfQ4+_!rhvGF%*#Sx*hrjI{8vz+K*C@*2IeX;)*hPj>iJfB*9hly?!n+J=_m)oE$3 z!-F=?OctG+q#bQ+9FSyZUR`g{)QbN0_0{I=ca=LR&;4U?%}lGB7i3!}V^BG(+uwi1 z70R{h>1ij{2i5<%t#QCJXY8i{U#+m!x*;Q34r7w8}N-~R?NNw&d68kIenQ zL)-ry{`0jQZ(eUWkm_Gg+umpmQyCVnN;YqeAfNinL3!=xw4Pl-{@-f-EG4atR>!UX zGrDm8&&ZhWIZbH$dp&_a&k7a)>>uzKU2q(TUx0`Mq6Gec3r+&@2#7)(Ve>c&gb@fj z5PNMNok08!#9Sbby_k*X9e)L*1qv92z#n`UnEwFcm5m4kq7{hGK)3_3AQ-NKa`+2w zhD%}sT#1it*J>URzt{-45+B*F)eIm`0&&jfVY^mKf%w-(*gQBuqyn)Yh$ps-?hKp( z2ZQCLgO%^wV9*Jt^M(uNeHRQ;Z5Ftk-gm&@CJd&+kM+uSaZ+Zua-_zQ?a8(~{xClHT-_|-<(*4Pikdm!R~_`|lwb|8LX zJv@3|#Xs^#VDkP2m+hAy^8#XpjR*kZ0uU>?pqENInEk#J1|MN?B`SIUE4lbK!siC) zyat2*>P^coRH71;TIYih>lGM$FoGoS4<$_49oux8szC!8O}J z$v^TrXZ_?y%So^DC&I7b3H4z1@{IM7_ZN{arL8o4|A#f_gUW83qK}szn%oq$$DY?Z z#G<3~L2r@VLZ3XdQ?{>j@R!$&kZ{QwY<=zU?!TH+? z)*qf82gL6{3=x6I10oWLi#EdMQ3OOH5TAgcf=4bN2wxyJ*a+}gy4&6+dkQ+Je#sBu zB|K}ph!vZqQuoD%_yW-mL@p5NHp1p{0*IwR+yde#69^3u=|F_q2o?~>fLH*;F&kl9 z<1i2gtpO6BdFv~_zfG7jP5r_yN&e}Xm zfbalfk&Uof-C&WeonCsY!(Gr7TX3i z7&OD+Cd^5&edfzB@CM6jRbTAi0m(L1*S&#Pz&Z-Qv-`H+Y7!7%fgr$dbsYRwf6fDU ztgwoC7YWY%aEsv=Cg%Z7v(ZWunam zPFi96d>3rzfX_EB6Wpp{jvu#jZv*@&eP zk1QZ+ffx_O9h*l65LG}dvk^9r93bj|a0DV8JWi(pAp#=9Mu5j@+vivcL>Le!Y#z4H zu>gpLHiC9A=QL-1;?L7apZ)i7hqqVJf#3mA2*hI>!2lu!h-@G(1MwO>-fpp9yKO3K zbox`6|F#tdA9)}n%S=8nlmc!(%D$ja#V{ubToP@kD1t#ESPs}MWiViX_|55BD7u5Z0Lku{pIMb-B%J}~*-^HS1k@0+~gRV_rY=7Kc@ zh-b>ach+(kxUkOp+*S0|$A=|<`Lo6T-%am;hyJwQM`al`d6=Y|S+p_o#DbB9 zgZcU;K%gniMlyh$3gk4H{tFmN z!TSOj|1)GwsC&EzT>MD~DUJE-v)SWFlOYX$7~M_;A{U5IAddb&jJ;`8lULg|oH-0( z$Q)(}Q<#Y(VuVBzKx7615h0BeT129#DWYa@$bgE9N)<7UsHviYW2&f0O_ZutP^wg^ z=8jacPKl*8wW#0e{XA=ZKi+qJ>-%x6^t%r0~WaS}rwz zd84s+oK?EymA@tZXU5Cln4F5Z=pV2CBKqShO8(iK$+rg~ZHB(hLD?aYW*M=q+ zy6qIRLU~hF7ZRWF_74v=?p*Rp*kco%Wg*wi5qrcW0oSH~`tMu;M+qZi5+iooXanUp4q zua0^qD-oqsgPq1CQAjn|X{-{ltHDmgQxrAA+6_t3<$W_c;XGuQ_H-FG(EAvV-i_n1 z?#oYz0wkGm=Be?p61$1@$M?L)!&#N0fN}0+_=yvBstx`O; z1@vXSLaw!3)EBcggakDY9~2e2~sTfYU&?)KtDrl9XYUc%kx zZii!qp0K|adn~0^sujU9or~57Se>@gyjtO(KmnTk+n9>?~0&HLIn^59_*rskz+VwIxt617qT%3^3 zHTw{DU-u!Dz-!4hfCT{8_u=|JT;GT5`*1x8t|!6uB)Fag*XQ8+99*A+>vI|!D1ExF zQkMw#R6|YlQd1yo-2CpK2ETxQ2jz@y$J)Q)xLY+6nsJXK7N+`G=}Fsc1pW)2&PHs8 z*Q)EM5uSjp=F^DFszpfuEdb3TWFtHzDG(5{4igE5V=V^EWsre-Ye}%;9WhC9>(GmA zZ1ke1Z1i(@eGad5c%{Q@>a}^eb?WPc3iT1pn17zDGrXN-KW2aviqA2(Kc{ZTjK%Me zr}D=^HJ9fJB?Tx3q_Ma{*)irsXGRC|v-*s6Zzlc|`GslacJvTsiV4z!L$n`E0o#vA zB)-4jV>a`L(K7_=nzJd0p9H+-=@e9y;H%~=3i5l)+~6$+zYgkqX}yk>33Voz0{{8m z;oS5B(tOe(?!p4!`NRd>k^1JGY6Q7g-LCz11iM!g$#d`bJ40E^jp?VK zp?&1~^#`4yqPbJWu%q8>TqtJLP&15^#E>+7aU(Lwttu8 zoq)asADMn5z%0S@ zJ^KX17%z2&_E@h+An6<9Rc3+&{=qyRzwO4`sPs3Yx&~7(iY%;%;>9XL81AJ6Z2b;d8$*wW){Ak_Pa?~faHWm%NISp zPU6s0cf&~vw#Pg5pjA-d(Gzx5vFGU#dJie}k`-JJdLouUy+eR~livL?M{8w=vaJh zMLUw6`8~)K(lSs*ons}l!7TPMZjB|s3n+{SfF!$ujcN=@mnT?QT~$q>B+Lfdth=XL zY;9(ET?Sveuh>L?;!fja(YU1O!_s-8XlF?_`nsjQZu%`mB$NPT-9kN4{rW!)*=O>5 zqQE4O*gGvkCPmWZe~O3(Fl*C@T%856*i_X5>y9$dbwGhl5QRHub)v3-L+YtA^g8<2 zrXW#(6At)F%{cp>L6=Xoea2bcK4YQhr0XpubvZTY&#Kqf3xmj7<$mkoL2Rw+H|seG zeu%gxYtsVr^Bch`iB}l)tzy0n-CWV=QmEX<&2q3a!&BgA9`Gy89{Ht1n7_<%`Bg(W4|70Y{@6*%&2KYNzN!vwcP4JHa#;I)CUUQ;Mf*c0 zwp=-&J(P(qSDn$G&BQ-cj%tr*!nnlsRnEr*@FV&P=HuS0VzoPa-QLiDim^G~c(HQ0? z-zEsLt5}2-ssIYP>4TAPfZVah_#A{nr&G{XYMby13wfCoZbBBg`BM&=LJDw=aW~{w z!B2{LL$QpHtt&3ZTnB;Z<9fnYO|*4`-Yr+X#9G{zZM~TEt?|8hoGgeRS64oOTf`5j zD;WS`(`j{;19&t>z7e^z>0tNMu*C;{|-_fh>K3m3~i8}(M4uPHpoV8Gn#`N6a*++ zWPOll17Qn{4r4`#-e{b>#un8)mw=jLz6YfVgypJ5+*(I$Z)iLE8_S=;;QupBPmrT* z;raPJ1UFSF_bW#<3!JW3gJ;5THjg?u{G-6(pGf4wihqm?H|OUU63~atb)YngSYXb~ zFKI&kZm!O+YyzTSS$;tidY4&}555Gm%;EX7#t27MRos=Jl@_uNJrT6_XO4L;fr6$0 zN2EUHgtWnykY6?C;j28R{mzLWiur`yVxHJnHiqA;e5w830E2cPh_k?;eFWkxFlayT z3eoXNed%G?rGjyNxncO%0=GW!843_Y*B!`&L(d7;b=zt6v=>G+bJ_@#WK`%TjYR(; z-OQhB@6zJ~!Nq5CKY|nOE5B~OWEJ< zD?I~Z`hI;?pt!`JnwWnEp9j^Z%_A=DwD&TTjx0$Z@@l84Cnw116lwBfHQzmKoj5=pcC*4&;}R+^Z>>Ha=?!O9l!?I0k{h|1$YNg z18xA00{#H(1v~^?05q_PHQqao>1>}GQju{Xn_5G>XDnd{)R4y;SFy>jyiXf**?zA` zD~z>ldQa#x*)&m#1nfxPsy15JcDppf4CiGb*}+vJg?7^zDVpLe?nJDyWOe0rq7J|e zx1t-@7;+zG*#9r3@JBGiPECql1v6}uXV%cVRT(#8|H{P%D&$T z7VekvsmdVZVzF-oBQcwROmlC_C^JY8h4TWaq^A zZ0mVwxGDY$b>;d-*D)eJ!oH}Y)wl~9uh@RXeA?HlLZA8Sf^g=z=q&++@YN#7s~! zo?we_E*bK;t6IZt0J4)(6s%x*j#HC@cd}f^X|4rps!^xZhrxXgcS`dtcyl#!xq5f- zo@(rJ&HdnQ62>t6C^Uk2Z~_j-F3h+D)JF4rZI=^CAs#W!wGj+++OY4HE42C~FEt1! z84NC2${^khaJ>kRm)!;7r1UY=4RsZ7io=hU5Dywl|7&-V%3B}?fy4i`yQska*Y3he zAD}$o#yNuYaVHeXplTRXD{rvT^lWZ|BL#qpQ)6?Pzx^UM3nJ76W;&{q-$<`(GcgmH3DCV7N4P64W!eKY1`-)m~Lr+YmE zy{CY-3z@@O4r)u2K>>>@Ndkl|q>j+Qchwj0K7Sk?@6zNQ-~p zoLktnW=>z}E&N9_s;?>*gEli0K`=E>6)tQpb8Ck$ps?GY6Ivo&WXZC(m0{cI$G|z# zLsHO*O;D}0F6a*IVNQ~kTGH)%2QiiOeI`GVyQBzoX;e#v%0bV^TW4c8UF$?$6_v>T z!#-wIw$2jHzZIPry^zWXIPKP?nvJ~%;inLGKzIX$MG%f!NNx2$?UoJU!w|j);TI5Y zg788J$3PhFz6IfD5dKqCvg`RlpVMx!%Gp>NG-yN|Svb4hc$bkUoZS@J#koc|a$JGG;(S(3b`hG%SXO$A&D~T4+3=DzvUB@NIJgq`&)BTTT&C?ZeN}+oxzHX7$4&IS}z#9dF$$ z@g9r3Ab)8KsSADcz`Ahgr0KY!O7X~6P?y0eNolJ%a0T>(@hsx!W4{G||G8swX2d2a z30&Gdoq&z9BsOOe@ZVcpn$w#wi!H3?+$P)$3!^#snw%i=?`2(6WQ$O}u@S%`CIO3B zQ3Leh_``C4pbtkKR#b>^y-@1M5z%^M7AhJ=p1ldrtP!YIBs|T_@IY?@=UA0evs?r^{dHGOPngs&eKHc;d>c1e5=EH)jQmUB_i)B(V zh}kS~Q1wt+b<`HDhpN&)Kyxi^47WsmQ@b0)&}i$W$>1whT7mq_T&2D2^qb&a%1hg> zL%oPBl&3SPQ0Xg=VA89jmn#-Jz|R#x1MCKr0PX`O0nPzd0saPf0=5GFg-pnP!29d- zaLIr`<#j^6>Nw+Py)_q2*j0My~v=jYI zr$uB*ZVm1Zm<(aNsXeT7vOVTi_T_Nsodx)E0A3Dg0HgyJ0!j$+iD5XpWGar%@Kv}I zG$!n(>sC}K9ENX)^K(6;LRb%7A|ULiaBqU}H3*X-tb%X{gyHFl;W&CL+?`F{Y^oPy zZh1eEersd3hHsVq3hMtE{`eIZ*TlRw%%32X$OWN9^;;9ufi8>9RfO9JWndDpz~)~T ze_KJdp~|A-<+E%VVC;ywubc_>M?Rh8D^Pz_+7+@D)x`!x0j2=L0TTgnfN6kGK+za_ zm1@1IR_xIe`U$E)@$)PXcXm8Qws)r;Axyk+&fn4)wm=oew;IR82LogW5sXxYNP#Q@+m;HQL&~e1ukv$jUYaNsi3Tu z1zCQxMvA=*VQTpS0o$%&d9I^|!^jOY#Ad+w5OA3%g3 zQK;M$e8@oW0MnKw{frJ`4!69Y(n0>2+tBYHrlf(4 zbxPwIym`cBx%yvje81~3?Y)Ad8{Zofi1>$3Z?59FxG7I)wGtN!$xHF0jhGL@8>>|r zuuNvdGTEO(&6A3BQ(5s1Qj#u`71basw9UvbAH^-;2j!QHVt?nS=2wowctzwxvSOFH zNPCKbIjTIuo5f}nL$cxz+w6QuRxD9J=fyctdPr8RG|$wYkoXLcPlAr&tUhiJ&R6}w zbjjw?m)QeVyyv-)tC&DtrdTLqRmX3V(L_nrQR&hWQCM|cx@?kYLUqhF=_*ldHPDAV zMVt{b>SC?deujpm!0E&i3H24l7Q8*ktA{j2F0t5!q0~y8`mn@sjLY z=xv}h>DrB`2i*^^ZkJJt2J+Py4v0%W7Ws^X?p9nDfr&;5NcB@0!6gbA%%fSIScN&+ zex?kJZ#=9%-9!$!@;x^%wD%0cDtV4cBl45XsKF;_0<6?-a!>Rs*#mPeXvpDvLjDF> z1CU3Cl6vN2{4$M~G2aowh)6+QwOki&aAKM$2jm5!1O^!Pv2EiMOB#XhmSB`P!drv? zR;a)iV1_?pC-?#g{82^V3$Ql{Omjkoo1C~?lnhg>m~e~sL_Woq)Ebj3{l*s7nvg5Q z+NOezsTWNZWE6>gV_~&eD5k~2YH_(ZuoG5`Sz=-*DOLW9EwU@U0`;}!j&Q#d+eyD7 z_W=5EK?QD~IY@ZgNvrL@uk5{zMveo95T^U1&x9WXR zS?>;&^$IU@fc-mX6%?*!qEcuxOp#0Or_9Ktkma(S%*jdN@1$=*t^X3J z^?UzgS_}h{h&9cI0ZHBnqQjU9@6|Gmt=4|ffFFYaIa9}Q&Zgk6C`s1R0@4^LC*9Od zaL#Q(u2-J2<`sBW5PvsS=}^u&#h6k-xP6j2N?i2t=seS?h>gf{_Zr8b(0ntP&J#NG+sGyv6pL-s-wNu%7O!-Q0_4P|pLRs#iOO&Rgb~IzoOD-8cF2ENxZeG45yQ zo8te}nOEZpP1{TMUnPcm5Y*do?OV6waMv@@cg%om-l#hkz;$m_f*AnU32>bN*C*ln zBwU|_>yvQ3OnLNdl0WcxW)|bq_#XCide=?ra$&39W0NLX$ZuOAoDV1l)B~~sHGm30 zBVal}1SkY72V}L46D|Ri0~!G7fQ5jPF|^`WhZo_QIW@7>@3T=@nO&Bcx+%KMJB=FK zwAhtqIfK#6E0>3}<4kv3cME4{g9qzj@L;`1>V$9!gpWaZB7`48crIb{!=d$}B&i1* zyjL0Ey-EP@)lJ~NdKFo-<%{oXlkj3MYL&86xM*mu@r2=`^f&QpvF!HL%{!GJePKv%Un>!DnrU&st`CgW7uSH?04c0{%&FK$jQ-DhTX^KjH`k zFMkQ7KwvX8-gC^CjfcShdlK~q6>kP-R?0lnHj%$`MiYLI8Dl@)>aq@U(kDTBH76Un z2Yl}@w&Ff{-!mN$;S9`$@+;<8`}tOn6Tn9cV$;WBp2@xzr8vR!<$+~-U0N(^vPD!k zGZuN?QdpM}do19@$&~$=8D_WU;!ey@=IG{%PF$4Px4Ezr`@K22xx5p<*oJQ~;9N!Sy}{??O(MTuuzS2E!uK*)$vZ{#UPzk0vIR7!y+Tg0TnyeL@fxPRRd66B zUE1jK4%}qcIoPb=6eQMiMB6;*?xtC*RXPbn;VJmlngruC+syppS73Tmsx>4bvXlyK zM-nDWb&|JO%xEEIaA!L_Sdm-h?`#Zx@MSF3BF>-DhS+79kuPY21oCR_UT0u2Jyq&! zo1g~~iE>-4KJKfjSi_Ox4p_I+#<2h1o9Qd zGn-f6^fA|Rbqpx#xSye|S4^@+SI2xpzBXUcUNYd4m7lp8;((#ZT4}B=!caAY+HYBE zmm1I)l%Ie3%BT+09?NtKB>egGmpX_3rqL8S3#*w3-Bo78uO ztt|8=%_-pq7Cv454tV8=bd6frq{mzXmU){Vbq!eNay>3W{fBUe9vPw8D^%;Tht&^- zd-dqUnhU~Bdi+B52jT8ry`;Z_6v7Ilk})6cjCGWDo_N-X7PBeUN>mKJ9u^^;<$ z$BpCqePiiMjc)z4PReUzbbnwcEx_p8Pwb?=m#x&1%M#w;{rHQ%=xfQx5`LkV1GbQOoQ}2KhJ)71e@ZWC-)L8vu__k zr_k1#B0+wycoe_Jf`CIEm|>3?pNqVm(>WfODGJj%2f>-earaa{H}V{uq_9d@_|i>Z zIY>#&O577=sj+SB@)%Bt=U7|7r=Z)^Ikat1nH-EGZadya)&Q_K{hz^)Z@MLEM-%JvzHI4r#h2SszU(d&T-md8d{ z5XYOUL^S7|Tewn-zkM6{v{L^xPGkp-Mbe}%MInX|nrxqlZ3y2jeI$x9gzo;ubza}U zFvXTgJ4E4`5hb!=(ZtM<`_dLsTxR%v*??$TX6Pj884;*mO_Gg@#%FrZ;o`cf^C^$G z5#0gvX;ZnhZt{HUMed|-zxnjHQh$+GZ`5P#59T$&=OsbI#30b_PHWC<#JtmN;~^cc zELIA3qq#D_>=lq+7g^&SQw(z&k#9gQP$x=dMO;Q%EXe%n{+Mt}2y9e6vcP7%DG72+ z%eYg-lotARF4hsJXKhtv*)aOpTzRyOqL03e{sppD7o5le?<2e%F>U}79JPzC1 zvrfM=#8TcIhZjt-*w>bceY`PzvihYpMU1W|O*VbB(MDox6?ix&5{#XU_uHI_x}ff` zhHNKrVs|Jq_-=h*U%5?PY-Mlv<;35WMnc+Z?*9+++I&13oa=$lh*MPndCiYN`v%Bs z36hdw^c~e09JwNZyaxH<5c>`mp%UzpVr=nHUhmfv@dkNPqXY69p(jeMIL~(l@)~BD zrVz+$9-CC-fV}3Ou3Rqc01lhV4ajRQ*OXa6UL#ynMFV-wEkd~j$ZMVvDqkS4xgJ)Q z19{EkuqqkIYwin`4Z_2~VN>CR=c-+vDc6`PfWt=K0^~JJtapxSI&j#4Rw)7w8!^sQ z*zXogK5kmxkL&baYRc;O>?FN5EddT2F~C#~9Ja`0V3e-w;??8)fWx*EIBXici3S`t zs+VjjaM#&2&+Rm*kCN-fAl0q%{o4Yq%g)3Xs?6 zjm!_SJi&TUBu4ye=0ZumTVp&rd+%ZOhKokU?Lu1v`2uwWOF%~#E1Sl`y;tGvdpz*|)o@8iT290N-@iRR81oRwI z?(;VIz$0Sd5vw4$-{AU-JNc&^moVZUV5m*ajQE83$#NigqXAV2O54$n$(eB{*cU4~pUvhedDPIEB1vyT=FLd(I{BE$M`)pp7-m~H27_a6qc z?b_|6VKCdS-0nL}Ud)9v4vO~+E^j-yjJs;PKy6OhWR2hMIutifv4&5}FP@LJ@F(S0 z%*TiGJ@X5PF^Bl^V6O`M(7^nXVc3VJfDs0s3Toa36wEGu$p46X6Z2;cVUMaV@>Yty z^dV|c^JeJ5cl)6ZqYurJU(iwXky(llIzn~u4f!UWzoE1RzlD$OD=Wt2@WcCxi*Y~m zseKj2*f{>gzQSVsalS`i`2c1qKd!H20QZ_7&{sKt4d74fD;U7PSK+ift6kpE-^*|7 zh!XAu++04{4#bHI>V?*jet!;}on`Q6_JQc-HuZfgyC27ie<+XSPwks~20x9D?<=au zTr#h<&ggd?g*|$TZu|)B(LeI(eXvKzDNhJtkIo4X#3{_7*2VoE1ll(FBJ(`!Y)6tL zmxFAl|7LQBFJ-|fCP7tcUEm0l2u5)y)hX7A{q&yr53TiTQTfHV}utH6l%Q>|`xUURq zrNV`q%iLC}7YIR8dzFT24d^DlA|5kd6it-mbt2zt&RWsko{g;gU}A@}cY~u2ai8&s zX#8NzIq6zaj5E6ieE?iP+`G|@J`ZMerJmSnJ!Z(2qs99%_@~LPo|cuQ#()Pi>XIOk zSrKWP41o*?Z2c1Og8&BtdCoK*-Gd;wPW>{>KzT63z5*H(;EpTTz^Ii5J*Pm=_s}cV1`e)G(2E4UN}<;i=v4~0 zep0;*b54d{<;W7Ir0uuuHoVO!Hj$hFQOl2&}lqczx#aZ zTHd67ule+kJWn=an1bfTJ1D(zI4Fx)++0e){bL>wfE7cdTR3a}jT4&Vk*1F`@&0MUS> zfF*!G0KR~|z-XJ#nrACu_d3 zBH2I#cQ-0TAtTW=*=DoI-c^PQB+leb?j~@ecPp-&-Ry=k*LLq?kmdCq_5PZh*G(HG zy@V|9w2`<;(iBnX2&9MBiN=p4oRcC&F$~Dy9x<;<%zTB&)9i;VFSZ>Ro2Nl+xlKan zBs@kZfHSeihPLl;diTVppiU~cnT~;S{9Y%eC;o5wWOIuBu#?aOTZS|<9n=}Z8PR_$ zzJlCPg+J6g@)8UEq2BR<@JtfWz(a+dPFxf4H6>zTmp+lJAU712EBy&_L(E*+=a;5H z)SJW>gxlnEOCROV`?R>`(W-fmmexGFui3(crf-gDR_uAwu#mRsu=1twr~!FcwNH4# zfL*A3Bs^?DFH~I=o-^Q|DgPCokRYJSNqAX;p((EmzmuS7sw2W~32wLYx$t`la<^)a z@COODMEO8?NP;d=ofn>!;K6|za>0oEs%@Zdhnb|jBRuFtO;VkNd=u`R@~!Zg6OLx;vM9YW;Byn>^{>u*{WR1EnL51a@$crW0A6X6EZ z?>ulK+(4xAz=?1Jc^40y2>IT#c;H0HC;h?$Cqh1PBCogrd;!k#>I?AT`^w8M0AGN= zcs2b9Gtq+wD<(5}3lCOIVct1BSTTi>e&)f7DU2A$gB4R4`8W?&OdZ}!d9Y&YAid_n zim8Jbz$@=}?I7>xHS}ZWd(Y*iJHRsMg6x)VYA>@v+Nq1|jcAa)(oOCS`52d){}p zpv3Gk_f6pCx*^FmU0oz>N%Bb7j2AW~xnEPS5Vj?GeS!?_0UMK%5HEAJjca9{kj}70 zx5l23McI;Dqidy$Z4s^UwK5;uq}Hf6(%H87*0?vaDYlf>m_SGXhqNXHLIRjw#%h-e zY*A&g?Xqy&l(Ohb=>l7LS$w68YMWRV^%&G9jLyw(*0k z%hDWM%wX(g5OJ6~7`;xq)D}4yzs}Xh5hQk{VenH0E?qM>U@i(+T^Sp2YXpq0ybaiY z1xa<>4S2i&PFVPu&4RExJ|CAMpwwmavDXC??0b~pfWbDVG9#R&W>h4tJT@f+((!Vu5-)9Wh3P;|bit{@D#hhJDXI}BaQUtTv0ydU{lb#psVlle^!49;;B+ODvZZ<#g*oD6~>Bt*2RTmz5&xF_!0L70G&$<+@H8Y%gkY z`>^cO-(D^eSqiI;;1Y5}-mLR?fy10W9m)kNP`h*_m&j;^UFW6@J}Ou0e3ejs+N;AU znM>q)9Zd~K~?k2QS=Xj_01Us#5WeM{TKuDPGt(d!a%txztd_N zI5*XQv|S9OTDe`TXJFN;eyxFl&H@wk4hCLs4$`(b$zw2y-W8!(p%L;^A`&YyLh(-I zrVlu11-j+_M3wEIZ# zIoVdx)RD0G&q1A6o*VzKa!8`YDPQD}T+iHI@Mx3cmU+^hkTjni`sN+aSkYPIedhDJZ_>Op73o44A-=Nh zHe6!XkW0Ge8Z7NGoX}d-9rZpa!lK!Gs@*ERe>Q4tC7=-F(G$B@QEGl|oh8Oga)w+h z>8oXv&6mDZD?g?jH_p+4$HW=rTje?H+TA;)9a;iD4nfLXVcQ5S=Hsnt{nTvl z-;5c$sjaL)+)ln%;_OjO5kDajD7U=V8ngT9w@4pNH4cWeYl~*v1P0qGJ%d$78@-M*D=eYqh)t2Q$X*Q-WU=M zXRuWJF1_1s%@X0pByh6)#S{&80W`XFqAsE=W{K>iWutJv!KE=Y9`^)NZ>Zse+t4#d1O$z(#-d4RlxJ@5sS@OvKpE z$A1Z{8V)KxzPRM!*>Qe+QtM-rHNWAW{OXGw_pKe1z# z>7(e+hgK&heG5HX7>HbrEXS5J`k8?_r8ET}W1vo{uJcy0VXK=bZ4)tip}hMCOI>qD z+c)ITAc<00fEgwWc$@wY`&P|etuo1-0%FkY0vZLxpk2k2SW!0=g*p@~E?Rz?AD*Ay zhQ6U*!JAUx(-wDB`c4%M1O=uYZ`A-`%e-6jx59NJ0mvyONR^tCM`&kRw zxQd9!sK1~ZczHK=0vvHtZ5efkoURq&>u{x-rB+{uyP>28|3Fn``b&hbhLVqT+vGZh zGhm4I39-cz5BV(DTGDz@WqV?!b^A@At^r{t`cU*ITIf`%p-XqO&_xkMF*yKYJ)czu-EIw)j_d&~4?Hsjl-?T&Sk|eC#$o6rVQP5IpIMjjI z)=`JJbng*?vzLh&o$c=1hniKv87i#7vjtgiI7AKDyN9sppNA7)xZ=bO_ zP%VO0KjU_q=7`)RbF#5-m5Z%&*#S+|@y0cx z2ual~RFD!YY%6oQrV&`vx*6HtGmROdsMc0dKz3HQXT*^*d{JSi;`^xkrZbe6!Upxq z#869e>$jqSTUkHh7aoBkWWa%rVe|^KYhvjbJ|*W{mlX05V-KZScsc25<+{~Tai;0p zsj*r8t~AXn;fxyvUB$zg14r_)H({C;-0-$9*z091jmkH^n-CnyKuy{|fEoNQZSKW@Nv(jUm*npX-HhQP)IU-=dtaj@l`$5?PJW}jeQ zS9o~PV00}i6@C!&;0N(^wc9z>LMvSyGZ$%%dAx2sg|vqE6DScGT| zQ>$jV9aW38ds&{h$>H3U1*EowESWFZ(sSFeOVs1EyIJn>l;4d4TTNXz%WH|oO}ka^ z@`pOhN)mhOBX48(sBc)u6<`PGvrND1e5-?Rqf3>4ShK__hO7ZZsm9$1zs&|P5PG0; zH~nP;XZ8w$uj-){SAZX4{UN_*BlYnI(34d&O+VYl)oJuzkhbQH??((ppFo^f#qd^$ zsfM`~9&fxKNT*uPTI0n5hB*~pZ-^eIOFCk8NG&4HvPHYAn(&64WBh1~fWsso4yzV< zTu@{O@%o3#IlMVyzv{@niob2E;4~STte(n?1d0&Iqs=u>(;hR>>w%lKx}O9oHCdo7 zrLSnnt3ib~hT3>g=8W5>-pmc^$Bj~#@>2UfN9nIk^K@uNa5A{%kr`ph3X{&A!Mq^9 z4z9Ar^&s51+0<1(P8NCZC(NcJJ6$=uaw8I%`?H1flfO0UA<+L~J}U1^U}tWGy#^Xr zh!B!W0ww{5o`4 zJ#SfP-#UnTOr2vYbA(Fr#xRfRsZcmEDKU2pPNQC1(;P9a!KRTR@0Izyn1CLCZ!vBmbhrf_oJrw9&am*H)}1@NZsq(0 z!xk{}C;YQR!{|SY-pR00{}_L4X%rS@rF22pvK@D^u{keLDS0nYyARbPt2zLO0r382 z(S`pG+Z!$M!dY4LuH-}RWjpU;JE8mK+!v_((B%nqe*)cKLf5y27o;9A%HIR7!7W8u zU?>Z>eVLizQ)=M0b=iO{0Nj2KZodMzKZ0AU00D5@?G`YU1*~SfjD_G7b44!BB97-L zQkvd@CDo`8W8a6ERGF%H729diO;KnT@1Sf7TF+m{}_)sEIG%NnFj3i2C zMJ<#TiXvEX3uUK44mG`za6_HPox;Yq#XXb1vlZp@8gbF;Z?rpFy>3HJ=?77WK9(kn z7P0lwyQND+QAv4?o<}tctc?z=KIAfnt~|-j>GmHW#TYk=!VQ_7D7xks?YT1aAIh`Z z6Hea>=6zWM7zBOqq*X-RSA4Kdt@~jR0mf7&swmV@Sc58ss-Is(;|=LG$Or1<)?`Ph zp>ho4t3JRB?e-W7UWc5ley#0xQYR3n8goREhS_7dTH+POVOZB@ze1f?r<#`968b8} zJZk9|O#vb=L(wbjYSntjt@e0%y(J(!`l&jOUyI6zjgQXOdm+D9D78dHETBqI5 z!aW2}dIbx{><;Zt7B(3cHJT*%dMaH}tMinU4Pd94!?j2BuwR~O3bl>vn=^pl2EQIb z{fJR&sdSw!tFNj84v$L}NSkkBeuXZ!&Rwp@D;$SVfF!6m5I7-!ll z?Wd}dm2f_E41E4_hVYg2_ux!}7B)$|d%$JylZN%GZ#|N2tBRe4(1_7fX} zlVxJvct*knsh6NMkynonQoRxCB#dm*G~@h!ayIdjvDo3#3Jz&6Yz2w12OBS_N`yVw zX~BAXw}kLT*>H*|xHSeR%LC7}ZyBg(s_UjkHYFC8iW^0YvaoiH#!}bC=U{iMiPjZt zQY`tPkuI9xEQCW{(j5?Yo82{?1LAJaLEH^h2Gw+5o1wT;;?o#ciF|23X7zLUIwyJT z6|VK2U|PMn594=N>v}m)5i!_`%jt^p*lXQ9p<4bk1m-~C++iMh3pz#DWrry)Ke#%lrIH$sgt%k;SXuJeHKX>rRuV%s{ z4&ZWNg57HsLhw5XUWH%=Jb&w#58VgBSO`iWsDj{U2;@Sb4IY`aANO;KBg&wN=!Ik8 zPEmL-90R`+P3(nZ;5JcQZ}?^050>QSjK+qb)jkfNUa0bD6s7b+#qGLoZwgf0^12xm z(oF7G-4qJ(Cbz&5{@-)ld&}@GnO6ex$9!QzPUM#KWbxBQb=y;a1x54q(uY9t$?%7QDR!MI z$@LG__&^~B#J?6Wr|J`y%Wr~|KDPy5s&unfem)+fi1|2UV%@H!po+L9@~_PTYk2|a z7sq2CXmHx^489Xc4-|f84{LfqV*+imEW$>s+gXjPr7bnaiM*?$aZm^8VwlD8tR-LN zu5eHcuvUEIeMd1BIG*ur+)!Ku)Kzyl=R)n{##Usx`dg^tU<^g3Am3}ISY@zl@j4tC zc!sthXm}6vhU}cJrZ0XPMZ30v#l;s8>nXdqkz&u0*xT5-e2_dXM*ha1)i-UL$oiEy za3tiYqW#5x(3hYhN@+lh=57m@6c3=*nm1~fH3)gBV)jVF62R;sD0}#|vScdUHa3 zWsC0ld2=FbF?Wuv4wdjGAQKLskC4n5B2?zSEwZa&6P&ZG+`iX9?SVz#GUYR2lOdod z>J8{EP6hgZynQR2I>)?0&a+$s!)R1bLb=?FACs6ngue#G@|%H~%j3ADkdB-94)4~M zQ*PO`r6x+hXEtn+C-ft;=>aA}zw0f^ep75e_7-if={jha@$2y`%vXWSi%g+TGcD55 zTO$LJe*#aJ3tV1xig5dgJ594rs2cIwt-cPG7l^V5oIIh>sz@jT-&uJ5J<4u!V^caQ zdD2-gScqemox&{)&-;hdP>pfv(v_kxXL=LriMn5SN#fhMC)cNo$8o<5m3doUj3M`# z-GQOc9E`0*%`x8;9+P0lSe4jRnC-`6EAfwDf3~Oow(PYn$9_!W{tCqW_rqDjJ_&s+ z{u(F;uMzH%1dT#uLR~l4)N%IzW#uQoG_8NpiI22Y)J5kwt@V5DK~0K5*P!{t zKY1nHi1}o9-l}ewVQ&pDw;MA|n#rqmOz92X4f7}+=26lxxk^Up_8+FlaFN|43gs9# zq}!K5`3Xv#)6@MA>zUQ?aWnNjTx7@|u$hPpy_yy+l{E`}A;dxnWx_w&c zbGT3lUrb4rXW1g_wmN;GtD;<7GRlvqmrW&y#x<2X? z=B&BOI^8kZkogKn*G$u%8+89ex!zWN^G$|bj8cCT=d01;cC|wMN}VQ7)?5`UHKF4B znuBbTa{avJ-q%G&@9XnCiIJiT;%7^7Xzn$8Xs)FxEcZGq{C0KD8BMJ4Os`wIy2cs> ztlFsM(hHxCE(f!N`24(K+#WT@I!+v+Pk0AE2`PQt`O+Bvy1GLw#0e7C^o!2hkWRrp zP&2K`1(XVqIoPC2st(JN`tf7x4vu*GYBG4_e*93_2l+lhYMo{T7TMRipR< zgZsdD;k+iq>gh-_6cJ#Cj)WPSVaVXPzM)>_xjQKTTQqIRYl6b)ek&5TnfV-axn_|m z%{HYko#XXTP3KN^Fsl0 z^1V>sife}&y+n5CJMJyaRZFMRgY5^J;u#l|c}5mHa(d=1Y@Q}B^cWQ2{5qjX`uuJ- zvORA+Rub|v#cF|uJd|&ZDMGA3XLh*3lxf04NrVzrsPJr(+kNGFC`%zU#%)!s1clY~G5lp} zq^Uvd))<+q@US&)mdxkVx(eoF^WdqRcKFC7c&fJ7HIV!Rw`x0`1H8NpK5iuHono^9 z*_T5B%f*7e0t))IK-^c-g78x9u<{Drh9YmscYi5%X!CdjQVRQZD8t-h%z5<6qyr2D)1U0?vZOO3#`)%f(9Vd z>8nE~1A_o{N z`-!h;`;7USSweUQe1Hac%qQ|JBBgmW;;5^(TS>rxgI zh(8A62ZbEuZp~L#@T727G+}0%SzVb;ICt|sVY>v^7~PHq4@^jUl3JrGarZ1S!lM#u zHtnQrn|U8>&1j6s$GEqe)y6VMyd^*RgDBx;WA3QGw=QOT zG?DI^T-ay=eLQ^eWA0YnQjOAAgnqOf+5X8kzAx_i@ ztV&>hw5TC6?rv45dK6fEA0ag!en0(#W*Kz)kh$J5x8uqnqL%ywRtEFnEeVWnAsPhH zJZpnvJS62bqx7lpnA^~K4j9V@43O7LFNjK^bHIy)zxf|Dm*B4m%AflRD%Cz^t{1~! z;SYaB20Y@5wk-*FL-`as6och0^uJx5_CXV?ZSHk*Q`Q6@=*8Zq{J^W-jvuBhlD}dm z^ul-U-t2%Z;|wUo2kQiO0mh#y0Dc_7-}{g;rNH+Ub&>2h9l<%X1$&V{#lBDPvPzjH zyqJWqAwQQN;4kQcWk;&=58=V2pc?Oq#s)F6EOLqbtd3R|wM6l|&eK^qfW2uB7XlH6 zrkQC%^&@VPOQm_1T~Lwf));#NciKErc%j zw4Y;|W1EziJ^_DH^T-qf6oDNEJnROrcOXCH(@$!G{E&+ym;wIBU)e$ui_aj__;V67 z&!D%da1_xK7 z_NX3kr#X`KF^>^vEsVPD67m3Pnao!dtB-#S|4sjD)lNiP%r#k-h{H;VkS~X`n9v9~ znE~D3!*ZLb9CNvqDoa?HVTHoRO-{@(bp-C;8BuxS$>7A z)^5I4lK`!Ih)!EfU4AV7UyGZ4TbYk6;eNVRb28L!Nw!~f;$Bgn$g3c(7cCukCvHMqYuh;1l6@gHQ`J zZTlzDdiXkdzewY0(cO~RsO^og6 zp7`bRQb_uq>h-Af{>Qk2iH5RZMZXTgh;ox3;fEwTR|obtK)+}FNBWAf^S;pT}Q7H5aPe`7W7^Va(%d#bFP-j2KPF=Wb&t@~3m zqWRUh`|d-pGFM35HQbo*SGPF!?fNo6sYzR1MVj+@vpwbN(Q(YPPmgR(n2(F*;D;GbYOv;V*Gwy)Dx?;(D^`(%T*?may&rt!=3hjkF6 zUPS(S`054e)|U%T8FT*G6CAS_z-z+NCx7!)-HxY~MBbhN>(i?7y!8Qg3zdA{&H(B{ zm4vq$tBS0rf}%)|XG~A2T_j2=c-H;j`Y=ao`B?o3I)d^Eu>oPTYZ+Mm2%KFvSu!dB zlF4%vo{7$bBLad~Eb)7)*M`OIkU+$IT`g4-&>XO?E)}KRH#s@nVtWf_MKW^e2L-v2 zE;(tfbEjw`t{hRXSTRZW>E%fB$UEOs!%*~Tl9+Liaag++Ynv%e znKB2|{}W8VUSHlb;Wc5tp{OTgi1ZM#|FS;ESS+G!jj@hZC1G*I?xNCLy(flxQMFq4 zoyn=+Ym;oB$+Ca@KH7jh#%6^o+bFJdc~777-uO@O>Dn58aDVvey6+J%FL-7_5@kfB zJR{0Prywi{CBaGZ9%v)_>Vi8A46 zH7OJA_93(*Xou0z4@W;7{c!Zd(Qie+75!H9ThU*D{*1|8b)&KH_&D{bbJg^l_|Nr| zu@JTG*|xfslE|v!5Q3+CR!NhYxPe&Vk>)!jU@=SB4vCl(+L`T8*8EnR(+*|L zV%bZHd!ttuVT9p)$&P%-*{ZkN?5*i-qPvN zgeZnZBJZrJkF1Z&uc?oB+T<`t%l;B(XB9@1UZ{Q%*!Q{>@ZMOAH0)LH=yWXzcthag zhAZi1+(WUESW`{blsM}!`pLxjUP=LE@NNiKW)&~C*s01;*Y(?-q7~}4Yg{{17$qy8n*llzy4fufFM$ZaapH(@_N-(3+I(p)QGewuTz1&MK&v+YBk+xz^ z-I15eNk88Ci&8%6wrl640@CiI>m473ow8bndgd9Gww=N8DaJSNd37uGCykHa=hSgt z9wUYt{#56#&}&z{q?10Xa3y07$0IN7J2)Su>+gJL_3+So#}4*M!KL>;(hn~uTDt2= z>KQ9S5|6&m$4@7(9^d$b>Tg#}NF3ekusG8(q$(!94c{58R~$LYeV>|%B4~>VSMDCP z^O&?bs^9yftn1|k%D;CO+5e;3hk#&PJKE;jI?ZS&9}luj1Tt2_#l$l%|bWV0#-&(S-kMJDfk9B7I5Y|plW9mLp$+MO@Eu@&KM6_T0kkniSMe6^YwW zk8Y)~vJLg<=J+P~9=s#@M>CW@){|95>GIT6*QEnBAxN#wUx}gzxX(dIX z7phbOkIKlH?0b~uBKP{tdt_%5qrSL27h&JBZ;cKpsqKwSe*UX-|z?sdX9A&LO>Wt%8<|l0y(nD5}Sa~9nv%U7wQf9 zP<#(ZnFimO>D5q|_+2f{Cj=?CtGDEnZ$Z7}g2cW#l;v(mZI`<3hh|DS35l@#L$x_j$# ztovd=ha*3s8((Rk?{kW*GAt=kbb5ra#UY;yqKP+z?{9a}MoYs*_wIEuCTSPa=bvMn zX17fizMQ|kl$xjNzjl&-UV7FvYT&Fq;nvE~WFKi~ZFeoe*oQ<<68=CF9LlB5;R zx{ohsS-Rf6VLeK*!)QhSL$C*7J}UXi11B{j&0_t+-b{_Xd8*#w&Zu68A-*Jc?xlW< z8tn8t(Epe;Ry1c|k36*b2?|}Um(My@s2OX{)@S@wtg$jr*T4Fyyw~eeQOm$6fB=wM0$%$ae7 z3}yc8v4{dXYWTYJ@w zgmKz$Pn&+zYKgGr%6)wK^W~qL@rS}-oJ>#a*r_EeSBd8{vqP6c|dDu)X z@Re-*IIo>p;4S&(K4_*yqo9Ew|NfQ*j3;$_ zL}lSm_+3_$L?L5Fqu%gI{?Y>r5SY7&9(?z_>ZH+uzUQZ9%Kh3x)0~R)^7*e<;;VTP zJ?)oJ=ujS(|N15mJDiz%s`+2jvVmIpq(LjhB~8I50&}j7x;gu{lH)P z(^&axK{7q~&%OGZo~iohE4h`l#q4(8lSyurUq!Eb$AxT?dYFoPvh{CPg;%=8@g<_G z=gp3BL6WUkH^-3wQRbW9`rqolrSLPYBzRyq$bw$%i3{9HCUl6%*H3Y7Iz6O`urYs4CwQz%t-R8)QUKO-~&Re5@D zRGhz3_Ci#>;5%E5PnB5zVC-d|RM}2Z^}pAGHRP&X{rSb0{ifAD5LNH`-qlnSF(J<4 zF>8>_TcEgaoKJtke76b3h1r8k_%lRf2lmMqxG%>yEl;_5;g(7SMTDEirYEopwU)i? zY9G_eOh4tDk}dMqvbipC5ityraf{vPXqFaIV?zxxNNCcV|s z9C#(c_yWfHFkU_Mz^W`0#*tSNN|NDy3*gBecn%xAVVnZvet51v{J?5xZ;@x)SQs;5 zd>maSTYaa^{=?yKTwiB?H!7xn-TaCO`(KP%F6VT=0ea8vqa@#Lv;Q&)a0g&q{8*!K z#Zr6?_?h5;_Y5fmBbze5Te>MG(Cu6zXV9xV$gj4{Z6j19r?uT^26#DGb$P1(Ee<)%_^$42|{>*sNF3GzsSZ&d}F4x5VsrVWrg|Jz6$bZ-tEg{<YFfNaRZgu~#J$WYKM^RqI zrEZI5sE?T;ws_=Ag#wmW#pVFJH*Ar>R?O;P83e&tv-ZD*FYT|4gvx}fta}zG4P>L& zuq5kQ!SqV6QvWdGp9X_k)@&aO-K?$6$n;4QaK(;`*;fRkdtDcEH%r%xJbRMfljB9J zd(!MIHp}bO=baGa8!k(bg!BhLM(wqjo^kFBWBax%C4$uahzQ>h6piNCW!TuRJG6$p z`RE#QQucqO{*(VB{U-1m-Bz@LZEeP5+uBNmaF2rfb_Qny-1iN)iD;A1($F$(Y>QIR z($P}Uz>C0(z>C0(z#oHO!(kR2K|73w!@WiStunNvrH_j8(5U>IX(SDfaPmKgJ2`jY zKp*bp#kypPRbyk6M|!HWqu0KliZj%|Y_E3Q{Xc+ZM!+!f_<6L>bTC))l) z8>|+=?E>76qRm0O4ELJrRc*Xkaru}n1nwNTrortn@C&rl+Xjs)v>V$7FI2tCzJ@K( zr#fnbeGBVnT~Gs}e#hus8f;tG7jzH!j`{w#ET70P8>crowqzc)Gl%VsDoKi+rTzGF z8^QH%AZgU$Y|2nQwnfJPqyglK-G=ZjXFtB>oCzSGQ`|uiAg3?vdTlX^v?XmLjfFh@ zXfec7T~L_ZyDjaJ^%vG8Z7~AO&UZS$=A5sM*EshDmy^F@`*?qq^=oA66R@+u`cv!G z>*2<6*V23GZtT6YJy|DE~1p_vWm%Zb{Pr}V@JPpe3RYg!g^60^|Ug9 zx2NCsw5o=;zTa^n_U6KnD90fsdPG_`1yW>}CDz^k9#+nhfbQ^p7G!-yR#u$Nf5Yvo zs4Nuo7e_K!DCS=o>9UQMDcfRl&O)+&s_KPyD)Q+jT(-Eb;EviAz6RQ2Eum39<{Q{HV2iG=Ap*gzAA1GcZTkhDLyOdvSS#{vv#+{t=adQ z%+|2p$d4oxAgk=GL70`CPF!M`S)phozET`Rkgt6K)Sds4P&x~4qE19e#afilV0p?; z=>3iJBCQI%pG&_r97Pn7b7#>_lD(n2CndyUw0x~`Lc4V|N0rEIw|wNYL%PTC0x`aJ z(d^>d*`~CL6J{!8)e+QB?ksGvTqe&oX0*FJ^1WYs$uO;AGpfO{S0>uFJ9glwdvGP~ zk-tOTXTvuGwJ}r=)>r9$Xt|{{?b;K~U7m=~MhDYbeWlwmR!&qO46u$>j8~rtu)8Sd zt4{<_FDe*nWVaWRl;rLE21$2%`vX;>AxoyiwWfE) z1d{$jiMrX2f<*JSX6icmJjCTg>iD?KU;haOUkE5RQ~R67uPXH((ObW^%Z|3F;px=zXl z`UB}`!xCd?Wqj52Xv??q3C6-ol2dTGkY(9yHB=Cby(NrRHzH#%_z<7+f;RWs3G;^nu#eyK4~dcfhiGYsTV%`LVsae->+G zZ|^7^Mj=$7dVj{^w#dExCD{OuFNIcn?kXJ40hCR8G0Ggd_ZQ>Q#ZXPXd%^zPVJN0< zxi!Bn9>xbSy1#rx84FO82G3#RW*7@$Tzv$d+o7qtEcU$a4UF+Hu7u~8i7!U=?Zgzt z)%FhZB}TQG;s)Kn?MGl9nrP!{zv^dKOfDlF)#p`A8**A1 z`srVm)lB+0SqqA{_|*`8HY}}A8lo;#y7PAS*(_8&5qJ!ajmaJ&49D&6H#29-ucB(p z=m6eQnN;E!;PXNHAMBS2Q6$1pla=mfVK5|SjdvsZ8otR&bt4=$h%0uOx!dU93#L}$ zZtIV`Evlk5S+Y?!9BUEV_0G#1MM6%+sctBrm#LNA4#$;l>fPNox0n|MOB!68!r!5Q z3uM*1ZRaVg)jPT^xXK|TUu;njLX|$$(3-uevWpt)P9cl@w=lBeSb#$q;(k|&83kdf zbrh_Pk8dKM!dgVGCZu!PHqrz68Qob;TxUj(#RJtJiAlY*XvSy+7R;zPSW0wJ?ZMr4 zMCZh4@@Um;+-+S;gC5q-MzP7<4$^t7E?nrhC`UkyyN21By_m905oRp!Wk2%1ShrHN ztY>^2w9h@%+xroje+9w2^nu!bk_T&5;-9iWV1pcHqs+q-g7c#$tX~@xr+0ieY>v4 zw7jSIvV{jTTuMMPItn>c6jQV%gF#Lxun=%Gz>^~|X2KW-V-k!X zVRVJ}sKawP#fVF0JHrqc&@kCZyZ{dy^*{md^@Vc^10 zIBa0TP^iE4<~#nzySJsHymiel&LKEFIf_B^zevmp(}{V;wLOVF)ZOq6cEV`J@i zgh@+J_2)Gfu`DcgH(TPLnFHy_1Fk1V(3t2GmVNeYl;C>-T|Hb%%|G{dfqR(?^JUTnkxyooC z*I9rLmHt^4EPCyDYS=Ih1{WB<73Juza(cfM`D_0Dccc8n$MY|9E&jM0?oqw7=0Qo) zKZUZlcU!q-=~u;Vf&VgafBy)%=;qP|^xo@_gEaDg56a*CoymW7f1Ii`e*v?%?ThcE z@g*zq;#%>-#^Qx7Jpw(~9ViXIGB#4{`BHCj>5hW%B^?55ye}!@7-meZD-(?m1!I&DWG}&lUCt?U8G8m(oQi-1y48F`{8@)_`fhbHp9jy)~svjsO+` zRs%YteS!m34gpq{xFg6%Q<|GEghVTx#Bh?p!2pL;IBXww@P)%PVehLDz$n03fY&ko z-cW!dj70u#t_Uy{@C8Qg4F;GBpajSTm^TbCB9|-fh%E+KC)fN$hH)gOng>uAfU|6X z(E#IF7++zUpV&C~Z#$2QdXG0^B044-4@?$9n-=Yz60eYsSBUf5p7j5{1spi_XV1A- zGGX?gu_GuWqJH^#*WvXWZZeiV_biBty7YM0>WUw_Th`3^qVx4WGiKi>tDPHeN|*l^ zuI&FGc;bKHPyYkot^6+>`)=2q853r2Px!0sW_Q`6Ir}y`?=lSCJQL}C=IZ|Cqh`;% zKQ!X@wGB7t*ACkj&E*aIJqqoPa~%;ig%&l8CawK1I^gwRw6c3BJAK0Q6~gEHB~el5 z1EN}gciwe_v+KdT4LA30xcTk!3C~|mcz!m{d(n5^e@)xhaKU=Z^3dDekGMa?ZHS6` zkosT$U;O{;@4NlK{$Xj)&$?CP6ESkSuy-E*=szvS+i?u<*;c%zet5qo(Zn4t;hNIF z>i|~*yoK=!{*HeSd%ME0(>zi#jiaU>0Z1?gh)d?4n* z@a?bxf2fNYFsy)K9VXcbV>u3WQH;NJJBBg=_6!^GiM%L+@feIFV4RMFl;a@t!f=rF zIETF$z6_s;UvW}PHp6fQhENQhhBH0O!0=2Qs0Kr=VD!ML%o{%add%kv<2iW$3Gh$_ zwO5?MD}1ninur6Qfddx~r{M4_94^8kJ_Hb-oa09U!vT{4Rt$q6fMkGHfN=m{FlsZ- z%6|C$!;~Xa@CLWx>}Y`B<29_q*~NPSq~q)+!uT6TWMag|;SqrVc*Ev(V8mU3+xT?H z<0ARH35LJ;7jwgv{dre>G}He+EieBm7>3qigC7hRVQ__EhH&wT$E@CyE7FALU(Kig z3Kdlt*u5uRg5k9fUZ3IRDeuqQ$b>N*#se@~!gDh`{V?AoM(@epA)4tUMZ)v*jsr#m zzQm{zaF`8;ABP=6H5q>^+Q=oBBG?vTH)d`yYx;_tQFU+y5~4IB2tFbRgU zFmz>{cWVp#b)k0jaAy)a3Fs_CXVf9cr4DzlVWK^lb*LWW7GYc{=(!`Hk)WCA)C^A& zica`2ISMioolO`w_AbWlz}b96XEkQ*+m6od;SSEkJQi+m&{>J;U*q5dnV5bRCi#F# zVsJcT2@FXvI1C%Mz)%XqYSF!8n-tC2?p*QFSTDR?`|++!q!%8_=3h*~ZpX!xwU_y| zA?QpMv6i1!K#-Lye)fZxrh99)u)%+)oS#`v7wwDVE92%U1KvGj1Ns9FI}{5Wj@H5< zkv?hbc>b{RD)d$5P+w*DMhE(7=1wjU-aWKNeq`cAI827aVL04k0D1%F0XE5x{O~it z?*KsyRPvHgQVGPg3@F^-7aC!?*!mtE}2QWnA zwB`<9eu41~tvC7t&hc3o43~xt zbQq@K{MN!N4aPhS|2RA}Tmw~C%qN54Bs@LvZq3E%+!=ni_QHE5yd41D0b?;@F{d~B zB_9sCa2P)5GB~UUT!GVjkMn-%iz(#*3jmS<{uu^%J74-^R58vf5`cgyNq`hgX@@DD zG3C2XoJba+Ki<7{0C9nuxl#C=J`04AjuGA%F#%u$Ml7EO;0!Pa#(hi}ieMnaz=fd* zuVeXC7}zjO88!@i6vAK+LnP)}pd6Nl#Zk}UsAFNk_9w(&;gt!m;lGz7PUG6}(YU?Q zpR$B^XG-Cj3{NKJ^8-l7Y3#y@N979l&s--@_#vIXYRgpqKR#zf5Le~&`hOAvYyv0% z$Q(8@d;NF$YcivX0qOw81Bfs}1-L`rzcqx7Q3Zgz0J#9gN~pK`YBEm`8`r{^iV?X0 zCotkZMzjo%m92DqlUKs{;mAbx&{n_Pw(v>EOIGjB(bWOU0M`RL9G%E^o47S7X18DNzyiQ_ zz^8!z!_%b!?DES+9?5Y8LgYMc-Me;gP9lfCx3+~JOZZv1n0Q*%Z~2VYYttR5Vb-S! z{dwo*<0j9No4s>u=$@y!w80B2yy*K+a5;EFAAZ8dlgQyGTs*PClbtL)2^@X`pMR$E z6Q+cFn-=|jdiaSyo}3X?pDHTSzj(zKR^OQ>*888_jwdTN$sMNztIQQ=m3VSO*(sdD ztXza9X9;sX)_s{JJ~)YCQeHah339Ial@D_Av}YwtABj z;T7tnG9sz>m`Wco!i-bBb<> zX=KHfD)(sCg4ziZyUxVRHs!1Zb=OSudxV!s<&3vjTg|UfRXIkp-^ym2NEIiXtjbXr zY0;opXJIBMEK9}ss;hVZMDgZgHHM`U?e*3G|A$v`Zqt!dZ6VUb`^?p?r_T5*p`=kEfeW0+q8vKUv4=~vm7gU`(W4SPs{(Uxw>fI zuD7=lKjPC)Nk=$RpvEGdy|!exnUM~WKzoh#qkt~rXvGra>~@bw{86>j4HFQVX;}^- z8@qP$P1bazs=9V&msu@SxEsp{Mkqaf|8&kk>9)~T=|fbH&3;ykG(YGH2Cb_2^W1MTSJ!`o{t|HK$jh3B*)tvG(cYi8ri?gkCEDE(?{jCv>)XSak0-6ePyF!Y z?eG&XJlQ||#P^Qok8%9aiyoZ0y?@J7RHwcwzj6!H%;&A$uw-*kv^*<4$KreWB5k{d z9}pH)_f$Bm;&L-FgO;mPKvD5L zx0jQ{{8O-`*W(`Tg><(mu0AlfHUvVhj4|qS{g$ufx74Tlu`_Z?-PKQVK~&X&Zt_N< zV}0m5!HfpS-SWQ@vnvUueqokB8bs=~{fPT5!dj^PG*yCnW54Y~WsQ1ozvDyX0mz&z zW0g8y^6=tkR?k#BQlY-0CwGIzulkgp!VSa=lIVV~YqfF6Iad+eQdm-hBs8C|)J|@9 z2x0!ATi8y&>^rKCEwRlHJSSbIUpj!uxyRhGy7dySIpsBC;fe;@&75kK8s4w))Xr;n zY@vTntc$Ur8WP*`+=wE+_trP;!@4KE2aOf)17a9I?b`L_~=xm_2jLH7D_ zyy@OqlV_s7j7>M~N9YC+w=vxl?R%YPBC2dhKjjr(|EUll4BjOnatxtZ_`FWnB0=DOVpc zpmB2e|BJx*PP4o05=tpjaY(irgLZtRLkMF^tw9o3RajuNLw-U#xpHJxQo6+rn3-A0Lyq{`Esf#y@eNznnVz zX7AP}`Pa`+zFzy?{N!s_hJLlYd)!mqXw?4BOSgQYm}p#y+TXk*wVo)*zqOH4!?~*q zmr!E-W+QhbWMEIDeGPY!)>9H@&b~=nt@vbI&~7p0&5=Hp(9KgqDE@lJ!2Wz{hH{p1 zTfRevif!DGZ*xnTYi!E5zoi;!l;+!pDVG?xGJ4gSyH*JuAm6>qHTv-MD}GB)%%dZxv*l3u;Mb?wG}LS0D{m+I43gy`U6x|~6y9;Oi;(%Gw%;_J zmNYEG{6yAhvZAF8SxZ#=1#W{QNlNDbD^C}sK=tl+9DqRjS=Ll9*a%R$A2qsi|%w%u{vn%N{={p5^ zmCiGnQxMn9enxvB$f$IG#uzOquVg->pBEHWx;|qr6Vz04S+tLW#7a+y_Xxfjq_OCm zk?`)sVrB{|2U*)_w*?ai-L^5p1#<@(+vu%=se>-tm=iv=U;>>O^dz@F}Yj}<9^YE5&fL>kNIGzUv$EBFr0;U+1C?@=0Hl1<gR=V+WtQS&=bV_6!SU@>36UVYMR-NE!kEf;2wmyc)FI3Le` zr!9_)sXti7u75@<9RIHFl-DQ0`TA=0%9Mm2Z(!#wj_-k20Ive>0=^2oIwc{3=i}*w z(RRQT;3VJ!UZ1pW_0=U+zrvV=ZJ`KDa7{|`X=oa8S;BSG?0$&7P1&m|& zIc*8&J`KSWw=IrkHa}RMTlb9g3;h0s-zNCYfnPAN`xeL7z#o7=1OE$LS6^)`NlB;( z@bQenXgAW6?Q5_rw z4V?)CSGp~0XuEWq`LYY*9Y;j7dH12M;Jf*sBT!$1><#FSVW=c6ibv88<-Y9n)_cfE>s2&>Ynn@nYi^hg# zD-YE_Mn$Q`KIJ;&u2Rc=sEfC@lvt!ZV%%Pe|16&yH- zm-{@Fc5B?_{D-n;4N30z*s52QOiNl!e5<&{JJL^-C{FRt^;1mpH#{Wun-u$akW^sE zAM+0MlNgFFUVFdQ7Wo(6F(->HiaOo}C(Fqw=XThMI9YLkch-q=NB$e{q!ZzeqJekC zi5w;Ga0wLL66y1{|wAv`L!;cE9-O5JwE;)I(s!zQ(fILlk2bEk%(^RKX z#Kr2N@*NW7EFP+q2$Vu~qV0*vV&sMACe9JtFGkGz6ftQrb7bN&vF&@>*~Cn-)qBR0 z#06r<_fVlNMhzFHed6j~YdaKY5%i)U#3WrwubmzJM_pDg(T*9a8|ZN=5tiCTL`74a z*e;|E4jT#A)?Blgku;;W@&FWJfyV^_Vx13m{l z9e6(Q2jFC29J>#rUju)TFE43rbo`(omt4)ac94sV>U=u~g|D$KpSnpt%h-&}Ct5J} zh<(i{vp!T`Q8uC%v}1iW{0^C2c6sq3){wn}PEq$@UF9Ib z48@rYd8Xh?^OwJEhhAiMS@;_!R-`r&j~eDyOlTs^F-)zZpLG#@N(R1 zAG&88(?+|ffp(du(55vwU1m(we%rvhO#eYUy&>-QCC|@uC^QHg(?vnFiH9f+A{UzI z5LqmWrA<17OO--Pnu)-ebl#C}JBcEMcdnail9%yLbvu|8ZoIB$JBB=ncc7WdP|V}C zH#=;RyFeSyW{YAP??SWvWchvG;Zo9M#W~*De$pLz7w=@h)g8qM-jx{JDET7Z!5GIV zg)Q$)r}b%h8t+7>-DyQI?@}jqq5NCk(N2ej3Rm9wPMde~>AcoX`*(`5ysMqIRQXD^ zy3>)Wuu`{GSu5qq>gFmtrNUp`S%r*?IqE}I4yg*Rx}(bGx_k;QRqP#)#-(Z-B>(#R ztW_#&^%l8xkSay3l-nIw2B>$-q4Zv=UMF{$r*u;9sB*l=iA;2-+umchC&tsQ?r|#< zNp#0()?lJ{gGDsQCXv}-9nDrGj%cuq=1xqsZLsTL{g8N~K3Bb@JE+HRCcD)2G_}Ka zD*5617NYz3yt<(&+n90t^=n&u?z-hp(V1>i4Sl&TvcYjE2uVdk!oZ~f>o2sms9F$VPGb>P zLmkBv8S-3%?yANz#w%6&RTx+?c^l=Z(79sTHu4=c9o;n)$1;+Wn}ul6Gzh~ zrdu=^gfvk)QEZq=gZNgjA%~WfPI{|&$ve_Wkl^Nau90k#|I9<&pFP1V2PoiGz;GK;%bI^f&*db7(j)|1)-)Aai3)mHE1Zxf3KN!|W6 zmY)on>RK~mKCae|H#r4_)R0B`1?pzAJBzs*1s6vJc)h9JVhRiJeN%VGln~(UfwE6t z0sbC!?@W=+Jk2xze}y?&6NRMhqRCkzA0$I8%nEICZuYokch7nbW!}0Gq_h9oRrm0> zn}WR+v(@D>BeKGQJ#X1X1G@lk1il8m6?ksUh@Oxp=TeOB0Gy5-2<16r8 zxKrCnD=4Y94vX-zrjS0~t-6h- zxIUk-+8<5)K7Lr;NmEpx->KR^O+kHLr|R~aV*7l{YkxKQ^?8@qT{%G2c4d)ERyPwX zcsYa^r{flG)LaXP^+6WN%Ylt@>n?VKb{Rj)oY3KH_Ckw9n<%szr*blKku>8ckrs>aqg9R{t(96& zK7O?7@uSsjT+o0Ytqkc?K7O?NQ2d3Af#QIf6;Ui`#ZsM{IDuN{21XP6n08EqOA~jl zmfXN8V7=7(Hh2_poVDx*dI5Wvc4UKd0e7m_zJdLS^|Lm#!Tk|ujMlw@`G|c%8{gpi zh`U@%YT(APK54xhJmWZIEmKU3W3SbY5Ie z|2b#Nmq+n50k(X_Lf+{BM~$4yJKk)qK`hk8W;=;I$QUnX>sdbuLd5QR&Paiqn5k!< z6~u{M^(b~g6mxx8e+&3xPalrGfYD3yVQ&;f^*Z@*Ckd#%tV66H1wp-Thd7}EmtMvp zB)`V?x*X!p7f^aRGg*HM{CYiRa@+-+!G!LhSZSGt329528_JVoO{GBN+8ugP7N@c5 z4qhRx)^NMSSICGO$AEx;q~kT70U`g$_!5f%lr)l{NK^Y_1w~Zsa9cF%8_3Em-*G z6r<$-w-LG3q$7$2y2XRTx$+1lbS&9nrr+d@s~cmQg9^PUAvmL?%ghd;U9DSdTGo~_ zMEGC5B2A31f24Bta`5SO9=m!fix(Hoay2rfl^+Q2bf zip*Bs3tWohaVaXlvY!oKif3^t(i^nraVcg92~SkbNZYd?3ed>*3+)EZR5>C`PdbCo zG3V+jR~0eFWpwV4m#J=?sCr;$ip3X>jcy#D9uvlptrjsV#`}=JDrOoFr8s0LSjLVN zn_Kc6V@rztEk(5Pa*A!3e6dlJ;uxl|GoJ3YJ|#~#9`Ck0r3f)z?538>%Zx|59m*AM z#&g{^Z{!8WQ{DD&6miC`Zd(s|wedi=qX(1%+5@cjK`G!^fZaYQ1zZTA7C|ZCaDYP* zlmgBM*gTd`HqNj5J<$AS*~?%@Iqy0Gf!yRi*Ja%rqMRQDApnNlF9<>aRJqr2V%c^W@_ zFZB}_%1`l*_EQ!r-tf-%6W+=9@ml-I?-Y-DSNlm+d6!zFw7bW=ow#a{7)=XLOd6y{Gg=d83{s-$3lg&j zua0+HFSppOlB?IsEvG5F)!XI7X{u)RMmgo7vR}PdPI##LD6pb4FNaI&Ht|RG`&TkBNwX@773P;c(L~-fH&ReWWu2!wn$+GaRCld@M@e={THBaIzI}{4j57PVHs^qU0d@zz1v~|K z?3$#&$E(N3)L!v&({|AuN>*E2Rq)o3Vx0a%aHIZa;h^d?%2O;hDc2ZfZH3zP-(0HQ z{GX)+&-F=G``4hYLtBf6elhyR>yA>Z+53V9mQ)?rj{fZ!@yW1(3&ScH9AOv-!w?IG zMRNOrG`W36h@N5|i57|$kLHawqKrE*r7z6*7Ka>%Lq0`Y{oPW6KMwtM?VrSqb!fwn z>;EL)T7!oEt+h9ZFW|$)_a@bEH?@Z`_IEUPq-_EFjBX^-Q@D$C_K}W{Sbyn4+bte( zY<2GK){odKT|ClKxM?~P;GV2dra692L z$5rRrZgZKvC+*70Re!f`n9FbMyd8AHPE&@Xj5I8X-a+J z5M`nKNn*yJRk!aKXbBYaHk)0avA@<XuI8b;SwwaHqmjJ;4Fs3N#1cBB$hH2HoPGM7_mZT*}FG=3+*>U=H1N zF}+eSg^qgOgMwvr&-XMNL1qK(JwqW_(BSl*J`qLjSnruX2v#?^+0g>Ef(C{iHo#V%ANjL0c_$3!(XH$BP*u zjKf-q7$WMkw3EdUQU6`LPz(`uwl-A^5%ulbd1B`ldaibwnBBtsNxMSqK3v0Wf|yyx zIIEp4b}geX(dLP{Wz4^|OU0hAY4+NTUfOHMMs0bo(`))9ZBZ}lHS z%Wz|y)PB?J;zpmZ758$gc@OIxMJplrYLNg<;Y?xjz_EVI1m<4d3yrOL{9@cr%ZvWA2p6Bfkz&vtTFocdJct9YK!%PigY{TRi(~2I?{3|Y#pkE zMhqN9O>L+VJV7bayZW@d3!(1HYG(?e?i$_hD&(#d*tK&n z`+ulwl#G-6I@I==cye!tx=vGs+<#N8*%Xk%OR%sptmieDt$jG2G9MGOKBtVgVJC09nRa|*5_42#`3LT#bA6lug1dJu$i~jY%`NHP8MR~*5|sB ze%810E}8A0(XPsxOpf(wL&zMuip-(W-H=QEq_3Ss#Q>`{TvKan}-&7*g?`Wd9 zlEPxnNvy1N*hYJiII)tjjloTvTWN#ZI*C&&$=jGy5|>xn=g=M`iYiGtjM0g+Ds6M< z=M$mvlEYk<2;s_mw2z6C1}*L}924gcTHm8@#{Jat9y1g7Q@d!|ZQM_Z(Ts51PpQ%L zR@_f1(aZ&jiw3Vog*ea&9V~icFx{qu(~#&&CwH*LiDT*Z9o*hTD>~^il;_+<={*zm z#J!@j9+93AStteR~dPc|=6-7*XM!JjY7D+6N5u(J1G#2rU=$nXS7GaS{9FfT){Uxew z6Ku1v6-{hQ*+x`}=C%p95z>(InZAv5L$thYe2zu1NYs{^Lp&jx)ixoA@U1AfEhC5Y zhiFM#;ynvj(WJJC_lSE$^V>xC2-8J{ZIkYiei5x|ONzD_D@tlhizZ%z%0zNBVWlX$ zEi;<*S+uA^&|zUEN~=ieAg&jETOsTqB#WjG)RkH#u>RB~Ye?q&%Z>?jcimB>)TJ+` z>{o>uZF||(ffwsqh06xc_7l43@w)RGt~uj9<%uf6Xw}O_WlF3JtsXezWKlyW=^`Vo zhfv%3iJmra$%*=f_MLYBH#Xa6#jo+T%s~5Mn`Yf)hekznK(j%!M;o>~rdbzQqk$KI z7l0Rl7l0Rl7l1zk|38GicT`j96E{veHH45tCxjLtR8dhQB$5CkC7>Wuv$3I~f}%!6 zNl{2a1w}e{&a&76-vz!dI9?a{yTIQC{w{}UEw9fd zEVGeVoA7eR8)=fsOU`^FW0(-~U@vL0$w?mOC37*^h|*b@NW&PyG#0MSU`I&oLYEmr z2(B7tXC&2@4hgzKkH^UF=|B=Y5TYBJu_NuzG2OemTshfM?f%dh!qX!;n8`Z~p z;DL5P-PHpRv|VaV4?NJ0s@oaxKr2-rVZa0JpX##=c%ap&4>I6^)};Q8ff$l+Ri9vB zh7>o|=NTwBdAs^o2F^|KPTkgGwOg)KA8tYKR$Ny9-h#}9c7q36u(^sM_30MGefe(n z@fOT|#eFrf98H8WmUS79{S;C1q zq4UIJg+S^wPqIQdE+_oC*g+W9&v-6L6~^{6DdJF}Pe0IUE*3`ihwTu13WNHCc1Q|@ z6Z%85#gm2p{UOt`Y$XsZFEJnJN1Z3G)!l;}3A7t{4DALcl@ThS-GI0L7xVrYn#AbOr>s#E_sik2cu^>Jmksv`J6F~ey#)5=`Oa_57l#T%j0ht662r?cd z90ZPIrh!C&FhF8J{6L~Xm>?_=I!F{qFoc^Yf&_q!OF8`R?ZHFSZ6P$v;bz!#i3ZM` z3?vuU%FW2I<-%II@(iq=Fju!0UPpmSDhFOifl4X{UPnWMWg?BQJba=It+7jDtPyiH zfl16Y5TG!75p$P}ek|FDw62H#Q(Is}8HB4M3^!5-2qg!Kz(qWdr7j@^AI- z2GkMN@9L@s+(P9S^^P2?g{qC}%{k~Hr9r(f2RWoVpqAud-IUMNbvXz()poTa2eVsw zN4+ZtwOe&sy)g%utNf_m-fxww+N9ppkG`+Grrz6+ystVAEgP|qs1JY!66n?^apt$# zl{=l|%xuGzJFn%eYIFQR_{K>uv-&{9ah8@@e{ibi6qTVrI45!{hY`>c8;vp~3Tj7O z>?uuBAJdqK85Q^{MYy&USX_P1BYh3`AQXhHfu=W+CLo)raJ&d5U7}&%2HJY0v*8AW zf-otROIi|8!vwEK-O|4moE<@3B~(gxnZhHcjXKOzRcbxTJg$;|N>`iE4dFjfcKWHU z{6?fozo0dz5iw0at93>r>WY4KYks~}h@RJ)nU6fGpWB+7k65J7YR%3^z0$8}EgZ0N z*Qd5-3?TRD7q`wDK;-EQTC)aF5A^F=3s_c@^zp6JS;%wx*{yjj#9ICI)|o8SH~sQf zSfY#5Pb=kjA*=O^N^`mpiTb?K8KWnos6ljK$>5sn+DCvw#wqTw&p`gK<9Q?`T>$|p_G`PbRyQ-0Tx>u~wx)w;2Dj``FtI)@Ca0TM;s6I36K{6>9RoP0_3Cx z;t3G<3``d}E2Mj^%P1-Y_#vT80W0@g2xSTs;D>}V1-v|9NIIzT0)9x@XD*5`JsRD{ z^(Ev*W6QYCgqhKpVeS}0Q8dm5Vm|y1v;ty2IUU%k5c8SQf%yw!KKUKEAc*;7b~$D$ zHbd?ceN%oNa-YbXQ0(x>AT|n$9rh0*qM+E})F7rEiXDy)qS~R@;oKl@85BDla>99B2Ov{We6 z9WX8Bf2ohbv{W2ccfqujzf@~rS}JxySO{Jz|Aw#-yi)!SVIg>>{GvVx(^9b!_-x>n z(x5&8(^3JwqF`FepQ(R^X{p$*Zi8tlzoR}3(^7F-{X0xc`A78un3jr7>eDbS<=525 zVOlDVtN-Z7PL%&^TnE#V1PoCyEh*Xs9{ zjt#z>Wxtz>BGMbJ-Ww_fk{BBbkiOQ%I8cD}RS{#i14v(Y#W?Oz*$JS(dbVr`>PLwSqmJj=!mJf@+cVN@b#8 zr^tS#Dp0URWcx`uU(h7Ne^OD6Vv!AAIn!7xa=@#`8|5Oq&B~uy|FC#y^RIFs#HiC= zg7_wPTDfDiXPbMw@h+{KE9LwPJEOe-Wl*t@`@hU z>0>M2qoH;B+e#j2B07DQ;&U2CXP{E@O%o%cC5x*yej>kQ$t6v+h<;goNW&BbT$a4h zutYw=;+@d$&OcakPZK5bJt97%S-5>wcctaEGQ*Qg8q4;}aOP4@vq>55T=EKbbOx16 z{m8b@@a_t96E|plKlLhlyMf8) zUBzZW54Y5-m^%g*KmICitO3cN#zdbs(D{i>>5mfVx9V2KeXaTsSm|iuTfa2Q;}cg`_(675MLo^)!k`}l~)VYA~aTU zNzf`nR>|pE6VfwC_7I9G&G4v!P)t$=u?9jh#Tjlj5Q@pkpwvJpMwH=|0-+dghEocJ zV&-JHra&lWMg}>>?f~v!^-a{6DK90ve*K_5#$O{?$(S4wSnt)~p6qxP+|R*n2kwpF z)_}W=F}c;R-Ydr~*^y~?2fL$sAu43b9?AA!cWICC69g+5zO4cEPC4$Et&iEwMc=KC zLb^|Jm2CR8Mtcz4%fanm@6_*h*}4SWzkz!axc7ql1h`j$I}qHk_iJ!}s{Vn%P5CL7 z(bH0|PuF9rd8|^d9+${Nnk7l-SW=KS5vEU0Gqwq)&-6NcMZitj4Ib9KvB&y6X_s~; zeauMi9K>V!G3cG-P!ZTJd&|R{Wj!|QNx$pT>5kCg6w?ifC* zLn!spV>?~8BJ&}F99GS;nmGk6KKjd$bde8I`!S+ex2g3P-L;53-SJwSab*vxG>~i6 z>3+g%z^)qqruqTa@y`je>VKrV{iPkovY1@6pGT<3Z8L>smuR=IdOs%o&pzqSUpUg4 z0C`oaGp6R$8W-o(j(zM|XGi1`X0y4G6fW@zdrqVm*U6bZBhrcMyp3HR>8dBZy<_rr za@LbumifhdIPLtRHy+C#nwbM}5h$ ztwS`ETsgsYHqDe>9Je}5GkF$gVx2=X^=}Tg4mF1~ffGKx}# zzi{vwR!>P7PFRNZQ_4n;R|fRj=W${)?4ME%9EmwRchAo?eRvYkrvK81AUVC2X@o(H zfE^N(a00_8Te?*^mf`;cb-*x{pU{Y$YgoWfYeYOV%;IwzQDnnv{?vS{?FJsSnLuV4 z=JHeX5qAt({P=v-Si=hbv;p7-NaZIEAXgX`^V0_q9}NY)>;dS@w5~Ua1*EI-y<8SD z)iAp^g@w3gm=5h)P@#t9y~$nB3~fV7NKluWS% zk__t7d4f{8RfJ#uWEVHQr@h@7hpOu3Sl>S}vO0~Qi+{4L@1K8Av;TaLy{KGxEyy;* z+3({|zjOE`<`Ddx`pARaB!B&&Mq{UQ$3$e+AaB8}d|vn6x1J|Lvz00Z?iA1uedd+%J@?i{>EPNr?mv7yVe zjEhMqVi#qRc3K;SMSY;N{pqk$yj>WWsym_mlXvFgyo>i64b={j^xDyCG1p zZG=<;EpbCl%UiQ55Wm42!h`r@GEbkoy6c2jf|>Fat&{W<`<;cJuN~U(pTPYs{+$E` z#kx8u*5yO7ZcZ0EQ6EwoJ%>KR?m~E1iP7GQpQnxI>=xL>IyvbM`ApQ!hx;$` z7gJQlhf0M(s1$d~#>wS`>>SAAY>#^_1^liBpx9wrf1BSXtYqNIc#*%P)^>_HFe3EC>j zr%&h!%@nif{yia?k_!6Rp75JuBt4YDxG9-JpUhxJiRpA-MsSowNFT!pYZnvgA&j7Q zNhW;~BXpTKiXO-aSteOVAJ2eJPPX*$7REbCGCi(^X)6xa&{~3RB?~nXEn!Nrn}*R6 zq?F`pVp>9z#S=AtEg{L0HJa!aVA95Fn8m=PouFYA1Cw@uhF%;TESaZ?Dh@j$rf7nT zgN{hDH4}?N7Xsl(Krv8fuhfhy4j&TZHDRKn+YrcI*E==ZYA-LomlusJ;LYw$jYd4= zP4A75Mos1|@153R^#^ZSZ(;{>9dA)@dI#b=FE4`KfkN@tMkJM6$qe5F@_9v~z>kZkwyt*UVt1RD;Do z!fkcL%6E1-knfsnTH2aFiprKh5gZty9wP>77Y=(v36b=)iIf8@NFPo1P(mc#Wb)|u zyDqzCLP80V^f78f%e-+Y0`F;}=);B?6eG?ScH^Kd|Xah(U$VL#*AA|lF^v9q-20ae+IMCxjj|06M z^hZB|`GJKdr->ncrQE^}7>pGGkv$ZD+U4ex@=wR{Bs#==a6S{t#OC2UbbH3teJpRWg|n9pje(4gE+l^aR;{q5TNE1}cfl zjJ}ax6%cez*K^H>8zA+$P4_}*J2E8&QlATqA(4>!oF`tYPca{FfYj$H-De?wgmV>A zpR0|5k&ybNKl0W4v>|H3<0g!JiNQeDLRkKOg-0;2!}00Qd*MKLGv# z@DG500Q>{sXMvvueiryy;AerK1%4LzS>W#ie;4?>z~2S_F7S6b#Gm?ju3I`Onu8vm zEN85@s?%?wwU5|vp{-$yiAqTIK=m0;(yC(aR{<|7LLL;0Zqp~yej9PoJ2icnm3B;|FJ_H{&SU8rs^?N zDd3Vqe!%TCj`l|kK1%LP+aF^SrN~*ldZ*JQPT0MRu6%7%BfOzz@skFuHX3I0xhx#d zu!x^BdeYN5g^Tv*&f#ZrF$cKi{M=^LT<)6QKPz7`)yLxg!e3V8L+%AC=8R}HIv7b@1k3SH|Vh(w)mK@CA9>bwii4a$ywZ9uvy zW~tj6>~_ojA!>x*tyrx7z5mCXbC52c)<*3heq%2xBXmHOMqZgq2fT6IuMYGoCmeq8 z{(wAMy)DUZVs$mL+{O_z7#t;DLiZgEi;{TJ#|#Fwiwl8lC$wD>`;QZ99S`4|{uKTF z!UY^wJ^-A1DD1{dM0?5?Euqfa-ocUS5@0L+M?-LN@|qiwNkZ)BW<=zY;HlTy@uHF_ zXk^%2RAmaL#Mnn<)FZZWXGP4aM@|3pyZEpM*$@yceW@WfI9<2DO3KnQGssu*IP2%~ zA;FT)goyOp==T>ENHLmmIWBKVOyUZiskB3&XaUwytYBBM^$t~nU}Ld;wlYBQU&H)) zf=$KvyGn{+Z?Vl?RklD@>@ZF_POz=mZk%eRKvnGcn-VYBBl0eBoP}8_PZJy(v|p(R z69B*2C;1Y=$wB-lg_odv&;~CrG^z(3@QPSt>!96c`FbPpki-&Du%3lBl4K9-S#(C{ zNOVPT6|858F#qm?KTq(5^(=lP$u&?Puf;vy08$0A5kxg?#6==Tp?-JNFF?{{m}8#C zuqjPR!F0nc9v|sB8a7WH2eWv7v8#D!gToKXa&6qNmD;jM$I+1IGG`%TB%O&4G|)?v znb1|X*KH}w3;7uhX|>$a#}3X$#99bbp*|YxIV))hLBZ(*C@i- z<-`7Ri0i*qoaCeoJIMXdW7-U>Xq!j8^yE0P$dpJZ8buT3O`Ivic1eDlC3-0E;f`Xr zDj##AV5WurKnLlIXa`2zn~6Wgw@oogzCSPv3^uiCqqv)@Z8TX7CQ5mWwk-w~r8-4Z z#o*eN?`eBFt=d&8T6HISneqy4XD4!*>L_hXC-$B46|Jcg@lLfzE$+nFDj%q8J5jc( zb8nA?wpU6k?}<%6&S^-Fk3Jq2jht9%*uUyurdM}J+3?eXd#Xck10!cfNhD6n$KBM}F_^#Vw-uOMDe)-X5$UDZ*(AxK?Ah+=x^zayoZT#8fVVH+n=P z)zIA-0UDW!j%D~fLizJjfSCY!fCn7udc<5_K0i&5dd92ZbNsBxyea&te#q@SAr#&t zvUr*N)DG+&o;N?<9y``R;7_y1oQ619qCIW}#KF>Q&>szqUUm)E!Qj%HRD;=Mi0S3l z;8G3f-jozrn(x;;B?TL5AoV7vV2&H2dlOP{iw*X@X;;zz8koJDt3cv7LA}4hZlYqf z`c#ACSvf|1G{^d^f~P*0W4{J|MGoZv+236CnH>CAIaz%&$L6adOWmF0fR&FGsB?gm ze1)Jj$FWN8AZY2gu2Q55I{Sf;CsfefZ=0Z4Ea>RRUzB?aj`iDIR1^rh`W*t~lLeao zZ()x5*oaxLE2-`#tA=3w`DxTL>lAABy58V{(9b@cC+5kbyMQ~k0QS@e{bb4u-;xjXWG22lTWR!Y8 zoitJU1D3qH7UG*J`IkOc6bom_hco;QM;}8E6(u)APuUb>WSx~4d6zD!4r5Q9rQ_E* z*hAeIyUzUsb+v_H^#kP#6sUQ#n6^M?Z#_s?O4BqhgEXb=lLlSvds&Kbpg zSq#MhN^WUWi@lrDuk>UKBp{EdPqbi%wi{FsM*21&p zCXLcK!3q_WKX*1iB^t4jH-^uT#(m-0)dE@8GSv>+p<+zCVkHfJ$cg%R$n99&RBeWY z59EE8(6$fammC;g{@9>fOfSot&UL5*c^;gxyUw;VG!2|n!f|fh0dXru| zexz1U`EK}!Us>jNyT}7`THmBT7(*EfPuuWSwG9qDqoq5>foO2$7dFDdsPW$o2LLkR^p|3XPPZ*gn(ZPZaH zdrBTaWh>X}Z2PR3&JH?ZADT%@)gjB`8p6G-mh;9$bQN*DTF_?qCWQ;=XKhgK5C8AcOWxyW%*zw zeZmMA|0*#ll2ilrP5e3}7C5cjT`*YrC3YONdv@9aM28D{<5{S)sz~)w5v80mo0B-~ zQ5;@qwHSWObGxi_l?wI71}LZb3rb8e(Ug>7-;0EcWnyggmW(pgt(V z&7i)O9x+X>^?QWduD7pc#9BQwr0}yVtdmuovLZhRX_^skU3$4~#gK)a$X3|&;=4j#b2 zh35`LBiUWIpe+bpRD#!0<2D+hBSp)Is|Tf9EE6uWKHu*p4PUvzsM;&o*$>ZJ58?eI z5MA^d)*8iW(@j<*86N1zs(8Wv7>}Xw%^QI*6)wDCxLC)JR|r0(z0}V?skXu355P2LW1DhZ> z2^tuPD*0C0ta=QJJE1qd9=DE*fnq>uB9{kmtdbKN+d<|L>1K_aC}{@nU#>%M?rm%+ z&$D;RfWvOZS>yT)$7om+z7DEg&9n1;`S(Inb!jJ~QRleJ`Bxs~N*)$2u=^xmsXlvV z1$yltH!-iB3piWPe6;Fbdw|*xi-eSq?s|{@vwcn}+aCF>Yq3kr;PyIWZ4%-@*W&0H z564H^I*}QxT=U?Rv6vMwbm?cg>0WkeO7y?!Gq||Ye0y}|rOL4}J_(X-!_eMYd8pJ_ z&bon|Z~B`Q<9?$?`}>HESL2rXCs2b)tmT+{Pj-cLI^D?ET@yXGU1At>5AjR;x1&&& zMybu6gIT~G2jnC8H-ri7+&brG=ik|D>fGlLRLnAYoyzXk3g(3z*aH@u|^-IcsC?Tr;$*nxn=ykdaD)OsikM2Y7LhA?_;2bRP9Eu z4E#XIWf@k3EM^cnz8Ovffx+-AnAXdkflcKRJBx0k9ic*eSCUJb_Q?&`4td;svtaZu z@eU!b*ng$;u8>;nyHfTWurX2}FX}CPjdC>X^eBf~n8ab+pdTKVXwU}fc*zM<#9+W? z@f#CElrw6xMn2orm`CY%Dx2O>a8fHcC8&Q9mST3+c zP-mjMz$%YlO;IiZKM)rT6ZwFt7!CqWM3Z#;jMz31vej>D_#_STO0fN z+vc@hm4B?YA6P$U>Bbe`pO;-zEKNMkkVV=KMK z^D$R3>>rVM+B^+I1SErR zhMUaenSXCVuThNAQZ-S7q3e-F%3su5TpZ66owfIb^bxpf9xMMcP8tpwDI7(7RmSNY zP2uMKBkmuZr?V>~$@YX>T6UzTJ#may5b131bV|#QbRYG5F8)Or<`VW?;v|f938ILb z3{xV2O=!PC7?Hq4%r?Xc8gpzfs^$uS)HOg!7C>%gz0-fBuA{+s#gFy5=5sl?AB0!B zCLwBMS_!&Rl`2s7+aCmin%(`j2Z7+$zRlq(>4A>fhPq0br1NOAyGlN%W3}NRF04bg zIWkG#bo4SSCIzP>mRXNNXz+l^IwxSW^qI*e2Z(XEo6tG_Y0^7RM+B)GhW2+cVNkFk zIL8}UTe&ULO`uNS5Zv$atL<-~9(Ouod~6M5t-$TNg^!s;pP{=BY6wuVZU!1wP#=LB z4k~zYpz0v;!2lLk@Fs%V2PzX(@CJhV7pTENzM5^|V`g#vYqJ^=?TX8^b1}|O3G;!! zzNGg5BVJw2TTz>S+v*E1wbo~$1gROvU<`?;Xu=qf^P+2F8NqI1p~i<1<|ZL(A{jxu z#hIEQM(A!ylx6}WBv-slG%$8;d$u6PUvPhwWOUPYm znb6ZE{I1MKh-zSrlTH;nkMK&c+YA)*(SFk^=}%+5fMhA5?rH7@;ON|~ny-5-=JEzpqqLz88bHTL}>m!<189{u5$Whf0Y zhLMJ=HZhR+OBrYn#eVA;w?wB>=4QH4E z)Ds*fTTM><(3v)r$)Ctp7!XkSTu!HSH#@gAG~%O7 z_sUt6?gLNSMkaff>AhddeSf4RdJNB;Xp(o|a!O2@n&=TZ7Cas0dZ$}pxSDh&;VK{^ zL%?_hj7PzE3{Y+3z;G4}ufR}}1cpd393&+Qmn(hS{9$~zKGpOX01qW6B~x{}uMBzD1eh0cRZHPJ%~c$1l<)nRm6LWJ*i|F$3k>~@I?#d zYNGf#;_*C$c?ZM#5oM!xk|shllZ1Gy&_KPveFX#y9C0ON>8Lkzf7P7Qc+B2pS^WGNZd1@9ATRDtE zMX5K>p%-Gr-+7@C?Aurr&od(FHb%yq9KpSfi|3)t+lnDVl_2OWh6q)Fpt;y~J>{B~ z(dSV^3Dvsv5rG3%TxQCTC~U+9$lvR@Wrzm9Fm$(ErJYk2Ig*u+TljF1r!?b=sFXSG>1%XP0E+IqGR1?x4;Dvc$m<Sa+P~GEDhOs@tkI;YX^JwQp?oSDC#f>KTndrhTQ+?)m4I_F&V$ompiL~w!mpSru zc#{lbFk(>~x#|3z3h3rlsBRfSlAQnGlnwhce7=gennL)=6%NU&rEJ&XP)5jk)HD5O zb=M$dJiN6pO^N)B52)=BnyGTJ3RX#sDUsHV{#e;52zm1CFhWVZ|Ui0U78l?!5_ zvQE8Y#Pv31Kif0X?KXKfdvYY@HuVV`73mdCa%THRIz>~qv7LwQih~1W^Gq{)^GC6{ zuxu1=G%<;zHrL6OlK1+@#)?QxKGe?G8KO(;8t`G1F8=J;7_6x! z3%!a%pUXlF$le4ddNG9Ex98a3ht5_DhaEc;n3#LYxyFfY9{J(fz~Pu&x<3cA1VZoE zgbtm&W0*6_6~=MUr8jJxc#=M$^iV(g4aD9b3hg=*OE906mAZ98_u}y1WZw+TB#?!@iPTxHng)<3)UUlTds&{!(VeqT}aXskq_$z8-Z}dBK=bhGxOD;3Y#_ z$<`%KKt`NDfLNoL1bs%rdh-Sx&XYciV@-wT?VXMl zq4#A=_1}$oeTa&{iPE>cz}}hV*f_Y9x0pD+=`8eDRkhA)*xH40R?N|axG=pi@%q_< z7LmuO-#)7g5P*X&Q#C*hSZ8vDb_kRj;tffk#@)qtA8xk64$3g_7gbapdYIV2D8z2l zhd}d^;09(Ps$1!0Jkx(w@_6NDu z2_?_9SHU@}?HA;7;RW>bi&ZvPRSwP#;lVEia>W?9xl?ldj))DK;2h#fkC8y&LX8Gt zg0Mj7AWflL7L2N_%FZC=_HE64*`3z7&jzvMUFiT)DV z!?wS%YlWvAK3$yW{#tcyT)+38#ig`y4~zl;{0e{z07wMD=8LCLn++hBfKpWt0y{J+ zudUqtbA6L3ZqMRc-l%oEay?KqI<~72v}zaYWl9chr;GhEl@D!;i|sq86o`$4lD!KY zm|M2c+CUARgNXatzeKu`oMy8#A}J*2C+xCFuX=(rdupUpJ#iZ}(X?au2FTh?Gx=$w z*vpU`#lYMu7_|vjcC-D5$H&B{04?K;Qs{kfop?+4H*_I^y0jzEg#_x-hJ^SQpXbuu zLa!G8=d$}kL<_V)Ju7r-38cur3T=vMKM)Rv%-*CDDC0}$<(5Dh-@M+G5-8)#?wvAf zmkVu9J=#1QLsp94>(ffl1K}#LtZo!Kb|$^XP*v-U#5V5^B2Ih2MC(lPK<-psFxr-( zJ1B{|?IxFEC_?|ugzijkME|P~Dm|U__}{LC=C`4RA??sV7u#7#0!~M%F#(o7_}R+# z@SI9j4BI6z2Nr8$Ityl?Rzb`+zYvI5Lrb@`IJ_aR(5};jLHUv78`K?fqOClk z?r3T>tRtOm78fJEAgCB`iZnwpFN?kpU7(*10X{cY@IK5I#S&xLFiSM09G9+4*G@ON zn>$AEEZ?uv`LmP6FX(GIXOeQv6@E;S50O&7WdkNW;ZNyK`Y*aGb*;wj;93YSczypM z-+(!p_@{I{xb}eSIJj1VE09R(a<{R2qyl18a65v#8r=KAUFOFe@gq_y+-&S(!9Cgc z7u}1xR`pCU)8T-dH(-k3T(N*Y3h2{0*z-Y+C+b%YwZz5u%f(b5d{Q1e0c21Zs;6`*F$VU73$N$chsTsIu!F&yJ<-LP>>kH|b9Tp>}h)bw?8SPgKF zgUS-Y?jHO>m4~3J$7Y@~U$CPGmI1K@n|th@D=P&1dK{l$sz=xfFN-%u&edufq6B3r zuC0Ct?MDI++UK}U$1+txs2wVzU?amOTbU`?&Tz<9MF}=B?CvU;3HCA^??S(3S&U~1 ziL49gvn`?Ql!ls?wPtl-e=0+C>lx?@B-`BbvHV6d>;hd~KSbbe288R*6$5)^hDwlFX zfeShGJP@820rRodkir{+n~u?h@6!$G_Ewwp?A{!EWU5}!JHsAv4SIg(kJc-f3v(6@ zDE={eL?RoZkI{Sm4Y>W<=F<`mNi{C0Fyd^TLFmy5smtSfbm`#+>oDhS+Gi#zkg(e4 zs)}Idj_*wv#ot%PX|qh}y=kME6HvUgNKYy~-e7ye`Ih#haAGIts?~VqE@MEX-2ii? zI1hdsj`lk&abBzY7igE`U*lH6I>@jx>w!?bY%TCDpX}KK%&K45YwNrR!lT%@46Aae zWe&`+E{8==D2=s<6&{T3CdAn}8DWx~H5wnjvUqa`GXA>#pUO`HoB-KvyaD=t|4%Tzr;5 zd~vaHe%T}!|2J0q4SNMOopv?kRkAXZS481}T>xC?+&-@q;%8YA7Z)+B9Nn!f+Y0=i_p`m%EpMdmOm;fcqM_cYu4*)y3we0116Q zt{;x@8XzkHat(u7rL7oN^*D)q+ zhtyf<8WXfbHeJX&`!rL#s*Fu(vz-Gaf#ce+bD$&;--drmdc_HALp-IpbG+Ju9=15` z;l#FKo>KETRU^=<`T?8M=1C$>V*k?SOmaHM&S-NdIj?1xwNdK{-`G>zyz7ZL_JT4( zJrwKADs!oamMW{uNHYkR;8{7Qg^?ysHu=TGH$tJn*}s<^>_J{9&eHu&cWTqBj%-Tq&Fw(UR32r!_u)Ip_ryo_>(qOT9Zyg`%N9X00$yZJIPcYd)Qsum zu&kDl9Ho2o@y2<>5uH31atXZ2EY^f{7O-sJ5VlAr8J-x!hDn`yEQdEvH^gfVr;M?d zgxFchH^Y0iOoS*WJ>&!q(+8P_NJsfjoyS^~gVI4zR+0qWIG>KW$KE)M6N zW^2y~nIma6D5wxppKP#NPjJ?45fTRj*CW0g=0vbZZL!M7TH5d=7v>MEczu(gWyEgO z?+5mRo)nRvg7MWyM`WfzLd{iB-(ZuVnkA@daJZC%`lmMWg1b_(um6&9KW}*yWsXy!FaU zO9-zOu!}nY!U0eT00{tO0H_849snKyh;ci|DP^vd8ASk40AL#c4hhFMy!k;Y9}lf? zGigT|4(*C4+Bt^ZGWjyvA%^2Jg)Qw&jOSA)GN&-Z=Be|1@u()MhcI7?G%@-7Mh9C( zuo|edmGXt^mKMBH;im2c9#tsPYi@B!R!mfPwAfviuTdXsalEX+s=JDiVmQ|iJZi~Mf-KUY~m3IOFPz?^9@2Y~sC#k|pCR)IMg%x9cl5|`&${Qlry2}e7h z3rD+WIa;p890=xDmfd8q+fovoGSvc_1)wt)P&R-bTaNv}0;&ekTMMYb0?Gr>XA7v% z0kDO1$8G{&IbbM zvjrppP@Dyn2%zsd0BW*;rUPhw0D$&c%=uvcg9~V0N_p?3fQchf0TTzEfn}Tw;<=4d z;SAyqLIv^OM)|O5s@-}yNR5s4R|6XTeKt~xh(zeL4PpU5g&h$SKyx8F6YMwHSg%*w zSXTk$0zjPT1us2N z)*L)iQg{FHa_+*)8|1^^Cx;heBI3@Q1)PfGx<5y9WxU~M7}b*y+Z}qU)2MUN_|_TC z%1=>sxqn~b1q)9S4zK+qv-#}D;5xUvM|lf#PAc{ia@?H>$5b}fcQ2vQ?n0q>Q)Hy} zV1tWbd5V3je*m`M4JcUMolzw!1>(0$daFtFzjW8-9rkGxobm-r(bRsb4)ZCoRDyEK zHww_y7?KWy2h|tUVo)hSxeBT?sB<8semF+iy!12a9Rt}epV4tSI1{K=lXyp8)5*t| zSqwp7*a?OKFub!ELcq`jhEXs?af5Togu}&I{ANv-Pn|M*BM%HtV3+}hNH7?{u-$^l z1Va!Q4pEfZ-V!vH-E-EF4q`2Mt!t=$IG}-nrnNuLNaUv*ym<;5h>4KLVfd z$ZmiRfnlM=0H5&44lvvY!;r-QpYX^&Fnk4r8yFTcz)%N z>p-4*ah*kBKL1bovHm~Lm;aOpR=a?4_5Dq@#nzQqI{_G1PtxXCcG_e6pKD6|f3DB9 zUye7_Tz%!V3$nyLUA(&0%o{yjCI8!O``_m5|2F^pZv&L87D)Kk2|&4ea{C;wD`TRT zHvP8=Km6b3Z98mq4EQd5;s4}7JzV^88+=+azTi0no`odS;EqLs|J;h>;CThGhww>< z5@4V4@UhR%0!S!8mXHBrx!xaGAn=)n(g3o`0?7l&WPrS}KrDaaCyN4Kz#R@;9f<(j z3m;_#1-=n6cyVxm$$oHvU%;~kuDT&Qe6FM5NwjzZz*7dEbnvW!xo~GX9BrRv=Nf>_ zw?F~`Z}})MK>5S+y`BSwYEdkQwM>SSmYuU;=S8sd8jDf@iaRKeNN^;}BsgQi!&g64 z0Qk4?cdRJ_2#&tuXe{w?-p972hey)6L)q(sGtUf-sXLoBnFmT(=9wcq!4nRij}(9% z03{aoId9qLG$>0g`-H$glVG36s@unhED8>kI3*~7aDZ-rAz&XY7~K3a&n#>OgAW+0 z!0>fy^O8Fe0KWj|+eA2=H#W0*;vGia(2V`OBhxoI9ZnmY4TcynJOM*00Sp;n@B>4) ze8!>O%*?$*GcAfC2si2lA>zcwW!k2)%ZN&g!Sj$ugn!xu!9p;0#5@zf1jiUtJ!;;? zAly~ubbNya5D0)J0O$h17Xa7+fC2y}0CGGId9?baO)xfr^8h%nfOE&CAlxxII9|oPGyq-!;4T140MPFOfFJ-Y2fz*h90$NpICO~$obYK|eZe^&oF~Bf5S(ja z?@s`DZ2@>6@+hUjxdj0D6#y6LcMflcKYC&?{Lu|bD~>z?Lz?_HZ(>j;^=17?I`wdC zBD$8>9G00~r)0s86cDf;?%5XKOizc)m-Raso>IV&2!=v1!0+0-rxt`yX5AsUSocZk z z!wWK}HXr=U6}-W!+tCxIgE9-0>lE! z0@;Hd!U~%xYD9!Y5AXtBnY+V|vn{@?!Z*Z0HmyS=XSyzV;*-pO^J<2Yu)#3h(`H13fJ6O}OWZhQhf z9tvS19VWKIL=t#B6vIRzOnf^&G43$~CURinr5-L<3YS|wemSr#)4;3pf>%`$R`A_` z0$lcj%ctQmC>S5Wi@#a{{tWOhgV%bs0M6tOme0o5fZNeSa02)d1~FGyQwEmr$JbQB z8gE#$1m5hjo#1i+T>81-QUWel#$77GB@kTRjJxawm+Rv$<7cS?m-pcEDST`L>tPTG z?_&kHJ%o>K=0cbt!HZm-4<|^669mCq{$u4m+`+zFt~h>flDN~q>j7n1DBTZ!T2902P`ZYtbr?k z82@a8VNLb;nli9V0n5$tHRB)hTR83L_&^7P;PC+sPEZYQ2v~!L2_OXhbi(+x$W4ET z-4?&vNo1XzJz>Vt)VoNYY= z6u?9@Ou&Dxv^Llzw&xxF>-L(arzC&zqO=-1Ow5Fd7kwwSXF3Y8|9zFms4*Ri?hBe$ z+#b5}lzib(5#&)otrd)G6(>CZ0*9e-hu~?&wVaiwoN{pZ0vv9GLnb(E1BU=`5WpE~ zx!^Dl98UI~JpOhiv+jiFdHo|ocXUA{=RnpW^$_=SVBUj?D45s_6aCzEJEPN&E-he? zf1CA}mV4t|mF(#I$0q&g%{9`dXQc@d5C3`PkgTb`u`%l{NVER7?p?vSQyDmYGww8J z+$j>AejRtxg45x67%|32JF?zhYzcWd?aXe;niKDGo1RrnFF!h^^t68T#iHUSpWesf zw_vT0lKJ8^Q!W7el{p9n_<2by?Q_^oz- zbBBrsq|=(?ps$+S1;k&gL|!97%BW}fmwJ-*sw=&=#qDu0Ar;5c&$e!I71lPni50PH zv^n;dAoPfhXH=`d5ihO1>ZVpediRMdruLZI?;_>7`m(FMcDLK-A~d6(mQ>enbt5Zc z)?>amtaq;I4esaQ)cy`(?M^mk2~%f_Q+RY16R}qehpoRW*q!M_2+~rV@qB83+LN2uJRQRc~bMdoHzD{30Ed^-#UfOGVX5B+RFkN+G`M{6#r_H25 zszCQO!6b1qI@nzh9r@m#X5J$6tYUp*^;b+)6}BOk8g@HB>+tLfEzzxV_zkznyq>XM zTk(qN?)(}=uwkonZU>>ByHY#b5kI`I&wGt=y7SNO#XUJg=xVV__Y4Z1C+r9qHH6`- z4Y!@I^`8%K6?opA`U$lrxWpYHfOU< zo^?;2n6x0#9kn2l&78<4f=dRtgo4XqfE3&Y!L1=H_-JYgoGUo;A^zlc)^8WSi!_e@ z@Y_!D-$SF-ia2#t2h;jU<|Qv{!zNCDf@-ELM&4_5_jE< z-=E4B$SY$fwx{m#?IV9ftZA5!o9vi?J}`HmCa&Y2^@%Lp`CJw*FK?r^R~~(^>!*;1 z3%`Ct|83&@Rff@h=dVlt&i!FJcir)!s;1P!m4~wqM_sQ5x9J>k3mSJLjk|3bcMBbN zlQyM3d)Jv2{C3TZquW-FIo$C`x>gfrA9doOXCj@rdTM0%8?6kH*@q99bdAzbAuyeGP zlnsHMi%O5L^%>?iCGl%R1cZ4!qxB0Q%o9*VkApA|^#(gcWx6%4?ELDBNN;g@Pksf~&#=E%K`KQbsMozSRE+_v^~qmQM7+gf|$Ley!Evs;V_~k}gtz zw;gk>uiZC@h-U@b7#5l`E*Xj$(B`cw@{kJaGVsiqs2Bmr}(XP$VQb|>Y~4U~`9#n{?aUB{u? zo6_%}kl2|}CGV*!!o9z9+B?|}FkXP{4|WB!7_vWps{A56$>0asA6g1`5oCWR4@SR& z>`&!zb1P(j-q~nSavAwc2WGY*!}%MOT~4$RR3%B+2afN&t^rzDaaJ!&_+b2fWP))y z1e8;T&kKUPxbcW{Mj#k)aeLA$KoR*J`Wg9*^#D{Am9)hUuLNxCM0NRpL+oM!5}v9_ z;&SL~fTD}1n(cEx)y`G`9G9FE>jDR(&f*W7{DldSwwck7Sz|h2+v{TXWcGXBq+ZdS zbNue~ufw}b`Uy8_t2A#NKbg;U;>S2|uxS}@)axzN2NT}l(U7;vUxCqyBNJsSaOqr! zwI_=|TzHhtW=7#Qn=-8)z1RkNrR{-BnwXt}%P`%su}3iMm=+MeDwKDr{Bp?;)CSj_ z#F;7h^^~8i^F~tK#a)Q?w4ZEmU6SD~D#BP)6>fu})cV|2oG9tS9XAHa_p5*$ZmHVW zH7l{O3&`QF06ClwG)7^UJJ!luRKfRH!D_54y*T~8n)(u)nq6y728#|8N=T zzpHzLYiMZeNk>YFh?1tcpyys+Sb*_cASq-Qy4rQ&l z0htN)zPSzPI}TOtj9f&dLtLAkd#Yt}=*@G)^Pp~c+Rd0pyQLX&t!hjkLoPF>iQ-3C z-EkjrtL^m0(p>BZds1U{F5Xvx<8c;fwF)LLY=Q1eg%6MWLTgb3@giR6TnZ#FgsI)3 zfOa>g?xBLf3;l?k?GxWXa^~Y z`HzTJhe%@JMHA~hl2}{d%LH?go@txvVhc(G@GlKCXMG*Df|IB5a(p_e?;vP|D4G}* z-1C|epr|VvK8R6E3NIJKu_0AC8S$9Z%_sY&bflX_Idc7_3?btHO7X zy8OUo8bD8n6|cdx6-*1j6a%KfyZC2tt+yF}Xx@YQA292{{7G1_o412hA8;3+3g*LL ze!%@8d;q4^@WL5)@i*aGH{e=(;l0cT?`ZIblidXG=ivPoPWB#5OT(&XO!a9p(Eg{u~h0a7V)+2xdc1BMG!a^6|KD`4hI=UbS`DLt*9=d zIpRL*JI4?5&8^UvdrA&kr3*~2cPvx3cG}CxZ4qBy5)8BG~67iAZ zZ7b;Yh^1|{E$hX!>F;7nzM6+Rt(c&BY{AMx%e0qV0quDQy`GrY*)z`jWi zl7uxBmhOy;I($xvy==&_t*rCy3yH^1b1>w4mEJ2&F}9#y+z{h4TWTGnFX5>M?OIfu zUxD^9EVg}87bxJK#b-Laoz0z;0M--@&9$JmpdXcIC|`WMcyL-8$J7}(kFiQ!8RSb?I=gBVXxKsT!pbSg>vr7I*~oI*4j zGll$KES*&;B8>2OQ9lqMKDIA5UmFBkhwnwRMsTg+&wzli+`LWY|A_Hc zy~b6UU)=?~4(~Y~W$jn4YAA+6&vLBn$sIy`>&WYwF@%b9EUDerhrZ8PYg?@d z7C=iKOOJNWs3TW|`DjdzPihSUNYfsHG%c|rVjHw75NbCG2xX>1XLem#95;I&Xi}8a z?(W7tH4Hfy0VizeGVNkXeC?rb@3Wx67T)E*G9`s43I zE!3a3LoQV9-cE9VTs-Q%-ekMsqShW(VxO9FZ2!6@^oS~al8rIK$X>q{%u+30>_2Q) zdIeESHCrUphV`9(D_}o!)>Q`mOFk(PXYt!j{#KeRWB6z%AtihXZnml1I?+`!T$4*2 zuE-_!#6{p#seq6O++Yw5-Ngpb0RaFi0Al=IOd5JrZ$MUUHz1$E@mT}F2-pTN0JZ`k z2k;E+sbEh9dn(vd!JZ2CRIsOlea%;P#9_!2+<;U<0^k{>6ZZ1?!o$sM=V`!ezyZLI zfa`#Nn%U;1@G{c@bK#{Xz)K9Kp^0z_9GprAr?9~(8X+U01ecv|GLaUn`56SSX4IoH zjI-evc4A`oDCUUcrMzJfB;OOP0%#;G0 z2faR$)6{8>?aqW=(pcQzx+n!S)8n+CD#*Mkp~9 z%P+~ipFq$58JX7;==r}PBTa^$|08`KlcDGTLLc$6K2E;4rrCN6$|rw>^2y70HWP;Q z-n2en|A_XO$+_}XU~K72)Z74vf571{a0mbg0nhXCg2Pa2ciZA2;2O0@ENnr9QTNOL zYC-O_&;{Yy-{+& z2RmuISliW}7B4~N3M|7BojB%A zKrGXTnvV%Q?^Eu;-d!L7QrwrOolaDpX9X|s^zAq0^N=i4o0Hn)eUbWu?HCu|nLB{l z3q8@EP5u{|tF61a3ChqvG?#%AHhZ3Wr3KMhM#p>)x?E*ac15I7{aPZe)$wpk=nmT~ z3#N0i;^)u&SmuzoCtrC}LriGriRyGwo-*iXU&Ys8UEFHzXH#`un?e*$U zxQPf9FYS@m1A%F(`GVUsg>w@}GA^~IyUK^#p-Pc^6JIH=?kOGvmVh+v9dX)l=OC#C zNKtkwII3(SYBrFf`0_C+F`Kn7?X%4X*tizfZJQS~0L5o$S&j$JaK2|(Y=*AX&K_Pg zkD-b??>VY(L!HYk(M;iyl0pH9PBew8|p2XNnqXr<|AOPo5yg6 zo%gKwn}baS^K^JaFPn_W9?RCqiwnykrnd|B{; z!uF8KC**T$@@&WZ4r;&cn2Ie|Ecd+p)eHKVKN#b{cwOnWQhyqhd3=VbrMjP7e(jkR zUVj;1TT|<%_a!U=e)FwPb0;ByIt8j3nYH46&uzvZtsQ;Ux?ehO;UX0qyz`9LtxqyFjvH3aFMcyCa|B zJ~*av(z`%6=DkoflFAE91q!7t=J8H-MzBudqUBfAgWB)|xN~W{sE2hAEi7K>O~ic3 z()_%7!q=u$vzG0Z2WaMs9_*6`!%r;6xS=sUZnNN$Z>p#B@$05}UYn-!Cl&AdX7+Aq4L+ec|rZ4NH0Q@jgvMjTaplII<7 znvaX$J|A~hKOa|iX9BwPs|o1O6#F!nA^cI?aZh+%w!rxcupa=?66ZC*-+;}4uK>pZ zF93T0PXLzze*v}u?gP#OmW(huGwY!k`MLTZ`xWyIw-4OH_&~TrrDKR>sL)*4OG*K< zNxTCw+{pF_V(P4+io`+gX7yPGPgOLA38LM${-O}5W;7sX8=Ig?YND#F0rf!N>zu;} zl`tFjnxt0qGPKj`MX4~a#jsR-tydSM$*Z#a;)koioTl}vC)=>8U=9ZJb}&bR`8zQ0lOfg^H#wJgc#Kj_HkN`g821rb zZ9Hb)4kgIcZ*1Q~333LMARCLF(>na>K_KI%BF-&pL#KgY!Qno{-=?i{bsq+2{8rxB zhr*f8$n|a(k@2H-wqhEac?3b+E=6S6d5F-tboF6?l<#RBWMk#I4XDJ)8Ax`dk9NdG zZsz#t3@(r6ux;9EJ3nzw0REPd=A2ST9gEM?q}e}xH;2Uaa_7v$ZZOrzjZl94RTBC; z#eU6i{BNzqCjWc%)z;W1);(av3TO(x$MBW6@DMLdTDgXYVH&@bH}OzRlSOXe;XYCv zwgM?C)}$fsxg*5IC^)*^7NRWtYhaWMkcEB?jB+ShWFG#tMA9xQLisy<Lyiw;3ax%}UR0rUlN8N}oI<)45AIC+)_bmX#uAU3_=+eNcG%z`5Ru7$CE> z2VLC8oC@d{$PothdJe$PXuWGfV|9hk1Utj5R(g9HV?-gnI6Ct)5v7j7i+-w6xE8_B zEIM1iSTwUPkQaUyf6U=+Zs?@!VocF2b1lfP>PJQE%Wc28Cg)50eWDH1tiG1=T5}cyfZ; z3%2D=DoO>E5bo9Q6~&Brb{2Jc#?#JezLAh>H>m*=RCn4w&3s73 zs)&1r{$BsycFBdVJ>(`=B&4FI=(pRpx@fh)liLqM%~$j-&XSR-owG(!S4;`kK+BZQ z!g|6R>N@LB5GUX6_Un(iiF|KZDq1@d*jZgqN(Sl4BW~mhYMS;w^v?=M@gyVC8Nw&0 z#NsrWP)RKzA}byF!>w*YO2lT(9Y^i(MmDmA9%?IeEgZhc#7+A| z@7)!197t!0!#A6OO5*}BoK1FT-9rXLn4HEZ)knY3J+PyC3S%*cO%n578Sy4LN4Ru^ z-UIyC#-0`e%_dg_n1#11r(HO=PH;om@CYki(?W6&f3JTFL4*24TL327J7ab6NidlnsQlJMKbbo_sMs{AC6u*Xm! zzY1*u`U&!5Jg*YNGWjKF3($MY&jLZpYLBxK|FHjp8Ql{Q%2^TOjTX4T!8tq1(*Z(OjsY~sSh)4Y3*aS3Z9s_iiuEIra zEWe0aZ9m32*GU|p?FAN4&&H549SVZT`4^F!#O3+23ak-GMp`@l=rmwEbCu=KsK93% zUyGvZ(7d=MnECcupoi$Yi*`l*hpQxC)Q`(!UC|j`KKV2I3HQmXG+(=x)E@7|AB5-S zg{FUmjNYIk#(Ui&3%WHvS?gz^wMHfD%3R3&@)V#Z+#;+TNoxgFZ=2|YzAg#CsS!sR1L%8(Xh9Mtv(j06ZuSD;@SUfMpmu(g^&pLlwL)@09d zDn=%Bio4(;wiH6ylOU80wZ`XkF_6Hzq@q&|23p86?r@zxXDAj?(S>m!n zW+v#W`ZeK#wq~KXOO9&pgg&&=C^MXQJwk?yHj-7zGdxmgA()n}WYhzRhh_baBq`H37bhvfQW37r+1n0%!n#01FVj z+^3!lU<2rY006ZRR}Y;n^~^%tXhRe_b$b+gjbj(G+U`YMbH|H#vw_7PHL%$A41(89 z;CFZq{18h+<7}&%_Bj8^%ITT%kUB>Gl(4?xBIaLioan!-oJ7t;DiMY{Zk){t!!Q_b ztySLr5cwsNXsSir4h^vCJ@APWzC?}%{EWzu2-Lk64ja@dIxIXkYb`4=^{;sO3@HD% z%Le5Iu`7miVpk+iWhT2P0pbADK{PcprP%oZlvJxniZr)=If*KC0pR!@9KVC(L^w_i zoosE>dnZm)pzj&_5X)iM1;aE2I#z$fOU7QyYUjbF>flm4`aoAz@fNk`t7q6)6BvWQ z$OYqkFrEVA*{~fu6wCC8EPS)nnFKf4dwf#Fy~rnd}a#c7wbfR zPzsA?^@6Wp`c7*MeEl*Dg^kUg&-9-<&ouiyGx$1hz;~>Ej`K*fcdB8k^Fp)NP5o+! zE|6{-u+Hl;+3=nEcZV=GJC|G$iwm(+$?zrng?$3KEEfNX-IH9>hWXhZO|ELgQS5$X zX&bi5o=l$8hL_rL&DY$RMfwNk<8IU;(>i->M?6P(0;~tbCU<&&opc{=z*9{Z{DZJ>^F6rH|bGj z##ZxgJ+90&2#U+dr^aSbT!vs)pIN6zCmTD>JN5WvliO^{LR>R$G#|*qe54yd;Dz8W z2ia}?JoEJ|pEY{A83dWu7>dkSvb_HWUe$A1UVj@_m~Ul~aC#!prF!5Dx$^dI;%0rU zytUhNv!O=b+3oX@G1cnPL~Ed5wep($8{iS2-Nb5Oe6R|df*V*^D@IDrr6c7#c$f&& zmvSQyb=+u?@8{u;n_TkEJmdo74*4D)c7f@kd>aq_!gwC`ocI@}KOi`ZU>c3iG$|pL zy4$9ZVq+=Au;=uSr9HMSlcL+mlWf^iuQuu}?PJ%JcIdHs0zFpAQvWvA=T@AQRYQMg zjgSV{FukqJUUCg%t95cOyM{H(>eEXfqJL|R?+qAY##w`UsY8r2*3@3VA=YPBWG^#- z{<}4#HzdAT@55M3-*Pthp%lh5oIQOwh3R+BwmziGc!<-|hjp2L;%NHNRO1a! zQy-pc`j=x6Aa)paoP7e!4%2PUCIPCzc!aZCfGaTl!r3Z7J~Zy+91>t3n!e-cfcDgQ zfwNP9pJ4hELfeS*Mib{iC+58A8`u`0mKjfT_IBcynO+0?De@2F0boDH{$cvjtOnXs z<8=;5Wo)vq%Ln}ciI~F!5le-O!UGXYs*AvjYS9+Be0gy#zz&Y(#Z+mRxfr~JDxD|S zqlms#7(T)*V!jnJMqmRFESxk#DPkQKdXM-%qE`#g{D(9)U3&O`<)9J>Caa#N{Dgf} z{P^bPx=$P5kjY2_^0zl7jpY2J;%nEN;t9y606}g>T_lf)a+(kqsShA$6`~BKLI91gjNGCAiyJk_*#U~` zQwPHe)c3jJgWLkmS?;94h==Mo+_=Gzhng1d^uh26>Sr!&Pv$(#I!Q#&?0L9<9VWTD z8-)Yv$A7pnY~Yl$ai_7lRX|SelL!&J+miUi83VXbM~r+|H#)=AC2#1)r;^WUJGg1= zNKf^Mh11OO)Q}{fBueQ(hAd5-Lq`W2TyTRUQ<>p5kS@%n9kYRS;XRNp%$54obHCQj z2CDO%BFte!w)}D{F_l#e)K}5&f+F;C@#n+4Ro?Y+Rru$6Kl#~K!VAL`YrWJfmVC)J zLy8}#Oje9U$hfaG$1JI`2tW0&uDJFYUEU?e&GOwUd>eDC)vuS`#+YTjVu_N0#?w1j zWxFT||J?B2`rd-=!yEH+tv#uDn5tN?QH`_Kc3 z9gDvPjm zI?w@7MnLKC8jD#8%;zLQ#9!K9T%_6=L+D7y`r7O)hT^vEeMI$S?%p$%Qa0fQO4i3MQ43rV`j{mem20v-;f)&OidR+j zqX~|6ji4}NaHKba!puy^+(uBCx$7uw1cjL>$8v@e#l>aCDYQvkW>$^7ECT8fT`ECYUxlB~2dlC&7s|?ZXluB>Ai|;BEAebhKZ=;Sm3Bt7 zp%+JlW>#UZ4^&9#L>4qVHdz~Dp@H_R?h}g_XuoPHKoAjiO((VBLHkw92LWQ?C~mGK zI5BS&`->zkQ3MoKMx@-r_J}aNx6R{w08@Xew&CgPu6Mp7_FaQm$#n zF^#{;o0^eK(>}SujfrI(*3MTjW$~%#7<)~7LA_6jA;G$6BwQByLVXFE3(vUy+Gx+! z?-cQUoEMt6_WI!)GQ?yEh~Yat#&}HKVLP>bW-RuAV?w(m7JB^{^3(szJ9kbo$vvwL zdCPQ3e!$JFfub~@j-VmBKWJ`6+TH+K0M7uyU*u`m0saM~r60m<*py88=<_)>zym=1 zoH|B(6(*nq0sz!kVeTo9X4WPFVjtZ#j{XN{FaYiXP6B=d>;wD&xC;0H*aX-BXEyk1wfa`#@fPVmZz!pFz;LaD>nn=J2z``%GiyKazDE73I z9im(*%1#Xz)kr<6_#mP32W3uOQcFPit?2#ZJarZ+$X6XwhZFW z>pw!a0x^$)7EK;W?T*K3_B!N^=|tpIM}A`t5qH*&0)hY(fG;3?Gn9T{c%d2E^9njIGDG)=g4oJQMKBz){Fx2t z-40d0Bo|Q(5%K-a9;cv0ViG)4#HXSr+3ER(^~7i7LQxzaYCgUb1@fWhW1{Tyvra}xHWr(A%Dj_JlguWW*EJvnn9||1g7sQN z>OhXtpKGdIYkSITFzf6j^Eo$Rly*S4p~II*F1HFHs9arx)!7r|?QRB@?Ce9c_ggWvPvxk6WaYTz&zU7H}CZDndUHzdO~d7-qF`Hmd^dAT1dyrP(2pDzGs1F^fQJ*+-x7N{eyL z8t!VvQ;mOfnv@7C{1#A0CTB(aY3I2D8!M7fQR2Cc1xd)0;=;zVB=o2D>zp%6pNH^U zu%;I^j~r+7vM{=NWx8C8cX#YlElV=3QF0NVVDJH1SC8|CJdkxIRxvAuKD~?q`cF`3 z7&yS3ZjG@-c89LfbXlf!NB#}1-PMiNqiC#nLw-IHvB@sX2Q_=6USw`%6OD!tb0-_} zO`n*LvVF1*6!S$k;cLCr+{X6)+Q2t=xe-O=xgrVQr-=HCXg(iVLr2L#*{3Qo5Kl2{ub4MhEU85k?r1{%5u}63hx76?e+R43o z^6LrDD9eSRBMfEiP24Q|6!S??^DwM-R`P?BSYFn(iV$T86S7ZyW%wTT6GfCVbdTn; zVyZH-1Zt$i2RS90ZHh^QVNcZe6>)>yo7hSas+`q^|H*;tNw3GRF}@WgbWlc_X+X}D z*;6`(`P(qde5;R$gY-Sn{&Xc=20{o{qHN4_v;Mg?ADYc0E^B^<+)2nvb(12J4H|L1 ziYaW+h$Gpn5=+LAUm4Z`tv@M3pJv+vBFxe;?+620eqI2Is{g5@Tx7Q_09WXsB+eT1 zI&L~5-z>l_F#h7C)uHO6^Pn_=?#?}kyWsF0zAEs#Nqz~xrzy>$@#=H-4bH`Nl={$k z%~jWg=E$?^53Xn#=d5OvD_It{MD1|FCU%MDm}{~u;tgzK<7FXlG`n4(#S^4{>E z25Bz2`0kPvm;y=c7sSk@Q+GpC)2PF0Fk}yP? z>7}iti9E&yp=F6;_&^qFJ!__IauczJb=T(8gc_np+2Wf#hnOdAK}}GG^rqv?Jn#>e5Cr?o^xpe&IFwfsvoy^qkIf|t)Db80w_ONZ*ivyqN{Ls#6GnZ1DHs0 zd~Lx1?gX$^UF=5R*LOMFy7BiR#JRdDxPpweZm@7Vd7hdaOJZlNQ5|F9bw(RCi=lQd zK3fetv(Bh&O*z!J#(k}xW{K^L`C7BqlG2$Ft;U1KD=%7;X_=skJ*AGcM604tX%<@6 zTpDz*{THo(N~2sU+D@G$su{uQLl!=7DJq=ZjVn)qvx4sJy}` zOLa#rAz2a6kas1ajWd#PQ4(5Xb`tiaWMX4Rm)Aq%3(g@G=_o5si0h?xamq9emPy@V zPu2Gp!BVh6~TqCDWUq5dRV(u?lmuFq)we&bd1zGnP0#-F0)9f+<-rk1IoD5IFV5+JUM+oPSV@Kwg_(XCWql?f$U9|dEO zSE9>Pcn`)t(Z;*Vdy3&+gd|910BX9O(o=X4f5RTvQ{InTXAkTt?Z^Hr?s#>u#UfyX zmaoPV2CQo+o%qv4*)C+dcur!`F7$2j>com7M5tJj2$YCN#Pbu&ijZ@~#lxCb(3E^7 zuVAl{*8^s-*Enw{j#}-2qksWG<|l)iD8TmHJ?KKfcV7bFI1!E$;dprC7x+}~Bi{Es zk9gNJkNY+UM$p_T#L!_0JHz=+VuSS{p!5^A=G^T>)bov>U|wtnYyxcg1jB?e6UIy! zGhw_3#(QAA2gZ9~Tms_~7?;4fWXlKilZ^ml*rch`B?awyVd&SAW$mJ)i06hP=h`M@ z4ak<0xHPwP9xlxgE8nRi)G+7Rm`&bevFD*caQ?8V8@Zk_)wYz&a#s%EezeEPuXm$Y z>g(kvRE#0&2ip=UVu*sZRY^U@LOgZrEFOIcM%eI{Xl~5_z!4pC+G-mYeQY7gUzJkZn4^!NwVHkoWyD{r1$^a4z;-ko!lCs9! zqv*SmHH|aoA)*{!oZa0X1*Tli)^6fMBTJMF8n3Jb4UzjlJf6Oim5npIh!ac!@K{Ql zN4aXv;ZEs}u0sAG4r;6%7-#I}Y*E1v=?AE{LJTmmwipY!JJeIxW%1~aG-^vF{KjfJ z9xEo~=kLO7`m*{Wb3>PkM5n|FrM*--?F__s3A~V0Y`7yfKl>i;n1f|*5D>pMhMEt$ zS-V)7B5WP8h<-;DUWY1TMv549o<)okqDghQB6wzB>hFsBn<_xT3B~jlC=hg)$QAonV5poi3 zw)MKpR~fH(wBTR83_`x>GNa18I}1^^MU47Ptmr8T!~QN_){}~14U*4$t{{$Jk~fJ_ zhtfSX@gb{(-;*bq%d=QL(=ncdlnIzoVh3`~rlW`(=>m1?&q?TY=@|9;pCQe8+u(!S zxZIyeJTXy~cF-noEv9bX_(T+(h ztoKNz&}=6Z)Mkc)95(#j2fCehK^QRNtB3tR8(3{(w;>5F`UG1jAD==t*%p5E-lP6O z5#_FkMOW*8b>?)S?m@#xse<7yYr{R)2U{yfLYpJkqyBV6vv`Pz1&j zI(+tQmf^pd(FRhpPi#g|f}Iaau+d-++(Bw1+{Hfu*~sUB*Y;5O6~_6`h|s>Z7Y?uU z$ZD6SeBb;a{%AOCk}+wU1~CW3HSJReczs-#_HcTu_6#@G9X`*)J!up@T<$?1UOR}F z!zbTfxeMf^A3-ve*vD9gA7cJ$4Uz^8F&Nfh3%3tQ`~S5}a2FOKHyBPimrA_@$d7Hd z#-+~Skz_&GBK2($q@|4D+@+6DnUc?Ha~~n^NGfY*bP@85Yn;1UNqHumGgqHiThax( zBb%M|z;Z51Lj7m>%4t!e;tj{0=asm#`c)8>4yf?Lk}F79a=!JyN11KSaYc1Uw?N~~)rg8R!+>*s z6Ka4QCCY2^9H5>QEos7aaUW_^xPjd<4|VlivCCC%*{!R)5Oe)Qa;ny)qkA-bo;>v%rE=l|BNMD}U#7snj6TOWbGe19Sr1fQ^7HpG?pg05bu16=Zi75m#tmHryeD+JxQm z{WA1%(>wX*z97kpl(#(0#C7whxWz-r!^T^{BaEnz@X`L@3WhB*<|cUy^!Ru*gAiIZ z6m>7IBh~|-F zJPpUia9j*h%}W8F!`<#|bY`C-BC5Wx5`DlE8Sc*9G}DSGtg)Kt1(`l33uznqh5UsP&9!hf5DQqU`_+Kb>Q+5BwQZ= z&H>&6gdpddc+|@c%Gv_@Lu>#n*#=Ag1J&0|ST+}yjDVXM+$Mkvob@2M+y|G1U|z29 z5F~8YdMViM*+e|c;ZZxY0khY^tCck1N*(OlS@npsrVi(3Hhzh5#JQ&#vBX5O1@eJk zf2Vai-?yI?tidZhRlHQ(c6-sVEEhf7zGArO9^!%h-f`*H(JKX<&2GA#enA)u-=uL1 zbOP6Ma%nx%Wk`UV6(2^f*1U9l&-ucQ3!^RT?N{DquY0YQVzwFUfq%ZQ`sY25Av>@%Nng>8ZT_6 z`b$M5kGoQ1QB2`Q{H1m&5_low(yyuc*+Wo{b=ceh3b2d~x@9f|FTqFa2|}>a0Mu^# zC;4*%kj3^}=2HUCQ--W!uvY0L*^yKWq+>k`| zWbOi8SYHt00bSUsiNal=3yU4T*$R2pYl zf=7rW;#rAhUC6KWWlprzzk%_&RUlNKA6K#Jx%+jq9c$%#l*m+CoUH~}7vsxx3DDkfOzHJPztS1g z8&n^ctX?Y4Oe|f2`cu3x5i}&c#HH=|E6^tKs&?5ZB1b&Cy=W8#E!6E5qsSO>b$h`m z`m}gMdl?Y~kA&?dU8I`~oi&-yZeyieaeR7x&LcvN11uG#Gtb|ao z5<wMC#kSvH1yxN$cAsP|!?ELJMHF}0qV# zE`(}k0A`LOq;XaN@&}3KMa4IIsJ{B_7dCGoCQ|fCT@anZ5=raubQ%dZznER*2RAaY zG`=W`;=hYoC-S8P?_$gmP932thn^?nd2eH`im*+rBG_Sv!*3;1B4S9XMU45Nj0gGE zV%uWZq~ZUOfSn?oCH3zLd8IA0OlpnWtWH+&T4OeArZ{TzXE&hUfeeGD+2a{CSaZdZ z+mn9}^;R!2H^~ClJ%Q&_Zi)7|f}xCf0>R!Z=BqONGq1=vC8Z=q88zXOGo7+eri*yz{y|*ZiJ218_s;^%M`Fe)^J%{>c$z-qMX;v)O@PW2iS@Q87jd z-%v*xSYeu!JWBFn63LrvLin{0-ZOz6X4Kf=k(QgS{^An{BxvaD_-q};LS*~q5fig_ zp^r#bCuS5Of;aw&Z(!_(Thg}ya+(`!_d{NEQlhj7zw=9iM}+BnTjtL-m_qTa;X1)2 z$c%dBdcBNlj(?^0h3BVHR)d9>G{|d8EDTw|c@XaS|4BUeQrf78tc!Ym+bEURvR+0# zqzgW`Op`&nz}ph(&KpHNH$9Ud83cmWb++x6VE4>X`~f?neGU;*ZvV%*knd5$(Ag3~ zhHd8nO95{IlliC`R;X=TqNg>F?>9u9YkiX#W)0#qhoE>USdr2f8KM5yl|H<%d4e;6 zPZ*1f(4jy1sMdkvb7no!$8gfw-i+I(|IJy=M+bO+M+~4HR{sJl4cvQEYYXaed4?aH z7u^8|Db?x=uAJJud&rlLQm5AKHx_vl$#PWG&b)`->!`1l#3D)^Giu{<7uHS(gaRfe zO{>j`MPG3&tIcdfthz16zyA{8STrnbLy~T{;kSPYfa7fYn&G6R`r+85F=j94^3OW9 zB2*u>9?@an&bjO+*eM5u6&=2ITDh=(WMUTQvi7AT0e&MHVboio^}4DNn%QPLRyIO2 z+g(RqBQ&!`IhHhL4>F`MyiW&`WBg-m^I+oDL{RqUFU7`4JPaq0@s zVd)@Uo;^r+{?Zd=eFV4&7zH!{?g1jdC{)i*KY_R~;{@XHraa_=EqTaP(jinmB^m3U z04IrrlN7@VUW4-#aJ~vy4fp`S0yY6800$r(a11aX@GF1;*bOKKJO)exTmmeG3%-U6 zzJ{Ye9G}C5Z^A`uh=)*PWVkRNE?Nk$d>qRGIq(8r!V7o;NN^>)i~A9`3bz=b zSD@P!KSW+-gQnCW>U-Og5r|-sY*ix=!3xr?a}oLyTC{17i9o4*mXVWTN8sT<+4wt4 z0VDxrcI;b|3hK-}{x*uC_>8#57zPz-p4W`?%saEN$@)j;Ls{sj`il_nz?bPqos~_5 zB*s3`+!3xSa~>3c!|tt#(G|5!oy?7F4PU0&&z+*G>_VL~rI?M~q-X=mtmS!pZJ1$h z;1RP8TyrN6X*BLIxAU-`#)q6+JoF!i^G=48GCr>t{O4 zNBFYPv4-LF zFq|5OQ^W91hl$@}u#)P$|44>P_q`P6$3GygJgs7DvDqE*-U0X>58YBzqI5C$&% z%=Z$8p3?qqUs?)=0y8BUkTbzjAQ=)}@9~UtQu70U+E64(_1x&`Tj@MOO2EB`HQdy%TuU*L_g7eYg?5?yiVI- z=78bEg4@{Bk}VGCQ9o?hWXc(INvG{F7Y*XlVMqgRkX*z1-;;po$HDo+lgwCcq7Jfq zqbF<7M#f0UWNnhsZ6xTN#@FaI61G`=!Cz5^aXS0bY==NfTG^*^?pazaU6w&;t|SV8z<%^XEA z1WD0W_^w47@g(@JMaOzQW~>wWj+GzTjyOHC_FRsNb9;4&? zoD)eEqh38hmBa)~eCh1sotVYXfO@6_;QzGv*=mM}8M+TyHUk!*1#C6>m$`T|x0@f@ zQ($YMb9xi%OUtZ|v?k0H%ZiTKpFeY-KWJl|Ll=6u09++=k9kHJe8$TH0C9kDfLA+n zlWA>P0(|si00MvzfU5}DuQ_QsE%+d9k8fJZk8cdJt1=}8G1D#BpCuJBkUsxPs))%7 zL^C9FVjy|ohdh=yq`1#OCdeC8+_oX><#kYPt0%WK_mkft9GE19e@%^6m+-?!AW>e+ z4^c+1h0?zSW#l4sq}123zaNsUm=>MD#S^~X4!|TzutndJ#k|c560go8_4=o3J&ok@ z*$wz#B$H$rIT+%Hr3Fi6m-CKlw^ke?Kx|P%|CsMnI+XXfIsj%G+ILRGeR`L=q%>y& z8b8+g#MXGS3wK2tUU%1T6*0q^IuoWoV9t(pme?9jzQ!GqM%Vr3_mp^}D*A!xSBKTB ze9+H}bffyD?%vu%jLQ3@DCFHW)+M_klP?%&(b)KUZxIet8UiIjGMCsIu z1bj)=%No;9j_~r*@QOe0?e}(lcvf@e(Ud$#V8x%L{o7s^m`^+Qt$N>f>dQM?F5eXi z-KELrLo{QTR>;RA;d3Vb%wu)zzk&Ea*Os+3elrG4j;*@dLv)+ml%KX-IJRlHBea+k{I@ysdS~H4!P0JK79wonK-2B(}D$jz#!q2_T zf_Z5elGh5E?&Nw?>}YpWrC#Pl%cL*sGEK$~_&V%Rm|jvdm-v9Or?2 zdEvL}Uja9*Z*6X!vX{+vCNIh8^b)&EjGiqNj|TV=w^-YNGT>7 ztdtJPGhCV#Mw~q(T(kOiBtOH4Tm9r;4-w}YKFD`C8SSjC>NVDf@_j*#oc>kO??UJt|)Zvr2=i!km+;!iGGPSz~m-=OY{73lmP=4v@NGn<=ox zK3H-BmTZD0At3hx2@S@ag5(WIwr&Gd1K{68kZVCc4RC;Z)K&`N|3G+^gsLzh?CuRq zYC#r~-1g>iN0khJ1oS_H_8ZU^gEk6ue#xu?mjk4appAm9_kiRYNWKQiN{~cWh4sK2 z90o}V&}V?Y5A;5uOMs3B8g@to+85}ZKwkm+E1*}n_XeNP-nGt_O&=yqytLwuVfwfm5wh^6c`X}-r$zfZ z9*BPHcwpLF_=9@0k>2LV_EYkXYR*8!pu5f8b6Yj;fvcPA1o|4y<-%NbFyOEetz_@! zmM28S4irqly{!J1Fuw|*zuc0WU(vDw0KtNL+rDaZ`5MKd=43gGURx#JR z0$8iXXFBBKw*Zep^lS1l&TA5Yy-(cS(I9>Zm^SGC+T*Dw*#*FyYJP9za054M%|;I{ z|DEQ5(T^MaPWwoK;`$Rb_4X|>V4rXhQh+naPy=^B-p(al);*HV{#)P5x6#HVLL9)vN9%n43|XPYdWHcYYggE-L%}4cMp-0 zI2TmW78tnN>3$u`t@9K_=lo~5hsZ+t37E2=n=0=ca$_UYOvx~QnEoD0#Y6J>AE5^B zq5K;mYPUfvuXYmKncGcaW!!f5Oq1tmbbffPW{owrBg32c)au$HZo)m(W!t_i^DJgA zhkNP>XI2w-n(iO@S3RhB!zUBFj5Zb#r9N&S?+mK(cC6w~vYm-pYkFDuShdGHw6|M7S&))XhQ5*}^H#=(tsWm9<`-Z2 zGW>Zy&I;~f$r0Ef>sr~-*XthneX@NsW_~*U{RQOPzy6`zQa*B)@7pjqao5QT&W5?8 zTh^Nc<7aTv?b}BlOdMUZ{@=h#{fYgS!)>qiKMfvg7@{vs)iocS9ML^vL;e{3m%`Ci zIoqvkvV7PVW^TwYHvHW&vu43coQkEw2Cs&gl79>AULi(Yq$@qHyOW=egSW2__M%o2lxWQ_Jtrg2-{x)K_g?tJ($__&ie7T`^Z5AKRjf8Q6l4OTE1$S9*kwaAGvY}NDQJEy>k7h|d zCY>H?E~*C~zB$@ZzPmHO6`w7g95cI>__LG{GrbqJOkZPT)Vo%Mjn~fOpZmccSC7BW zj#l54&UIAx6Z)8gtG(C3q~!2=Y(?Z+%^J!2?fnJyUe_5XRsZol@_EL+nQWL>R$tDF zVE<>P(|)^qDu;=?Ch_kmU=qS5>#to;&0@CGt)`V(-tDx(--N5AvmD0-_&d5=@*j|r z*T3?vYFX~?GiR{#2R8>L%6a{16=%Trq( z?YD=o_qMrKat2q+?n@#&7D_gyJ*RFkWzc@h`97@|d;~VjcOoU0d*Pm{X_EC9?(}se zH=cTbiG<#|jfMG0+D;qup#%u20KvDKtr9S5t1I{LW)E)sDgdTc&i|cuFaO@e^=V|>8EMzqkyL4!nZh?7FPdc~*QHCQ+}LHv6p?7F z)6+tisdM=EF6^Fvov_04C+|4&ruPtIvF0`Zp64EM1%45AkaDiZ?o~HS;`MyI;R~CT z_Wa5lk&WR`I8R0BPG2T-ka8v2K1G%<@$xqyJ6hV1H)(9fG`JzYH+NogG29V% zy+743gh@3hzgjk~lhwewpjyknH#Be27$FQ%ep)W=bkCs=#t&Xq5Zn01-&%96QL@>R zy&1<0Q*9Gz&u4F0IEG${Y-u@+ygA+H{}K1Sr0eS@4JO0PyG^`4{i1Ds{=Dsm-+Xe-bAF>LEdb9OWP@4Ok0h98M0sVk$*2VNtDRh zs|z-||MAk$2=~ix_Ia>7%~TqZ%o<$uZy{XuQO3yU0TN8i9Lbc2Gj&xEr;YF53H>-k z{=J#gpbF@BN!M?cy(yGngbA6E)q~A~IqF&bdp}N_*NXPjDKC9u)>A4XjDKR%S>sF- zXj9J=o>O9$AtR%dIBA?ihwR6Uey5}?)4eq<=WkwXDITO(L>Y1mbI$J~K14>oW3Q9WjLDRETxg7*ti>DM@&!iXvIzQbCIxM3-V)sidbfUL)a#lg zx}!jn`B%RGI~XRux$#Qaphr7L`l zTiFv+H{cDR7H|V_0`NlCXnFwfI}oGZ0{FmR;JvL8I#}t^+6&T*Qqf}!@lrkZQ`PTS zN;P2n@7P-S_eb|DMDNdV19)5^Hn{-E01|)#a0LM01bh?l1;CGSYCU?m04Bg2KnHkU zjqhOtd;v7TH~&c3?w_4${Q-K(k7uM=af z7;0JsuG7k=&Ws?GS?Scw2>d8K;))`OHw`S_fqYlGE|=Gt@4gF}$onqeZ5KFqtnGxK z=%f4ZBoKXxg}j(wVJegPOc1j*(W5dN^RWQz*$ zEXzb$Rsr#Ai@R*zFfP{;Da#!u`~r>G;DC5i_oZz^ncr=yhiLXFwb$QQJ>MSN7P((F z*&f;!z8{`|*Oi@pOp6t5p)n>;NIek*g-VwKRhRT-hBOaUe>XtoR+-8Hh1*X%!)30c0Zp6MboHV0iIb`y5Ot0T5 z4w>ZYM%Z43pPqbCbHKmQ+)>vdFHgyj;Ri-=$I;m}K+gbr3D6#ZPLT9~qyi-Vff3G_ zz=%dJot+M{V37G$SK+fkwsZPL&37Q}0_kRu27nB9tDpls>FnzuPjYj0TLw#-0gI~u z`b+X!A*PT1NpsSg1$N$$S^QnTUx*D1b7R$TsLal4!8n10?V>t*`%Vx zA=q`yd%SNkEUR<{xR3MOnd=89ec>dd)3lN1^^95uzv-N3^ifj@&F>k_)wF0d(K$U3 z_g=#9n3g{7e{zf`W0sm87G70h0b0P;iw)@QpK+-H4dxaO=wh$cueUX(c+?umwyJV; z6O`zN*1I;bzcG&+aUPV5Q2r&?f)?1{Xmo?@KZ6Fyn>r7@rpoz&pSt&~=Y^om})T zq@MR37r#q4$UDo$&VdA2YAm~z{<~;ptZyrgA(}txpAr(Rd99%L^MbV;7-fF25i?%5 zQ@kve)hjrxj!;Z4pLHEI&zfF0y8<43GtJi&?0)|w>?CV(U0MZYEjasx+tcc1UPpgn z&Eqx0V8F0G^nca@ndmweZ>^A}M1X-=vP=?zky;h9^a%9-Dx1-cS!!J= z%V+7WCupVkxDgxS~Dp4nOe&(n45ZUx%%tY%l2eg#0 z)HRD&cd~BNE~w7g^Bjkr?zb5iwC@yNN?y12jD_Op9&+{6FSPk|dQG6`K@}_u?8C*` zWsC`oGf)K^uZ(&DNx-QC$-~4*>-2%tM8D<<99OYdy-OEMC!SJ|>*CB36YI(AnLmm{ z>M`rtp<+%wX+3L&IH4Zez5Wt=)I+;hv}zGQU?g(9I*A`Q621ucLBbwb+>0Y1?O=64 zc)GxC((@qcd_F1NGV;jW}mY11?(<>gW`>AJ&ft=L6^`Sqshw9?SG3$o$hP!ot+^ zp!hRA2Yp(a>p0s+=!4?VJ@$z)GkYn0^ogds#$e}+Uhu7*FW=wh{=_gD(gSX6eS(>v z*A6F9kSTAS16&jvEzn4cb zHPW;R?s6A(VZ5ZP99ku|lDXQPY4jNiyr=!ih#lfj)-+2)I&#+&E+A31yg>+0>B-Ki z%yAu&>p2}$Z?ifA0C9kDz?2Ehju1dX1Klwb=A14DY=xPpH)96Piwlj~3y_8b3 z$4^x{3Z)vbT}P>{I_v(?eVv#0XSe}8)_FC#0LTCmfC6v@0N(_B6YvGVk8z&F_izDB zfH!~+@C2{{z5xF>s=bQno}iDYGuEWinJ_)q+HBkJbQ|Vu)e@olML^NagrdZJZ%n48 z(2?Vf{`B+dh0@jYHfAwD{`KNT#w@Yt0*OfcEMtD)*DokEnJoES*5rm4b#*0Lf-4J; z!+rdo@+7G@!|!s>+sbKgdOb3PNXoUvWmPyE$KT(Xn}Vxk(6wK^2r-5FhYBMDvCFF- z+uG|eO^i93f0DyW#VPJlq!p%@$@5ERr4Xas{xY-tu{~i$xUDYVn3|K9Irh}y3mB&~ z7pjYGe*RN>q8_6Xs+w$v>!7#%G2u*AqOH4*GfaD>{!}$)J0U|2Gf0~E$^MQD%zIK1 z=739zfQi>tDR`YScrZ^@wIE zN^}lxT-A2bj05!kz#PIi)nPn?j8()ssxD66P`9uRz1C%-IO9=aU0ONrG20jaMb#D2 zqDN(QndO+roc%a_)e%#6a-=M+-19bjrFQbgxRw(#;%&y?n&s7-TlyRkN?sz-lk~57 zdop$)rQCM{+fx&K(XHh>2VWW7i~H6sTFbr|ZVPynJ1_@K03v!R^Ig}d?p%bHYX@5y z-s^tP^`+{~#q%v%hwq4>*JHA)pX$cN?Jb4@m-Q@9ZAmg?V4;$<+2tou=7C8gL0zaH zs&|U~4g`&aSCHSi9v5vrFpqSva z78e)nsx=oCx-{~k0lWI9X+j~lF{*;H%;l0fy%5(JRD(NR9c}V{lopf6#rLshXkS*H zG$lS-6|2i6b`)oV=jLQH;GI__H4Ecw}(aTU?&=xHw5W>TSNerP)J zSk*ZB_c4ri+A{U`7mGVG8nJiW)|m;97Ib7eDaG_B>Ypw`Ggv;Vo8)GWFQg4cMG&Tu z(oB@X(4o)>?D?ucfps0rRhVsTDQGs*TS@7?ZrDogzD9u?xg!myqJ|Wt7Sv9`z;-D-QvPLAYTC4tngyK zvBV3W?EsPkI1l}MJvhTN)CW1f$f}22I0AkGZev4xPocIw| zWW&iLu;K;~O`li5mZeFsA{hkMAwu@w5TO?o=vT{nynzVuH!^y{s992 zB1};AbsO5>O%POsKU7`ZQd8&Cz*?(Xo17tA)Q|UNuGNx~ePvnwU@QGfotj)Jn>w``L!Z!`7k?bUV@ZJnzPIU87N8AFv?3P?gll)HEA9&~NvHO$QG zf2abUyfV@L(Si&QGCs(tAafNePLRE0^FVeCWWRvyZ;-fyBp4(y02hD&zy$~aIKbyu zVZw4Zp`y|gwt!cfhV_oU3)?(}ZT^LAma7Av{0YZ*upQQh{PzWH=?}{=fX}Z^2aE@h z0AT=6z+?ac@cE_LfOr4}5C!lBBmvL>*k{;9s3?JVo`5ddxEGUf{wLMm-=3I$Dsi(d zdmCU|b|$Fw^!wP-)Ava|zpi#4e%1}L`yhJ`vNxum;H&qi7e_!&1Ze=sTzh_fP9A=? z5oG5<_8Z7v0PO*E2+(fAtmp1cZEw&SIqxwcM?a_-zkD?5{zlm(8+fJ0$u7byA97P0 z1?cTSH={$2UINKYHRG2@Aaem(V5#vP9uNd_63Ahvqu>C@?= z(h)$-tnhR_pcbG5>;M=5J7366i?W}5Ck z{8DxD!rRLiG#$}Ny&co~zAxngVvT(MB2tN=Kk zu%ty5>$MIb#zxhGy5H3;+|V1XbEcF2YIa1`g9_Tz=`SN{LH6U7(CeRd~W z+Od1-!|KuPC3P=eyeMfsfByUwiS+ZoU+nH+EugvmmGRe&w?lC+%PJk0)-;v%yzTq6 z>iE;OGmcr`Km4@zhkq`7`Vc*SYcBDu)V*%zZQK{q$hyqig#V;IGSO{3UOH8la+_E! z#mXcTa8hZ2EPVpu$0cLazE!mvLsKHYRaY4&rSRsf%8fxtzPo*?QBK&*m z6ywx#uMeLF(YHLN;p_7&$%uo3*Qq9zBp= zN;CTPfbs7-1xg4HOxX&mFqELZV5K^aa7h`Em+vX}7z^8`ZMMF*%>;jwMHMc!I-1RE zl;%vWfg-0YTij@@Fl>hQl7j4%)ZmF0VMk^S@k63yw>6Bcp0^e-3&&NR4|Iv zZnV27qiZ!3nD(AkL6{7N=gUX&&?}EyXw7Tc>-1dDd8qP*R^4oG><^YrEoYp*FsEJB z2mSJy&@XRjHls$}xPnTsu~;MT5K^E~-YhG_kQrOyX{uS?<8Np|{?o)Q^Q~cVOu{l+4QG!DS2ix4nP&8u3P zgfd1t=ZuM525!QeOvPnj1OC=jPzE;O9;S*>umRs`N*)#RpII0k`H4IFC8OjB*3AGT zbfI6fUPG!_CrzoNllh3%t7Crej{a4n7o3s?1b0W*50ODkJkkd7KtjB3M1C4UcO#AR zV+hRK7?Phypog|cegq+I)H&s6Qc!P@DtU7X?v24>Q>9=i`c}wskiF?YnhWbO-n3A2 z7Gy-i=4fw1fZ%KjbnyRW+QXmfOf5n!Hq_X>ARQ7uUb_Umk~50%4-LgOV!h9pU@f%W zXE;vx<11-r%_sRD&e@OgSENaf^Zgzf2*&1-MI8$zsV_(h9cKiXk2I-yRu*|ITn~8z zoG>y~69*#!!c(>DjAUW-RgIg`yGL+Un`XrHM1*O=jr5+d2l%^^rKJmV&<((D}U znTy$!9-sYdOwivsGx3bFzj z6}nDdHw=$+#NSqnttJ6VC8 z`yx`OPb+hZD6Esw%04gh?DT4d5A))vqZYx3IRXlx!zkKfyGwsm zt;XA)U6)-!SPLKKl2Lp=lqde5ki=v7Fk|csWHN8?d)w5~;e@_~X)2?ArYwC7r#Cc< zT}Rynp_TYUV2$1-q+CTFiszMK8sNkH%AP3`kKx1g7sNr(n3~sw``UV;MK6TH6UFm;!6ELExUVAD-DL0+8*5B`fDxwdoX_+jA-&TnTP`3J0>YKIAg%;mzPtl*--Za~ZHU`8T{#%P`H?xU zruTLq$nLo$F?C?H$gK$6rK;zLa)WlMcS_gB%x^_4k)+1VZN>a9SsAma6)MZ-$7Ho) zzmjZ@nOB6$mduFBEyDaPSr)Uf2puPx89e4QMaP zypF5}>|V(i9rJQf3nbEx+#Jk(39BPJ2Y+5Nv136F@k@z&2lP9>hg99-+XSM-r&M+u z-;8Xw)wOw0pmh~dy431t*s`J1A^2O>O=-5H8e$gApUiniJfs;Q(LdL=V9?VW${II8 z_F+*Mb{g`=Hgga?7WhB03Ws5YT*+E!T4M|sLb>@vaK}z2qqZVyTV|}wSkV9e)qt36 zhQ83Yc+^)2jzvG)x0KGPK;6)Lm?y=8e`B0k8Vml7r_D=a!M|~xIXBj$z%305UI1hO z2|!6>jd6B|^)LWlfY1N-+Z|R;1#kgOfH!~+@C2{{z5p6v9DoJz0l@lluiYy($b+)) zG%0pB>bwe9BXUxdGdd9o?n4h+&+x!*M4?Bv&SGUs#?p>D`SzeMF|^S|Qx72JC-ZQp>epy!m$j$w!d#k{dyM->=shkePoZ z^6h^KPa@^K8zFpOa zp=6FMI|BSJ*2)&Nqc&JlWqIvjoW4@FxE<|onLi+F$L_Ff9>_07&9=-K$SHpqhTUhW9GK^gT4)guKaMTN-UErZv0G2TkA5jOb&2ng5tbxYG zGP_^Qq9z;z?8A*he6a3ku${pkMo!C*3WO$0j+u4fLXp|MBWj)K4!>!GPl^(hupo5Lb#ty)IJBg7U<?SO@PcEeChaMj5DtQd&IJ#bp9}Exn*|g++ob0mer+;#)wf> zaahi!Z`5gzuABZSU1m!a#+6QN8rQ?VK`!uEPAI7gM<-nRTD9O&s%iBodo1MR9__Sd z1TyUXw*3g^g`v%+MhL$85nDZi_BAxxbO?UGe#rI>g53`Wo7E|VMbKRSeF}_TYOozo z`8vS9x?zn$6j)D?uvds7VJcz$C7w`^mT<<2$@Ngf_6^jq;VtZWVs9vAWBn>ltjAh7 zlf;;Mx88uY+A6+BO5iJvh3}Wbf2D0TqEdoMn#V?J3OIT+7+q3=^qSj7Zc2b&+hxS1 zgrsU-8=3w8ulluqaLa5rV)}!_G|!Cme*XvfNz$ctX*uY#(%ib4IoL0x8{qaF^`CS$ z+@53b($#Q#j;@w2lu2%5rP3{MdycY7r^}KjKu&#$EOi3CCg>G>(ReR@?;CwV<$>B$+BOgzL#Xm7QDu6kgSpAy+;2lSu9&T zM%ZZhUA|L@exsXasvPAA1s@5`$XB)wC&!z1#C*g~22&*LV&orNS{bb(GD^M1!YWNK zz(3SIwGqp(V}cTOvvsw@(1WdHY%-UX`882JOn!W6#;LqkT=eu>&0YVQ=DpN?lL8*R z_1eeV)$d|N(s@VwF-8M8atX-0^nBho0>T_*7w>xk{uUC(JKsiGtMlZYX~VzL-{Una zQ6$5*7G#8cRKKXDcEtT}$j|K`ar@g)b+#wB-em$!C(f?NO<>Ftud63_QGXPt)nmHo zq2g8bq%PWb;sy1DF2)M+myjfIxd8XrMW}rjqq*xT7hsG*5n81;iBV_?B!%oa(>K;C2Y2S^_-hH-b2$`~0FrIm| z)h`9yD82Tr)YTz&zR`GGI>V`<9x~5_j>6EZnk=KYGrxg&5KK~MJ zz(2`#c>}ro3tTb<@wc^bNlCOzCV%*)jo79QfM4323RDuyPjeG$Th2OND*R_?qOAhQ z*G_Il#f~G5*pKve=7$Pj=gjNG5G%%UGT$XbC$+&W*c(WYtv#P~L$}n{n@@>C zSTmDM1WGpcGbh3tF^vcvu zpJC1v6Sk9)$UJWf==4fte`#WLauQkZO>v!mi5#NIt&G~sY*yvjspXLrZGzpUJiPXa zo*U4YN2s?f=bdtTOQ4al&7MY`U4b_mPKwE+?nr1K*qX;u7gWGK_DgZWC>DVqkWp(U zPYp(90hMT1>q%a{(>)NH0_meuQo=G+MRt$6f-%=Q$OTdAXhKTRM`$&i1+9i)WtGj_ zCv?AMhy!0`xAFRQ&}IlGt!_L+4-~$p@%HwRPZ`3&SDCa{zlyh~2XcR2yq!I+uk;JR zSK0lQ;gbjrt-j1nV*fG^Ci|_JHi}}hJjAi1E=}_VOIFjaeo82k) zp%HJh%EaJhe48^W#@3@IFkh->@coq$bagO4RvETSwTvICjM}AU^Cu}oQ&n?~fy&5K zb-bN1FxMM?z6%EydBe|l)&N*KjDVfPddiQ)b5$@m6C5B){QncZt*dC62~#KIfM&tu zNub{Wy#?q>pg(~@gb1cc#svCtVKo`(Z9q>4x)A&$z63bxDr_GBTEHccOTbGa3zm$B zC9q?K_b!hbSaunfErDfau*3@B^H4i!fIt%N+aqF@2nQW)Hp> z_+1gF>AY>r>ltJGwctWm;@I1dtu^%8Hi3B~^*^(p5#`Kg;d4iQ( zY~F|EGw!mos9d@ z%BxEz6GE-jx>Pd$J8KO1&Jl0vAMy5;`?YfXRAl?~I`BjI26FeOxvr;>EZ#9Ew}^32 zyfK#W7~B~%VkwWoov|bq{g}oOFN$@2%=k)N8H;CvS*keJok{;$To{XG(&C^~AIoGU zh|9{{8mMQ)vqt>{K`&Id>`{V%7wS{?BteKTJP`Z0@qEEQIkqiuziN{;TQ)Bdn`>Do z1Gk)CENL=mm7HizH1!K|Cv>?9v9DjUUh*9;9AEx9P)!X=!m4OPVjSE)t z73#zMcxA9%^@Ja#^tY>b8_CL`tC~ATZzW8A>NaAOAz_*~M*0Zv0p3TtbYNBvdY?3R zK$?SHDBUnH`!?z~>Fj~&w=q+ts|V6p-mO3SeY_ zD^FLFW8x}w`k zr?)pN9LnQ^oaybDX2UPg&_bvPy#rlmlO5mZLtfI$bVd;%431a7v@CP{Fodb3E->#@ zcsXYkgYUABjnl~(3)`rEXelWzcm}@9KiH~f)sShLW`|g7tw!^a zb0mrQ>D{J2)DcT)>HH?ba?7~VxlPboH>q?{6Om)Vmu3~<_E>^S=M@n0;AStk0Dspq zv2cz1@uSg};5_Z(sP^7yjOlYcha=Y5;vVKk6= z+xlG;`gGpzezL+40Y&R1yS|vWzn@|^c=Oc#;7@v1l$?bRr00qiS?+D3wnni4-uNJKwWVh0uL(#fND>zzhjztwwmqT9|rHKBgcv%^`h{h4mEpshm z>=AD)16RmAaYh-qLf#dZlwlv!U?gCf+hfKVaV1=cyca4BT!?`$)ED7GKYp#ADw_DO<(MXFQwAVJ6?^(*_tHr@l3-X7T&_;FA3zma_PRk#rO zM%7V%SX;1B{WpJdo4-Q!EkC#|NTI&Rk8caGtIqMG+CuE=cl;zJkFMGVp5!TkxE)gd zfFzJGTS^~D55)f@9Y2s9NQ{({22xvb$H7Q2-v5~BeqC&<4%# zsQspQ)J*wwB%~wO!Wd%OU5hEBxbCWQ%mScS1N{c*nLx(@&9b{b1NsfnUjn@a=#SvV zC_+L?V=N43poJlX9lSwO3zC^2$pqZI*nnx?9*XaC$tG2dJIr*3rH48;G|sW@jX7jG zQ<$n=0(c3chlXJYHxtJMuQbh)BnUTqRMPOKlSZC%HWPD2TIe`0z+@ms2se|*LiO4U z5?2T}yMCk{GR?~J91Fjy$~Hy_Bd@CCjETbVFsNyo2U-)C=&F>r>)DwFyOC^1LLuHvvg=kryqQ8r#@T#g8G+zj#gFw0WUmv^ zV>yAWe?;SB{Q@~|5O1coG7S)K=C-oaAl}SuWnB|ZE~B<`n&HQl+ApAJ(;>nfQLBl7 z2y<=);S@xeH$sHjzpuvW3&FOi(TUKRjQ+xMhIdj(c%^#@CR9@eyh;?_y0fLu>B?kJ z)AH>cSDCwM3-EAwukUeNA>Qq|O9^daj)*^FWAQtK93Mk4AD>vWko5yP@Bkm?jHo8rL@N2KhE=Ibr4YIP8Xt->A<$x-R;mbXiNK zFm8a?G)~FAL7o7#C(yHjJ`D6}puYf`4>YXq0(w5stAXwY`aIBEfEGs(eXOK`S?#!e z*02F-J7J;Kb6|Em{x>jDp59KJ3MR^F#ke1=!2>gk30th}fy`q32WxzcsF;YgQesk` z;k4GM7|AojbgOSn`ZN4X-4=PzkjDdf!Xf(in6?8DYJu$_wLo6v5)~7M<2Z#l4%bKH zR^w{MU*2ZJ{GME@9tBwyNE9Fm#c>+FHE!uZ9|rnop#K882Iyr#hXW0(uLJ!A=zoCz z&yLIIeMGrIoUGpI7Qx;MkuARtutL8Eak8^Q{9NQ``5_^8uI{w_dm-@-@{jy@59*H2 zApfBU7mr+%AMC-z>yF7!_Yk^~r}ASx=x*I!`S~9FM&!PHc^jr9JO@4Ga}@Q=H5c_} zTNvtnGE4R00Li45;cfCZj05K7Qglab9p!{tk8^YG@3nqNXM zm;VFwR;0?7Sq}keI+UB!9XnGXAYBCBRQs=6GSi9QA4bL}AD+)j#~m9^Ngr zfj_k;aQy$c5AesUkMZMrf={WQ^22-lPpS9vr}PA^Ro&-@I5S}soTQ`_f)G%{Tna%5 z4@sp1f)G0;Vh02vG9e|E!-TX@mZN})jh2)-<}{#AN@h9cH(=ICRygK1gfd6Zzh1$S z(Ekt>W%){IEKyDtO~UwEw0;zdSHrZ8MiLTqR5N7sL;{X#dyE7mWTnPwWT)^}YBx!I z>L8x4lT5A4zfGJa!PezW!2Ku*s4JL22$eAE=790CBo4gLi7O;-b#uFLe@VjY7IhKE zNxbT^y71pfrqs>rf^JP>UG93^uac0uh3g5EB%HeJ_4u=rgt`UmiC;)O>R`n4f0F3B z#jgo?iJwgN8ec7$Cd+?Klv+@-95T*o;mHcfgdhu5HiryJp%~fxUgA~cQA>NdUjxGr z?t$SNt$~>YGh23XAyIo!v=j`^`7^Xf!QmkIvgU7vcborZ?Y9a{TTrm(o`T*M5Uf3? zAhm@Y*1S`ADtU*s+Y|(4;4;l+1zX8qrai2nD1)D9o+x~k{!g^K6=-D;TXRQ2QwFfL z-3nJ_$ZpLW#kdjPZf&gsKN2`sb3?%z;m_5cP`HnPkJSr>&xrpW?S2JzBq(0~X7UV}B+wuvC9#_|gJAhFqk{53v)D(2xY2zWhUKo@=p>}mA8LNIa~mOaoeiPu zZ=L9L&NR(9n6sWeMqZ{{Y$_fNZw#o!uCtcKWR6ju7#MQ36C1(Ys*&2GJMzcecSCY` zP8mdyK56~{(?->EpzjJov$2&x)C* z8?r5@5y!xqb+I(ju@`|7aGY(^C`IT`*G!Y}9Y<22Cv%qRAwSePGY}gBcbO+s&>6Z0 zTUQGH26Efho`Suh>$3GiohI1M82eFCx*FT5e%uMy%8S zLT0T@;!cIk+NdOw3Yj%miI1bU&5f?#%&P}CbOV8>Q@ZTZ&)|KdB=0f=^Qx7kIr?S1 zua%TJ1~zYp()E^pE^nU_!bkButrEgV8+l(TA$&yP8DJEFK7+S+1j0vAyzL_pJ}Tku z8G-PTFK_1vgpU^Snnxgfl+>acaUDCJ4Mo1dJZ)7oVPEL&8s2gTDUSW1^&e%lz}PmL<>%q zosRq75-3~X4StObSzaRkUrU^9aU#*(0s{wnVH8OCKz=V_w#928rx*W|<&(bDn@?Bz z^R>JABxR6MbBFJ#3@~cD`2=N%Li2{t9^ompwS3A*pj~r=?>oY`YfsqM49qSd{ASG^ zm_Cf3dTA%=HECMdz?88zQM2Rc(5q!t&6E)i|2Xanjqgr&sV5M&rC_zmt!lIXEmIve zS*~>PkBO+FmPc1nxxUFRy?)6q6;uE>*`>zCAD2Y($IaMA#T=A$-tyiqpv*AP9?YVr`g@xmP$}}oF2s(-f#BrCFO>xnnya2;DAj=N&%yh2I&tQu z1CSXypuKq!0LN%JMqfHZs$liy+MYU&g9rN>mNUNMn5pygAJy9KF4Ih z`^bRzaRc7d4fy*8{C#8lH4Fzd|91un)_o1gjdd?z{A}J3iyejp@a$N(VMqY4jwOzT zJkd;1`1XW9(SE5w_e8TbL-C$o=ms)|I zV~7@ejlTh-)*_3=8KYkP zLABapu&|rig!;nj2fZJR$DFNdjD3wvHijkXzJfvv4wH67lvM`fc9+9#FS~*Ir)XB0 zZv&kpT2V%8pzRUOD}!mfd7>}M!13U&NLuETLr;K*?2Zwvc~{XdOb6D?C^ z*~7%|Em+xtMBD~T09?d`f8knQoQQX~#0|(2i96t0&hN#|hHE*em+%u@%LTpoNXw_I zb2{K!4&uYSI}%*Pnr^t3LyX!td;(m=np(J)0~OjEa4o}^`w6&~gYDWEeDnz1W=)kQ z52OWRevm2#W(K0SNaqY>24X)zsf4H%g_WkpfY-cMx-tg5=BG>N$AH)TOWkXEPe1Ab zXB;$9GMus^LV$$rsP8Au&=<=a`YB25NhT#sxTKvm<=1=FFupLA*K^XT|CuE9e(7|) zsR$-q(yC24S=@An)U-Z}d7WxCrDu6xrw5sev*_31(X1fL^EzXxsUnLVLH)y&oaGxq zXPFdPvKA76gQB~eZhT~vZ6GF0yQ&}azS%pXwk|_+N3f= zn3APM%gV}%q0K2PEGwogVS;MPN~g`rjG@gbr=XR}>Ua9S?{)qDdH?uar~5hQzHzSM zex8SOf6nLd!=hx*G_3fDU(inJZjD!8=uX)q4W=)+NP1pF=?gECebcxy10P6b8b3Ry z16R+%Rq>ZPq(k{~?o#YtWt@2=h(ZEkBA!!iJury8;4$8CPeZe(y~jRQrkI-toiC8S z=|5>w?Q9oBs$EoPaih1js136Sl4AL7@jg6R$`46~FjyWlx-LREQXX|$QX!0% z$DOY86eh_dmrMA_yhU^;j->OAyEGP*%@NaDEPrTIT_@(zYEPv{}oOdShG+8%5K50S5PS)g@%kq*YzIv4VUTA z7;mwZL8o~}FP4eu?w;{)rB3vK=7_hlNg70R3|<|Z(awV=w5n_D6IDx@XjmllWX27k zyHx*}Is6IF3f+Hks@nosbI}8J=uZIHaMqChKQa`fM zR6^kPqj{#)gel30UeiRv#AFo1G?Oqn8QE@{PDoEii%d%hQ#%nh)8qzLC+Gl!KDij} zp*R!RZZE@ZlgJ%5E3X9ZkfS!MS_9Y0vD1`;fm`M9JZui!AjkZz>)_gEh9%r-`MkFi+G>qhS~)@Xq>Hp4hU z3#_q+Mxhp1V@bwoT40TxGcMKwYiyM#kx4|rj zIw$3I^YnJuf2XB4O{1-A`(Xbi=?jFg|At`yJu=T|hyAz8P%VW0Hw60+ZB7>vhRM%E z>|?tpStmDdG2crFH!S)kTlxg>_+pDg_@6BfYknOI;^a#_hA9x31c6f_a2DV(1pf~B z7qA0xKOsEEMD0ziYAG_c#jZUve#i z|E?eF^AvY8sC3Dc=#pz4o9JHVz zV<}nznt+`5*hz3In)M#@7o3Vtc#n&OQ_)Nphu`5;G|dG&7fwa9T`>Q`sVKV>w&*{B zTf_mgr~yC?p4gDL9&sN|MbCLUErwIka(mU*`#=7gbL444MLFN@Y=XT$Hy{Pmb;@cXiKJ&ppuFL_xGTa6*sW6ds6sz|l68Gjgx z_IEbB9aed%*EKuMQx>YXHM`COq2P_p&M%cK)O(uUU#c+bI=-Vfw3%$=yLhWY)e1g- zr*gJ>2j6Wcw3%GXcPfH5lUw<&MXFKi4SeSZP#C?N@BRP^qh)=LTl!qasbbZt zK2W$_px)Kzc2?!5uJ3bNsVq@%?{i(L8n52e=ln&vTD`Z={fi1MkT4yw%BlK5k)sQ> z-arsJxIjPFUo0c7d+fe0v@*#j({?l3MzQDAfRf}QeY#~v!Zcs>K4Z0crfs}uY%OA@ zag(6U?zEniDzibCJoYd?O!*jy`@UJ!E?K0-p*iY1;uh2ZoV7c56V}Lf06}pw^q@3# zfkpu4x$>4^4-@@dbrOUDa8%_xL8A^qRjC9Dox?WeHNg%YYMbhaV66_DuY4ugszc_h zb_q7`B!Yr5LH!3U4(}{jHVM5>vXIaX|C-_YoiJCwmmX`MydS+r^&a|D{!b;Ki%w#Oj*#7=J2Qh%NKevznBga6 z@8~#P;9{wYPSb@fmR+Mmt;$>J5qf|w?5*sT2B8bWOLu7qx=_6Ap~lfZm5izWb2?Jz zvj?v~Z_P!#u0Mpb1}0M^_5b{iNXAdam__0!#8e0odqc=c2-)*9gbpFwA*AzX$TA37 z#L2Vf=N|E}&9-8qE?>+K9r>vpmJ$fu>O~Z5-#2-dh z!weVH3ZtN*zyZ(MT)|gP2R+!U|=0bw(eQTop#O(ht@h7LIETn;mzQ8;oi|dcCWTxkBU*f{jUW_GzO0Y1`Pun3?rEw3y{9eMGrAV_1cdH7 z?U*b=msDXHlQ78_x5e~EpkzXyom73IExbAIJ8GoyvEZN`*Xrch2OjP49GA zWs25L?ZkdH`BbqwF*wtY!;AOOJ(wYM*+n{@N!LjC(Y@`t9Nb=or+EpHR1+kui|1Ba zx608Ms3OSI`rGr3xXT=rb+;H-6Yl{%+3D7O87{SugS`nkSZ)ZqOZn7121;~jk#K54 zVFpc;rEPwV{M<6p?rj<=`JLWFbEtjDi7WGlMO9am5{*yWSqPO z^0b&ZP<1(R1$|8333*!FF~zX?Yy@JVTxvcT;jmEgr};D#=#aPuKb}iJ#bg-IL-o@_ zAy11>CLe%2EjF1t8}hVn$&_c1r$r{yD3GUh>LkCB7C?W>*uy{^iV{a3&f8%B_H`Id z2L|eiR$%ZK1s-m7)hNaVo^5p&%2x&+Y;_kZuz{y594+#+z+)9I7DZU#g$lffe2&?# z*EfV5%cA#sgiw#NJbJxDC<|C4dZ{6_|5*55|NUe?R#Y!>KXo_D8$|RdC9HA1l>M|v zENrjeEb@3(STAW7^*oE(>obe8nl-wYHjDO+<<=YUl#FJ@F$hnoGL}EX^C@K_D}_OR zO1sEH+WaFT*2yMVR_E|*5K6f_o5w(rNddso4~Ym$tJ@6E$jtT7-_A29Z9QhTiJ6nV z9{0@Tki*V$pqN5(CS_sYC?nM;;29~Ot3C|RNQIaBEIcFSh3bRwj8u$OpN40oe1-ZL zJR^ZZZ~>l?Kq2@Io{>NyXoqJcPzZj9XCzPv{(xsBPzVmdGZH8Sr{EbWU#30^&q#%< z`aC=%E7*l01~r*Lt^${NX)+1w}5*gxZ}Yckm()+ZYsD{6He8cetV$b+%rcow<1g%(?sO^ zUv+GAoDEGSN1%>O@T$A>+j{-ZoHW6Lh+u6TxC5>_R)TvpxOaj3I=Huidp@{hn}~fd zdkEai!F>+gkA7RD-_w&SI4&q8w{U`buJNqdnRas*WZwwfY z!Ar{EAn`~9C|j&GhZxO|=M8vVRzdIkRcSMZ&Qw=EbRtUz%1u3l%+#5ML@V5+*(#j2N&j2Z{#K7M(KuT+A z;2#<8)8sCJ2d+3yQ)C66y5jP;JSOny75v|d%E0qi+(yWK1NU8V8lfl-JbA_SggiO$ z$Q9`LQ4ccvh!-{D2Ssi|!e7^di&TXE;M}1o7^in=UeN5;25|p1;i*SjAYW75t zd!olD_DmZhk?78zZgWf|ZDKF6Idl-FvM1YIIy`Q&=i5*n#N+H4Hfjf{@#WiZL>>!A zbX`hju)>MXOWhO>XXRq`kqpFH#jomBj&VJ~z%25zIK9U!99Gs7P^%}rmRty$$LeZ` zQ?GF(Cj`!N^z;;>UGS5T*!n8OL?AZ&2LHdc@c;XK)u2GETVSnamRs36f%Uftc)LAe z-e-M=4!-yal0kQ7htD8bn_dUb;X-=!?V4{CdY|r<0-lkD*Pv ziu(wS4~|DTeN;>nbVazj$w!#g5zcOk#b#}U`$jq5T(O_zOWLYW)r?^J^DyD?qI-QuPz>p(ei=36BdXb*=74H62KYg$!Se)B}*rb|nb`p7q5X)K9MAQ({3)W(h|9%3El_?_b_t?&wCjw#H z0#=cTvY&L1wNm7l9QhqRRpDcvD&JhY= z9@=%o$;^6jjA+lVV+(BT$@dF#clPszt+#dP$Q*a5@}{G4f^JNh;n8$0i|!VIhcp9iOH0W(?Fw$ ztJ0Mk-`4o6GAwX_Bch~f8jp&YC|Q^WUlDa!I!EJO5qDTd)nF?k=Sin>VtX=gV~?8Z z)f?;}+y(7>G;a1>s0&rBRG)8kA1BAE_f3X+R=Av%hpCTL;Lj@NsLxfnt&~&M zhbo*_nr5TUKN(SX6Oba>!FsIAGOGaBbXn@M;;^37IFct}yOYcMYYtdNwm>@w9rkR3 z)@H;yg+icfMy1KU1pE2R;(OgcZ<>&BHT)GMea731D$$SS|3T6RT@$`s`o(2o0Ilg-xd+j?Dq`4L{lSJ z*yP>~&dA-3N}lm(TKY>=J~$79({CAaJ~$WL z#8QmYEz1i3|3JBFMbX6Tc8DakqK8EmRTB8$F#~ zD|w?{U(e{utosVhDC_Ll{WVj~B9+Z_EU94@*PJ2iv_3wnE#q4fmyz&ooD8s!o=DDoEh_W8$JC>4E`d326 zmB4M1F~(`ugM93O$2G%4IQdO)b9kf71Cp!vEb@H)S7Gdxkh^u=!tqz=qb2Ufw1&J& z)P3WehLTF;SYv*}^h)#}#^nt&hG3UwHxvw^K7(jx*$@(AEX~Oof)e-I9KJ7tYh>r- z`l3wG%d*%P8EWL^Kpy9SG4=mcxRcav&~us;&R!wH=MoOFxzJ_WqntfkAwS70aDtRa`+8Dco7}8z~*c`>Yv_ejTK-`a|?^d)8Cbf82#V?59XSZfVb? zr|8|>+8!<$QNm^S04>$4_|;l=^65hAr{=kO!ZMZ)KY4DzKfA~e??Fsh>q6X^;_XnPaYKQwn8MHec1ILL+ zp;j#XrQ{88Q)R8VTJi_OR1MNznCQ?YPcs+vdJaS3_+uy*C`v@n zX^uTB8>_)JN3WEw(9oLWSIRJ!CEA?#NENiZmEZ$YeOS zdB_a&7!Ga3iH0JEOB?B;VI>1qNeDKiG4Pej-<(EY?s1qQtdJgMBpOb8?i8$YnW7C| z7uM%Bku(JE<=|cg?(^Wj0PZy|Q>p^jg)u!Rl6=AK2JUol?*n(c=T7rpfEYsP>%#0~ zAPiP%fv{`{D~GU?5cUUz)Idnkx-cEAQVi}ma2J642)Ivyd!@@1YZ-*V-=TvQ`XOW? zgj7SwIY#3Afv@=2Jr18#TLbI(7=OYM_Jnq9GBkYU0LdYv4O*;Vt=i^7RkatxIXP;M z?6T3I;i5e|q<|ophdZu_WJb0 z6w9(6&FwX|*uI;`Yuq0w13>s;WJUbxIycKAZC4-Cjc~4{ zM4gqB^fpUKm2?oBP2MiuVkGqBxnLd{6RJ+=yjtjKlIf=Z%!#6SW=S&Q24RzIq-nZ2 zhJkH~EmiG3<>`EbLej6WOsTpcb}kMaz|xd|nduC4aZ5q+VBE{%Zg=i&%yz|j)~3z~ z{j(K3$sSG0AS4Y3G(nKu0>VTv)Zt(Y;EB7YRA|oZb#WnFH{`9b)zxW2bwwShdrxOO z9iuGJ2e!xS;^O&L=PR5S68_U~SP>~RXwbSzL+bpu=m*Zo}#oXz5pz$XwUyV~|BfQ!J!+1CCkgTq2Wo|y!CK=Y!mDfqlX*){DyY` zfC}4X3ek>VHQpW$7_(}89}sMkOk|KyI?wdBt$|WB;w?xeK@HkSw#XJ25i|h38@{TW z?1(06fb(SJs5W$^SO9 z+LM?WO-P*Tgqgr|TS~^5bJ}sttR`H&3U7Ag5q%@0>h^JFS9P?aHWKsL;XK!+)H{ZD zOAXu$+|SDA8*Ctc#V*EWlkds47+uvzbi}13nc*)>Se4Qfl?})LbK&^Eq~GNR;i6%O zg<7@E6M2JrM!Lkfz+5a!VovQx-k?=WosA{t38FE&jDB<+^^^3qC8bKC3n-5AM{HN% z*wDmD2j8)k%5+w|En+a%AHCZ6M15S0dQX}vjW$kKcZt1=BljTD#*^kKo3CfY9$bNn zrXOYDRvi^PxqycHD`Q60c~8tj!f}a@sUm^jiC+laVF@;G&!|gqT(ztU8a=O5+00ZM z)-x!KGoG+W`p{^M@Btdo#7@c`Zl~*Ok1hKg71jFj!pz%I3`+m@kr-WSIo`^;K{gv& zBJ~r;PM6d#If&TwGz;l}eFrLe+enN!83H&E@D~J3hk!#6a1#Rd{0x8<#3>Lk0Rl`A zFc|{2LqITK-{OOa;}DqkA`4jx!R!Cpf$D@6J$J#R@#Xl0IKa=zX*bA%p9@@v1&o0G zfElpR8CYZs;0-Kt@F$)Fo&jLNIWV3B;{jN>^XFgj^S^@)i(G`s=`eYdDa@+qb4j7h z(8sh>y5S&htk9=9`a9ws9K>CK3J5reyJ=hw1@pKV@((Fjh~vjBm&P08w49;kPTSW< zptix2W*e+_9aj4du!}RPYF`BA7-_cQl*U(AM3x*e`kOO({>4F2k`xVo5E%T|xTC6| zjY%AFl->kYv#i_57w|jUx1GiW0fBP)dBa>y3bQZ~Rl=QFrKvz|q)jo1G+u)-J7xR0 z^Q-n(pxtO+r4voHX6P^I8}gv;n+d5t&jcAHT-|DuL4Atp&_VWNj}$pn&^H1>m#6-X z>3y4aS+Y_?Y7IUM8``Em$VBGS!lj2TAs{{hI`6*=+(q6I;n}#^MlX2LB{h-D>x7o% z98Qb-a>~2=$=ZpMENtw#a04OyejE_Q-$ z0?@>V!VkrQta+ezLNV@w$2~Z$9|L#PG%eo+yI1v#pibx24R2?@BIoANG|5!sQS(Gm zxVWqzGeD`9d0G4urt~`>lz-Jfv;-wgN_H6_Z-RIKeS#J-v{~E)y?Ae#DK=1S*aM3A zFZ9lq71kCpG8woH;h<@t6JwG=bFSWW#LQ-3`@=8Qtul(Mwu{mIL1B`I#$AG6n?3K8 zWo>*JKcILSe~|NmHr?<+=HTpaDl<6Z}hGBd3;jX^_ zrXB8zKt(Av>dpx4RmC)Dtm>Mndc>Z@1D4usHjn2v6!kI(wH)fU19;E^I!czxrD&(* zVrForXeZr9`Izs<+%VIPzX(n+eLF)pB1oo2 zGidj$C+#-yMKPYi8`1l?w1iAw_fn4%gR{m*oZaHGhj>VG$oTuuL=WVAF#gnX8O}7; zTq}yx6|~@9Q(wrEj3)DFcvm27m;7UymXKA9T_^W9r}g?2$A6KqHSxN%_h__lDp0I{o!(iYqG?Ou9 zofy3Fp}MWvWjXB)$3VNa&#dy|Sxw!O7ev`OFKN zvLr61>RhX1n*6Xp*NR=Icqx##BC(K4#PXb7JeCYFo@{a3Mb*zup68jNd4(Y2Za4Y1I{s@lwSYzcJ3mKcM~ z{9fWxk9xQ#HVSr3z2t{+vqkSV6x1GY=ErTs;p_`a4EV0acz$Fy=D*?7BMtXzpZP+& z1zLygVVuL`FI!&&W5S`s`Y;an`195Q^QvB4qV3@q*`3;FQQ9;Y2iI?>*@6WZtk}EH zoEHh=yB0+7_r{YxnD=kFd0HC4eQ_-^%Hm=@If$wK>+z_*z+=d+=hxN>W?sPKYOmcp zsXth=0AG3I9-JW6;QcGF-z#1cT^hVtFQ;Axk^GUpZxg+2}LcsK%WB?s70`UJc;l3)1 z1gY)e718s4rVlIPUrODC@!GCdoEu@449#6#)lh+Oqq-V`gaJLgL{z=}dDrT5HMpx;apZR_+PJ)Gs~zXk9qmvYyIeBgm|bEVzGC{~y2)u)FZF zz69_HFdlFoup00UfCk6_69E?i!GL{$nSdt%5?~8pI^fp*>-v#^lR|GX=&9aRd6_W` zQfI^fI$rU~aLp2&Fs;TVO)*s;ZGluxH|n_ZzF>Vbx|Fm8*o5w#anEHUA<{EG1-(dq z)8Nof=!~S+DTIvXkPC=Kics?cTWB+sUsRuHl|~lk8g9oN78FtQ62_~dnj5*4?rsf! z;5y(M;HCzjas>d_Qmz7S0R94if6i6M01J=bHHs6D_XTsVuVC_g%R9_31QVCN}!_=;F9_ArT zPyGq_le)lmf&CArrUJSE8)14mOpR8(%wzNx=CXW>@ zK!r<0o>LuEudl#fRX$g@R3P!dQLeARTvgpwODoV(%GK=9_JCHUdTZ+TUCmZT4BdQv7kWg6(36(`Xy!Xg@Wvw|ugkcsJJ8dMO^^L+2%*;;o zM(T8UfEM&js)Q=&MP`1xlW+KGNt~s+s%BsgdPT&$8>sN-yD`~Ulbn}cf91OL+AG(V zmU})-uCG(}b(MQd*hb_b)f0mkAwxC(()*o{W2u7}Eswmhq2rHXmnqnT!aOjU)CryGh z(IK5zKd4-uGsT+y86N%7X?0(X6;)kgN^;;O3C=X%9K@g|$CTnW$!%sH1DPBaCcSJr zXLhpri>GzE@9_wb95U6Ly=+8revQljJ3-zEJ2f-~eH?ab1?=}{ zwo|(auk=~%{+-dap!O1A_-YBaf+|)`L@o3#b2UGy9BbYJxK%k;kbl}YVMZl($%!A5 zKZMcE+pjxzgV+ShhO=i4JEcHT(^^Q&{jZB}s@WMDe$tmq;!WAw+#w(sFDzIB%G82C zBJlZgq2NG-+XZTJEcLikyc4QXp-&hTquN5 zn#19x782KGpmNI21#z-@0_fdXOB$sQyc3Jw5_nL(ZvA!^Xv3Ren^r3?lHx?*jK;zb4vt3Enayhga+trP!l}?t_Qr z1M0(r&W9DX>a&CH^W?B`#faM;6OTVjU3KQihxF&QpLfl++)#BDj(FZ-Kl#_f9}iQn znno_gF}O|N_O3be=Rbb#al=PS&MkL+w4mbtpJnGWYA>#NU0K+b@z3@*G2AmRZl3%6 z?#j1+EyF*4`|)kr_j{>d_O`5S|8aBClY|Qk`hI+V{I{e_zUKY%+B@}`-@1M*_|z0N ze8#V~>YvmU_0XC%JO2Ek2>3I&X2mDZQ$H>*irBaH9Ob()^UcRKqBn2X42zzwseOq4 ze)9+Lt2X`kp7edq_ph72>`nde&mWQhl$qkcnb)jY?!NtP*_W=*e{RKnKmNGT_T&20 zzaAGRm#+T)RTlSl&Aar1S&K``N;Z(DdEw6L$g6i9`b(7mDSG;op^$?nLrK;q*|}z- zS0-a*w$1X0X;OW%SVIRk1)sMEZhUXK$lRvbWZ{RUY@E5n9h?ST;R5CZZb;IXMatLEp$aT{j~r}$noJ^;ruaJ<_0t1>_X zfE8+$E2Cdg1#$uO&InWv$l^2@B&cAtd*ZzP_^R+&u5_r7hVBeT!7$~ z00cM4NB^TC>$pwWVB>3ZJ`dX;c2)Y2JGQDm0#U6TuP@Og>t^I4KEZFcQl3+Pc$Dlb zmtvK);{C~Y^bG)2(=~?N=u_NO;CQ}PR2pPfbxzQ4uJJT% z|C}H_0GMq_20G*FgU>8ukXL;sMiiAP8)(stCo4tM`I={SFt0B&T9{tz6q*rh=^dJQ+u1( zv5C}vgdgaSTqWnvjfwY1Q#h3=`Q)jROgc3rT6Ks;A+Bo5GmTX56jO6)?W+nWCcDp5 z@_XAtOC44z`<}MNmSUH2VruSE_UTLMA1Az0^a>UcU+w!~^6X)Cf{-?U>?7!7<*n$; zS`c$d7DlJ?cPX9JJLKcGUbyIttl8-!t$kpX1iG|F2%YVLHYAg8JYfxj6bY}!#f{P~sA;y7edC1gpB))K zzLCO`&k+ooZ>;dhXHQ1JQjhlG{=ypUMoN3|>K6V_Z6mXoxatn{9oH-9caKl?D1#c( z(;er4+cS%Jt^W`_uX2e=j}IxfnwJuf{8J93`W==TRompt-kyYGX@cRGg12_M1a%I2+5&wsHrM3 zZc90ok+#Xlj9ZaijNe1E)kefawuDPuwCnBWL8nrx?R8WzcTqy7ahJA}{zclW2@u1d zbmnI%(R}*A#_nJ4VhkD%D?mG7+ z&FFw<3AmISzqr+GzUXUDtRI^Y4M+ln1I7U20jc$4wSl-W>k79l!7l(FpuHkT8W!d) z4HX7h4^+5pQ-%o&Qx=<#)YRzT0+fKOfP0_ql0|QA$j8s0p!1(SL0`Cb8CSD+lRJMm zU<+UuU^@V=*@FTCtTR(m%!gp&O_*2)6MX<(FnRQIt!wSIUwkUB0&W2Q0$l&aXL!%W z(S6%4j-rivJ{Q-abJ7czz1K{3!qySpG~~V(B`5bhx|^ z%QZ%;TRmNsiUPKXfo&oj2M%FOL})4QfQhL+=jnb`K897uKsJ#UNl^^qP*4~sk;Ue) zJ6)rcf2lW%ak{pp&34jjt@IaMk%PBjZ`Bbl6G)HtQ9ImZ^w z%&K&#S3TAfg(T**N^Clak5#l&e1mIoyJ2G$4kI^G)<~Zi%hW2nk8k{G8OfMx=Gfwy zlZFr_rtF?6L#S|-cGOafIvowVJWIj1B}%wGCKFm^k85!vT$}E^EknrTm-znI?8H@T(V5yzmCzd0qKh%$ZqhF~KMPl+HN$r}QD%hhUSy zCV@Q%_8iz%U{`_t3ic~l99SIKda(6i)4`^Ly$tp;*l@7nU=M*k1hyP(IoKCqUzqS# zDc`9S(mC6WW6ZN{v7V9nbv#RwRcZHMPd#EdB6RiKGRnVm+bI9_*S+0KZ+g35n!N${ zmLzl zs`7-OF#<;|-;OMswH-MbPFmwnUXvWSKU;rdXoUI5&1E|iL|J7Gj*Bt6NOv3zjhp!XUxx|5FZ{6qj%+%a2k%R z)6s5|iEj>vzQ(Om;#doa>|^8T9wp1ToO2&N8rK~O_s6VKU1qJeF)IT1AY4sb1OYa3 zMaUlP26!7#h^dK`n{{(6D?qLRQ6#4UJs8v)N`cRp(UZ0w^;KD*uN5X%M3-XzGkW%v zc4EiL+XZWEJV`Wn zN&@RPsz5Q`z~cE7$G()z=afKN4zkQ2^vi^cs({J3kl?D{pb7Gf`G_2&NE65_T-L$k zqqzdVka}HT)$7|&FOm>}T9Ma*I-|I2UfzrC5Bi9yR(^w5@>t!B4)iDaM{{W}vOoMI z?vo0wM_X7{HPbOU#0~2X%!>a}R(|EZ`kNMLcs(O0w+$G5)oPvHzX>Q*liIOO9`o6A z+uerZ?p~%g*pW??ROw<%curs~c9p!0wW1xF3uRzRVQ_0mEqbddEQec(%`;JRrc`3? znBJ(@^PQ1!$4X}KBfOnIJK&|)zyu*Oi$FrF{ce-Ho}*_ z7rylCnb@TsyIJL;7$%evURFF|(?lp{X^Uevp~Rrj_%J86pw22Mv&V?Mn1$~Vhve4` zziYyoQ{JNvsRqn0z#@(OB0UN8QRscq5)3WCOk2`9%(F%%7&x=av_(q*_nCjAv8 z-^S}W{fIcq80j^mpl4#gLmX`)Ybt~M-s2)`k!a*#@H*KA3+NrLM-<3su-Axubkq7l zd?HDoXUR^;>Bk(SR?Fra$D4~p@tz^C(f1Tz4IeDXDw&wjAK{OZnJ${cY>u9Ef2ix5 zDQFh^_Q&i&Tr_O~4g=XUXb<*`vRuEwQdo6P?A9N&2Uo46=}UkRaYpRaA6$z4q-->& zF!25HrAT*qFR)po#2M?6?y7tGU}20nx6{p^^hEzem@J;qiQGdb>8D$!SUZ{>_Yk)L zmnjyGm3@-qe>QkdGIJT2&X9xB?WV+pX<4{^KwMQeJEf_r4M!~F6Vf`}OF`nU-7?X7 z*6z5T6aYoOB~? z7QoJynNPN04KK#JO4kCo023g7vA4ryK-u#|$8A6HEYb18)wzV2n{x?mR{-5}2{pTK z1=_<7v8@YWp*&dV94zz+Cf@;!0-ORY1AG7kz+$PeQ0>*ZT9?${ATl1Vx&q3Ucsuw2 zy5J*5|HO+#$J0*%KcnH}DzD8Y3^&3@jf9UXfRA|tlRpAdVe%T7m;_5Ffjzg_d;O!m zZ?k1;I5t!&cdDCPF-p~VwiC~%iM)&z$)hz`Ac>B^g9u1{AFheE zfraVy%q34@h4zwjsn=PQUf*0u=Z)(1$c1#CYp?fhNaw}2$M;Pi!p(zp-o^^tOXWvF zd@u4g>8tEK@F^}Z35f(;-8wkP*~D~6^zdWP6h(mSw&IW9<)P2#(6=@3Qt^cggW$pe zxR4JQX8gPmEL5y0BTnxAs(?W>cz1*MBY2bKeBnTu(c>Kw2JYl`;AVnH#*JYF{9JV( z3?}{@gb5X&t6+h2h)Q}!C>moWj90E zv7a9J3sMTf6Zz9a0nbkG+=9>83xDdoi728A3ZS72XLCD^pA-T(!T9*Q{0F{7Z zK+WjZ!hFb%MHc~QcMq*%|byQ!U<{Rr!CxH*-wA&@yi#t^13gQ7-7445bgLQq5mRE%RCK~YgrNg|rT0jyP2)R>}D zN3gV_q9!(}Xsv=jt<+kLsa3RA!CH-#>iyFHy)W)s|2KEtyY7q6Iyuj?_de%@Y|c4* z@8|i(9x=iY48vgF0m zxBR(u%7W8m%{MN;TvM2* zuxn4XXW#y6&xJo+rhaS(*TFS#<1+QzxsOPnW`Q}6NFz>{s`p_8|NLtSC;nYtkA!5< zxfSyH?3So-@w`dJ@{Xe$bf!re?9^iu#G3p=3d)HULO4U<%!jicPPNW-ClJox;fzA< zNj!GT(NGkaf&vBA;DTW*qvj;13fjV(3foC5^|QW%WdP$8#@yIc4~AX5!oX9^d*F0lUQ)-}>LD@r@hd zxQWsuqhV-);d2;1tQn-}cuqh)GU|Avq2c%wK4-zO74A(2x55DY(3ZENJR63w{RXtS zyuX1&81~`sFdVH0pw;F5zcwMN{)V=)S!gR4ZH2;c5+#2{$!wg^a0QHcF#Zop-RLjX zf9f$XeBE!r-*fn67?NT53x+t9IH!a`41*Ddb2!>mF^={XPGL409*KtI@S}eoiJws> zen$Pj&LEWT|Bd|%=Sej296zGvhft&cT93gFqL}@b|q3p7wXB4)t!i~C#7ed6@gB9}n`A2oqJ4tXn6Fc(;$!%ZFF~Auu&i;Ep z93Nl|dK^iwtUKc0lM6-AE32*%5A+-M9Pv+x&KXv+0>*l%gPw)suh%=sPo757W7;Qr zyJB*NIsSs98V(~I0yrvbkN7u*!tnzfhv4`Dj*HhOdKc`6LyYETqq)0qJnTQlUKpcL z`aDX%`fCR{A59#;iqid#IFw$C(oB>d^(2zsw*#lR42F0Z{Lt>YA18Wu^`CbUjEB+0 zk8l*h@oj(U{sYFM?QL+3LfaoX>?8ja;rlp`%N~Wx{)F%0PgbqsUZmLAlGztw%NS#iUQ^ro+&k<{q!xWTN;zf5y1Skl zE0OrK3AyrH4cm1M8ME0LpGS$ehfh+3jz6kT-;*b-P5LSr1ty}vPF=&*{cwH*=W|{9 zp46B-}52@j(j-w!LbGo?|z3deUCrRa;XW&Nri#lZxEv-PW!PErM{Dgj`v2p!_r_# zhv7>YwhCYvgSI|LTXSKYi8I*BtxbA{s!Q9@mOI*tz)|Z%(bgoC+zaDX7?0p0>Z4F< z4-C&>nA>lNgrWaC_!)+${f1~5`mg;x3_=)+B{1Ncui9bw6-SF>*Cw6K!#NGa;R9jZ zg)@JHZ|7MUj#z{vZo|1vhjSgAlhMQ*T*X;@GqbAD%p@4eXyzoY8~U|N*g0)rN%7ISKo2H?^L;()X2;P?@a@A@4$ivjq?mmY(05sYVW7WexNM_`x= z!^(a`2n?rSSPR2D7?SaozwZCaN5jDEH=yy?jWEoFVOzfeZM<%PVImAU{RXu0`Zx^B zV7LiGTYnp77&2jqhhZ}=egH21wE@O?xSYv2!S8Y5ZQ(d`{N*1nhp|ljI+QDaobiBH z8?jp=&KZ~?-~Tw4y=waz#p8@{WBccAAuuZB`)3{1C0|W~;~8oULX9~FIHScmulK|8 z0mdL*`{$Kt;&m+yS712MZ-_$mOjQ3CMt@X43&&rm{se8uuxcaTXW@X$;HZYf2!{ZU z%3$%+X1V;vZ<*|2+YX7B2L2#_oP3c}`&U669BJ|!GY{$NuiS;>p{{*ZWi*WA}@+C_tzB} zrK~gTvg7wA+nVQ(Vt-v!R8|yHXl@N?5w65?;OwSLt)Bj(mo&OzjV0ANt+c6?=`Vf> z9SAaJL`2f8WQw)55ejBfFM>J{Tt ztU_K@YOWyGhfaf>c&2G@#en*lF`9`sN$JrFYJE6TxME2=12JZi)5vr6&5nf_%N@I! z{1juk$r#I>o<$JqhFYst;@0#m49%R8t?V7rD$kAJ+U}PwJSMMZaKU zbQE2uthJp-bP4m#54YS;w%>C9XYMn~Oj5n?0Rlz=Df%>2-(I@;OVW=^zq}9c_FW#wPs|K`As-!OF{$Tx6 zMQt5@oU+nz$~qMb;?KAQ_e1j4YcP$Q(mS$s`f(Z)YJjQDf@Fm6ZnCfL(RH~6@-L~c z*ur~SyBPc1S9|T}6 zleVOvxlG#UsJ2pG>H5H92YpPLWZQHphugdA)gXE0gAZBk1MfaAe&AV>4_1I|(7!(o ztOKbJJRM)R2`x`o#pB){U!?$c5dV4_Arnl0a)Ge3pWiPKE?!$hjJdgnc%0Sy)wyUz z<$#TsR*-T|~)L-|^wpgi;EH_3 z=~?z5%(1I2(?Vk((0(|E@zP%<&Sjv6v6jC8Nv#{vvS- z{T;Kv{1KG@Hxtf}v8Z&$=t{r0UQrqPr#Cw&7evqgmSte2W7u=;Eu=-BnnamxOEDdvN4ci|-Xu%HvWCN^wt2KD-4l~}9x+P4 z({y?smPh?$Iy#ShRKFJSWbpr%b&c*m~E==W)vD`rbd_f&x<`*HN;ub zbV^Em5s^(-M;3Tx`-x2fT>SCen2iv92+xv_=}>A9I7aNw+L@Y+0Z*ub%16 z6;^#`uXea~2KdLu5wGYnQzOa{fU~-4ru}z^w3A!TzF_G!50$3AAx0Q3Izl^{<^0K2 zi&epO5`j9y>Dlvb0V&*gsKih}J8by9eYnSpInNgQ z-h5Xy{J+(u;m@^BbFd`xE%A`NNI9v6d`C`Kj`nwZ%cHCBIej_`{5|*Rf0HdMOP&{* zO*mn@YC0jMws3c8vdv6s?i*?ZmZu$SC6#med&^E4#yQF{!5VzDYLH@5>CQ&l4TQiA zGt;DyH+ZTq#B@yrqLmQKI@}@76&BZTi$pA|I`cJ=w(AL*5y$nCZL4gt{{DWRcE6d8 za8~UDvwL^Q9?eBWFGcLpM$0`rrngWg8}du4=6RjuEV9TDzFPQ#e)8%MoZ`K^!hAyS zY5#cbOTBCS7mF?lr8B2t)^*wiM|fF+kJy_yS}`^u%O6uVo79h-rKLtGX*0rCix9p# z1mUYAUj>zJLij3gpLOc0x$5&+YWhwW=XlWeqh;-?y~t{ycM!`s70PldQ@_~psBN${ zWYq%ASo>FwL`<|4*L&}0&8>>C?{-9%2`UQfz4o)8R?W4abqp&Dte95MoW>GX3Gtf< zD`QtoDTdU?OGL9$+O{vJZx-xQPgXD!#uR%`?CQ4QC)Jn)weC=Byl1FSdaQp4(tCwrkIg+!HWrl>{}dD6Mfnu z7L#5XgB|2VUZrHCdYkP>$IL|kO7UXi0Ry{aXDi~>&ZvhuS0qfnPkf>uVXZ6^7T{iV zK)=TF)CnE=`*ZvIQ$u#;UeObxpKFlG;p%y_RaUp*Ra&yR=#%Gb%>&{pXI2ZNos$+ZUSSweva9arn2qcMld(c#jj6FB2szQJoLI<^R!R9UL1C}EBsPV5 z-Z;gyxejB!!%X#co*uU3rcHIsYe)sEaWV3E2eji8v-YhLJ2(3c6A zC;mx$*h%lm>T}y;JRtK#n0e$n;t3lKfvg_)bX!WM_xg3^EF>+m&*{isNc_{D-;vtq z{T9n#iB4vz$;I^#{Yau4FCpw?!YM+m2T{ULUVC&CO5gh>i~G-uDIb&DCr4f9Cv7{`d52bu{>hqF;b26g_&pvZN$G zrF1zM4;JO8BuJuzTH`;^xBv*10b26k`={EkbQ zm48vdn2QsM2Hh~;fiWvTrKb?rGbB2wVkE9%*B8vqH?Pqjv|po#&-$Ib1lPgEHDsVl z5%>i>M%8_&Iu6waM+epI{DPT?`?-5?fit?Z;gEZREPJit|)ME6v9Hl?>vjEd-<>UmK8 z8RE!njxUIMOa4J#)bqtW`cC89lIm_kK2~Sm{du=#C^!S6$`V}J{?LRCu+A@&6ohz_ z7uX+_?30p?3&(1LY`KoHWl63KfATuPK1;lLP?u;c)=vLs8PiGa3*SoLYjZF8TFPF? zj!>U4N4p?J{)_D@B0fD_6YD)ba*iMX^jXPyDY-A?2IZ6CYm0Z;kgmuZ#PhbZvCgn@8FJ1Rd-Y0vM!{q z>ZIqf)}|^tnR$pIy=ETOC_YJbM|>#rG2s|cHnK5# zF=36~FLh+1&x_c_=)tTG83VCP2~FEt2PAR6!)%S`JmhFN?9A`Tx=-6<{3X>BK~0e_ zDJN`GP2aTowXok+t+ih$8C4cEFZw0@PaD~E!R21g8m0Z$9`7JD6a6LYsC4}nEOPRb z$`_IX>~l&RyGY*LBI_eWGi>OhZRM@6`qFm5F%Kf_WBR;?>;E);?V|fff23YPnnYul z`&Qw3i@WN~&K;6mN`0t7bJFb7ji9;D(ajAK_iX+l%V*{!ta0+b!J2K^4Z*<79pt%) zpW7;>)JG53{AD}ns4VkomHB(e@y1peZM6{$WIV(Df>IwhoxCnyebVr=iM9YVEOs{90(wi&69tl%7&cku zy>4}(fz&1XD+nkqOPG>Oe8A4pL^>lACMA0v*3Y$+_r}x(?i0;W%Zw z@e~s5_#wd#q~MPsXYz=i3$DQ9SjXktOgqkBU>)A{hSiao zSQR-HI)Wza2qOXEah%+KO>_)8qz2U)r`9w zpq!FUdUScJQqf93>hUgh@FQYlTry?whOwr@JwCTN`>XCQFkasePc1d+nKLEiOrLI z^-F9X<*V=BkLo#>FrY2irrDq7Uoo+c^ni0%bEdkYBQu@w%I$wF=Pz$_jGQsNVtO4h zg*8X>;Bt;>TY~2s{v0i{dYB_*Mx3jlj-JAPqRpwMItI-Mb`=*;F0usL`06l6^o&SX zVFB$Td#83uHQ#igiBlPIob=H1n3Z|iw_`daW?Ltfn2M;hvy)1iil`g0*N6@FT8pS- zu-AYM_F9Ul-(&AMHrQ(`qK0G7j1Bfq7g1HeMzNmet0Ncl>z?PUE6#X?!o8=6f9=?_ zeDyO}ahEzrVpPa3rg%v3Z=VwqxT=Rv*IVNwWHPY#t@6 zzrY6d?qGv@-(Z7!f8XGCq23m3Q13@F6{mpNqvjm z3)nq?-S{0;`i7+rlQPhsqp{8iruehdthvDqe{NLOZ)u9sv_{H00V0q#l=d7`;`WIs zB+omRptR*ZN0j8gu-laNp5ItTr7h|?rKH#Mo@*wiQKYfR@UUUBb;`jM>C-Zg8*Az{ zo`FXk<|~n{kIOvbup5otqu6~?=5f-q)3K37UhMIsHTEF2HMWJKPm3-cJI`zTh9QoV z9fJGZd+HBqYkFkegg}n~Ysf)vMNBy@r|pz=;;RKc*FX3s3(skVY1=w>?K<{qiD-8R zcgNf5=Tn!Cd`6w%_HXLA8HDsO2m(~6iex^FTW+Ieg)=1S5e)LP>PI4N0%;a+j3(={ zq-Khb*J-zzviun_?ctlrKUepPHYE@r^TIX7mqTlc>KLTz0#>L^oqU;BGr7*=wR^AV zQVqew?GIV%jFFPig@o$rEhb%)JC8p^tGX;u7I%4!bNfY>F=K=z>_x@h(y_7(qG1nocQkD|vkuWDIGx*KWB z+BqiuO-9n+yVY}22C<$EBIjcB=OA)o%Ak(>kal%lmt`IpFz@$4|Rn|8LW14IM14xR-oy_U*jif7#eO%1cx3nZ9!6%6?X^+=Z;79ui4i6-VPP zNq1{Z-}Gip@`4Lj{;TzNE1@z&@zwz8bIA_=bK?BM; zf$~Ye`iXA{#E0)O68l#(zt6E;k0BZb7yv zhH#U`tvAspGKKi1Z3c2H5@*_ulpJ?4Hgm^XE6NyItOHuT(=#EX(mfm*2Y9`M>!L0a z|HoFAFtwgA$d-{XvC?yn{*`56nMb808|ju9b;p+up4LTPR&d6#@?&)#(6I%HL!-5b~{o}eBjpI(tup)J{7SB?NBqNB6{4DZLXM4LH+6?zH-5A zsEY{X{NH6s(3%zUWsF?5jrRrAMFzBm9Ifhdl38Q(sILt3MJF4*oye|!vC*A_?CJ*_ zy*S9O-rVT99og0K8nYeQ)z8jzn}F=<2+DZC9WRUO_2%gIuygAB<`L~7tE<|~5$zGH z)qUon645_Z8gslP^dI$Q^C*d!R%KR+B;hn9Vos0*Z>-v`l1Rcfs&A>Jl8`Y~r;$rN zVvPE=Dyb1+wgy#9W9awlYpUc%aX3;!%9WF{NOx?rlvA^agKc@riCN@tY~{+L>xAXD zEal|uq`z$omD4McHhq}sV4bJ0ewC@Yj=4uiHGNg!wnwi(+H^*tE(~eYJqq=+kv84? zo{o>S>F)RRlS~&1yoT!ro4zdY9Ijsu{dS~{LY}BDw{!aONSn@Bt&2r|0gu)CMaVDU z{f{odbgIk!AN^F*r7ka;Ze)q6%aaDp=cX>?Zrf&2mJt|Sy0x^-Z8IxKTkGT^a|-QC zJ3C=arN{S%n-*yqZ?o`0%NGfs+jf3`XWHOcDfo_1zQt&1Ha7^Mz#&TY!;!|>2_>(Vle zNDHmw%G{50E~)Q0S2m3)rY*4Zo3i_eKS5_i+lqDdf0TUQN*rPwg*Eluh5R#?A!U9G z5%L>=kl%@YiDO-4_Y?5`T_jC2(wLk8%RWk#>R zKRe!X#2MzwY9o!cFDQlT*#YAjgbZII)zjJTm5?AjrQr2!f$oTLo@0X!c~5+`lxx&GVwL%sPzCtcT(=Q%rQ_hEhi9<&L?vK7HctRnrv{N>fA0LdDY3 zj0VCb{SL=U^u(A6>S*y`*XRb~Wcvrlo^;QX#&XBTbmm9&#PR5foZXg1wFCOXPFG!0 z~!=*{xIvvTF?8!vsN;CB5##71U*sk9;yX|WIh$D1#W#29@?kqiNOZV zF7(7OgZ3_ZV#qknnMbupWVX4_LYTVHYmgC%(TT4?MkHAm9t9Z@G31^hBQg+j&s8gJ zbkVns9!}kS$JE>a8-K7YIhSh_ej_90`h{X4BnDzb1%Jypxj~_PFWJCORw!0KdUX0U z@Wxx#m?P7}_NZ^0hoy%UR=rbX*5tR4oB?Zdd&B!)ap`4OMm}HapsOu<45!ke+ zj&#)cm1(2Yd%od_X=ep(zVVYRpx6I4KOO^goZG@vGIFnP5^sqtq*st6_!Qm#h*c|_ z$R3cy&6O=<^IKS*vP^bB3nx*wfX!`TH_M88y`eP=nM&_&(E~_x6?bGHO3CPFGPb&( zGpsQcwN5gv0b{}3))CcG|3@#Y9t7VqER{l3_G0iY*(KIzruDj{1d-g=2O_cz2*}bl zu}GuS+>jZ4gQW?YvlY;s6>nhq41mULzrHNoGv$vs{@%Hi%}}+d$i&z9}V5F_o5* zRjw+lp@*pb0t&N7p)k7~3bWx2VFiA-ILAGqFuSRR;s*)YEl`*}429WcP?!ya!mRHt z&O*4qg?j|t`-1()z5Y*O*836R?S@jqn$gm#wNRM-$nti43958-Z^H&hO*$pT`&Viu z=Nu9e1CIA_SCW5u&lG95V;(2~f0cGvg)@#?c7e&@E*J`W6DB$2by1}QvmiJN;>=J)q%8?#8I1GlGeHZO6~JK=h?~v zK7e=5(-m7T>{-(t=U58Hfo-LyEq74yo6_r+zrhxKK7dB{s=}dRss2SZ#FYtQOu;hC zC{9+N~gz=m^M|9GKRPf%dQJz$T_y9J$c6oH*Mp34ir%L8}B%( z3&_(9-#ES~pieXY?by;qcwpEP77{r9-xVA=XK(7PW~!WBl$zJ-j_@>s&u)G~J-{(w5RsLkyoN9jkH zPP>G8_}5N%1~x{$)XsO}Z8=cm>tr`Z25JkP?v1hgHN%|)khP5bw{4kndKpR8s%$JjXRLtr~lM`bVNJk9aT$ugQqM) zZ=jE4f;v?^*eB*zRj_kyLS6&)JNfX0;s$z%oR%i!y%TaDQ$Cl+B}{otnsgLP{*^HFWxsJ(+zQwGW7Db|!2=EzAT-{%do#zNs)@HMhRkM22BNB+oOW(`PW_C-I@ zp2w1c2!ZB2iM6<SJkLxwd@Pq=n>8+X~jy7lcGxHf!PwQnPJw&(M%{AeD97B#xLd3;`#xkwreoI2FOT=BYMj%%G3Fz6l5J{_8beox56BWg zIBMKv+E_uEZ@7XRDrvs)h-oWEt_+`WL-oHcjK>X?lf*lP8>(-TV2O;2`zrra^!VR! zUtPu?(89{a4VB-*>BJ2+poN_%!;@AEw^_EP7dO=ZQ@s5Fbsz4l3LAN2M$lB;MLtaTux);ruRHCa0V(>q+Ce(T} zgwL#QwTOZnV3pLmZx-yf`qWZ4^QTy)wO*TrKU*oas+wx4IC6=B(MLF2bOFZ>AK8#%Zd}yn@hiTDzH1AikzK z1gY8ZYfyP66$D2?<(X3u7Nxyo_9zHRAuLc#YRb+d|DjmklzN-sr}!^N;$Fp~CdF-H zkzy)x$dG?gtZf>dL>QqM-ISR`I2S~;Dv^ffT&+cxwt%htzT{j~|IMpJ={K|xK@^t-6#{wj*2wAQ9%`B7# zuhxEUX1em7R2r8wc{G=F7u&no4#jr;#&F8weyYKyaLPxI@os$qdswYp;0J^t zXb-Ex2lxXH@CAZBELVI|a8EK2gMlCl3}Z#5Iag+7tz+W_&31XGjQ*4y6xMc+_MzpbM@MZA+)VHry5+NGHEGzDxao zuQi(|pvw5UtV+@U3$0o6z$GN%7u;jkbD0CwTqdJH}8xxLS?kK=9MkDH0pLn>2MGZ zhTP8RS=;!zqZm|zP09%Cwu9N418Msxqc#n9U+2D@)93pdh+Ofl)Kp8#davR>o*Q}U))bMKuaGz&{6nj$af7*7{!z)Pn@CpO@vQ>qIehnLP! zUGO-(bSCM-u2rp+Phl19AeYHk^_*-ZI1L8T{zi(^=q*xruMwUJ=`R? zF&<)4wn~-KVt)xI&YK6f0dBj!K$*4~S~iIpEYfWIEM;~U@ppTkGBu0L zx0fq3t`oknXDJoeNkO`wO-Eg(1L-DVqQQ=5Hmb2DXY zn|VpBA7rDO{gXJ+vYE|TZFWpHvDr6?yFj+AS`Q@x%WMi8H$~hxsbDObX?7VDpvmcgl$W}HB->^Q&a+-tQaDvnioP_QWZ_Py~ zt2-iv_OCsnq)N)58IXX&#b5}=T3KaC9(6!F0|TzbmF{D7r!48cgMGp-62G*UC5)*j z%(Q1DWLJ8AZ+vB;lzC+Fj#xv>Xjy`}R$lMOx~LoK&z#A1aqBQHyRGD~%l$fMl=f$* zu;-wQf1NF~6qm8Db9Y%PG2n_Qd{6uAru1T>(H>NyZ}r$|SO8U7@0~`!l4=+CIB%#m zs?77a;EdI`S6F~##DCaEVO$pLf7V;aKv|aa&N2tevTUX$AIh@aZI%_iJ}+1~mTV}? za&B4{_Xc%^?AKm!QoACiY5sNcUFmH!y3Mat*+$)D`xI3sR)iuy)c>U}o5Jt3%oPup zMyaZ1io;#SHqvz6O3NxaXLb7hl=B*aZWW?oFa|nR4q}Y_3TTB7^Th8k`6J- z2FLMq+C|}2>#$lCSE&XJI~q^{$9WxXl(aw*!F>+tkJt@SSEJ+p?@68pjjY91=W zOQ+1DO_mFKHnn@4G%R%NY4<*9^mlA*cmK#ci;*C|`{&y;fgRtn1k(A-sf| z<@8*)`(8Oz$217UzWWXuvMgxGeg_TNz-nm7N|X6j5&bf={Y9pr$ftg5GOWUWWmyKS zlVCm7Uoj*~d&WHOkZwkgXFe+-kve&yydPy^Xj=+mWgKW*@=st`$Tw8D2*W~x2Hu|- z78;ozHoWS#IV3$~xcZ&q{~Mv%Ql)Y;p&Da81)E6BgYB`-)l4c#)?%(T|SSxx1>O|RU zJw{(cZ&Y2y=&Sf5eWHC>!uWa&(lSdny4crwqtsKJa}$dCNGZHanqf{-U346#OgMG% z>o8^Vs^nlRL!gf?X?Brg*psaj%DiH@cQHsyKF(UN`NA0vRap$u4k-xvNLpZjShCMW z|4;C_H@-{qQZwEe*cJ0q8|x%?MFnaWIoVxtf!YA4dspOs%~a=ruGo*{HMV6atmK`d9^{G|NUgU@UNW z_IkS}*l5%BQpbYcIM)~(^@<|7G|fhjz*NYIbhnFKy(O~Nr(Td@8Bois=U=tF6AzO{ zOsM)x90qj^2nl^<$-w~Zlm_A@-8<_kacryTmf9#D*%~^q>Y;p0&-r#robkHD&`$cu znqr+)>s8GC*}A-ze4jPUdO$2}ja;fNf&_HzYt1EjO3#^g=0`Tw5|GR4i}p~THz%}4 z7^*&)B^4rrdXrgN5jw8wiaE4GJWhQCIjh63Reh3A=_%epj>pYzLLT81mPi!lk(S73 z_vGh&<=^}MKXuuoP?x2dbrJzca%v%x$dJ#>%OW~Qc#3pEX9etLMJ_OaP39V?!9m;)+uTIgTN=}>&8 zd?t@TnhwS-L!1Z;m2Vjri?rR|0}X*9WZ55RoF+1Md!06w+KnpeywH3sp5)IH=W7pRDP{OinkQIF z8T^xWr;0o;Y>4J36?b095bd`r&v_BwYTl~+x<%h=x2ovfp(`{uRKjlY3hhZ1vpf8) z=A|mAJNT`3KeEeV3HSwjaL3qU!diP+N6vlHzxI#>Su%}m=O&~lQ?-gmQT{o(5M)I|J*uzz+yP(9XaD7J$8g2mApi-><+I2)-Rq5IBTez~0F& z7y$Sn0C0gH5Q3nc>@F7Y0X*OjIKUSOKp;T12yrA@SZQ)85adp zEJMZ7(zw&ObB>TkF2$WQu4iAnSGGRc(bDdDLstnIXXZsA)iNxXRWGczFrg^B4dj5E zARe3w-fyX{Nq4B+f=eSGd%I%gUapZ1o~{13sKxHjNFUwQq>~#N#Jgz=tNsX%v~0m3 z^358$V~&nc8l5+_bcCGXislvetn6-b98eZn_rdoNEQUY6es$?6j4F%j7xg6g&+U=a zm-I;e3wuIA5{LoQr7ezCKmlgYt8q+{S{=*5czj+oujWpOcdZu&Wxq_Fl}MAbXQt*Q zdds=LrIsfWZ7gnTR-(I&^Xj%^6x+`x(98U5ecGb8SG9^GbrIXuF7dE*(S)iE;;{74 z2V}h>sz%;G%TV~%WVJE38(T!x>GTPPH;$3D#0JiQ)cLjU4eZ_44`R>s=+l~Vv0r-p zX)QxcZatNgt5m8;N!(5?M-?WCjMGeTawM_q$g^#)u!fRx z4SKR|E^;1ga;l=tE02TJ?`7mX_IeG|HeNaDKBhSrDW@VijqXzF_+DmX*vqPW&gsgr z_o>V6Bb7P#>3`eF9kOJKmp!B-JsBCLxE=Cj>UcYn!u8Sj7@wM|D~N@LyQVKHJPVEK z-_!-MsV)3Y*$6hdMc6FcRFiJ{5+kylUfEm>#kyOm=QbWE{hF4nei>XV+r~;W&210p zh?aYG2i&5*aaWW6!B65}{3Jf&CsD=nE-8`DDN*VO3DWx238DVw2}$*f5@P)4CM4IF zB&6*igeW2tq8LFw0Z+U(vxAam=i-0wl=2V6bn){liaA9W>syuak?dui)k(=>hgfen zy|J`_H()ck4vvEtVAG6e>S5rlYG7T&;VLYPE)X47SF45>gwCn5tHKM!bJT}|X{j-< zZg5zmW2l|BfhP=npqG z5+CZfJNlKN3wL0WbU~Hv^jvnIBuae%x4W+!G1c;(whp7x)LgG( z##MTp-q$fSm)jRNU;Tr5NGrbjQOK+{={EVUd~NB}B*IXf%P2)i>BJ=38Jx#2=15m& z5_!Gxi6f|!be|n-y=3OMMi*+=93*Hy06N$N#+u0$F~cQ&iOD@x?s^^ z9}ks&h9e-+-zGSNAzh{};w6T3r`O2tL%(ulP5OOk;$EsLu_zO#3C|=f=|} zwS?|#cx|d}B={N)CVeAikKvkWcOz+!kty@c<+t$e$f9!tS_Fe-e!1Kh{x`A_xqdCe zgZi@{xfX845xD8ss8?YH%2gkv}L{g<>jAocBqAq%cdX;KuUHn@$)hQ~FWRH=T zGd^ugYidUdA-fZUyX#1q_M2EpM2!(%((s+60{pw25qSX~pii_ie!>Iv1s8cC zYcgI~y%uurTIaAYQMV<}*sDGP7J)xO0N4knf?vT%Z~?3Z{|3Lej0NhNN=He0N~t6? z$R!1#p+Qpr?M#37tMnA~<`mDX^sO5oldq(mtGYS*Tva@_@z|ci_7t{Du>CD~szqND z=a`i)>{$e+qQ6EpcuMi#vK}|l8ym}s4>v)s9Yy~_Q6|_1a==Xx4^Dww}2?nbwCYf7jT}^p!SB}Mmxc_- z{yN4>W28e}=MiZ5%u!qC9f+)ddPuYyrZ{%jd41#tX`ZUQD}tYBcBuj@kizJ$3a_pL z%^6j$QRn;Y%mmT)0+QHxNQB@wv*CBqo&us7;+4?mP#Nxsb{3Eyuot8*Z1%RX|FE7{ zk#*5SG!-hYE`ErXqVi0ae5;YG{L*8-)rP9*=}{{*vs93iU7_WvnCX#kkrykaX50(< zSC~cJA|*T!(o+k|+!~N=>y49Jlj=_!U}x84RC)|F-joT-c(eFdWJ7v~wZ~l~48tty z5tqkf&UEd4r(exjfBGuBdreL~<-PrksmkTe;~kL&m-+Gpb7kx@0gwMst8)5F;%*?- zBV9S652+px$Uf^GBneqZoNYg4s&6G+Ga5~rR!WrNp)9O-L}N^xHp=PYlJyaf8jhLv zw9<|m|3K^l5ozL5W6QX=1!oYq;CGw9UcDN#sMGt%zPb^ngDznbXC;Cam`Ut+sS|q% zNnB>?vR=0q*0$74_NB06lS2uAVrC^ZloWuOm5fm0KFq8rLNV*Iv}1e&W?ja0jBcZ^ zHjqraB$R)2mrbW6q<{2g(ym~> z!Wg}wq@|Jaz3!T5)1nI=K7T|9Rh5~eeBzHnfpK^pG7o2%!|P(^t0T=r>Y|=keP)iU zL%`nvb4p#LZ`BlYa9ymgnyHwXfO(K*iiruB2l-2}3>r}cPemqzS4c+1f+l$jF;h|0 zl+{B1L9wPOt(*|87~3?ioODbvw`oi{ae-oTQ+7G#T~;=wzQMdpPE*Dk(q6@qCdC`f zyG(By{|56e$j8YbjIhg^G8v@v_L8Qt4B{HQvMGl_{$MZdsrA9UORdA?gL#)u#K>XZ z<$KL{Du1c?du@)L(zCk`^DY^Vx;o6eOtc79QOG}od6#F71&Nq)Ez5 z4#9X}1quBTd zG!@Q4EXawt+Y)P(mEIhEe5$HmiB~U!@4SeSmjSk~s{Ke4s@D)E7?+`U zG9L&>X%Bifbhsa(Wic z%a+xl#7aNC!qi;gu?LIuzUuNVJ7pizQT&1yYYXg{@Pd8TW7^g3v3e7myjH%VWAbCd zzn6Q|AH_l+(Ne8?MvPTdD`W`XTWS)T&?BLPt|_VTnVpcvqlGBg3FCOwFTb;C6U|be z=yB+bp*}(0v^vo^t=`P23%#bf`lzoe(wtlu6@}g?t_$2cpe{H{yW8wh$M+W1#U9l# zzx#}`Oujr}sQ>tcoZFPYz8gmMlrL&Z@GopizfCnNMmEWB(=!$1rmQ5&4~mecv?N-z zg4;AMiF!;isA)_ReF5UmvRf#BD59HETWEd?zov{9>R!c&CPfRqXmq}MkvXI*Zn!$Y zJg5s%M^nwwU9soXBh4eaqE}a~HAi&CuU2o9FDaG1A<`AoOVi(wgNz>?+(d%EfNW(a zx>ZKev^Q<6(mV$BiY=k%tdI9aRtN;kLS0dm6xVPNPDzmll7i}4zgaR811tUViLUG{ z$`M;=>F^l$(lJ@Yx%RxC3w5*`=)rAu#C2{(v_8ok2&^vbS=KemAxxzAMLp4YssdXj zPqdjTqHBB%<;MjiTBxl)=MgGYxEH9ni(Us9{?R}I{34CtcqR%DaZ(wu)=r9@Cw0@;jWw) z)SLE{9`TFSJp(}$DDi8i^ok9k8=;y zRK%23Q&7Z;ikgiL6%`aUDoV1OD0Z-{sH|qQSpTkqqDDo%Z}xt(yxeF$7ro|UULS`@M3X5@4wGn4ro9tG z&4l0(vpCyanpI@L&gLd$O*i15aZy=i{um0Ek;V7NZRNUT75ZcIxMPMSm9|HePt-f< zm?J8^dMzC_SNWTI8yy@9|5k6HBVQ|<)O(;ppQ=wSp`+cD9qJ}Jl&l?AE1D5Il?wHa zX7IJYs$SC!zV?UJTbsex{*`)tGx*x?Q15OAU;Bq@nZ>!5yqF!t1XrXFY#P(MmSPVx z$Ii7h70evdN62aHs1EW7^*Y&$5F9O9~ z7u`W5(01u;I$Rsb#k$}QN(1$=j^5$fK$)Opb&wh$d=S$yf#GEEik|vrz1*S0DV_Mu z?+&jHb5MaM`uaOcUCmkC>54{uTW^T&4Pf1GIpR3LIlywj7XTKp2EYRt0ReymfZ2d& z0199$AP;aKFb;4UumtcC-~d<;mf-s>`uiMG2@9*zLm*15%FE#b9x)iD6r4lRF4HmTstY=_P#46BAtix8KH zPWqZ*n-=Fr{nTMhUDzI2oE=-8I*QLwy)ZS1khhfEO)H1(T1Y4K%ZG8JK2N0H#-ESI zjsYM3LN4wf*z-)lK7b#uHoC-sNa9vSrx=iENPn_dZvRy-Qg4?#omUyuM^nI2biaCE z3ht3=P<<=~S){n9UXy~}srbwxHBn<+Ua`?lUiTwl!qKj6FQe{ zy(Q7MP`5G_|6s2(gdb3=1RDlSb5ZInO1|8`?*P%as8a(J-d%ba^`g+nz(60 zvC5~hEFr#pavAi-Vhj_3ALgO)abM~uppTc4JrR~3=81oMv@Fq2-J0cz^w-^9Jm+6S5cP`6AjzdyDXt#E1**l2-Ndl1K!4X_A;si*CLMN8~x=pyl6UdGVA9_%gE z0LGgMus{lPXHRFh5f`1HCErT8|FU!6-vPvM3PDS{mw6=O`|VEa$1MS>H-PD>Tn z1nXK+XB194DxXs2a$kqzd$v(d>*w@NnC|?qp4EqJAbRS9`)moczjRrBo&Jtxhso&`d#aq&EM#uvk zlQEZA9z=^d1==$wXmc5tIGtv|xi|&RFE80&|1I2Ec_Q$$fzJoNOt!@A zP~i6jc=?^CeSsS30ft*axJI^yfoqNuO5+%g%@I$qV|gV|p9P)6tBWr5N07KK(HZ{O zncOkass7**YZslqA0y+rMQ3$6eN}a;Po&^is7BO>dJyLo;c8WnUA&@7E$eZ7r0`I$ z@3AdXcB#+x;CCuV)g8eIH>Fg4DH!uwE`<3h$GLJBn6$D@bhbX1yKSL9(?`|2<|DU^ zYz;N#mmkJO%3rHn7anzp{F*wkdg?tqc2)7rp_^;#x&x4K;;XFT()?&Gv0j8;z1I7Q?%SpLFq=k-bp-x47W#j@e)tuRzJpgGKB6^Dkpx9J7 z)R=MaB>fbBGJ|*N53HxLw0G(Ndbe>^FE0Tq!zA@iOF;c)oY|X{fShQ|=}k*OUo@^( zH;Np;DrxGyBHOR)Y~e;e56)Vo%upO%FnS`Yv76yShq>|@3_E(HMoMJ3(L*#cJ_ARO z5K5U0Dn0B8@*j8vNF>BHJFoRfCnPxruGx(ZT(=v0@K?avI`rMimn5%zpXwSmE)>k^ z86O=s=-%x4-l2~E-r)vJl0OH@2atRLi4-I=dd9a054sn4ymttP=55e?{r6b(^*>_K zVTK^V0TyAp!cTD8LT)2Y(HGSa+DPTnk7jtos&j}{F4P6qcvMk?bkrJ`D#}w>qo2@T z+Jc&3_@zCk1#)W?winkqeUdL0oM1V9Qg}lkm7gVL6ypfht@O@EOj$O0Lp6Y@HhI;o zUqe|glPPs>0P19lhr@4n8`flD_pZyrmj4P^3jn(u?5AK~Notjnd~@|zVVK8&3xHf0 zCLV?%O{TO!_e)N{+1-LJFF@)IllNXkHR0wfPWvI`{hZSL0-*Wb#*HgV&f3-{ys zT%2>>EKDCa(7ALLj>)Au=gh)(aASuy^f(Gt9zGj-AZg1vc1e@F0cIEKnrQycU)YnI zy!~CUY$4y-pSXsd&8Pd5ccA|Mad{%lb#>lJ4np}$v;Em4$U(EwN@CYA4&E|P$ zWsG2-8If5W4-CcVn>BURK2&uTZoj-H(hQZ6NkpnR?UqA5IZpO~FVhv#3a`ckl zyCmmlJYi4dd);&T1Mdd4rhjXNI&nqn8oGb4Kf$Fk^tE)(j3ew1(Ru1Mm58FXMD(%W z<&r-&%u3R8hfaqNxJQaP>Q(f_USERu%itnu#tawEi)e*fRf#^owgdCm?*-DgP<)9v zhde?U3!#r0>SgqT-XKEwOL#u5pRt1TGy0-H{1S;<%g4!n50>dQ}FyARNWQGSm;>gDZyroR~7Yz zjyk;h;=qejy++ryGbD>E*F9NDnV?oXkGt$Ovw`WBbHCD==sa2emygdhB9U7qXN3A( z{QP9)!aFP9T}*mAe)!2G-#Wo_sgthj+pbkh`z!LrNMQgyc)Elmgs7E2#lFG_dT2f7 z4+BK4wBh{>5VcZ;*#}S3MOC<&@FYFG3j2=%qE@Q#Bm+dPyuoZXK-9_`Tn@Z6=Doq* zH9*wL8~j)UM6GyWPTkpto^vPye`9K~WAiR3-J0ov{&4pPnhMTJGafbQ7&Ug`)I)lg z8eBM~LO-U)F`V{7Z&!nCBh$e7&$f-aUGG+dZKLF0{JqHep)S73vyB#~!!;pemFewM z2Qj;Nk?p)eTrtn9ecB-QF^}5s`(Cn}F{wYmPW+d~r{B*`a#0iA5AHbMHNh+&r9`G- zvA`YY4~;(y+;R44Vp!mg^ABXg@x3P5tVv)6go^KK7_7}37^bRtrFikHVAQQc`)sru zfe-?b21KNl*fi!&*zo2l#EsJiY)-0nu^suIv*d0*IrlJe#+yFdwb()GX|@;NeUNfi z8eZex6ZBdGn&0HvNseQO zH6e>s>F_IR`$%4*KGx&#NRgnv*kd0r4<9<-gMUK$!kNNHbVb=g&Ov7jn~dAdBM$u* zY6^{lxCb|T$$Maq4!c!%0H8B?M7D#V*(lnOuSQmLs7RAN(CK;wRMDYT8b8^AQ zEEHaCC?hU4|NP_5cNn2Q5HB>IHccRIpLz+(awVE^z4-$+UlrOz?`5ryUsY}bajPv( zsYQ)V89?DwMFM%N!&;@bbS&hATC0i|{Mu@ttki19rVcnJt0w5^H9_<+b4D+#hDMJv zL!?#B_~ziZ$jyd}kgN}8Up_-{H8Ofyg3Updwkswn0W(Lb{U;_ZfiMT=)5c9nKs{G# znd34O?4GN%1*gG7iK^7DKAn=_NL2;tT!_ogY*Pm5#}M-`Y&%5xJ@NwMm~;CWKS%|RCQ3$D4%?*tdH=9)c5#d)J_?i@p>ZOc-^#7PVJpB9Li#pp{MX- z)@@LX*VPcQ`$*=9>TIslt1Xqt3{`8`Zdk4_Bpy4sSTl|lr5UZ|bRucI;_9i~8Lv-P zIvtn){B-1GSAgS5MQizNnkUDR7;%iyXFE$7y!aV;Hz$&~`^138zQ^ypw2QGqSg3(( zOp~znb}t{-QlSD&KWFCg;Qwgp_4Jz~UfKC4uiVu|;! z#S6!@hG`^U#xf}bk($=!kc{vxx$nj3xn|q9E~j)++*s6IHLKiTy9DvuD|om zh#C^h&97uaqUC_R{&kYj*0NRZ_P;-bt`^7z;6>!G`o6xv){D}&@%ym?2QLzT|M%Sm z_FlBUv#Tbzp}T4P>#MS>5UZX0o_ycekNM#rE1RUM9R0zo!mg(93H7N}_)OJHr%8TG zrQM2F*GXYZWiPm$O+O<)NmnpZuisXEhr%?7GG~760ti^6@kV}gSNf@&vEtCgu z?G8D9O)!PjH}U7$9>*eiYioDJ7OKW_81^b&{Zin)T*T522df#mcri%eHyyxSvh;@dJmAl>iO=`MpAauZ*b@c~&3 z=AU3jfC;S=z`O-!*iHN!Xk7{B6ELH|gjPJ5`WWx(;G1}lj2Z48QvuTeX@JZb?ky>R zbU-Qq+FPK#1=?Gny(M!Vz9a>Z4oC$+dkJLa8N1Z(kJz5TuhKUf?;hWJgsWy!4;jtQ zU^)-F$k+>*^g&`0yNpSmrI-Q!rjGBS%%K<@O_hA`H+8U6F~Q#yN+s5)o9RwUm96?$ za5Pm;1%FdQswxEhP3^8Je^IwIJ6=<{g1@P4sIn0JO&vm2acX6={Smm%<}$r=N%p#N z!Wqw3BeL!s;rxH|?Zh#KkZ)&TcIW+Pf36))dU5aq=fH&v`zII{V7aN`?YpvUDX-q< z#=m)sU?No~`Ft|pbaBtJ#(DKD@A^NO9u>zf?pb;3MfclhKY!#${QL=7ciKkZUG(_* zt$fLFVykZ=Vw`cEdRsq~cKb`c!D4d{Jj5psGh3q)kq(AKux?L?aoH=oY_{*^dZ4Bm zXF&R41>$^V?HI6P7?O z)GueC2`*UO7mbgWO-9j_ze6%!Oi8#>n#N^T9}aemB#qNO(*$ZKLrp~GBU30J4>b{` z^SBeMkF`4CR5+-9XtP$CY>Mxr6G+o^A2lKL$ej|RCXODm0JYB$A?Van27E3{nL-aO zf535p%QERMW2uQ+?y_!{TncYz+C?;_5+xsDFy95 zF4X@Xx_CPvQ7;Q-+z~u68Cauy;d)S55|+mcoZJ$fP+pyLex`W(`t2jMIkFh_2jGT`uDxssh& z6V(&-7VT=T&tfMa?38$p@33<)6dz{@QNf{sQlZc#IMNFjVDMA#7m>HPZ07vJ#BL$x za0;0YTS$#i__!vElYk6WzEW=zx#UvEvMEeb3nX$lWvr^+(2PE!Jg45-j6b6KqF!5o zn5$f)-c|s)L5=DS1*q4MDQiyw?lok}k`y4_AX8RT0oDyNWhn~KJ0VlnjspBn$dt84 zWY+CL&x5!Z!o)|*s4lIt%YK%3!vC) zX%}Jz6kE+4c6kZKRxcXEU^0p_LOCI+H!tZe??U1r2S0n5%F66=z$upLzL-m^B_gMi z;3s&RqFiS*2Wz>bxJb$s-E2q{e9l5D@!cQ`Gd?wi)}TrvGT=geGO!;eR&lCPfxHKi z#6wo^4z^jVm~2|XrxR#AoeiJdKsM@v`7Yqxu5-}D(EYB7-Fb!8n}hKSV5&7jgVN^Z zLJ(&_bVe@jEIcwVt)Xg@S{&YzUx+Vo^QzCb;@%SP>o00>TJR9~tST}g`R)n9uc6L( zX>{2=G>N+^njeGM%uO0#jAKu?c5Pe8ZW9n zeFS%%=iNSe1RKVi)SfzmKWap@Clesw^m4&&I_6K+2YrqiHPoqfu5zYH)|-Xx|8EW7 zNc>|1MQ}mu^2Rw|vfDg&s6mTM2s@6wtf5>o(^&=r0he?tDZDUXTj z3QU$hFa{4LhmSGcESRM$?zkX6JF^t|&Tt;}Wc?Cs7wvy`qDisjAx>4W>5qa`-SOs^ zf@SHoaD~>k2L;AkSO9-O3?Lkk0AK{h_q&rk->A+xe7Z7#E58gd0^svg0|0EiaDhz5 zJgfh$`LU_`#{6pk=dqSZKq9~wzyyQ<;s629V_9yC-AApU0wNO;R}AY+P9656AxmZd znEi&f_oIJMy=5dQFxhA!w~+TrRvTZKCJkd*0iUFIh2AW`PcJh5czlTEqq5k|qLj<3 zz~im7&VG>`?Q@7_vq+KTbCyMTFZc5~VR0NJJ(Z1`@f&^XF<3*UT5WNKyddJ=&B4Kp zdMwYlP~8k!320Z?w!`?~kRO->hMKIRQS3EvDle=-ZGm~)_h$cAn75_Cr0gaZHdJ+2 ztz@BZx%|BTM{LsF&FHRQ^OR9UQsP#Jbjx>Vy) z8FfwOs6o994wWv3H^iyA@NQYr%d16}^2Ya08v*~g%-*CC$V#xVH*ExakvFM#3IT#h zPOJCQ313yd0x{hVr~IF8xsXoxKaPK)`rCwJqDsK$R0TCRI_M6O#PQ-Zc!SuXC6+`k zK;_GK>9-2QwW$s0e5hzY&b+kxm=;Z-E|dD2BcpQ%9FCJdi)TO`kc(O;0`0Sm2ycto z2{3oHPFKnF8t@4eGldK-KOHq*u}*)}OsrOk$OEB)vJRmgJ?NnHu^|SEm=Xqjy`YF` zkgil1uPsineM=mxyC@9QX5K)3Ry{N!nfQd@=hAhCgy^yxFzbVg=7+31Kgb3rBL#K;>5eA6T+fa>=!p61(mrk3f-z@ZOhIpJEkoz_*!C{WdL9n6U z=DBj3U{62cxhg^+>9?aQ=L?$p;ic76py;>VrmWD784fRq+yhhD9|Q+P$TpWK>3wrR zdvX`yPi4L?emJxsstlc}c%Z*)c4+5x*~Ti*>H>#j`@^3giwze9M@3Fmq<6A^&FJ=| zI-8}+Qj=?kdqP+uR79R=T0YDaaq3WIZ{;W!bm4p~N7sh=nG7Qy7zLPM<0 zlZN@uU6jW@q6ud>Lm5>v(_j5K{mKpp>F1g57;g|Hg5Zr3uwlM)9r>|OKk2cLg$3fN zAifLY3J~80aXE-TT?QBdS7L20LB~26*%A-pX&`KstNfR-p|*#{_x)@~TKzHz>@hibm_C=rGodxle;0OEWQzXP!XFbTw)0jr_I zPw0?g1suyQ7<&BoZ-3!9RB#fY9N@(AakFT%IgWhCLGm;396%0IDI71p?I2|4$D5Xweh+2j&Ci;A59W(Yvr_M&5AarHCC4CU z^OCYMVo=X`GqX4`@N%7#H8lpk6=t2mtDr)$%~aKA*WyIjRnfO}L zV$L$A(}?p2PG$#U#KoSou)}7AsN$4&U`9x3oYfr!g7bAfy93n{G+oLOQdm$vh9)0p zn%qGxf$}jbA-rA2kPA%4t6S`}sSK(zw$7t>w zOpaQZO8d-Xt7R6C24cC`-B?z=xgYMY-}DjY zwCbZ4y9W4St$|`TyP%j&q@#F(fm3~mg?a0;PXCwLMmwbr{}!BxzZrh1?q;FAoPS=Q zQX~&I`8H7?tBDTNKRhK~7gFmPo73}OmG%8Rga3D$W zwUfNkM2oV?$gx~LrW&SROdEP3zhfu>ko_UJNeZ;iAk2^gC59 z_9uJ)r_bvcr@NOa?)`Y;xf(mf?-~|lc0DltBRZiE8vZ2B{Kpf!*SO0jvGKD*oo_a8 z<%yJIZ&ooV9iLVAF8;{gfBs`WV_aJ|_3(`E)2b$$9yg0k>~wZ@bf6E5OhS8XP#`xL z42Y*jz!d{x5`3O?836Vqu)DdNWc!G}xDG60XmuSnkwa53G|O12y+@-7wVGEg>Gsp= z6hLQUXe?Jf_Q!e?>L<`S#1d0&2~W2}tK5HLrQLE0vXC2EG@Ej`a_hAHn!Ke=%IR@H zJR<{<2E+m&mRkv{A`^&uAY2)gV|Mb~&d}iOz9Sn`ct?K+LTM#JfoKN83`DAx2mxXX z5O;vMW+lRaXaV9q5TQUEv5v6`h-*L`0U{oDd;cLAw}^);^YUPq<6xKfd7(x3oq@;! zA_9ohR>G>t2Vx=+AAuMLiu+kW_yMurN`T^iE)cJu%)#X+&*6{%;dS`ETRo_TT26*O+eQ z)t=Gi+~@gB*B#xOo~~^bvwrk5J{0X~`m(Fx#=Pap&ojr5Iq?h{hyHJ)v;TjME1Pc2 z*wXan)TaM5Co=!jOv=9c-vHYJJ`_2gQRa3&3eWDFyAS?%hu}|rjCQ#4^mO>&&4s^x z7!VOw0{-^*g+SN?aTADf@b9``1;ovSbokelz`w>GtO#g6?E*v*5bi+Cw-O{E%7DNF z;R(cU>&dej_IC?TkMnS@ytbZiyMTBL#0o26J>Rwi@dSvkR>In4FAzU~zyaX~=i6NC zIr{+yEcMCmdp#LOrzvtf&lkX<1%NCP&h;fbKyuwG@dZgLNb;=`IK2DgKyn-;pr-8)>C0b8MHE??*h2CmRP^VE|C7kfZ?ZuY$h1% z;R`RZex1kG7VC~Ppk{ut%uJ6i4#cNqTmRm(4qiYAP_68 z$L9xzm-S!^!61V)2l`DT9WMT;P5J9c5cl=;X*4kQgW<|pa&(UJ-m#ql*=OgT0U{2F z=T;&Jh)Y0VfuI6Wy^8V{jtvo_jQ9$&vYt`-jh{at}T71>z7872G2^6T`B1zm98qoqHQvR&e&m zLk-;fhs#_JXM9{Vun7E{t|L!ej=*cxs<-M50f!TNWpHG>#*$s%fRw4k1GeE=x@!CoR0dWZk91w0m z{NfKp2M~ck?6eZrG0p)&1)|7GSjRXGL@W@Gtb}!pi$FL65f4OMJ{(XG9MEn!!dl{C zC{hZ=yDhC4#MkM(#R4RpC zsX$i3$i6$64SlwQc^eCvjO^zNd=-3i1G4!F|O9W$yUQEg}?FD2~+T`xCF?n!ftG^ImVRayguNvJeO=5XDx4 z1VkwijzCNRBEc_v?_ePqw-xt-mRtMUGd@l&BOlIuzbR$artKiI=$C!_pP5Z7y!OiPeSk~`d#+S4 zJ|-m64!;;)lQOaKA{d_(_dfJTfh-MV(bx-y2i@UH1nN z8-TDx#^wzrRUI*1>Ce??b{v-OotcB}HXO9uaQUEJ{rYK?QR=Qx%f#5c>TnQF0pV>B z4g<SyFytMfG2>oYwp)Gr{udrdl=b4K1Wk_i>nw*P$yOQ zYn)V!}QD2=~L9~9Lzz3%F0}Vdd!iVi-_z+_K zVAZ%b|GW%`ZNMfcW4XUG#=|Gl5#!ABsfz=243CCTEb@zo~ z+T<$q1LePh_I~7A`EEh02v-uc2m6O%v1!F{0xb9;{0u6=EN&2;(r24IrB>?Bh}SpE zGpc8DgR~(U(tt;w?E>OLNwM*cMDxAcM`vifYl!&{;^ z6e;zp-fN)`z{-!4JX0?-j~&_wuY|O6v8^zwIrx)gD!6bK)Y*Cw%Oz8c4XbIw0rNC%V9MEWJ(PO8E0K0rx*4 zPWF*0)8_#00@i|TCdfkOME84)rB{LM49FgX>|YJO-*<&{w}vK49zot#Trw^1bLw)g zKz6wTC;(3Y3E&Q(0lX`abdwb<50)I%ACa=5|Y&`DTxOahOw)xjHFSsqsi9*UW`ODaI) zOkBM@2UXtyPy(nGx+wGN`(J(rorI+A(}#BT;OZe6D6bpofi5FR2GKgCZNlO+s z(OR6p=q52Hu|iTIlh4%Z)Geq(ykDx5w;bNHC;3aK%`D>o4YnXr*h~4uLFcXP48H52 zOCEbc4YkMb7H+vQ&S!5cq|KS@19gzF5I2~053`0FkyUUH$Aj>}+p2wuoIWZb+6u5X@86QuP#nA zu{AVaJXhn_8hHyljvEB=h`7^SS{Aq_FX4{Q%CE(L6U^mM>wC9cT7)v_|>6{$SoUQwl(ef?7rJa)k-+22=%$Zavy~C zhY}4jIMPk#q6rBOC=#F41Ox}g%jRm_TSLyviZns3ek&yBHIpj+apKG7qFx>Wf6-{u zJEZ}$${5_6Nj`qHkiygX@X0MvN{KzUruw&FWF+KQH)_aQ?iQ$XJjOJJPc4bOCJEy% zuRhQUArsvpT1e;gz~D_UabGQnE@;87HI%y()_2EhGjbsv^tkGat&VHKQ|Q-L+hk>t zU`wk*vMSz$4)OFgEasBzHdcVa&)mYVAOyb^rk#dzM7p^YqB18ukNSJotf<|QgnaoqGb ztI*U0;P;`^H_XHxG%&$0e_`~r5o9A=nKgY-(_;!Ly>XxI9x_@_sPSqJ!AT0ubpfyM$+6)Lu}6+$ImxXV*{)0*~Xa8^;NFgN_9uI9Z<1 zrXXUibeuW9eL4aCKf_1Cs{M3PXf`3iGXxjQRZ7+iWBUCUAa)t)?Yse-Gs<1M*qX8Z zkqeL$495hA==OVv|L9JcV~1L_o(WNJ5mE99dX+hHXp`2xEBr0;gnXs`s5xdlmuKN z6voapkW4vD&$_@pkXOvrWX~kMp`6ksGTqQw8XH;ygXS!*Mk9PuWvL4pe*qFT+^z zBt!Dhp?=H;)lE}W2X-{#jFcpFw@j(R2N@lQpf1M`(rs~$xv;t@0e?&WV&1{oh8Nd- zR3hRmN|dC^JbPnl7iy6_pOZ1{))P>MKWB9JX%vwLDGMca=J^}TyU=4Gv`h>h_z)>P z8A6f^>THzqQgv&;gHqARuIa#UfubIp%%rT;I=fUzR^U1u7aVp8@yt+`RrUsw;?-yI z>uf_6C)l%x$F)MZbp<4nduT?mrg%VUMBl7w9=Q8PVpdWeey;KzCt?`g8c~l~0?RkY zEw1-S-Qut2;7Xr*sE4>v-DL5Mpex$h;v0d+nlF*x2J;V4H>D&FRr-53!}tf` zzz)OMMgHC*IIsj5dk=KJ>-R;s8R%qCxn9N3;k}nU1TzCn_pA8lV15CUkzh6sqBEh_A=u4YpqQJS zs+5E1U0`kla~zn^|1p>wz#I$5MS|m6KZw4q$TltKyLI_o6VrHwLz{x}Ww1sZra_5d zjrfEj)wF~U73GeI#~TYx5jC-*;uc8Ik*B|)aT8_c;@_#4rxrI!8EFdYql|{1my~mtRp*W%x|MrOqBaYtcXZlg(2Yd z-H?&1PCblBbfNJXggK*T(ekAp=Fp8fB@T-e^Wf$m(c_1cbqQ^Hg4Rk$&G8$3*Gf?4 z6AZb8u1I}o0P;2SvRJl86Y7Ke_IxH0 z!ra)U!_lolUdWHeeQHTF!3|RJH8tD0K`K6l1sOw`B%Napg6kDZ4wbXhYRH`~-O@kJ z<9m}w9Um!Ys&`u;71wI1qnQN-hEXq+-JGBrR4w(VIMVF@GV}-bFT-l}1r~ON{I2>C z3%x?|^S-Z!OYNO93%iOJ+nYHH|DER;%^t*{;dyFL4>&6%m>}w*#OJ-lo>yPp)Pta@ z{xrGt;YvauNw0G!RG$MsP-jowR*j!FwZ;B8b+>MuW~_F4EmFqIkLK2*lX%OjcfUlg zRqQdX=(8u#F6wRi@D1cu5EpQ`` zek08DH^Mys=9xlq2$QM1*Gv}_fxG}90&t<*#6X;A40I)u&^Ms#9O!xqByy|s zi4{8;liSm(AW_Pkp{9P+C;2hc%npY-#u-S4;yARU-!_qUTO4KhpqBhJ9^S4i`+4=c zU~fPElj^%EZ8*S^T8F(vEtf4cj5iezN3eVx#WJ%G%imG*hdG+X*dTsqSO&q?6D_{f zz@eGp>83*k&AQti(UNlE4AhjFGf>@^f5+6T*4U1E*^^jQdy>TugcTqZ0iyV=kt;U^ z6EfrslQ%rZfgek^hGogzf;a@v?nPTr72H?nUwMCPM$RJ6=1k{1<bIEQN(nX9_0+ z7Cv3Jau&eCC$U1(Wv<$o*#i07kHB*~8p~Y*&+Ujc+-X?_weZ|d%gU{V=l1bgCAIL} z?v#~10?+MHSw$nb*@oGWJP!&G=ZoJ9V_JO{ASgz9*3>%iTsXm@3_GyW>fpg0uZuJL zw1zrL=9;5hBR7cM%oDP5>YU~(yxH@I{UDkcwZwQ^y$7P-Xj3@o;jqf!dh~io%h$oe z?UYYq=L`o`25yi&H%IkO9(5>ElGIx*_)cmN$9*`e5^AFSYY6ErYr%OMTzmPWC%hh? z?LoyW+SI3daOdT3)JJ=e=M^pL3q5e@4nX`RT)JKAvpx8)@=^7P9>jMpvbUU!L34eh zv&gs?3Wi`~u-z@1QHRyAv{_paG|2ZR9&_^82Rg9gtXao}Sg=I1u=!?6EP&hZxERaIj=uiT z2Qn4#=AO`Yf3t14N`$)Zw@0Ux2epqe+qNl1sH0%+1Tz*)X#F3UImArUE1-PMwp9%x z)Go#z-E%Mlz+5jvJqGg=n0{v4H_&>Un;@xxPK%+_7kSXoWCKaWoE`#&NwQ7P3J&PP zI#MP1Gq<8n7(=mTjd^xm#G_=u!Ow2wNxCee}=gSfy= zYA=p~6ug%Ojg_cG>NI_j#?_J=gWs#5niluD)cF=c49&Ryphplx6Jg2jggi!{;2w*t z^NW`*G@dhwUt%0DFS2tq0ydaK&cmZ^JE>H-eo4 z3%N&MI@OcVx_59(4ZMY34Y$9J+CLZ;!Y~??4`p#J-%M3IHPD|S~}vKk-Kq9 zI%>J`51+jv%pk2%N?^K@opab6CWY*B7s6BN!3-}v&qz`?H#;g-K^$8qdJB0hCxmIg zg?ftP%EWJ>EQb57(-ztX4z>fbEll793=>+z_dw~B#isD#1Xghu;ubMVdc+)%HLZ(q zM3sN%1-r{`uJQppVmQ7v;t7=a+oe7(vcE@K2(AmBz3eV~H|1xx-*94Ocp_q&VV(ML zKX#|$FZDSKB;e{6Z-a;EfO_mPc!*wa@$7_LViVvYI_d|;6CR@ZgO%*BaFK5A!Nx-} zK29KENP235Z@z9(^ zED_XUXwA-gY+!P=WGblthGp^;3{4axwNKw*Lgm1M`aPS-=m@EO0#%Rog3g)H`4uRy z0-f{*Io5bZu+4(GLES5jGmnkVuXB8^h|<+{(88U+=_Yr0hr5(ZjSwKqt#jB00kUI; zBMQLDf&$6^LL4P|=IDZm4dQVy*EGG(=}(2XZpE;lD0LLGhdN2-z_im{reg&;4XF0G zWMsd~K19`eKH`iq81Z-}S?meT8N)CIHtMj45~N#f_8#hD*_Ju~1b4yJrhpo|uJ8<5 zzQJ|qh^0K~maGR=Ml002Gu%Zvv+xUzG3bR?V$kml0Of#bViUeA${HM^A%=f7CO%-2cZq#3*_Xt)KW(^$xA6}Q)`Ue^h zOY#={Q>C3r+-l>fOf_w6g*^D7COON#F6b8SscNy#NfT<}=b|SmUYjcT=uyU8={^nB zl6Mc+t%x@*s+Fl7IzSrw*`!J(^JEfc97bHIh{}X;ewp7|? zfY)Y2SB zjK@`j5B6Chm1=0g{wrj^Yn*}^U!@w2cW~fWnOTSk_QgpznG>>738(|cFYtJb*g~O6 z7nvDZWhI1Ac^aEn6VmD*iQZ~_27#%lmVo1!3e{1#$4|`sXd!^q3{CuM)OplQ|Y0D&z<^{d{0rV}(XK98xvA1vl%5vh_`NKXh1HB-y zT-2MCj+kiV_NJwyE*k$(H;OPLltyX2aLmi7gJPsGlpcIg^1(1uuu!_Q^|@yI5OgC z--NDOn4$Q?U{`&yz;>-FPw;DjL$Y$5U`v60vTBJ5*WuLwv)=A7>mAsE90-q<7Q?Lf z(SE{ZWrU!m-);fvvF=~t1Z~nA#4_b>Q{*rK+ym|35^qaZLfQJnVU)JK%f`z&N@5NA z@*TFLN0nhohIs;w$TQt#p?IBfmx(w`73Fq01ge%n-QLLN&?o4-P<)m-j1-mB+3az? zpsNMPypTlHSO_1@sUyr$Wa_GhLz?{((Tj~Q1bZx~8?==WIqMWo{sxh=0R`cgP-)<4 zf7pTxCq0!u15bNjN9k6xGdSGK?wf5Oauz+$_*t;qf^36iaEA<;Cdi@K?^BO-H}c!3 zj^bifPxaIqLKS7Tc)mHaz0?Cg!B8$ZWN}aM%Me#U8jQFamxQPv*eLl=oytrWO>MzN zsm7Wp!`;a(_$F*u;7RENUhL4?9>*u(PF2hZ5)}`k-pL0{rEp~iy_bIGB@Rh?oD#{d zg6+YGr7Ee(k8ksaxJG{ku1qdmnMxr<8hX@4ri!DuQ`_^mpuTZ`X@@B8atJ{TgAl}s zYqEu0?9ef|Fy*NxHC&kBPTkJ3_aIit-WlRdEBTaBpCb})-jbost;l-nIDM3c$_kw; zD~DVAu2%bcxV1C+-lM*5;z_)u>XI1LYQ<&K!ahuk^ECY=jVmi;r))LPerPXTn2PD9 z&Ps<{hOLMAUyD~f1Fxb98}mBx54m`#$%%x`Uh29lQW>G1T$WKyzSk?f_w@q8MV&!YX|) zOScIw|h1 z9TGCxs@FB!tbp*L?IO|$b+shXys$UF1@YZ5N!`t|#X;>6_OLfAvjrssKf6mTbfojo zc{l!cF4XZDVcLRO=;og>||>Ccuwh)uZ5-2D=FzdQejxc7`| zDvSPxNpF-iLWhLjiv^LIgdhllp{NLmGyxSP*ocans-OtLiij8(3o2@`0BTf{0c9Ku zmO)g+fCa}!utr6F_pJ4-_kBP7*Lt3B&sy^vxx3tRbMHO(oU`}Ydw0cC7VBPCOcBJ% z$emNU_^NGWws)DvAo*h5b)?&_{vk(G2~x?TW?0TkYt?mzvdZ5?%m>8x$jaff>vP&NPIS_5i! zcLVBP?sbtHz$#!-*NwVKS#xJu`G*`fuA_mGd)lwAtjr0qX2O7yVro@c*)q>mdJ~Zm zohEUq-JP}gpi3%aF=00xn#18DI5b~Ome>;Q5(6P02WnYblDodm&aI@ zEEO`x%iX%yDz~Y=kl@XOx{n)1BnoU^2$=W7SZ)VGo#$C%JoNLLHUk zO101=g?vi7WyFL(Qw_BnI@l0;85E~R-C~SMR&=jZ9uq=LorCE0NF+bBXMuI{QTPL9b)tAG}q9D=i3n@>Gqq znIVk1k9`(p=g+whHPXWTA&-&SR;&)v2!wiCi~nj4sB^3r9c}igbFCL&7QmbZ@I*&(^`OS89K98i+n^ARM!ICv28U71bB1yag6@MjSD{r1EbtNi} zSEd4c=-<5As*F!aFJ6f%=@a5OZ=ovf6KW}MBRF)7-gL87nGLu`*o1p;q+G?xFbdp4 z(zupV2E2W->D?|_$2;jov_0Z?;3JC6K&;;4rI|e9sc-GH4s|<;xV?3$hLqzEC5sV$ zl})-urFOz7W7MfFZ@}Ud1lxGQpHr)g4tW=K1FOmubuShd$Oh-KT?^7iQ}07L9yu|rR;&TTx%Fh3Nr zfpSliIPPqia|3F4@70wBkZfsZG!8kYhU6QVYdxX*J#}Wk7^E&j8+iiONjq`^4D-AZ zzbg;vcBWzzw!Y9+rQUJf#u8v99l2rrZ#TF(8=i>PMZmx`k0g5hN4qKIEmxN?yilPo}Lv-nb3YG-48NVM)A|s z=<5}GIP=Ge?rxcom}RL_BD71Pmxy9V;`#H{m}3?7wh4w>>hGn0UuB&Z#qs6}j`m`Gp(f7@M_ecOIeOlf44r4KO@_}0(NbQf z;Kct)ESqf=!v$j5oGqPV#Wvu--{Fp0##jWgY_^?qcH(lQF#ZWC=nimKtWLBV1b0OU zxGQ{113ST8@gCe2mzu3R!Cmnc+!csKS}3?HHuH)hmJLCJkhaA8s2#lZ5X)v{Pcea5 zHcFkhwbTPb+C1wei<_;4v!>u`wlW}=jXKNXMAweysSwL1+6y6VE|0_yA*9VISacpj z+T3noK1R7gEE|>tA#E`$A(`$p6>lXZ(=~%wHb|!H2eE9BOxFQo*)Yc;q;1A0+|nK+ zRe~}44Vb(=0`Q?ew{SMyn5;h_q>XAJz6l|1Q5negEpeK_QmX-9Uzq)xXC3LJhB}=@ z-rjOtvtqcAC7cjBl}f90|MSw+_g@*9u01x#3hussNSfp8fJ^8g1x;V-}yQ2Grm9r+KSSdc?ovd4A%K60;PwWJm5 z;>J&4Pj7w7F)cNIPTwfX7@4g|hlK6j3n5_5<~hxcystnu4ZW!ATh&TQFU}JZ*KO}L z+7*T1N72y*5MNo8XxZSjMRcs$y~TBl_;qto3#{1do1I%+V#SY|r?fzr<%MSN7Psr- z@6DlwOi$6KX2(KjPw~~}NrjHbM2APb`(`zm)NftE{@+(z=sMpV{>s@}bgP;5%F$YU zvN_slcz~1+(*~gOkNGxs+3!1&sNXmJYxuaS)&UMz#G#>!QzX_>g*&}L6l|fNREGO26D#MIE~53B>x#1VDg03K1E_;;GsHi7 z3iYB+M5y~!(dO=LS?W&0Eb=sIanMbw)3@RAx2Spe7fl-eatqaRY)&y;TV}uy1;7^*ifG zjf{_7*Z_wjI;qRGja~E4c+mcZcZCQ?HUN7rLOu-1I9y;Y$`p+OPF4S8moAxThNArxKd|f zME^`Zr*#L!mAYw80^S3n+Y%&UfSTO>=mXusgz#;vsO5||&W2DBj%wYJRRUND*a(V$ zgTlPFgwcQ)<9-QA?ZWKYUZgzvk#S~uu8>G>OQXo^E7eGEv2H9 zL1#p=DFJl4`JbGC03_YQ2`s+Eo%BCA9;GOEpR2G+oxz_w2&>e6uu3I?p9WT`sr;E$ zU_vSe6Ovtn%X_1Ots$D}Bfk7OgC@r+*RfZX`n6E}PSSpCR0r|BGHqMz{7mmxTHsz^ z-HQ4=(j)#pKEjp?OO@H`pg#9Z+d^AE(<#8N2lh>1zXbMHU?1ueVgQy-%jJ}U7n%HwGcy3O zlS+d?c*0IdfI1)I;c3a7HIM+6e2)_qfJ%WdxkUjaNQcUq6M##BFu7F$X7?dXF22nO zDwlGK+wf4il(V1>W(;wh^=-ybxs(&xhMYq6;^ejwp>ioFxeW`IOF1jrOrdfqC$0?v zl}kBG#~lit0>$k)lM5kd<^NGhHltTArjnr%@+&7(>E#jpE68v0YsAu$SuGXAbly2Z zq8XuEIwnY)MvN_8Z%KwnY%N*0q_rbROBY|soe`>~ldrUG1Y%xKO2$X5>zF6uqkye* zUMBf#1ad(vgDgv?b*}HB_uJR8-b1J=qRz!$@^FMw=VUKEKSHQ;Ym|H&u}Wn&O64P% zROd{v6q~0yW=ao@n54Q6fgQ>&l{F;YHG)cY35Q5k8r1ieo*N;hx?Prh9g|oc-4FvI-TdPnj^zL=2@y1$S@(iAXTyqcaeuyrSs71c+RSMJnRo1UA2&h z!SSXD_&nTZp0Oac8y(H_7Nm4zukmaJ8QmB+UZ^0c8+U|<6r?$$3wVx#xz5;89#xR( zjIrQN5+pj~_V9>;`3KSSc%Fhe2eA)$)`A5GG1GX#g5-m^4jxvJo`){uxeDgxVZZVi zf`xe)GssWK&%@R5O!cYH(X)BJ`jqF`+sHXajkse*YJ3VpXw`z$d-5^5RZ3#lKDN22 zL+oiZ1_DDMP!0kHOAzn?ffXRI2Lw)mz*8O!<3xs`%{V-jBS^%{$Z}3hB3ed`;uIzt z%P2jZ)I_9==E4ys5_#l999|-pM_t6pOEl$CUT{(p5j>hXXJsOxo4kuND-qL8ox>?g zH0h@N!^ucQbq*ij$LQ=1lp_NxEa?Of%suzk@$W-o}Z&gFZ-& z=PbEne2{XJlXeGrkmkc#dxw}uKEauB2b)JN=H%Zo&7-{I%)NuiquFs*-yu9F@8=}k z!91rf;4HgiGU0qddI0K0ZGA=fP>~4+F_R7E>$^&t{R|5}fg|e|^MIw%hpl4W>;U|P z3s!ms=1?`3L}EoYGsYN)fw|KuNL*8ys8m^+Y^exPwm{;V%2L?vVHR6KP#%QDHI*}! zXX}iwS9mB}A#qLRVx<}?Bvx1{n;~&c<$UGoI+J4+)0M{{aZTkK<%K%4B^4Ou;Z*z* zs43B&YW%vwMR_un__}hD@^Y%Fb%nXIDV1PdIS1-ZnABHHRUS z8o;Mj{$?+2BS0ZxPI?;#3JG%{#u*9;bE4ZIN;ZU3&<0Vm7di9VAWC)}r>qU4WPiZa z9in7$oRU(AlHJT%SPD_H(VUH?5G8v}!!AV{Q{6OKr9@-O5e>f-YfLME63V7kKeU@=8gwC>@%VQrs+BnPz3F*$whn z&8%^w8&oq*(K!AFrACu6j=n*gttlTj_9oxfaL19}R6kA5IMJJON|Q7WX5kXe@^RBv z@|Y%e90JE3G)u+_t&{_h=nMlnP&I4EO^V1*H8UvQ6(8vujVlFN#9(O{6k?HilO~XY zEwaegm{LsN(uXy^6vSHwMPpAPyfv@Ygi|nYEfO^diis`#j)p}+*)jq&6bi}KyiF58 z!P#1rY6ujw2KuW_LC{#$;v38>HPdU+4Hh#sm|Eiu`d^Sn8=1lI(3sbPTl}bI zYArUyVzI`g)^rf+0{he=1{qcwyIR7a`Cd&}EoKlLL8w}jQ2IlSQ!Of#F|-33+Tq*12+D^p)KTWe4?d{9>sP~q{|pRY|BJjnYjx)D3L0&IK)OLTJz|O z*v^Rz9^(a@o@mcAH)l^tr0^hpym6vcH+>GaXde4QHM=@OW$>6Bno8 zz)XR-ziS=0Kr!fLG%<`F*yPsh`w2N+R>Mwcn%3*`32|P2nOW0k z_T2otv|MYXSZIkS;-zd(w!gcxF+whHQdamI)6F+YbF_GVh9w~u{|9?!_7r!QD#Rgq zo3hH^bf@`MshNzRZ1AV-v~U#@wR!p`e@qJfuq0MHg+IT}^ca3Jdrr1%i|Y;KKk|pl zP5!w1^p}z}Z74sz&g?aw#9oliYH{;MewOzr#VYg^`fkY#?KNea%6JN8xAd@<*f%Sc zz?IEmrlgu^WmA>sRZuv*U%Xqpwl8+TD2-&pvB`$yGey{UG9Tq76?FJ;gq?hca)-cr zCF7*XSBvVKBg9`I)UeBj175M7d;I;<<2mM%tX${Big@KiH(D=~C{OY6pJ+tsFD}KO z?M}oJd%BoSL6+V-jUr{+vs(B>V_K|qm}}+FbtlarI*1av)BV%j&936jyHbZE{S#X7 zS4q3N&`p!-+*1%cWXG~j^G#+^j!BPlP5k3q2!~1Mx{R9w>pbqG&d9!JwQtMMs@^s$ z>!itytVY0PfEdsOs0FkEDgj4LX6SNls=6itmIH`@Sb!&B3BVeV1_%bM1z-U)Y&Lee z0`iB?5sMnRp{h9*X6*z*mz(xY)nQ_(?1*P0ajWfmX?V84A=uhawgWU5ln`K415LQT#_j9AC zr0E(8%Oc40IW{8o6!Kc@Jn^&s<6VR|b9B)Fx23an2Uj@@uGf=;ir3>NicVk~+TJ5K z0B)s~A+DrFB1T&`i$3-Tc46MobyQ*1NXanmYPGD&h)yG~ckq6wpWjqeiX zcU{!}U|v#~=aEl~tGKK6jS5sAb-CmQ*H;nMYcgc z){Yd?gf=rX8MI*Dh8GRWQxHLS09k(kSwNAsT| z-ktbIWZJ)g9lg|Dx#Kgr@8KittDODFmFHd>U3i#}y^u~a3M)E}3oDMqT`GEvb$IyF zcq+L#R1J zi4)SP{r#G>rEjwKpIfCGdK5g6Rcu#u_7*O1{wQkSiH~BR{%>7#hdl;dLs17(XQLm> zEp*9C65TU3>Z?yTbQ5h)%UO ziGBt~7%faML*Qgcoz2pzy7VS;P~m!}%OxCPZ@r}#<=(uPZRoB9&PB3>OugUJ} zI{DZJ@^y*Bi7Vm*vgNvieEWvew{Qt02gw2X7F`veFyWYjEs#U`f+o)(w-nT<{IyQP zM`fJtC-@T1buDTN?97Qb&oGBXd)zR=f)=x7c$2R9CRV4*6l90|s;)ZTNV8y{kz`#U z0{yiZiMPi$>6*w`d%{gkZWG23Ur5+sktTNIF4b@D!-kqak`!=5^cjU_nRvUdxTf5& z(Lcni{}uIe3&_qZ5gP71a?~qqGw}&$N)xWa_Y*ommdQM!uE4E8GUr z-pSXVl43?+k+M2?(^Jek*q=4svwm!pJQLhLX~QT zMGEGW3Toymd-S`+WiaoW-y~US~nkpMn9_AzOQ)4BMxE}mycazt| zMeML9?-svuBWwH%c4Sj%p>vASHn|yx*5p{|nS#72yUygbAi45m%vmkideT1}QWLh& z<39R?EKPZiZ*vyD=k44DeF-hNN2JdjTodAz`xNwk*$m~GKFgJqdeKR4a$kHQ_5z8e z+)O5}C1xx4^x2j>pEbH9->t0aBYrYZlWySJ^erqTVe#*}*iB)U&S1%tS7%kgVA=wx z19SppfP;XYfU|%qKr5gDpzg!XB7c`uYSa8@rs7;lZ#495TAgPR>YfZLz*PToGnM@N z{V$e(q`~wCy;?e7OYl!OP;O8*AXmtDWHkziH!KpQ813z>CIQx)x&g6OekQ9@@0IG= zfN~&>Y9Njx}xoEZo*)F69(&>Fj(J&!TKf));D3Wz6pc%O&F|i!eD(92J4$$ z`ESazwyp|S{S)jkkiXxoJZHaIQO7M@__|fAT2FQNnt6K-C zSY;u&52~6Aq@esK7+X+F0PzSAkCBM0z9^guCPo3BV3Kqe8=HR;+w zMVbM9kkT$at39GC&c+F&4aNs)t0iUf+nlx87QM{3SSxv$E^L^jm^nb2L|Q2E)`sgZ z>&<&T-{Kz1PH|Qb8}+ivQOk)>B^g?dB0UKG0smC2)@Cba8|L_Xhupi`^G zT5Tzx7lhbCjF9ALXDd4e)Scu6@pkQEeyqW2C*ziAlGabzDqxf|Cy3VxqwF=qp)Je| zBL|`dCu*45;+%oqCHGQp5tyf#pA;|AuHrA~L@dEib3oJiJ&$W56n1fG(KYvOXruFlAt@@}PEfj&#$E}6@n)Rz`x`UqblYS->l z?pMH$u;tQfu6^H3$WKoC#Bls0lHeR1x@aOxKE5Vy21+8yzo z=)#F;qQCOniABjKF?Zy@S)^hAe2(&uamQgvFEr#Pq@`y$eiOl5BWR*pvQn^4a?h-t ze5mJkOdP;nZg`%p3sNKY3%{6X_YF44y zZjxOWvB@db1FEXZZe^Y8!?aQ^NX~JmsS;k9_LIW8uuX0Q?od`$R@0T=G;P2UN~_8* zXYK1VD~C7!F}(4~*~|f!G4cRGs!7Pk8!{}3s|kO0Ez1rXaH=v|h7m9rU&0W*O4p!VU~C1(d|)gD;;n}~T0=*r zYiAam>JFT0J1`dk(+jlbfxt-+$oNkn8wBowz-|yI27wR|C5 zK0HitC7EmJj}tU0us()WS)FeRX0mKa)?vQg4eAonLvFl(bPMJs@th{K$+XVzK87S) zo7KdJJ+D8%%W zPH3=ACIjwJa#glE>r9`05hYl3f*Y-he}xXG1WVs>E%ZnG%-@nPh;Pf@XC3K7mBaM! zqAWA3Lr)oS`h;DGU*DD47tQe)u(r+`t=+d*@gWQc# zn~M}iPYF(4+1bv9OiN5P{tr!Jwy{Ao!%8sA5?4)nrXj#Oyaq2&qR zK9IZUHmT@?^l)s4Y>}>AWjok*$7=8#;0&N+ubq(DiZGL()77d>L)$5K6ZuZTh6Mxo zM*O}mhroX65kLW8R2!(*<{NZ}ZtC|1quTNf%+o3qns7jzmF-sHSZ>-(yvUxCJ*~p` zEh0eX$DWn#SK(4l$RYh;+YWn?=M9);(k^4iWhmxly-5tSoRn!{Dv@bfiWq~bGs6_- z#$TC71!!klwdA?hOlLA|DNGK6xv?9}jiVK@5c%%XfIccuW^NN$A2imEj_WYB*E<6{U*@G-KkV7-nSpvJd&9gaKs_fflQd|3 z6mgv<%SmMoO+H&zYeJlqg4(+`9I_6C-#JF^>!kq^Mv0Y_(yNF23Gl`Uj5I&DNc!bS4ALc5)Gsw9c) z$WMzgzK);Cp3m|yunY0m33lu?@Fnp5gqsRqg2Vpg`&6v>kv5ATZHatMjO2u6TekQa z<4j}}Ws^U33U!9~sM-rdX8dDKkj)#75P$~o0M39s03DD5m;zV{Fb2#5cms+6 zwtx&kD4-mG1aJY4fE)l7kOa5}FYqG33xGLb7hn$HAHY<=IlwBwXMhP{8z3HV6W{|l z0VoE{E^5U+E^fsgc$8|kdLY#-{7jqu+p}%<<;!xz1{MK|0lB$h2JO|gss~rs>JJIP z9`;^*O7CO$uV6#TsZ^4EP1O%$?GgUSYbH*v2VinZEMu#_X3rU`Mm;3;nur#WJ39 zm%{QadArD;<$?~92cSmTef!-jqM(J>}VU|DFw@@er@lRQcPa-vwM7G@s$ zh3qT5ZV+dihjEb=!B}NSrz{t7xe0uBFXjXB8>}QFdOhey59Jc(aXw`CAWFZ=Mwn7Q zQth=9J%&HTPHUPH^Lmjr#4|LobJO^JB_)C zZ^NU#7G*UdD!iwl{iNsin1otbOOJ4AdR`&Xo|LU?>a!iRNE2P;#tG63G0TW2G*g?x zIvrOU?U7aMBz=SiTCnsCe3Q@gp&F=bB?n}g2`k9qU{V;;we&#=N31AP%hsRp$J>&z z(w|zgYPKcuGI@sNiPl|z-ru_1wF+^7n5%Kq2C1Uz(1C;<8f-Q(6(&(jWs9?R`P+G0 z)QEpcK3CF%ievmGLz}wObSM-=d zueX>Jaz8jOf46euu;|`Tc}W8tkgQ2KPUNrxj-B8H)xl2|8Z@9Cz>g0C7y~U>z!@|C6R>(tJ1Gj)&H>sqpbh>H9pxLo}{1wNEYmHyx^7t9l)1{p)>X>z*mf`Xc1o+OUr zrU^Jgn+DntqCmb8e@oX1j^z zU5-tYQkf};k6>Z1=9}E09+O&ug?&y7%9XTA!)vm)^t+GCm1DEc_Q7_XdPz2SvOf=x zkjnV}Y&`0x?4`1!&-xSfk;tB#4yFc}5WVBLHK7XqRw9b!Cza>=OwQ6TNMCZz$ey3j z@$ztGTc5=g`gien?neGROQR(u6vreRb~hQL=E#PX+x?N{?p5d)@&w zXVl@h5O=V%vnRPTXW_odte7=mlcna1ZM8*;_&U^S@OZdpvwGdVk^jnjnEO>|wU0OE zsN9^nOGSlmg?O6mALd>a)|t{DY_f3R)s*t=9ryI|o6M6cOgE!lQl||(GE53-*yLCK z5_knISo4Btx{xw|g@u0Bz`H5s!*Rg>@gE;!kN=?w8(u1i4$=W#0jC)Qx-E8(d`uHH zj1Tg&U1fb)pj~Xx)+GFCu>t8O-=T{hrU&^ptOh!x+;4(-nRZJo)vnQR6ClccGFHMX z_Yb^YQ{f$a@ZURV6e8c3wOQ~t(87TB6=7+|kfk*gE%a#4~wkR;%9H(x)5cBsXMrDvXRN zR4Io`^=HQrzZ3pqJFuo3VuYwq;|)|Vi(Im@^!-Oa`JW%S~? z@~`a4ELx#s9@a!&q`a)KyKlZ+^awtN$-S6kr1KneRz!<4gq#sEoHb2QTgx4W>nJv* z32jIWc@`_-exEsCzhgs^eFNQ7RK7NCksvnWC^i8sTfSi@0uA#cj^b|NKXU@ZP6QaD zA{JwB5n0SLjs3`pV+YP+M`eLbX=6X4;lb=+DG5Gt)s6kAjQg|0k$d3d<`Z@zqO)ir zZR+Tb>UYJMdGgafg`Qj=!2YV3-cJjh*RQ@M9Tq@XT0M*ZRj8 zGy8$ECZB(uzASJT=0BB7M*sUG8~)hyWkF$F!S$0T?0Jk#6o(s1_U^_d%FxVX`rryz zXY?I8kGV-<*-hUrO4U-y>8ZpKyo0n;J4s)!vhAkL6&bX#3U(?c(_*evrnOeYq>`wl zH*9KlSd3?p(Ouhq2mocJ* z6sZZ(`YRGF5kuxPB%ieI3XY}8q(@KB+MVe8b(p#1XFMnW$3BVomuZ+W(oD`=mQ}B- zGwz{$8rVGJ!RCorPVnF?W0`}^69%oLuq-p_^*e~c$T~QjVLN_I3=V9b5=ZV@uz4D7 zAy{!rhr__;iH;@i<#@12?mlX>>-hPc`79d)8#XKwZnM|05~8g;i*M;7A2)EK9_O)L zhNJoO4TKa*g5;Ri13twj#~!aWS%NQM$EW|r@fr4R@tGjL#*eZWfSJWD!|1bot5Pf= zOriTqXK2m)Qag$D_y_Fd;ow5oK_iwnp6oe@%+?n7Wptv_@MWC1Vf#XtLEH&BPPt7_ zK5K3-UaigT%QS#@as$pz?xx(K$G!3n#VjZ?K`fAuD);G;D=ji5_S}OB#7oiv7(EkI`~eIDoD(_OeyNV-gx$m+UDvepvi7PRye%$c zy=17YLwb``mvYiv5>8`1OiuMG$Mq97Yt|00Q*nZjfy8Ky>o86=KM327zowZxj2_63 zH!la%e^JL_V)(hk#MP{ZF(D_8N^S!d^rvW+Ej^Z1Gj)z$K6Q@jLA|HpZoTI~ z0jMpCH-8Iim)j2$O+oGHe@IKl;6N=B)XD(aOONT+0V<}>5!?q=O}%Fjv}g-z2_PN; z;t|lA8>l3M_+k((08~M1TS28CRQ{=7Qt-RzR~S;T-cocPX$} z0ecg$?*SXGY!KM*fn5gdb--Q^Y%!d+uijG#+M&SSa0UQZ(gZYxOVQIXK_8UYGr31VJEpA+>?P|^+fMvEE7-C7W3zJqQc4VCCkBX znJL734&?XCBMueMS2hE-15TePQEmYo1Jv`8DIL3DXJ4$eo{QnfwV;+eeIFZNv>p{-ydHH^wwBpFH=Ml0%K=Zbmb`3tl`sIixwO9P zU^ICIn1M$W7u#Xp6#?WgmC_R`QcuF1eX1(D)ATZVndp)>UVq4B zde#Ax={hf)xDuvu+p~%g$Yp|@ECP+2dm4jzFS{BijIP78I z2(i_K+nUm0Ob|kY^ViwUbzk+xRf2YfjyHYT>0jO~&T?j*sy z7}NKJ644LsKAl*B45cSYqPd>>R3T|1-yy1N>`Nj#w5`TbXZFJ#+4v}$qQ4QoMKlUj zwF;<*Zq{B1{{2UdBlEO^fF|tas1z6;WeArjZ)MgiOn4TjrIuV%a&j*rhj5-<#F|#& z^c+ztSHlv^s=`esGH@+pm}iYn!G0eu?Dug#i>;KmGb{T{oy~(qd0aO|MxD_R`Fq*u zgX&KU%|hPq*=Y6m!w(G`{~b(jX-~Ft{Q1JE`po8&MrSGpD8Gv*at;wM{QmUe#oxam z3Ul@EH?{8w!|CmH;irE*tG#3O?&zJAN_Ex9#Ktod?^pbKb7=V5Q5>$ju;(6jji1Y( z3%wW3T3P0Ci1a-z)~YdZV4a6m*zTnMr%Bx_#Z) zu&sy~vE|?Lp)LB{kd@PtWImJ1zp^b4^bjvwpGwo{PrO@nuy)Am%(vduCn32e5mtW( z;dY_(S`StIp`M)owYIIj>}v3aNpn}3RuXzsXIn+DE4VT2l)HYc%48z1^|@^yP0?06 zse1fY-M#3mSBI?j8U9M$Qx%Vz6qtOqZOCfHw_eMU{Q>u9Uroz1CiMC>Z!FnMoVt7w-dzx-I+wzcf)$qkbN%c^aRdj}R+xxsnIoN~>^s=|Kez2#laL|8>6 zUHxmwie%^=cpQ@J5MlLrM_UQ|>W1q+@{i?=O`ds6y>Wm7^wACtdw_$ZD&hH|SBwGs_68 zAJaFx;qq*cR83Y-X8b4!C*1s$8CzO&UN77@`8^@Dc=y+&*on!L-#;9R^Z0z*dr>tU zuk&8ie5I_2I(y%nOFJjo$L)Pv;*kvX1IG^S{8=#6_H8KcTUV|}^3R{X_k@p-K>e6{ zedWfuy_$QBwSI@x*OKzTr(gGOZ0}v?VII1B(&MK|FZE}8K+B(N(Ln!sYENK?^2Z04 zw%?1~8bL>!)SHTYsf+C&3n~XALV{)VRy!<-tet3G%Kz z+{L5DzD-m^Y|FcbmtIp!Z+$=g&%kt>)K_mCY+XNLpAsV*R`kl+ZvES>oids-Is5X8 z{x;R%bf@?MqiXztV7HTmbs94*XH=Fy+_~cPKK<4eLuUj%)7=s}-!$0!gq9}kr%4)U zC9;8AmD9M6qZu}=Ln}^h)1O?icAMg#>6d2ojy5DZ(aUbN5C%@(-ds{0r|x(YOKE>Y z`JHp|vi@m7S>or}w>GXFIS`h;eC5;~R}7kOl2h*k%m%;jy7BLyaleb0wZ9j(clZAO zH{yT&_w}dS=|?xuTK?YXIyLR`NBQ8!z}LTv?*D$+^85VEsE_(8Z7^Y|xh z_wt)hlTLm-yK5-!=y2Okn_s(^?>KVeLuPDz&7r{$9XlU~7N7f?v}BFy1%WlrpoNn&f2|##Hdb}z$6tkRFQSE^iC0aAtZWRuhRtPHk8GIq=mo+tw9(_)4ESiQ z&nthwe_Vc`YITzYU$f_W=U*YYP7YR1<{xfYo>$aVt^P}fuTgS0<`2Hz-_}-Br5UpN z!|+$3MM;Ie;#gI>dUD`+Z9sk5)mL!ZMb3_)IquKqj#b_Kwf9?h;MgIV@YDA?dAW}Z zWA=~kbskOjah2~%JW7R+Rs(O1x68>ZhtyZ^g@4YBT~TwW`(wvWLum2luSsb_Zx=DJ zZruxqoBH?0{DV*by|lAG{L6Khi3jQX?%kuBf8SSP-TZTn(XtWl#%9@ytXBSC)4`8A zYHR5=rOdR-JU9e*mH4x3AFRxp`xe@MyReSm2Boe4luj)h{qxV!p^`)!hmyqDu@3iL z2Rd4eu3St1e(1XBK6k2OMj`qx@z(g&64Db`<1t~4ha38NyrWR-zOm$v?^s96lZbm} zW$<((Wf45EoBZ6|s|%Zy_i6H^ffsD2(4lAYb?RrUK2iL3l&NoQ7};Uh4W5W4AyU&n5M+@^n^3t?Z?X|y0-5Q_lV`kkmTo1{d2BdY@ymW4j3%sXk|6uwJj@g~MSR<6H zpP7H5-G1LgCXX#06NZ&{dyUwgYB?ZWy<#)ICP%Fom8l!UN6f!g&(qEhy|}tm7Ckf5 zu&qp;89uV>L-o8zK(t)meJ@cs+%YsB{(*9;wM=a`(S7E)SF~{FGdb?kv(H~Br_^O? zB3$^3dZ)&EEy--nwKvb4GNZM&H<9mQFQCN&7k^`a_U%_ zdI_lRpO5UnGzO%vlv5YV)Yd>ck?9z^h$&r<7UW$LEz5&7C{q>r@Q%Vc!b`=77uO6qEaH!nRqr-xhE z`XA}JT}fw+&<98#Xt#ZjIOr2!9V}B*!bi@1seW)3Zq|+zS#)})@OsEljKlb&HP@Do z!BeXD)c%3;3w>u-va?2L2agq$->)(sM|))&&XlR|gpaHmuYT|ksDI;jIee`uQwIR) zWA%e4K9sv1WMcm~e7=_r4Lkl4EdrV?7lGb>rGML*x11E2cdO88QaR{A!B*0FYxjX9oby4*Q0RZ>j77W|@);AZ0J$-{5;4e?92Tt_YcEru4&wQ3f0uVZ9=03%@AZADMT|EJucg0t8cG-w9{BHh+}f%i z=pp^qS9fTm)lY`TzZimV&7GE9tv5(4!r|KPMyoFkjbDbR`QF@exUI$_{9|>;^=S1e z^|N){}L^b|u?tgiqIA3;FM-3onCFA{J#>2QAHk;L+!Y%?5e}hyo^5Qq=N^L)z)E0{~K$j{pf{0 z^=$FNr`fgRqoS&UodH|Qt`=`By??C#&xI3q*VgYo67@Or`s$izof|)Q>|7D*Q~xz- zwb1*8`pTgU-Qqi!_ME<#>tXTJ=LL24*5OM#7ud(0hq>K6VcM**LvP1Zug}>ScmC?V zoHc%nPQhsXBmKHbBjfQpj~}7Cm*0Duv~2ur&`{jNv9_JRHDB&}o*qpy<-M})_}#-? zJ$j|g^ylp--1jcm-U^<_{Qmjc;a2n(|4}^QSknqi<2m=H_y09L?Z$cbnH8GFqb*U# zR4WQScRil|tL{2?(ZRckiyNGNAAO#ZGy2?YG>>s)U1H+V-dl?opFQh#-|4mes;w7h zENH%!SkSWLe(7ucs)}ItyFb40Lyo??&sc&ww<(R|bBK|&;^^M{DN7uu?zWz3atNEW z{%B^(v}3e?s+UB`6XTC=N?CBsb! zdJZGV$Cim-{})_+6EODK>t3GDiDs1|aLi$(#iOVT|$87$oToQe*S=(|rCGwc> zoE^ukd&0uc+s>)?OfWzEA^H5#w_hj|#j<-2Ek(dna`Zlj~U zrmU*D5Ve4`y5?`|NpxH>*w|xLjT7HFCC2h z`Z{Uq(PRG)TkiqYR2IF9LwcbkgaA?#dM8#;5lBEqMTkg=h>)P8sGzZefx#ynb%FVs|>>V}x z^8d90M;XJ#C7Yux-JOmU&JS}c?u?vxsWfUu@AR6Xc`Js=!x=BVdM&r?n(@CEpromv zqA^(gl=3xfdZF9PqfPyI!e@tq8)W5M?594|7H~>P)SsTno{=b;nGty^IHxeUxiFY< zDp+_bSb1tOrEoF7aPg72vFQQHDJ@UW`3x0(6lYx8BM&`*n@jXBamtMK?ePgQ+;H1x zIMT8BHpS+LX_BOW5$ahWvHc(Lzbl{Ko=yA~l)(&0c5ozZ@YTddm=oKVnsu&w-M?dQ zVR@N!1+%}Q|3eyPib4CMsZUKRw`JLkZJ1V{ zn%bX=JN5ekr-X95Bo7yF*Y z*zUK^n#`6*m0ENTEg3#Ig;BztP;Y)&^5zW2c9wP4MPJH-Qfw087IEsuaUmZ0pgUO5T>u{U~d9 z_Nuq(Y<+)D^jj^bq-n^FT&;{{(r0AkKjVoi`EzHe+Tye2d^PWLGAZGM4e=l^R`6OF1yW{<#+F4lj#5R&Ki%;nl&Noy)V4|JH`&^AT>F}!#i~0 zf)r~a5c4K+Nwg)4bEL|+Su2p%L|}(U zW)Tw9GXvu~bFUV6(@p!=Q+G@Dafpg=S6XkDGX0+waTfy!TLQOM9%F7cnoE6{d)IDG z>m4%BF$zZ(qn>>*sB?!L;Zd-gte3x&>+te-{KxS5%C2JNA+LMu1IZ2Ke}dbd1;Huq zHM0~`Ig44IuG-cnZH7|B=D1ogr0_OrQ}fbfo8GLZ?f*nIfFQeTSJS#+qS%`=!W~8* zpP8orRpwos%GDgt?MM@2y=48xcbd^s(kvKwYyUaS6eE)V5|7aULUC<#;LG3EJx`Yf zr3x6OOatsInBkur*Z7q>?uA#Y4(x3LQ&*3@X5 zl9#8dzzuq{+{ab>*y-X*aFq6x2NxJ#CvM`jJ-ZEuT0tWDM>kxW&8SiMz5MOj_jH-^ zy*g4J$J39*Vo#4!vL-Lp?gXE1+P^;C@bcR#xXt)|`Dp{q%Wm$lLuJOiaLUpa%ib&& zd^qr)d#j&epsTiJ$HlEX*Kjw~zQp_dAZ{~HckVOV68N#|kjcf4xkmmkKd53gB1PcK zHaiU*&<6ZDw6fsvd->Msn>XqVg{nU~GK7o#P*2HoPwn(6By36ZtKQDqpgWZs+}1|v z|6|@MauVqxr|lH2zx6)6U|;h2VBrCD{%^y&KmOb#is-1`WW((cZ@R6L_fZ@|{7w}1BrpC^{Rw!BY>Y%`_5)#>Fd%fH}I zcko+lxA*aNMn_n~!sThX^9wS%DrTk;HyMjzi3I(%wrP7CD~t2P~D4Nu8JY!ehJ z*>~eiiEl!Geq(>ezhe|FK&2{9mh}7ynw}wjfrr=zpgr8;;F4CLm*dD7uGOeMqlI ztkR-3AXbJs_5s!n|BQwA${U9t50mb+o3#zs`n`~F%CnZ5{(QE6Pv6Y$idnjmIhDp` zr++;3;+@)Y!5H!|W}WjnlQ=SxXs>&gn3T15{FhUqHh(-@me{nXbKB>!r_Vll)qEcx zOZ)l$K;3Zrr?i#k5valO65qhF_HmQ(?vvwn<{!3wr=@=m===C2yZ6-i+xAcEBgO)< zkJX8991CQOlWTsKkN@`hw!Ze~ct*!5-@uZz{(&9UKYePx&vhU5{W()!Hvr>0zdb;% zI}uo_En1&-rf%G6?1}Gqwomo>b+I<`tOta4(uX{9*`FigJdEn6xqbvS@+d7-E zalKQ7z_S0>zUV1al2)X@yWfVbjQ;d)Xvdnr_VgqFg-a-u15xa|Rmgu!xgp4ZcW2+l zus@9?hCluC_T<18uY|g1A3M_1E9&lV9?1BxY44ZXhJw$t@+_Uh3KXM8v;*x^)iNUO ze0st7uc?6>3s}3(<`QWMKBHe^{SKvzv-6bMM*B>iAI}&Q_>E5&w>$itwYz<}&gSc@ z?9`U=pV>ooe!BuY$JHS|V}au}zY=$!iG61r_!Wk)YZ{;IS>xK9y}!=6+#oA;bfR`a zmTaEMuakuF-i43%jRvk)!ywQofutFQn#z_r3pdP3O>>4g$+gIk=3sTU9U2>XtYDegU>e!FDa!s&u)q%ERe@RDkWWLq$&iv3)IX+|h05 z95}b>P|@U@--cI=-V3(MrX?Kza_igY*Ilc~zZZ_)doVtXTYso%R@a829g+vnXoFp! z4O2&JEXMPi8`=-Yc4wrH{+#-AIwzYpE*u<(CGGlbu&wnoECU&q0Sg1JZwQ=p^PBI- zBQjV9!NRPo|5=7Runa$a3r6jk5m|r1fVtoDNvWd)-ybHG@2jhH4vhHEGE~DdsNvLh z$zAGJz^Ogb&4p#?uD6MGWDnI zKc{AHw5|16JAbeYPAwWfVZDYx@0;JUn>@;Drj7NU7`2CQZ?@mh@ei8R!96?u`#sWQWB5|++x7_$;?zt|CRWg?;Du> zz}Wo@K10X$$l}fpYjjX$X1sno`o*qp+Qg#KPrb~Dh5O!IywLq+@!~K4T)Oo8*UOjNcC5+z znSbf$Gyi+p;R{E`hgDZs7bxxW^UwKGEXKaP#r-A^JsAIdCB*ROOfwc)nyiRe6IP^{iX4? z*4yLD2gfH{e=9oF?$-5S!1~<-tEvhoZEnjzEiTTIiy$EQ}-A#G!U)y5+o z+<@E%2gZHcbAR_}jZ_G2Ec=w-d%h!pq zB&P9~ek*HQ4aYWNp!vd7`im0|H&>_L5Bz6a>gbLwVN;Orblb!OF1;|X?}NK{!sqz` zE|)sET(XltMm*5nfXn6R=!={;4T0Q4?XLg%JkO6?4u82dSiY0dnjQ1pGP^y)@YhLE zjL}GM?&6ahRfYZZmE!%fM1fh4qo@8U+4amTG@k1Z+#V^De~o44NxdLCug+A2@$`?5 zh%hmPD&_ofh0?t!L=oqQeN8Y|#dSFIT>LR#WafMcr2{>dE8{EXh3ZWsyQ$2Wv=#`+ z@Lp=qui~2ZQ7(&4ads#cq#O5{#ffKeG8C~rMngojG7I*ugcV?n2sc#ha=d0!;3<`P z)@gBY)+CY7Zw5YBM$>q7c>3ALqZ7$#D#Z6<4&jCPY(gHYmfo53krSysB{wRhT$c>U zP_XISWK^?ixrdQKpuQ?U>#694dV}^*-E`p`KjPD0Ox#oAMb)+rRHX)QSLqrLZjg^O z*M-=4>Q!-Bz%I=Rp-sH`jN68^?w!r_(LOx3((MPPPIrm8j<`dWS`rBRM=@zK73?21 zZ8hI1!Dm&Aa$yf?)3fbbo`vyg0!>v8p+}+$NT-P}RO{7Y+E(K~w44HR*02~gMllly z%I<5oL;NW@)i z0n^RZ-Vr}rcDT4eZfR=%9QLMdhrMZp`QUQ08Xn;|tbn~~g~f$(tHb7lurCd=~-tC!}z5V_)) zCbc6~yI<>_9-Bru(gDh|P@aWyIh4zx{0QYoC}~jApgaWSAt)C^xfse9P`-e2GL(~{yfLc4-1x14 zEBOQeYP0!c+AqmZb&w*+!oZ9atg;vQ@jUlp7C^X&OK?Hc8YG|8Aqv>G6GK|8au>|t zc|kx$>0ADvEb}Y0ZplAtj-sTgEJO8aK`wc6rOqP?qR%{p=rdRY+Tbyw`N~SJ-d@;Z zdjPiKx!-*MczA>rSl-5+@co#_c;bi1OFxHiM>UK+@j9aY)v;!#;n`Zt;X(fXl$u>r z`I*VP);knElgv&F|kQ3x4>nw_kJlO}kWJQ}-fdyUc6U`(ohRbwzg- z_X4&EF769$xj!&py>7%`I%}v_S`)KZx?^jJN8jy-j+rZmtEK3n)kOT)W#pozPfThx zX*L~lI;&$r)*G79(y6rC>+7AR4l(bfWqbNxzwY5*VMSHb%2ev|(XpuXHP|KTD}8rM+po+ZKl6Iz zP_2mc8eR8Qs?B|s+7aW{=+NR>yuX>5Y?Job=|{JiT8;c5xl$ul}#3 z1Ii%gBn!t7eTl4;pGPq?pr4TlI4leAdOZhe0skC@yw@a2@)yUNH7AeUK>DWiV+L6G zIO@@)QvMk^Aq+N5JOGdN*`cHhqzB3=%;^Q*=kz8^5A!d`Nsmn;C2u*Fthu4&ZqnaM zf99+LpA~v!>0W+`oakvXNphcKrU+>w9VNY0PGZjFf&Zq5w2*&ZPQGHYQSypotC-V7 zeogwRoWTs_`IzciNyYrLTtbQoN%Dk4Rm^rJRX_lvX(fIw-iP&OLI9(STvDIOd`TPZ zshI0Z9wL2G29)@>_(bUy!KQ2d5)(hymSKw`ank za@jkG^?}cHc!_P4Zij#%0e<~+31xk0S<)ODVBq(1j0+%8*GLE_?o;M-Xu`N;QkKaH z(PZ_A=1Q}5AuT}fv&>8*)-o$yZ(@!~V>H*BE#s;0a>_VMg|HLjD%lR=1vF|_bMU-Q&cKvrl+&qZb2&Qe!=|-*=cU2LH?>Z# z4%WCoWaUBAI|!~mnTGE0Cu??x6?dK=&Yj#Yc86F4(K@3jS~%=P9xmP~GwGXni-V(6k^vCKg093ZQA`p{c4YRjG=Tvafxuk6qBnt4eDs^X`$g1 z>QxBSW!N01n^5i3ig_YSRN~cM&74ZZ0Mq`Qqv~bN^DFUj25>YuRtjIj{ z9O4F5GSk+=p&oV!Vfck|%3gC55s{O`S`>|oTu44u@i(6h|&=}X#u!L zvIy`un+4$n^va|W;BMx?bJ&bpD|^a61(5~J?}`l}vcRGqqiMuh5Kh1a!U>?3%056i z0ep)2FR=|LP_ekjcq`nUt%Iln4hEx1cPj;e*bk3I4uJBSWy4*XOMv45 z-+>3JO@Wt{WWYdHlqhht6qUU_!YE;T#JZ87Gi5G#0bE^$3R9{1Q4vACk>Yqok19LD zIH(|nn8kpPyd@=?M?ORR60}(`i(ob_$3i`avbY74L_En(5s>p7Qm`9jsf^PKn|kxD zIT&@g9JV!>5UV7p{F{b9x){3@zOLHpS3wa*2ZCQBtwbP?2`9N~{R@B`BwPeb4}>fd&T!Q_?hBf0Kq9;W%WZ_s^~G|bgR9m+4CHQt zuLNb)g|?aK>fA~q^?fh`St@jKRowDxrb;5!HO0zW*i{PKnvBJ$lamlb7k@CcFaSfb z*0JZ+g$s2vI*VgZz8UMylO&|ZkCZtWZNIhpYx#b^o>x0>A)<2St)RKqrY-trJz(;6 z_}9NCZ@&C%5>Wnc|BPyN&9CQw?&l{Sd_`A_wsVB_%B?4Z0xc&hRyj52Lj(pM6>a5? z`kh>_oVGJ4kYq{N;DDPnB+4?KV$vyT;H-pjXV@v!>*5QXY0Y7fkjQ*vPB$l9E0U9M zQZ`DAW&XwI<>og{21TzqSb_^MKy0njvvQf`GlgTv41K%WHn);o0+7du;?1Kn4KU{@yiwbyjULVrH7|QH~sZi`|UEUL$z9b05n(7Zi3bT+84Un-tQ!G zLxv1X19=z7jv!wL`M!r|K`-4b4CD(SPX_ro$etiqfZPbO$0(+oxJsGX;mKnZqRF!F z`~yOLPcv_^liEoURcUaP7^~b^{*5ntldgEa)}Qxjs_m5_OXJt+`|xXP8YEj1KB~5Z zECKniwf-$#Q*Bc~t^j!{$f+Q21vwYw7a&9LK9Gk%&H#A_$XVszG?M{2Z_VA~t3)a5H3S{1l!e+YE{H}<=NuxOafPX^nL9s*z4|#SRFN_901HA4 zjcqrnVwpM88$>I_sb3;&N9{&N7ZYgg`6@B*lJo)N7VG}$46iWkYT0VWDVEJS^OY?h zPsoll&a%iWOjb%BtF1F0Dp=+#=-r|exV>1$;~O{9%^>iBy?g=BU?X`!%FOc$(=Pf^ zQ6}7AB=Q9L_VgIBSnbXY<-xw1wSB}B>NqZhINA*%jy4D+vviAE2=hrN`;MEdS90T8 zlAd{(R*0{|R-5n^qle^~eG@a(;oLESvBa@@3LU&{RQSsdwf|+Z6M~t_P7Py2tR{fa^hQub5aNReYh6x6ill%c*wAo6R$l$G0yaK#1s*BfwRNJ9)=@q`ku$0%bd{T=!ichGleanCYxb1@;VMY z+zEw;JFD3dOq(93bNCvWL2>c_Fb4@ zSv0{y6g+41C<==$gTU3(x8i&aWcQt4r&sJheTnk)H(TAj+{Oep) z72i?{J)05v2y*aA%Dwap$>4q4&TG z+iP63Cv}bZJjY8BR%$byl4o?T6mA&2c@Q4748nun(#CWJ<;_t1rFVt?LH~<1O|`v5 zzlAXcVBmCTE2(%xdR|QdyZflt>4mU9wvKW3* zvt^(8yPRj3jFe~kB{qJpnV10c09<0a1KMbt1G@Id2dwqbR&3^{uOz?DuO!{O_jKXQ znWox(X>fV_I%$?B{-xRgNUJ>~p#cVV%*ML_0(HBM!hh~I%KAaKDIB8PjCOg<@cZ~> zn%@_|XTZRhX_Xp451OuQ>{+0PF``1)K)d z0z_KFbXRM1zI456d52%RearWhEP8m(MfI@exX@(Oc~tK-`J$5CF;`n9v>auQV#Z9L z<{VQm$;Dzd!A=JdJ)7ExhzD7(6VrU z$xbqOI&3{WW=&YaQYgpssz4CHh3h=ZhSK>^HZO+NMd zSZQT(F@za`KbL9_iW?FN`^);ElAbF*CpS23a!~S|L*mW}B|aow3YyNG z>gsbCPnVL4&v1!RCYN&_a-zAjOL3P;uh>&doJ+l5qNYk`6kpKlmpa|ly9L4gY)YI; zJ#M1@k-ml(`?zYSo7nZ_uMneUu4Y-s^5!5N#OHk{nFG7pcskQ+m(7@k((qyks56Cj zS2X-TJ_4Drqs>d2pb0MVO?LaC|EIJTHr5;8r2djrsP&q|EeHV+^&}sj8|42EaDHUv!qsS z+8j|p`bB=B)Dz6GaDA*NksjwOSY!k0N=b>@niW<+-bwzhBnSd5+&uNpN@e_;3c_Bh zndF+<1iWcT4dh2k%>P7vI3zv7zpfyKQDY>R)RwG;Jn{wd7o~ws3Y_el2d9 z5EQ{hxeoTr&h;Y>kpgpesNEEaI%=kKAr3DsQnEV?bP&X~R=bX3J-CjN`F9(`udj`v zdsxL5)>pB$x6&kH@%oSNr+PX902O$IL%pG5eZ9mYiGIjz84lZ7$% z%O}*+ujiz5Vkn7CI1`9`#bM$@9lJ2QWbuq$aw|uApWwg)Oh(S~jZC{xW*6Q~hGHDy zQqGx?L{m5dd5kOSIdL|7dx=F8!xTS3X2Lkc&p0)iT=8c59;nZ=!*rFC8kAksdzKqg(uS%UF=2=BXFb73r~*RB`L}5&qJQ zrDUk=gUWk^IR%wKla#dsjpEd=ze5y%)_ldjfA^jZl_Eqx3;IkVRBk|}iU5@|q=GP2 zP{}};i%11wZbM}RVakyT!qo9+F2NHj4s9t$DnzI#ppuI)rAP%~DxoqLVa_2Hgt-Zo zMufS7R1l^bDlQ0f2B{#-b*NlKn9E27VeUd@75o`o`3nGl3RjNoHtL3U_pkZn?w^2> z`D8O40NQ5IHiPz29f@%C7vLvgghYseb_}#*pdAD4*U)|q?bpzL4ee=!itn4w@@G!+ zC000Wx&f8j1gMlD6@;mRN*cmkL@Ee#8!E#HQ;t*+rjGyKAdr@FvO`~0F~QapDxbxv zlSes<)SbDQ_bYYhK{tk5SFH!4Q$c?Nx(Vl%~<>$o<8F5iXzFmbOCl%2>Gj@b4D*xH0GI?!|S-?yD z5l)C7q*LOD0N()r(9!YV0mF23tCo%)?eoA@{_TO&-H$a8J_5W4GQXKikApOkbiP#FzrH z2q9=dECWITRu<4LiDahQ?F8K_fbavN6CqrHs09K8gb5Jy9f7z8gd-3K5yBaWJ3yEM z5s47U7`K3!0>pEKK*p#6!T^X#Ks-doxB-MG5Z4g`8KVjaYaliv1Tw~LApC(CLz19=O1`mC z-)lm=0*{}z1>@ZU-2zeOeJYWcy@))dQHCE>PNG}42u=PqTtGw&E6V>htcnLiKC;gH zU?E<^Ld3yBtVKSWBS0KQ2zMZmPg4d&HxMTf3uHl$191Te_tHZT?0GWG9zNa7Qyd)v zOS=e`_C7+G1Hl1e9uVh&ID%Nr0b&UdpAZ7EU;{B5h$BEmBNoWV6Ai>Ggg`8UfmjH{ zEg)VY7IT4U(jMf^3lfa3l#pZ--|z8`#|-~|K}=y+Z7x%EJ;Tj*EsTQ z%dP=2jCr(tDyXlb6#g-jRfD_%=(on3XZr;W4KGl4xPs|w#GgQH1tP!% zMn(pT0MlFMFc2G#c@dNuaF|}?FgHN;2I4M4I0I1yge?%;5yAW@e3hbfT#mP z9|#-}mN3Rz1rSUib|M6fv9=NjDiCuJ0>)T-6NrgGG#~_wv9=lrA`s3%oIu974ul&J z7Z3s&<1P>rfLMtT$QTtsOb4PHA&@a@fxrS`21Fb(26CoOKpaH~WQ;pN(1D0S2xN>~ zKuiVVH9{a`)Bs@!#55q@A!8ux;RQqmLLg%x>tO@LR)j#tK-Oax5JL!oj8T_pAbK{M zM6lNGVG5glb}Bz-O~lk9jV5RugND(_eTwcOG^lkJs6=S^^_mB3tf0{d{W8BmqY?%g zfJPzo)7C)a5cJDJ20{AeLn9m+nBg8NqTM0xk5cxp(gN3#ph;lgo>0kFL>98VS zeBrzI4Etz#4F$ek$npeY$diA&@e2MvuZ=BmtGMbI#8aumIxq`RGILL&DO=&lmGkEn z=ZT#}_Qa!@-JU!X*WEyr0&xZ*yn*0>m4WJD&_wJ4)O{1r%O*<|rSq*-XWTbn_gt>0 z0g(s<3J4+)j$m<+2ZRX_^AG|o4#opP0>TZ5bBIM85SBo!MhL_r0SFur6M$HOSS$g; z42UHNfmkd9!U%}zKy)D%$UJO;*oF{@1u_qPAh1A~f<+`U4=NC|5dthCk$Dh-a022m zVu8$K0uX;71Y&{A0}BKlh$zGYnFk$+XoNs4ka-vaF%^iHhy^ka8z8nI1Y!a6c(_zN zJNko}=jFlu{6$C7K$$}Ey!@OCrVe1b4~S|PUex(rpk4se6GXGQ%}yI1R9IQvqQ6>u`y0Tcn|@N zrvx+RbPt}is}R)hKzOHrH1H-JyR`!N=#sju~d7KSxBBEkHWmpXG>jyID-(* zK-}fGAIFl1PAxG|_5W-%?K_?AiB!y>VvSVjQ1M49=1@UF#RajkM=FSo2~t69CLtBX zh6I(1h>bf^G3#qsZ>d^0dVvm=8A!z(DmbXDG=++@%KPJF^43qMXDjynb49%D$|_pl zFaIk3v99TuD#vGTEl%6KRo;CY$y)`-<|_Ipma`nud# z=fZw3ZnkVV7U<0vr_xVxMI3zo#pavlA&L#m_7t}(EgRrr= z>aER@E&6fra(k`7JlUZSmkKYpPbthZyJQxbeSl7x#m!-k!Hje!u4p?GXH!FwgeT;& zSNYTz?=eqmenp)Cc4emxL*>=)4`D7zzr734?6 z!IKoW+Kt82Q4Oe&T2URrFA(Ak%%6&>>glXVKjS$>b7gXey@f+OYPswYzfg$XYkpNs zR8M6ssx)#Yrm0qR*cRBg;up*C{1b21vX-S|R;GW0i1RM|qp=%V?>(j$IQXMC)m)Km zQ*UCm!3R*0{sR02jPx4BKsyH7G0={I_G@UrhW2Y{ zzlQcSXitOoG-ywQb_KL6NYUWf;ojmMg`NqIk}q=6eI{$fZSW|$@!1^38^AL_`?ERP z<3;;4Ie=oora&w3>zf9CebdA~zf&-|fW)6~3Hx<#3AIBvsMi17pk{tt<>1%7%0c(~ z*&Jaj3~&lMN&y+rc^<$RIxhy;LFbErK%%d5LdPm$OeOItu`cJk+Dpi;H1sB=DV+lU zQvCs-1EK*_0b2lufM;1}Bqy`hh!aO&Vs0al9nYNY)hajgP9O> z)Lz`kany$78D!DVFNg^DF$3$d! zOoY7#(bD$IZR#OJWI87t9ur|r2zTHykuf|b!tRn~!eb&kM>+`tFR|tEu9!%Ij6J`E z5b9(K!8?-}`?%KU=<^{;+B|uJD|Qm$JbO-wL6hTQ>~Yyf#vZQi3c7nv3TL)_St({C z;Rrjtgw*5|g}ozN!^r2_bkWy9UYCXP#8NB?wmh;+j9eXGVr8=J#d%yiQ@UkN5+|4& zpNyFg9(VH~)ZzSO)O_+n_JooNt{xETkobhX4dTwTll9$6cH;S*1>7ac*vo`J+0iA2 zrOr38uVh<`cWSAnZkYyah(!d-5b4oU^cn=G*zh1~$ zr;ch~RshlHUw}t0uE*&yc;qfA&SzQupm*nFspFdy3-CC?cU6!;-@?%oBazMK=P4`< z=tm(QbtEgEhnquqqzV;aEu5}k&dQ=8gsNr*cBzPe}ENTI|z%d1LNVbr_ zTQMPwUXim}9m87If?EketHT8N0;fLA4cRjOeudRz`cTd`bpk7~1#bqyQ#l=z6`_85 z{}Uu_6F7LFJZVOvPY_8>CbYO>S_}Ci9N>M;RGx!1cCBo^az=**47 z`RPw1w@bX#^AyoK{S;a_ysXLR=L#+ROtVl`(#ibWLbE>VQ&Ek2n%H}O$U z;O{tG^^dj3A5Sw__9#ceai@fb8mb|@A%^KiFnKP@<7}tQYtpkPPhgueX?gA|aDPcX z8F%G$4Eb%40dr=aTbJH7X(6LRPOhhJgkXoZl!zvBC;4X(iWwN{YO1$ODrQ{c5*#70 z^*Ihz9_C6qNWLFLD)9?-JFIs}dXRC8OFBoLFS*H?AP;vXKPP_*!j(Y8`6#{P(%p<3 zT;d9^8ec4K zMn#zhV2((S7GG~BMN#7{2SykIH;b_R(`ShOjgq#8w==d z0P;?d?}6L_G6CdsAj4cNp!Z&o8$kXD@;8t%AfEvlj$Ht~!$7_O@(Ymv2H61Q3n0U> z3!wL7kh?+t4)RZsQ6QI?&s4y%dC=Pvbp6mV=F^u7Y}YmmQy{13=D zkm0-)^K_;uW;4WI92Z4&k3j`-nGzgeTbP^CGiCk!W6kJ3v+dwd?*snyklSU4G7B0oZ76+Cj;4&m^89 z=CU_K<_J$~$R|=oEI9MGkGd`sm99ZR1%((js?x=m=x+0uRlRr#yBf9Tmk<~ zK2{sbXX_@cpsy3BKz!mVAsI47ND3iB`hrUGM)GiwZAUtlbcT*-r!A;5o*Dh*oJZE=3#pw&i^c?QK?To z_)~sUzK1)Q{c^o(4@dB)>QP`8RNsJ)xRS`0r7Kg6HN_=L7g z|Ah9chMa9RgQBAeKZ88VGmCG<1~fN#*d>2CVtn)aLwvv>Utc4Fy9a6 zE?|BG%iCOkYThRApZgx4YDZVr;<^g$ydY%uv#sb^-Jb1Nj2TlR-WXvM0zD zAj7c>p!Z{tyFn&{ECboTJo9bxQ)oCrBNA+n#|9``J?wZLM~tuhc!-bL_6c1EXE_z* zIFL_){K3PnMRUYB1>|WUp9I+p>R&HZqNrI z0iH=sH92w7XCErrgN~Y-`9R6w27S-euTiwD^WJ!SyDQ&}?S`rODF( z^1Uz_Wh~Pbw4I_pwNM^xp}!HL2zU!jo4ocyAmNFOa+X;atwA)ZUdRp7VNFffi8v4> zm{*D34UxAOb=ZP4B>p}`-j-@9hfQrok?Q5#xOCJ*NPBu+y_g%Gj(bS1gP**cxQo)^ zCvTnPq1vu^x7GzxX<%MSS#RAIad_Nd0)7ptgYCscm3m=BGdLmK*gQ-x@c?@Vla#(7 zkMzOpj3`aoqglqZm_pet+6lSNLS6MO$xf;aj$3nxtKkXAmpHk^Gd!AT51;_J z02V+9AOJ!Eu7G5K1%L5$fo9U6F*C^YknJJ+8fdhU!d8u-q zz(dDw!G#mwtMb)G!nhX0jbDymA5lj9I`mt!SBNX5KNW9MPZuWm8G94Vm9snSD;;4^ zo9vNhH|%MnUlp%aPZch!G+IaSRX$Tc(_HWk)0nR>PP?k9nLF_}z=nqIHTtbNscOJV=yik`pME!tY)x{O)}!$iDL4fN@1`Dy1D1 zY2l|YJ5*mRJjRX*J1ny zDT#5FORcB5i*%endsj_Y{k48Kebl7r}%cPfz?Z$n{pHFxgCt5%t3Z zvtZbdN?ObI5!m#2UBT~=8Wxu;s6S}aL}Tiete_SYjA-xdCJv$h$yx1o=A1_t(-3pm!L^7eJm2@^O$o zL9PI~ac#7>(L!jPh6bE1Pjidp`6CW%w(U>Uo|+i=BU}c5groV#-?%GUL53XTS3r&d zxeVl~Ajg4x3gi!K=`GMZ1>|WUp9I+p$G^cBfs|Tn3x~+y(3etQv??Ed=;{xFlW*c+W8u&MhVOlWr+zLHc5!m-@!i zyP6WNvA;>Lq*1+8vr7wqi_`J`^bgo)Qk=%OgqiL=1?5xy7FU;X!*EQy(rVOg3f4!q z@=o95S5N#XG0FOqMiQT+)qh9C!Oqkm3flrBmZ}(MSeOu#ov<^NMVV~@8QL5mLz`os z_g<7rolFU~Kqo82 zX)8rtkc)=Xf{P@*XS)mVpcfZwuly!V)@=l>bh#CQ2rh;RMwkC)NW?{^ATQ_dk_OoIO>n#s)b zm~%@tQzz=v(e!g6mIwN~XBJJY-880coH(=-@^e4^QrrEm_WRSBW#sDb;kjJ{@c$KK zOVKlS-zq-D!_V0BPw^fdWi#C>$oCp`v-!y&<8Ra+w`r1uByx{eg*YI|s>cKNq9@^d ze71`9lguq#w@TPa#ulDKVrG(^h5L|1(7BuyZ>{H0&t^qhqt4ckE0ezwEgS-{%kQt^ z7wW9{nkVKEI~TAPS>q1X$MUc1EW@bnIhQ(@vKD6IFYFmm8En8jHl3^T++gt7EHGz! z=Ui4SY(v=fkzcEu;7O^=k#)u@LPv3CduaS!IvY=VcFrH25sLUij7PnjB61WPv+IQh zy#aT{Y?aD#gV7cGXVr{vzC0iA9OL_ed`Tr?+U^DXLzM;rCb7zu8|W$2H_Dg|HYqe; z<<@ULEzEV|Q=Nf|1+A#6`>Be#t@;`FmnkCtKeo;^s;TP>^qB)8^DJWsQX0h((p0kI0`_cb8HV$`GBgp!#PIEPeY`EpzPwh{h6WZA|v z5?ff`+a@%kS~%gh=X_QtZ<=nC#JfV#irp(ou9($|pDDpr6qI5fOF}E=l;Xxq*u!VK z3CoS;&a_6qe)IG~p%s#K)Pj6OuS80nlaCTg z7Eq_>BReDo!>8MDD$_q=UxnY*uqEnFOQ=3@dTkbjY}0L2&_}@R0#wjb(;ICBtcMy`m8Eg5SF2Nt4e6&-BcS@QEkCDHMdnM zZTwL62^GIBG*t7Cqf|a87dg(JFQ1-^zF@DC&%T3LZO@g@yo37NUM?@WgCyCDd*pY} z+w3(xg;9tp_Q^f@QK%p6s-EH~WVC%+&$KA?Df`NvqLYYa_UxV+CsBXe@#brVq-6a# z^N~WYWW#FnxkAciJw-gT16jrJ5wGp=d`At?98`@R47sR&rivU4x~S<=!FyfTs6VN~ zxV$wQjcPnM_`TYuiska(YYwZ@xS^@I8xCaLWM52>BQP#|lv2+=q!zlS$IYn0-89^@ zRgYksd0iNWV_sZV4K~zt#>N~WHAi$|AK2F_FT4HkP&2K$mCQTzTh{UsbaPNGW|d>A z@?aAAH`5^-YQ(EhP>VI$S13D@kbCscZPP~Zg`uA?|8r!uPi{d)I!ctglSrx5Mb;&i z?31+LtwojoCmEY`f4fj_`6xP3Z&DuV#3UO2=M1XEma}Kt*p;Mm)?-^@CAysRS?lKt z?F;{ezG9DVpWTn!VE1dE36^Nz*j|!?2rzARs=*SCx10^ts83-BwNve5hrg~Sr!r!# zzq>-*ldqymjE|k|)#xtz3fp7XfyqO7nrBTUU-w4B9KHsYs4sK2>B-v-TqmB!7!{~A zhwN9JoqAF&yV4r#nl${4-m8`|V)dj2jK;vKRx)|`I9Q_5?rf5wKGCymi@_3U-`i$^ zB{ITo>lz88(bIHZe3Cn}70Zz(S7f!~_eyaU(o)P!X=ufiQru%HyCS_5`xz|pSU33- zV}dmrERlW5>Ias{T4$Zq$m!>Nu%f{dnOJKOSR#9il>wH>l3K?qm?<2G)e9_<8DfnD zOJpCl`Y61wk}~15*7xoQQS`yLHQkmJJwHO-X5s5YBQ%4Sczxgrb+aWxA96yo*6}az zVjJ0|_jXQFFzl=gq7;RXowHhmQgDKqe~b8vfM7OBMDL{rv$l!id%3}!DI!8Ivz7US zD5BT5l^ree?xnY~PKlCxy<0iUM7UmdDf3TJXs>@M+fT&qrIoS{h!T6plyc^X$i1uq z=2KBjuipTBlE}Z8F~GVeO6`T$Lu?cwdpS(zf1<$N045tPq6w)?mQExTa+#cTk*AQ^ z#JnL27y34_gG6J5^d{C3(FCD)6KB2%D`e+0Ux-44{`qW%h$W=uvv!Hb38Ap}nEI6} zqAl>4W}hm#Eo8g;iOaJs=%DtpYfM|%LET3ewv0DdTjyex1<%#pbdk#V&$P!}2fiyO z(>xP6jbbkv_B>M|j-L!;AMHR8bg zRws3`QsxmDm#~@2Wl#1XQUWmrL zr@%ARG)-xQQXXTxvZ#5Lay^g%ECgl%Yk)jpIWQNf1;oHSK+znptOT-v#lS3J9U!f? zDi;6+)mEo&SZ#aN(5{@~QP7^aIiiqrpMH|E*fW*5*fVkSd5lkbk#o@?*5{XXxAF7~?&d`aClGI{GZau>nJuf}f%%N=?$Vt83$ zf%8iH3F|-e3N%5$5nw(L@ZAYiT3rFQme7IRzO@gL23+3^)B#)i5Mh(c)z8z{A~$V* zjrv4D@kq1-nG@pMUq zc;kHDE;q82ou-X)g*6oT;(xN=<6UuM2dLMzEw1qmIW34wjyJp=Zu9_aleWec+#Q>z zLAhe(@)q0{`xtp)3s!2MAkS~XJM7QRot=nm{U%XXC9R3RSG2ftOcQOU2;Pd(#CR-P zSLv5e9WRoO#I^-5*WPxe$))|M0>?7>lz!wJ(-rgOPW%%6zvg4rh$V)t=8M&sSNdD# z)77X~hU4b0YMi(Jck|(Dq_<(OxuY7pPyg8bbv1gQ;al^i>f=Q83<)Y)TH2E>L7tN4 z_hd-W%cNC3d3MB~(%c@g9p&e^V&0`EC7Y7WrfNzRt5Q6kMycYAh$)STcT7)lY@^3J zwm}@wh=#MAkFM*aVH9 z_3W=~+(v9YYnCmkkyOw5$%bh}=Q1bQf*ZYZ*_Uk0Mtm-7oh_k}lFRvEBPtMgm{?ns z!s8Bmi_KSoxxVc0YLAJWyshue^e`hChHZP-M^6K9YDU(Q}_V=E}-tiNrE zy@+xS$wuz=7-DX-#q?r^*i&r&y~H8b54O}^)DS1ycFV#_;uYz17H}v((SA0paWZ=S zYa)`>_avFamj*Fi)C0D?7O$kJ%i1%N@xvDe$z8Nb)^9Cg?!0%X=cZ>){UCa~vCG*! zh_9voXWOAdxU-0uTMpjvIWDo5mTogh6w$N*<-CNA)}ae&N@!$Q_NXq zB?&pBk-us7t5V%lqY&TPCs)jlLanu{DrQC@|FKW2D2YN->??=mClNdB*~5h=QB&=B z=hua#gNAX=ONCx@^{bsn3n_CA6x-4cFXDae_6=r~s0Um*4U>Iw;f|TS-EQwz_H%WDYj(qo8vK338}a%|Oer-}oKZE4RM<{~u80|C{T@W3ki+2$Ok*BpQUEYG&(>j6+ z*CzOc-EE&DpEZgt<=lRNA<)agTQ=2Pk5p)GoR9v zpBT92YkJRQeWCeCHK&T+AznnoSJB>RPV-|1LtUEJ{M5lfy80kLa4>|fdBztG2JKXL z@xuqhc4|KHCvbVw)Ea&WH+Y)H#vjM!-&Y^zM{`5(YkuKR;s(a68~H)pka$fme=IlX zth$3A$qhTJ8R1WC=B-p4_+ib#D>dKq$2ares=wyPHiy2|yyT}f2NKj@S$NGM1kF#D zxaJ_E`jSP^9A?yfup|}ovejEG!G*!u8iysJkbhf!)Dl$~dRz0WC8aPhLcQC^3i|T|5aXVgKFV%R-A%S&b(j^Rru5dzf%8TiRt6N(wwrS_Jw+@ z|Fi`51$t`^ScH8c`_xY@;eA2-G}kN>`ofCT|5-xZh38Rt$dE{2f?BTRtat;59 zZmJYN{MDdGD(8TeV;Sq7R)yRuojJUF5ZA?iY8!9CyJx(^JU7gBZs_&#rERn-dO5y~ z|Ev^Cnp;6cq!~2MPA;aFt+U0dJlq9D>~+ID=VmUsc8925{~O{H^|6<)qrOc)gIYTofcBr!v9!*BY<9IXj8@I{=2SBQUw{sH18l$_paEk5 z7T^ak03Yv}m-OE+dVy-R`%!20V-@yE)PjC|uN_UDlY$Z2gQ(L}a2x9X(B*!Vl?=oTjPakL!-TuSW5s1%msU$^1!zsCYG>A0&u~*Qoeo1uN$hiy&y0SmLTnn8-&` zg*=^!9w)7o=QJTMNVDXbO{mq<#qz05$iJntdPGfVl5|~;?PfW>XU7J_3alLt08ztFjhE*mq< z`^$)zO`+zkWrX#{h336w4C8WT(;zv+r$Q$MC&J@$ijy%ybLW@i4jH1It2$UUffqHgjx`m9Lx>W?0q4>VubQAW zx)l!p;RAhMU7UZdw2lB@Si#MAA>3kLOqwy>d0ZZ58v*Ve&eN7mK7hGim+V>VwVDp^ z@1~FXzY2B-JlUA!14_$-dO?JqNnpt2s|x@X}rq_=Ub3Ly)@ zX&S$3+~_^*?ot*n!Qe#)|u;? zDNBr4^PWPFB_^qPdm-_a5i*qv39n2G%$h>7w~=LTEcEm?6_^cfL;~v@@z@Sr0%xh% ztAl)=`G+`iB(N+xQJts?DvM0itZ@bP%q_?H+1Wjca_j+nV$ZB{{2V*Er(g*4)E?6_ zX9zdR?%y+g2z$+*+B16yztMind?pF;(V#P5Pr~5zpEVj6BTiOBz?tq@sUui!L>K0U zeO_E~4K_o6#wHsfaYMSWM;vRF^=|)qR;D$nl3CBWWyOFONKlK}WuK}%n?ydQKV-`v z@oEmO#XfMXP}U_O7aE`2qDJt|(VsA@>{)R$TTp-5OO#znB({F6@^F$D+pr3pMU*}I z>$Yi?$SB5V^{*~|VPK4Aw=2FdeWT- zuzkxXyYt|yiJVFsjYjchjo5^Zh*2J08zAKm?~)O>n}VH-8kwW~othHq%HjPoWS+js zxfUEjfzxzpQtygst=Qv| z9DZ15)Jjbxe|%@eTlG?YY-h|{jSoM~J(GzTFBK0T5@41azH?p_pk8mDj_mZw!#d|C zvDE+ml8^9*fod)cC}7|g3>^G2;0*(_VBmKcnEPd53=9;&z+)JAra$34)ixtUC3I_9 z68a-?sRAvbjT7f9yd;bZ;wlB+PF*d|RZ#5ozin46NPV=oHpxP(o|=HGlrpGO6R;!F zu??aGyr?oQAwA z>`UqR25}XBjFi%l^$zouG`2zd4mV30&@km4_9tmtL;5@X1P7uahlsi4;5B3taqAq^ zhN(pC2S=P-M8snq9&%YdW{X20mp}!9gDcOi$2uHI^2zo15C=w{or^i@2$p9+0fB=l z&&$RB>PV1_bMY((QJ!@Nv)d6RmqPu3!&g4#4)zTgM=;8uM9oDo%Di&i zpAL49xE$-}NbJcf#~*Nzd!$2{IgXf~DMPrY4*#C?A?zeaYERA({+i>Kc?TG!QD;7s zg!yRttU-cN%E2fN_pHj130!^`BHgi9*_;H=&@)z#k#XGcF60sWx61Qway{+2uEXWQ z4co3AadDe@wFrh|hjOReGnah}ytreVBWjTk>}SA>OS(f1v*wOWY!3N^IOjN|+@1ta z(do*AZm&C><2F%?Ew|Ev6_8gbFERe7ZvvqpbQ zdAu66#&BHuZ8h$_{&(e>YUF#vUgh;_Y%2SV4LO4IWv;LVj^q_wJg(i&~Y`2=^a z1bfI-=JcZZ)r70mHy~7!ZAX=u*4oDN6WufH$mfQCtpD(1lK3vo5q@e?C|w-@4%#C! zOfB7Dt8B!KM(xy=NaBaTk&$Z|;a1N^`e@8FO`2p0IC0T=#`ECB#ebr|1Sc-Cg*FD9 zxSlPHufU0m9gRM#_2T=uGfVLtDY+tR0JB#bQz0F|&6N6AOc}sFmZnyu58yu=QO;um zk57y()@TK{pDnfeDVY5%hjo&|x1SSYMJwnj%%kAM^-f_g04FXxh4m{qas5*`EO6q| zt}=In6L-v2b^$nXSyx$)PGqEPx|hb=*+%A@ym`hpPm8gLx37(4F(vcr+PqZ8^}Ic8 zlxHlGsJxeIr){%tut0*-K6SMvTpznngMmF_+y3ec=kjV+74s3t?GL~v1)2ceRvHR>2u*kHsO z&2rWF!I<}Ie^u;Y_NyL2-pCxO6)s zE}wbo=6j~YTA}c*r-oQ%3VJ>LsI^StT~AwJEmW}U8NXVq75=$YmQ|vl<@HXy=)d<{<`@wo5 z?kg*P9#``S7zbPcRs(+nlpAppaZG%|IOh{fp3F1N}A7UjzL$ z(0>p8_jMo8@3#P^&T&5-enG{TiWiGr4xshHIF-><*;yrvx=G8@gY1_+3 zCq>1BS15G&LN_EG&a!^Xk96miqMw_FoceC$c4LdPxf@$cT?Y}*Sa;R{`j)hI_?!Sy zOT*gESg7tPOk9|RHoT!bVmK36)E!X~U&=~ioh6L2T%Ijt1MEVns72|o)vE%RSU)tx zINt!1W#FpyU{(lAJvx~xbTK&#c^cf#Gt>RmO9N5XzvZiK+o(wAb9tHVhn{)1O@}XP zX9rTOe>UKqd3r>}_@VU`vE@}2X+z6~dF6|S)wNJpQo7s*J!ztKw3Imc{YQ7sbU7DdV!6P)DR=;zFZR;}TDfkIQQ+zgywC3HSdD zcuX$+jqZC(sEqwI54lsno~J4EP?<86#!ce+aRmwJ2lleKNyO~9AYd#I2}}gSfbl>q zkVedI7v*BT90~2RT)fFaY?s`@TK7}C{brd_fy=Vxt)E?75V+i+$-M@YA5H`Sg&x#wF z&?o3Z?6>cA232y)*(I9jc}(>wU>Wcy;0GK4<^WHDNx(G-=X60hXOk>vxKfr=A-ame zJ0dFt0|NJjp)%}p!;j93W$4%Xi_YbOZO*ws zEg%LC4`Tcccby%BsDt{`&aVe?2Mw>Cmj;n@^#`3t2eESv&zu(q(a-c<&QpVr`L3j2 zmhz}sL`0)QM3oXz3Wt(9g^28R$f@Z>w9rvO&8bJcF*fopc6zw42!CyH-B@JyTQId*Vnd{f^6XOlQ7KuTF@RYh zjgjXK;C_|*%f$m&mNZqKHGtnOMard2Oo22|4*!?mnE2+)0>To#%6v>fUSgn|FA6+g z>8F`b3rMdF@#Zdpm$!bU`LKZEZ6KIC+C28@v&~<(5%(D)%$M2-MfxS?qtyZDVTZ9) zfj$pAjM)mW^RUC%puktb4kJ@RsiOZaUet@IqLIWidp)Wc+r(>oG4H5T#8Z2T@8~~> zSM;LZ(W1pAy@Yp+Q{qj%I3jhKP1H*!(*Lw6dyzz%pH1HDNn{+bRrF%(sdH?yUQ#{% zsjaLRT~C{2E9~{EXI!&Y_u_M@8*P$aN-klnS1uXw1W14vKpE?G#{(b&Aio3hJ0QQq zI$})`df(wpw4pk@qL^1~{0>SK8*igmM)584-Jip>M{8I5MlsTKzd{PqWJp1Bgtupp zVpGAJx}*|!lD5cJR7pO`_}#XCWV~BmjPS9iDd%(FK>iUXmA==ukanH5^)Nile*`W9 z?}6>WUEnnE8aN0%1G<1u4K>aefJl~F5eG)X+({XY4GwSz0|`JBkOJ_5c#!+v$JVd_ zKY#(izsMR65O5#s>-iG3y{-y*Yw~4vz!%als}Di@B5;oN9uNzh0agG8c;5a4>;Uco zr7})MM5~uOvK2z9_pvQ-s=IKi-(mV+p#B`#0&0f<6{v={dUe7tDF(IEp!V3#t(a4f z9dAz>KEtIfH+nkLgtUJ8F6%Pkn10%H>l`7gpYg!DQRtTfuDf(0BZYp>I$!9MLR)2> zF65*z{<5wT!i&_stT`Rjt8|lfX$SWzEyp^$<9vZ++q;b{eefP#w+gH0&(OB1So+XZ zM6Y8BHT@(~=qRG*oJ7Ad{>{7ShE=qWb%QEM5AKv|R}i(N9Or3gH^|Ge276*dVL3j_ zPHxB_!hCO!X(%4Th1>lbrVU}gwx>1}4dEBtZ}CngA?_P=ylY9AcyOoGyBG~wHH3K6 zJrR3kLU%+L;wSrF-jyWcO8ps8-pIJ_kS^pU$F~qiB@eKk>uOvc-Lc!XC>K{Cs6}kC z?=ZK!J(;vyV#UZ?Soa!$jdD;1~XcSYSV7KAGfs+c4dH)$Nr}Jua43 z;_~T>#0x6P`Ly4~1ta6zLSxkbz=Qg7r^ga~j`>(8afu<)e6f@8O25>6x|96M;A8G` za}ucI#pxpfWx>leH(iNk{MYJZu9&jW*P7p4sqh+d^&S`OYW+1ox`bsx2h|r{;bmb5 zHShVgOK)C~A(D-o&AVinWE0f6%TSk%t>*nQ+-1{%d8-V$-q>W`E5oigO*Zck5I-9E z=0gI)N0Uma7Laj}$j~70#6cp1UO=jYM22R8SDk64aU33<`w$nON_$~D zu0pwUb1{cZSxztEn40io>Km#EcXlqi#PrhXBShCkF4VrUM-5+VCT-VGaJDsjZ8xlQ z;vv%j+|-94xLF*9N;5f}oDR%r#2)SQ$f(*eg$>|kAMrn-@rED`r)s&`+?7Jk#E0{J{`Lj z+O^RB1MNT1QlOSgk;O$ECiZ>-Kn?Qu{?zhY4bGl!JT@fdCa7sDz{wUz`-|7xq z5M1_*SZ)kKL$kzj$NV^s8)DSZj|@w6l~bJut_2j2z@_HE|h z)_py1tY)!=-y9R68DoiW9`oL}IphSGuy-?KO;B^>3EjGROTmUi3#sqJ3k6Gb(s>WS zh~e!?YvKwcUg;KCkcBbcT9$=Y81Ahruz0#f3D|F>W7{(l@Jpp$?Rn=he@G*T50{Y= z^@++OWnPJp&=Az?U(WWkLZVtZ$%egXxU0M}h+3mRtvo)6TVr^w{B{ueUVl(|W)S<{ z@JxAq5S_~2XhZf=e3}2*0)>dtsYJ{nz1TTj$gK&jQ{QvI2ba0EA4sUHne`2n(eIHkE4F|gqVXGgg0+WK+nsX{)QrI5#U-Qmut~=r@#8LRq zn-Ak-jJusX3$ZaKij~#jUCdf)9oNAw=KNtLb@-1)reelBaKnfCJeEUz>Z04DpSIuj zH134$8t@;WlZ$QX9q1JLMBDrhuN2Q0ekmltYYHocGKF>3Ll ze$FJScJN`B!j!NNX#}oS)PfqM#Skm5s^r?KC7Nc}Qff{O`WfrFw$C+@nps16#>f;E zSHiaU7T7J6;?-h29aDLYNo2a|keEK=C5x!Ves5pFyOe~SrhhKZ9>L2(K4HFgWHn^8 zpcXqycn6Y5_f2ELXuaZ%tH5X}@uuryb|tcu^;uo+;&;cyXnbAq-QlOz#jc3%=+l}M zS8{jca&?WXq@koAgSBrmn>(=t!_Ve+sKe7=p&f}nk(MaQ6;-CGb|&cdj%r44qy1-nM98z3 zqVp!1@|Yfil>+4nJt5RoW^Vg7B3TfsQoF$P167)xt~v5-JMO5|SDtYdf7{q;Zqeg! zo7`rt3=siO)15L*1UyZRGSmrpn(mX~o^eizSNC!rQqx6Kgv^KZ8=_@G--omy(HtTD zA>)W>qtH8nI$xA7WGB#Hh~^9Z6KD+4bRjK)u}ic{IOaTcx+q7;I!}KfS}OEAPa7+m zEo7W$oD*#j`czR@i86(deesuQkuac&<|UfhL9Jq#L~A>^@2EMVsU6IB^#6%gbojob zMT$x~=JGco<8sRpHyjyplgm*-j!b1!A2Gue zt*q}O+%zszw)By2n*5a7KF?6&9OceFzec=@(#(IKVXy1MA2a+%d&y75jkRm^R5~7# z{RRAQpj4oxC*0Z(Tm?n}E$|&+0bIaN;688`cncVT+rS9`e|Wn35ikz80IUZ71}N#r z5k8XvX!k=Kuq6+fxHS)XaWhZ{K>s52*Fb*_^w&Ut4fNkb|2_2IL;pSWQ^^NVz8(M( zARIuA#=@pIYmn)D02f~b7rF-*D1k{`Ulc&OhLwzUCxg-yP?}vysR?_nd*eV?NK!DF zj-ZO%6kM7<%$YgD91T9GdEuJio^=&*UBA~kbA&J&I#p1XoOx z;23a)7r=brh_oth8hlAcQXVI8`E)mKiD}4utQ&d4)MDP(jg2tXm@VCu+a{Fx zq`)H^R&%WaqS4?o>jg-HVW(Lwz`ixy=j{-nR~iYtD{UTUjoG|&ZNzwE1n*iK;lAFR zx4X@An!bqlRU2uiKAG3p=H)Wg@lKavT>6{NIlUM=bBegCmnfl~5(W2qU)|>VIc2!$ zJT_K3ak%(AeyU{Au&fG6k<6@^T7_OKSzD3w4)NKT>byMYb=p|#JUd8uE$-& zVP`!T_lbTZ=sR&eVw1iRa$2ypv=`P&q5r5LR6SqCPvVDa-q=frFEo?MrZKScV$_5# z)J(OPSIF)l158*5>3h7_OO<;IiEH#B%Ke3eMAL5Nu0m|0o?>0!;e8VRt1jtapJe)2 zS9Z{%So^K25n7=jQTIXR)f}`&JxdkV9JofaSOv*N@6}nV6_Sr8H0@<9IivM@60`A> zYm>6mjUloQLEjzE|IhJ@{vD@83LWov^yg}S*U!+;47y+9Ym#jmNr%}1;@KmNvgqxZ zpc4%f44`oRO9!1m-K1UbDg~XfaD}^HuE2pSKxx7!+;008(Ax_qDmKVARgQy+jDO3&U(&xF#=~HIPD4&VdV>8w7~cWv%1?XSh2IF~Q z_e&%wPf00tssvdnAr7C`d#a3uyvx-b38cl8((qTem4_qGNKwPnf-(0XGMXQZ+aaY7 zi&_!?NU|$3TT$OimQ+k{MUIygeJM-X3(4?`QXEIZu9#bjT_jB$-rJ4MGxj?vjrbPo zI$JD1ASvdpZoVZhiEmWDktl|@322c#cj+__E2L;ADM1_7R|f2+LShInr@D)+V# z*65cg_g4p$(;nF}Ex10x67AoxT8Mn5d1Of~4DnX8EP;hkU*dpWfncBRg9Y0hSp>@s zR&#ifZn0%jGx$QYEJ4k@WKE?7638yA8!dQl%zEu%3x^xEUZ=5824g>JQ&qgd5S+$e z6*mYgfEblvFt|?hUd1en%+UR)B9?{U)c&UOEsMCR%TUFa@s6q2tKd6@$23I{^$1)D zaSn1D|2J*6%D;{Gn{K5FDF|X~cdEh#F?%!?aKT2+P-|5o-LW$u*b?0x@}tIAHK{u^ z6*J3@Ysf0cymYV|W|U*Ub|f}TE60ED__8~#_o(R@&mVSl>8+f9VTC-OI@=e~VYfl| zPzI*U9R;%A5BNsVVlCGFvNfFHY~Sr3H6zO;PSYDcs-%YEZwzvFB@td5=hHN?+cROx z1eg-*+~;N$)2?fBToO>-1+qLT$h=zE$8F)2xY6*taQ03_Ej)n_Ii$nj�yDwJ9n? z;4b=dyM2aRvvUmGnrXwAI8D4_}+z~7F`G*R~W3)e&CavBcGwqNsHsA+tClCHE{*Oh+PtT zoH!W!LJ}XB5sW_~A;e8?#iUD%;tE^QXzBW%l2XJ*X-3cNQq&|#V$YOP{2U3n2O@iZ zl9(RwfSr=o_Do|UevpcL@|mb@(s?~{Ch~8IC!|whE=a=V=}q7Wi#MMTc-=M{olUVX zA~g4Fr;$c~4I5`&tY9$zS-RRbCT%g|uCdPCUb2*M_d}Lf#oY6l5C0X-9{%=F6@nwl zZ20-iYV2os7NtV*4)fE0MI{yAPsOSK2eGxG$93AsU$%~~7&khMyZ_-rhqK82Rhw7X zf#Hj+e(Iyk^azV*XZU5^=kKn2_tSN@KZjQZb~0+Wpx54bGs`*Gy^PRLxjsgN|Am!O z&7Eu0WqvvEg@dp!2i|b7=*xjW9B_X5y??nR-#vkIm5}zk_VF*j7Y~Pyws<|OJGAPG zv;{|GQnT#XMrjIFYR4<2DC(48Os|wrO%KKirF3deF!qg+1Ucy7>zv}8G(rQ{iLP4Z zrH{R+#i)E_f{Qwd3NMRVqYYMZWD#q0r7DUn=Dn7w3Xp}r*X6r>WznB-i|y8f_T${A zCRXfC^*hMP;f1Q}RrK!QP~9CBp__k9b5fPu9lB6Gqzdh(9bCL(T4KudhDcx{5C+T) zMt_jNS7lleSV^{A-iq2HSt2iNMM@>`Ntsr(L$Y38T#5*hWXPwLqK-=7dorcS1(F%^ z8Kvl7C2L^!g1jNQx0t!0Yl=r^h}Bk8J5A7D0Gq zcPF99wA2jo@+U?gGsMf!bHHHDNGzt{UkI;c{nqaG`$1<|1*Se&w+1z^9gz(%`sa2`)&& zjGHFG9+4!(6-n^(CB(QHcFYS&RNUOFUZMIvrK)g1ISp6|WH--JE&+;w_1)v+f(Cq= z$Gj!oA$-6s+**TPHMvW3De$@VYQqX;ZTI+g-hfXb_bn+3#B31ffcPkg&w_Xzi1{EM zb8Ji@>)05#s0KB|G}Y!kGNv#x75|5Quku)g{SJdDW4R36jE|0#b?b>J; zw=bd=@w0u0@`~GYhO zkz+r5K~UmLV1fjEIi?&Yba?<@3v8kn*c zrUZg&*ydLVe>hJ?_~wXC-^r|E_?f5SCp-rIKR|yQ=syP*!kiLNiv%^l$*g`*dkt#; zfZD&HrUR9Epd#2DQ4MEF0r5c)-vjaQAU1(`0f-|&470C-_*tFYkJ>v(ETCqUA{wO` zRB0(nAzetFQi|-A&Y-53qJ`2m)SLkbRKUy0y0I>OzjIO}-p*PlPHCjrIUmF*1tOS< z74sDy!R#$!x&jl-l8WOM#9)p?Oi-X&nIYl`1)-IFRP3$5wXzn7lNIDv&aYye0$Ix3 zEechPDPybE7fiC4-5Rnx=1I*Rp7zJejs>=L(sROKS;?!Qu0Otp&0P|%s zqs4)}1SWf#h}O$yvi=kcdy!1e0g-3#m?q{Nad}AI4ZW{!3ufOI!1U29Ei5oE0CtyQinfN}o@@q;$INpI zSC}^fx-w*Podch|1u*?+&M7pQmje6C@RzqP@LazcFm5-`EIeXf3+xy~eXPTJecS?= zUMod~*OW@2VGxVkdX!kV88CJ!3kx4BtAWj2M21nLY~o@vOg3db7j@HkSlPnG-8B88 z)N+xb#zy5%E|h2XLUt_rn6U%0W1&`hL|NC2SZFjT_w*qanzkvoyFKo(_S;fAuy;7K zZQ9S@40Y*FowyrUe`v!?sR+{;Be<5ef?pu+z(`IWQDA($$Cd3{K%Z~uFsqFo5qgqD zV$Hz6-w%vdHb0NL+qLNVxqs#^UG@AQew`*&1@AD;P}i!0 ztF!7+u_jL^l;geQ?6Q8Nf-hbkQ$M!n$H{ZiIy-UraN)FvRU$X)H+3MSdgRqsQAyt<|LH;2>t~d%80ITrLg@jb*|E#hO-QNAcQL##R6hmwo5Y*2`_%RkLSgs0vyU7KyH8`E=P}rQ z7VTSU-MjcU^1*{9^upF~{2k^7+sTB_>Yr3;&AUQ_;%53H{<4eXN_AJtx5s$=o-h2bl;1$<6n^h(`0KBX}7t#&m( zpfL8Wj$}df2?*LLu7vhkOw?@2y!HYn@@M-?#6Q5|sqlt^U;AVoxWfiFr*NE*wE_ z#|k~0XuHI-gxDs=bn!YNsU^A#!-B$R#T~@&`mdbDLa%)KIq`y#L0wI7Ww^fN&ABsk zXB^9ZG`){5~Nu zUKyk0Q}bh*4tyPZLXCSl?)aib-p3xRGx%X;kRKVUn)3Zn?(`0J0>ekNs)N=Ndvo{q zch6H_*szwu2Sd3~sD7TFBU;+QJWu;`_M$~kjAZkHvi{JCs}7f;V(9nYfimZwmh75P z(NXPR3^~QyUD%4Rt7*ldAJzYR@Z-mYi%!dCqpxSpMjyEUqv-eZ<0=+B@gC%V)P5sL zgUZiT!Njo_XN6AUul7m%_1>me_i{%!E&kX4mxAc{&m+|@GuKAB-|Tgdp3D4Kdwk)9 z%!#a&zrU?rZ`}6J4}U$FxbDM4PWPvuu5@!U<-hH%-SB`qobC14ZobYX%w0B;_P#7_ zLxS`A`@stV8()6DlzCv&#vQm%xBXdnKjdsk;1XAc-8F7MA{gVBsfbA4ZmFvBm3}pt zWIWqj$^6ywLU23tmZHTEgn=MbRrxw5fZ+FxTZ(*`)#eL=5D+YZSr=f|D-e!@SxZ3S z;TMH3GsBX%yRL%pG6??$;VU?0f`0pvGOk}{uK;FO!t7n3a10cF0EK;Dt{DJAKFrjD zU=IjRfuINk=M6B^$1k&TK|XW;hkFb~b=ANLL5lITqMA7~^A|-iV@qb`vhpd#9B4N( z{T(C_jL-N*(T`!9o@+x~3)}PiQF{!_m6tkMC#g?u*}dqK^lvqfTx;4h`jI~xC)$!L zIfb$7wItW_c11rr(Xhd`uri>J{~Gdw-$2ouS2>5G_T!g~XMblYtGavt5^2rxRWT

$XEXY?QQGLs`9{31BLwxEiqZyA5F`Ja ziRbFN18C`$xVGTi>f080&(M<0^@;@CBT1xu(#98JQl-M4vH#y2I$2io%5|INelJl= zj^4vptsTJ0e{S>%V*Js4%Dg>j5`W$wvU?uOl2=ly`x@t(PX|%7xBS+5JX|%FwFyshyf8ObqY~ItGx!B5*nP%L>V>YwJ;kGAzdQYsijKCQqcyPZY4*6* z^<=-popN}Yw^h^5vo?w*_Hv4w$-l~eHSQH{7+JY_ts~N@>P?I9auxI_hOI zv2R#Os%qccE_B5aE^;|NAOkmX4$At2>xh1dNnzgaPNF|mRkd(cxtQyY!ixRw;J3fH zrdMQMekiFgt|7msm#7b_idz<{QaUqp^_wp@d%R}t0{3#L?%xL?(QjX?A_hZ_IkFj?$FJd2u+9k`q1jYb z^kDRl(wY1zkDSsDB>d%%lmsSfYE`Qq#@%m1&t=a(ati89KB+b|CEwqPAW|nD`4>D< z|Mx8rUDz|nzb0J`>_V?FUQ@RB;p#WBimoIk! zedu@y(mk1pcp(wT&27PjwubmL3kbR_i$`-*9)7w+5jQS+k~Kj=tO+;jUb=GQf}%;b z+)8$HNH+Lin9V`ix=V`<=-&)ym0bdS7yVb8_B;k#Vj?(w6tpPj?`o;5xIHQQsFki5 z6U8d9#w%D+Q*-9DPtM0jN=fb64>8|JquWEHf3g-S@Q`Vt0l!aQ!=8sw2un#c;FRae zJTB@p6iHb*Hv}#EQ00{}cm^+2E-JdLo8zi!pM4(j+~{TX@1@u5-D6gsDI>i%Br30$ zd38;VET|9_dytPUh=ht*-3<#mi64W@bc8tZy)<9OUhRvQGcYYOld@u3ac|I?+fH;h z{ebA=AjJD4#d*Eh0s2gM5lLis&}qnFAICe?$sXW5hN$6sdHaIjO3DJa>Hfbj_n9|A zSpDQ=Klb6CM^9dFd|A^v8;c3S&{#elEZgfmj5I%rI9cQ^!8B1{zJC20$MR~*kc%); z#IDc9J3jyQ^v$RD|A)5sjA}CL!iEVU34~BW3cZCEI*1Oah@c4|9qEdQv0(>mbWlk$ zYKnqbQBgCop(27cDq=Dw%8ZVJIwPZw=81i5iIq{*@5=Lh>;3iqeO>EX=j?0md*6q| zyX2g`_lf`b?NVR8xoyeU)omT`yN)isx}f4y?CsD4Q${@ARN%AX$)>Sog&+vzJ&NkYsgRzRNJI*Sn*TV7tlycWRnbYW4-R7+RKmn^y=Mi zq}Q%{v_t_-n75YXB&#t@iuFlExtBCO&(zdp0c~~*L#?@l-l2CzrgE^&k1MG*~AI@)3~=ec7~jiycSS|6JOel&_$UI!=_et|3~RnvBtdQl=0#@`_{1 zrVtBwi(`r&S>5F2#7uZ(9mks+Q}BpzoL3Pu{tprL=`tV-7 zPL=nFd}nPV(B9cdwS z;nwg<72M~4jWS$Ms@$q}e#t(m@u{Krg-4OU=zc)R2Y5&o$3{yC=wHFI^2RHG4YZI{1K)#ElxSo@tWPn zV$)W&!$9O*%6I8-&6t|$7vJahN58f%)BWvtSWTJ2_#}F1T(N$1qSbskB_+!2+#Tsd zUaAZ5J1Ru1Zi8sRShL=Lm(>Az2(C^hm%EF+ibu>VO~lgWYGq56ja+s~sTTTda?Va3 zW47i7RUqdjQ@OT^n!|{e+{E*gW)9 zE=Q85nlTrR!rsXDPeT=c-tfE$Cn!(xy1e?C?KQ?uT%7LB(PwdSmQ?@zj64(B7JSuk zb!n$l6w?0p;R(C#87a#3yq0Agoj1PLrj5Bg#k<$3Jm|CJu>P{aBi5=sJc)1{S;xy_ zZSVQ5-h1TLASbUGvltg|{29FZz>(~=>Em~}Z9DZtY}lMRf`xbP=$hX9SG*PlESCz( zKRfID-}}q$o{wfSZq8?KyaAvsKwE(JCfb{5}<_6pi?wBcwEqdkmv7TQ^8U!Z+~))TEK+8t4H~D48J5k@xC&Z4B-zkE5+nsb>gMFoMZr1vJJn(O_ zSNc0qzyI$P<7JSN8A;A-aFiny1Dl=@YXYHo3dJ5ME<;goQEYfZG|z&Yc_1%Xh(=g) zV0j&u7hv@*2R?B!;}lqhuIta_vrP@%)ExQjbvJAuAGDf6ADUc@SaC*>X5IeMG1VKn zq<`c$4Ab(-w-^URpN$Kv6$!+9VZVv*@`hHQ<2t=|o-Q8FCsl84v3<>+F8WdTm!Trx z?p{ckV zZpq~NR<8u5R<9-}S$PWpePvJR6Jk#pjW|JP+f%d_xshBGvWL$qVhzh<+3%enYA6Ei z;2T_5A>ceiwu+N}im9>5`m=O~a$e$X<;29_lq(a@8y*TPwROT&ZHRfTSOla1TEHLp z8JGn82cQFuKt9k5!~z|HNVkwbMP|W>Cchu*WP*UEz#l}v3gVhLNu&bQ#M|EQmE}5K zLqjF5&@P+fsEK!~-{UH3;++wMH-(%M{fp_-^~zOIWk zok?&!C7q~oHI|t6^*huCt)NU)jMT&%ZTiB#+1%AlG>k{IYEHAwUH+Ef+DMLPU+6K( z1P-Mya=vH;$Ego99m|oKSkX-Vs1O^HXD`DIGpRqn+{#gIul3Kj_hNt0mv&xFKly@%ps$%%&2fBSxSmiexnMLoe5=10 z-yZ^E%1=u+zW?Dj6S+)Ry1uB^;h1b*^5PEGBj>var^NDD7x9dK@%prvR!j9c>vLaP z|Er(7-e2CSiI0+ttvOFKYrk^hxq)x%e&IxOIl*F;z=azeEHMhGT>t%8b@Si`?U&pT zkhuZV#5Z*j)n}U=t|2(0EY@`s<6ZL7Sl{MQb{$pV-@--k4JwM9Dzy%i?Nc5rB_EPL zRvs!PT%(7l4C$a2x*pb^5jeE?|0#Z_8F%4F)E z8pd{z%7eSCwkpioxkDdQV+ptFpIVi>UnjIMt`pQ@yNK0`5-Eo5LPyO>vsc{<<4~*H zAp>91PBFJXxBL3(`|b^KtCn3U$T{<$mHmnvzVY8KpMLWF=y&P&&m#wFGQX{CnDMRg z@%OLMzK;Y=hrdqz{_3ytnD5^v^xyt_)AtMCy{|o~E{RtV|9R*&H|(>uedqjxaiPMZ zwN^)EjfO?B)WM908c#u@uprB7o=j$#6N`AVf)p&5pI{zO4v+Qh40wV>$Ed1+Cz4YF zg0LjQ=9P}qQxSnAWS5@&2qYm7>M4t$Owdt#idu1@g_(Ah;zAo@Sl)pP?FBBh5vU}I z3oYPTS zAdTkR$7G$LT;wl_8TFnJphxb;TEd=(Db|y9i7`KPMZ`oU@nZ0L6fE_wR3%si%l4qO zBqNLd*X}15N~hG#P$e`){U)}=nuX33ml+4ej4!u;BK=(1rlwqFG$7Q>ztpe7+Frk- zvayQDM!^EvGhAeZN-PhR`do2ul`f(dUlLd!Hu_Tz8wH|*$NLmaPXw@3QK znvV2))Z)eMmDwcn7KHSLE*4D?#P>yhtQ%qW7oQjS_Jvf;y81qHyXdPqua0P(V;UWi zZQ4^sa+LpRSYKuDs90RK<({$R6`i*{3`)eP;^T3DaT*qV54!^^0PREeGbgl_MVGjBoXmXq{ z+vaz=$>FW^jNj2Fr?;|Ieiuq>0#b)d>lD%(q)D}B2>~mjt)@NxX>Q*-nn4M}CC#yjOn9=*DeOO9d(X@XQtb>Sw$R@qJMV^xHD)F#SF zJlpmq*x#aW))c9z>T%7)Hbe}ZRFTy=w`^`J>@^N5wmQF@HrHhjW*KHh>fhhfSt zwZ}b98|k$Cg?5fHwfbC({cGnBnw>^=HD2`YMZUKBBt54&Z}h3&t#-N>?PKL6U89j0 z>8ABUT4Ka5s|M)-%^np&m^Ij@K`~v+P{j(z4kqNvT(vh9L=>E87f=D*2b^$HU!3%_4!8tZ`j_LV zN%faqXwu6rwVZKvA{EOmaGXS|ige@L5&f(px}kFCe^mBv{%1vvs^M-y%j$Yn_=&L$ zY0UvKIqyji`72{mXh>^Lju}HEZ`{*ly+80##`U{@l;zGS`7-gI)g8rIr9|z%ka@E0 z*JT+YHS}i@QK->3RXOX`_upS^35fi+cINK47X|{(+sqg@{N3-rt^8Wkc`f_<*AG{V zmVWbmQL#CC&R-$9r-nT~^?mrf*~`B9c6J>6azQwGO!*pgT$%cPXG?cfL{@$nd*9@wO!Sak5<_Z7I zk-rK(rmw$smpQy(g<`_sFtO`0NS%M&oagS`~AjqQl5vtcEDtC6<-$87dq}yqjoYpg@nK}$1W(qFq%ZyU) z;37YgpS&cW*%>Vt`KS{5lQ&t7;E(Ca++=;8Kg0Ahmzd;oK$K)$*i+I>_$)i7yuhU- zu^(%#u#Unjv?B8PG3m`Dvf{YbIp2=P+N_PqC(&Gsw6-;lZlQ-n`Kn=Vk=IC*c@8nf z&6G>B?UkJgzFE$$bu#0qm@&7kH|qJ7aYD7E10PwH3iL-{AJ9D zNxMZ@phx*}H4=hu*O83l+VjdOY}pH~poUn=nTxEx?8kk?i(^gAmhYWvyDC8%WK(>`>U^Wwj9AKDRi>lxNb4M*v-Wk>0? z^oareV-smzE)Ali`iBNjGhWPg;l-=~FJ^=La|T=8)yGw?sj^)xPp#Z4WWU7R%m|c{ z3g1Qf!ef}u3kfe>qs2sHkV#TSUBQf&NQ|uN^iC=Y6XS>MqH5k?;z&ijCf^*K5PaYI zvi`2YwbyGPY+T&~UZ&|>73rJvn>vPSNPocz!b;gG!#s0Tf7%IR2>;9AbC2Kk6OT#j z{SH5~IVQX2hxqCF(l$TDPtTWqN}h{ipY%79P8Fp)B+2Sue(rkzWugN9yu9RETdKSv z*}s>IKL-#_WJTXtUJ2O{xt|XtjalTai*SAy{(iM<(jEs2|`;Uw}`^^ z^YhYLtx9B@4Kq8a2>W9fxSArmM|CP7KiIf49NCTBLw<2d%Sjpz*AjPYyX<@K=ehw*i_d?@L>+ujuyBI zOJ9;3q$3RZ9j=MNA4Qk-pA2>#zKO^->B2ybx!SYbdL+Neo#* zUZ>ADbtaIvOCK6YW_w}&0JXy9f@r^SiRoBBv6GWiSEAo-7-Du6P8e|5E?cSbGzJO_ znm6q9MlirU#Co3TCXbW8RPO60jFUARyc_LLu+OA;Hd0QYK;b!#Z&k=!$wv-xq4NQ8 zy~^rYAXR)#<@}68m9(jBp9RaspLnr76PT1Y3K!)Xu3eJzzU16|L@_#v?;HJ1KMUPz zv1qbfw?}zYNPEv{kbE=Rq4o;i=yDNnd9zYkWkr@v*Hrd8)9C9odFBYW;9bOv{K+w+ z5|IKFT)9a|Sj&zU#~OoTiU*S(>aJ9F3Q1YcN5wMZkeD%@SkawUDHjs6So6d)jFB-D zIvu7-pH%LzB21HQsXSFhdLmt-Dev{T%9x|6?PW(ezY(uOrVl1>^|4n)LBKv>D)1O! z0h@s$;16I3a1vMqd;lC`M~Kz|*}(5WE`OER%PJM8zr2}rN}6G?&v&nNcOr3L*#CN4 z^V}F|Dym&)c+u~njW-Vw9|7h7^B&H!d7!^-d+PFS+r>KI5&(HIA=&bU$wnJ2Ve%GV>e1BP7bDA&}X5P+cvKO zdfdL!ZdaJ8B(ccwMtDdw5=I+iH$LtEG-qJtwE64#Ypu%k>FcxCTK}c5T0eR% zVW_@zedb#Ho)WH4%fjy|-um1u{GOV!-hY2xfxa*=Ig3crFU(87YPCwAmB+hk&DYP) z%esmrweq}CSBXI=+>jArwNF1PFEzsYfJ{GQ2O?1vTy>5Y@wVcjfo!%{=MQXu_+Z(GmBB`@@89Ns+AT}Cd|_n%*XbPc2c2Es z#DQ%OA56UaEsp-Q`{kI+;OALmtCiON=(&LlZ01O|FODKYGj__A)Op(fuU(f^PnM1RH*nomrOyhe23Wt-L} z_zq^e)}j_TIomL# zm)aS%Of*g4EF4WE%#%+t9O(sixUt=^GrhEDm<#)a>?hKk zfJxeOjqS<_u<*6BAIAGdTo17zFyfh)o&8yeZ4k!?MhK%_*b5teBE3obMeG9~68MO7 zVZWFEL^=;2Ye4M*6#{DAPo%G$Nh#mcOHDO_5pK?Q_DMJsQ6FEqz7OT3=2S}i*)-Mz z(Imlex2VN+bU}z4e%9m*;@!O6oi6b%Nje(MmE}_s-F)4hf+5Nvj-8U&~8Hg1SaV#6+Dv0@05=(LH7Kr;GmO=D!zizJNqvP)GR4kia zd9vH_PpMbsk#4&`W#yIUyO9=@Sh=SgX+gD>$Ge@9)=ePSvd_`_6BoO;2Q79t@m~%y zTnry3x)B`j z2Os70D|f32wb65Jz94Nq`4(}c^s^zY#y){Nm$XLr%76@%fxy?+X?U1VHxEt-^QfC_ zbgwQSY;!@Hipc+n{_I4`3fFa7U*pp1QG*F9<*u5EM!xB^&~6}LyLhAV@j}0A)pto{ zx)*+jtH{S>@yUzL2>Q5hJyd_!4^{c*E7*Rh%J)`Y?6+G;d4x=o)m6kC)*MVFM{&7# zM59zFKsc<9gTfDC!$blV3J{*Hb5WrH;aSmG&M^@8@__^afh zy`Gy~eZ_J5G^O|%F${HHBYK^hBR@+rjFa=qXjVnK5al6+Rbd&Dt$cTrJi(zeEQfMb7N>ckil{=x(0JZb)BXfT zXHZz(X+FOP50G)v<0+Z>b|+jmYlo|-Rgs6pKN!7wiVKmN{Eu>H0%ApnBvWd9o*|As z$5`7_R&I4xYM)Y)Z@&;Xi%&Ye@|@bHGdhYiLO0bwtQq<&w9V=~Z%)tna+FG2q)9V| z^`wo-J9vL*tk4N@K@M{8c_~NT!=)WXF`>qo(35 zHN6Hu!(Mmq{Ok0!+4-VaV^mD>J%>xOA2q_7d9Sb5FMcw_E++q;Q?QJpnPT>9;`mq> z=r2}o7usaGHi+Ggu`vaSj?-izn%U;Srhr}63-mWDcU4(EQB2oZ_c})~*J(KBh|-8% z#7y4g_QFJ`EwbRsGeSbEG=oI&-f5hU2rll{RRc5|?W>EC?YPWXmksKAIQ6^rlckGhEaNTmx*^1?!wVq#o=#Tn1 zr+owv0K@^|z;GZ0hzFuS&M_^2dAD-q%e#hTU`^j?Z9~UAi3Au8Tm*It9+1<3k(l0V z>9egzPMx|BrHyxsC+epg;>|<*C(I_Sltydp%t8GHwWMz@6D1e)@dn=xRx|VN`~$z= zJ)PjE=y#mLx{DlB#7GWLaqO^v#5|W0)j@fLTEadZPXEmeM-WN0V;#**Oeh+#rAj4A zWr7V=cJY4+;%UkMO%Sga8<9EgUoY8Ubj`~cpk^o@B)gl3Cu9#0a$G|+?#A4_;sMfc zvI{9o%}xmg%{DCFS?$>TDTL{=R>SN@heDUXQl>T%3+Y2siW=>k1LjL+a-3SC-ijCS zlk!mB>Zy#DSI|to=1Ri6ir@d{RVHCx#X5@3*9NKF)QH2}DswT+&vz;J&k)^F4OWlc zL|CMoVF;@khIti28+|I~RlL+Wn<%&OpU=TauHK`zyB9c@yof&n^D0jNAOdZ9ji;J_ z&v7nmiTJMWreSff)jj`RgeCIThNxcKdy%_HpQKMU=T))l+(f6>Sav2FNhYO`o)}nR z?WEXmpqmE?3p=fS7)QmI`EjP7gv7+q`!>0<)0&&cIXx&(cU{U>{#=FfZN-K%v!gKQ z1bH3b)6`jIzg@aSYp?Pa=AWQM%N&&lpV?NhcWS~_al)d7grl-H!=he?!HhS!a+jF) z3w=8=HE~)`QJ#5bH%?li-1p3397;wHN4d9g?+J5w6MKr^nX`X392bQ6SI(>bg;6niJU~gsZEY0(y+Et8Ea3YAJ^u^QfQ0?+Ui)Rwa)Lf z=^a*UUF@}09ky%f3T`yT*&5~4RW=RZ+CbD*G ztb_Qdz{6(Z<-xXHa4;JVX2U_PCyb-^r?ig%0)RLm92gFS0P#RHoGpj5m2j4vC0Y9K zPw+JI(qLOh3-kcIuE6QN;CaC7re6YvkiZ6f;5`UO&%%+XF^o4D#z-6-0<6Tr-*C`? zH;izq>OuRp3;8W|H~qRf8RAwIvpYCL@=`_X_Rk>>)j#*!nm~NSo|@v5k9rP|Q<7?e zyQ9PEX!-Q!uxr*N-Cs&&3$EJVliB`nJWI4C@D6 z80~;jc?sxv%h-0o`h`W$w&=s4ziV-F4)hz#NOoGBWWsuh#mL8EWEuAti_rxb`C5!5 zFj|ogBPWXy9Y!N9o-V^^Knp8Q0DzKeU~kNaDBXYbrCgg#mUnq{HGK;H)a<*db82HL?w zhk`zBp-)+8Sf5^n&UiUGo6&hde?D{Gtj4*=PSKwS&l-bHxeA$oEg&RK*;o~C{&Y~?H_VeHuYhd^_ z9fr$!XMep@g3ebw?7mJ;qxj;1N7mIlCgGt+Tf37@lLPgD3|I#!fQFsywNh{AwQB)6 zP!Gs}b$|kB@OI9EJ`4IR=(C{DqCbD~w6JmRlpMzM)F%tkx#o;cmZjr@&TLDEj!wCy zW3j14C(2?o%F?me2pZemqv+4K|7WbF!$4;~I&IGAWLP>b=*+ZqEH>jT9gEGf#zO{A z-prNrmlDka@}~Gy!-NWhf})C_Fd9kX05v~r#~zS+YzL*Ud`jp zoH~znPkec>HX-u6sJ%>MLMgsl~dGyOjfA< zEov+&d<}?Z5c4fWB!~tOogm&?h-eU__fr2n2!bjt)P`}#k`Awj#V;V_79tEp69^-S z3=0toViSlPAbtZeEE~r^hj7jLptz`bFSU4RV_4~9{-Mg97E>`yq^^-o)>x7RN%* z$I&eKE`m+*YPhR~-CKD0E$ILM>t};F1|lQ-#nrm2-4Ro!lc%Ikn>YNpV`F34n`;B1 z!2!ofyZ$Z6N}X1g`0hZ{+N(KBpXA26Z~Noj)!l+YgFOG)Hc~%j3j43PmGWP^U!I%( zjk&S0OmY3c2aec%X4bSRTVBR3`F-uxs1;Azwl$Xhb^YFuxIsIV&v} zce|1;s--*q7Tmtt-MMJJ<*16BZj0fYm?^KfyKgJ;9%S+1N#8c|#*`@)&D{fy!2$19 z@SliA1ibS|{cm&Xf6dsm|4Og_*T~cVD+P~v^26rFrT+>SI{`_ z$0xZRU+1i2_>^S$P%eS+2nTTjL?ejR7Q$lD4nhQijF0Uf{2w`d6og_z7QR9mdYSlg zCj^fry_kOZKO$?~dH~x%89t*5$J$dz1cL&iT;s z+Vx<=hr0CTtrY%0lSc%O^?fm^@pEYdOqLbGWHL;AEG9p}B*bD81d|ysao|A+80&lZ zXJ}Gkwu=R`W(b!o0*?8E2M6{LDwxo0fM&l%U_+2YD1o>0!SJ>S-hPL-^ZpRBA$Cm{VZx4PucCh%ykaASPG{ zSbW$8^Vc~3zGY~m;fw-dnf%t}fAA+AJ`JrCOqb&$INSiC3);^Z2);ev7n9+Lh=DBU zjP;$Rgf<0QG6v#dAzDC80ieC!8N24b3pu=r>Lu@uA;5aTR9EFU}{1pD$N+X&f3=TeU~{_PQtqbrws zt=7mBXrj$EZdeYFu_=Z@tHr<*22n6r4ujP&SOT2E|j5<3V;wFFN-R zavW_q49lYrLBK_yD2~F-1^pyj)CTr_;e@Xt1Yg6~ zPzccwRw@doeNNju=;j#n?m-3qxh}YUPu<_j86r9+yJdshH-oz-JzLofV!nll1knJZ z6U18!5e;JW-r$~tAgIz?Hn@Ek?OUH-v>CVWBHX@5bfxzv%2)FN|&`=PYK;ZUW zgxhz~(Cod3e#7m%2)FM;V~h75${5;sC=9pnL(LXbF-&p$F2e2m(EL*naQiO8?Yrn8 z2iij*aQi+~$iT4^;0U+xBHX@rb^WzhU% zIUyfrM=WL-*ZgzP42Ri0xP2T2PfIK&X)rktlh+oL3oxO;BoUwAV}F=9!DJ~9CVODw z1CzIEn1onNEM7ukk_(d=eh}OtIAGX=@e#dkh1pY>4Q4~=gb)k|6&USX6@;x84MzL+ z7=#iCo%ntp4}pWD7H`2293afYpSm;?XTOVsw_7y+_(b;Mm|_t3@xk2~htJm&rgL!O z&TwdVLi-WgVfgf)TmBnt1aS?-SqowLZ?FTzC!BMkD+bU7!3WwT4DCh%oJGUgc{r=J zd;|dymc#Tt#(Kl@Rjvf#i-EXX{$K_KB1~!cg9nvjn9d+ZTL^qmgUUhJf(QVy)?$I7 z{^kZ^vW2i%RDiGn!2pqEu_yz<1W{liEEbmkN;D90Ag)?0#)I$zvA{xDEGB}m24N2( z0v5+C<8T3iXVakwSRAvA!}32W97LdXBz-Sm1d6q4l#ZW=EiT1I_FPt@}&ogHt;S z_HNNfHI8GSF;#jRs~zBeNioNq?<`|lrbnw)joPzmG#)xd$Kx!OkH zdhFlgJ-}q(Auv&JmUQ9LLi-hp=j;I=92~HJd^HCSrsJTaIN$+{R>CM`^4aW>d)bT6 zlFE2toGEx$U7x*|Giq_8+;%94M@$fzAm)NtY9TBZxgb_Fu86zh{5<}60e{)kiOGAH zd?Q&p>FBJoba?3SEuAcM^p?&jbb>6M40QHcI;rR!kiEb5ePQGMe|P)e82@|UylE^iBHMPTxjDe%RzdNdpYN9 zT_(Q-LBr%IY@!p+Taq`v)2WR)BH7zaP-nciDN$4yJbFD5G;DJl5lbIbPU@8Rq<9p2 z3GVg1g^f~n=&kZ{YpwrLhzx8*6o-)|cd=G{&W-xo`J!g0AVG~ntV=M<>=R49hiV*O zP-F6fo4pSLJSB)}!^Db{LS~@H+9iesOD^k}CUp~am-9`{M!_&)##O6a#Wtm=iL{G; zJY{q&Ww6Tv?QwyRFy|^|o!;8iS!%nT=PYsMNVN(C;_R~(^b8ieb$X@HDrA1-qp8l4 z+fcor>y%|SvBChTLjM$<)-5xg>a*IeSf^aoXERRzyK-|svoj!xctrnHxvtOp4yISm z88drwYDsq#M^h+f2h=FXl#%DNh%SV%EKwfrb37~a#!i2Xg|6o`Ur?^x|6`q#t^#{{ z5YOu-^^6~|Nn)m9u6KG*$Xk#1tjoz4Z0Ge%9I#HR52O$|ziLB_OJZUK-ZVt+8@h!t zfrET#>(){(q5`S#<+aV}ioTuNZlDEt1~dVEfEwrregbsBUf>b13AhEE0Nw*@fvlRi zrl5aBf2oq10_Gw*;s~N69Im>amXJC;w7-toq_zpP*7_)#~C^5f*6CCfW{w4Z=)rOyn> z2&J=x(@l=Zu13Ps8eQn-f4?xwG`XDc1kN$hZvNux!bet@c{$bPkE|~sYrdqBG7$V$ z{D7ZiTGNeSe}N%4-)kT!qwWHKjH!W(DmFJZ-+VE2;%-rdaq#-0X2)Z)xa7t8>_^Uz zlXLQY9=S{x-&0w42ZV_`jS=f}n;qUNGWU2=Q}Xjf27cBqPSs5 zEi@+PmEW=n(*3L4tG3$Y;wrwOVsitcu*j-F;;u{eYBh5cYb&OV=3~l;aE*B~g;W#N z!u_Z2xUR@ByJkpBU>jkx{-jc_b}VGwmMqXYpl&z4&^2DPfIlH`^gY@&#)stWeBb8i zxdd0~c-xYW3+3-38ZF+SB@(Myn!=iGu^g%Wuhu3N4;Baz(3 zZjj8uvlM0?&iq_3W!h-jNd9p6UoL;BF zu3uB^&9POXA z`6h7eFTIKl2NF%PCMU9-RJp#?maO1cN=s?0AVElJ*kaLCL3}B8M_>t}OR+mbk@3fN z)V!UgBeWL}Sp6yU)h?@Xp6$FwlZsm7E+a+18*Tdw2WUx*H0@KPTOX%h60M6iWSYbK zGX}6b-37@!op0sg1i~rVNbPB3v}tF*C$@L4^EL)z`Sjo~@&3o^{^o@D1soHd=M3)) znP2yYpVL0^ku`-k*CgpCu3#?JrZhTvx%{i0)JRz2azGrQvg$8m+NAOQtFxHo%Y3>? z!KJRi<{R@6Ha1}s>VR!9{KO@FWA4*Vtl2_XdAW={z?kk{J?Pq?q8krZPj_F>-$X3q zFI}JAYEt{A8MNo~7{=_6X z#E@9y*%CSzG3CQGBx6qX&uaTyE=wc|-3WuACR)wAM~Py6LKFniFyHK_9+hY_Q?W+7 z*+|bzOQg0Te(W&f$2`n7>f%JZHb$-pbqx()-5#nX5l_o2V1?4%3vP;oU zTo>?C^Nzk)IR=*gzc_ZfO`=rD+lkqruh178Hp9tGs3M{AnMB#n?-RvyUTStgbp)!# zKY3Sy9tYoB;G_taA-_1DsBb6E!Kv=TVlFIPCsFRC^@$u|G5II&XUs{IVGyGr&VtCE zM7fWF{Eahy0hRWXcfa2+j_=pD6VJoP8~B(63PXM`YbP!bc!_81@Sc&sIM#YiqL6Xo zT#QF!J3Iy!G@N)e#2$zd5F6Tw0T8<(;!{`)?PQ335ThVM%YdkZ82XE27PJKrZ$ZrN zL@CJs}zTiTp$wuPHYNH-+4{ey6`%DXMa0%h`xjw__`cD`i4T1nY=) zP%k;cb&i&5jw%hkBPvpjD2*IeH$)Xs8a_<4NEKI#Y%T{?cpvwyDBC!qy=)<6H|~Yg zv2SE~t<@=1zqjwTuMJ%$vFuDd3wzn6z9)?5Pi)VAPkbQ%W*Bac?L)Mlw~^6aK(jfg z^J^bZBmby#ZZDyczUX31=iMAXD(HqfH@g+ido&IIr}S|`#3gL2F0AHdS&hUCSWA;l zz3f+my2*M4y+Dfvp#JPE$^|U*JH;}ZQ6*%z0D06`2`d$6u#Z30S*-(s;`;F^Hlm!~U6C^z)khbfjT<^4W|tS{Q3MynR2P5+^9FqGFg-@^TH zsWGM{bcOX(MS#KD9H-9nBAwL5R-@8s6#GJ5rtYX=tl3kYHQ*TKy0R`D@kZkZ?An+s zMZ=8qsyFvj$|G}-&mQ_TrdbwY7>4b^qK}C@d5f#}bR(C2Xv%~}`+E`dCCji*8~s*1 zo|ja8xZ7zi!`-mBCQLn=X|+TyHbmuP*_0~z5i#&bxzyJTz2>VbL72SB%1NH78EqVE zYFE<|InyL*dN1U)+WWY^(=Y@{!a*CH5?Q}ecGW*3I&l8g#5L9`Ck6UeF+r_+M7+*_ zE4tKJYxp-ksV8uQQ>XKFh|!?;gMOTzWXcHi6%K)l4OPP<;_q;|WW@<+s-5Vt~v z>xIz1!5Lq}?N?9%8=Pt}kY%ZFMHTR|1O@M7Kw-$Ad2dBspe6?TKJ)#Rl7v5si1CCp z)>c*q`nth_j1&7qtb)k?wPf9YA~ra!l|CW{1pKRMNl%Iy22u8iI2ocEV$ueuENB@J z_d*;B5!wQXCm@d4;B*z*IEbwf@uyyeb^*l1e3z@P`!v@19udr`8cvNzDKg?Sb?%i1 zx%OLR^DEDAorxNPfX*wWk!4JoQ5>H@1*}Q2b*k zsEO*&x@EOn@lM0eXVfB(bs`eiOAteLvW3(|cNHBm3fdzV2b<=+%rczo+ooAuGqlgY z&1yJ*PJ3p#-D1VC$_6#{C96cT+~{FCuV%k=y)7admzgAL!T@)!4Mm@gY*!?d?9k?_ zd=fbSNOtQZ4J~Sx7vr?(p>eTEfykw>*ESbq=QMAOb4_d1sMh#NJW{{h5M48}e|)0V zH@Xu7lzyH$gZ23dWeUBauGN^oK0A?oOmR8I(QMNly36K_uE6iK(Bl!^A-Td#>kjb{ z52)zf&ez2S`UT25l%o%me$5hOYyFul?T;J4x?0}hdEqbJV0(^T#&rb`x?kK*7vyIY~p1X zk|&ts)nx<3e`Fh#T?zY!(SwfFjpr9v@8~Aa#V+CA9E!T&kZpbwBbe zs&{u&USpeZB8QmBZL@Nc-7!q62@>*d5gO!&HIt1qO=>kc(Z7w9D}SLWz}I&|O+Y<= zgbHUXe{Qk&knPYOSK+7YE&OziG%T$Nuj0%lMoaH%6-GzX1~p?aYl {%-@T#z|Oo z&%Of5$hY-hmD_|?o&G*H^Wj`(z-oXml z{dL~N6AGZgo48O4tOeviJs<<1UkH7t>u~ZjpW$TT$i=jtfW(YwHTt=)%tIK4~%vg3SD$b6J^=V}= zG|OV?vz<3;Qe)j`yA)`q#jd)daI}MLnFVjhEKKS`U=sDZk zhr7#Yr{qT}cl43FP&oUIZb{|2KF7t#WjoMkw^%lz@^qiWN9l;lqkZ-tWy=jenn(0S zf0PhoV?@4!O6!rAG??=S^Z>T#Tp<_PdC5d}171W%f(O6`e29$xATkoxxx%Og8@M(r zTvus*RkjKKa`8xhtZ6IP@f$lxGg1|p5Sp=b$F~zl-ILk*nAi5=1Y(H z9pF;t%ij8(PGCHuvyv5q_pKVY1_-aibH{!{ak3kf8CQC@nv6IJ1vOs_$Z;aqDy zZ$e)AJz9oznR0K7QwHLGW|(cc0Y0Pyvdf5^=2x#4+HGQGrwlcFbE8j5;`EMrDKBkU z`l#wHeGb>yKPCrtxE8X%B(uyTS`hNPKu5^S9E%#2yC_~&2H_$*qj8d(*XM7}O37>1hdsv^kZr+U909*rC=^+yUAvl9hp_X`q)Wk}mZvE!mx zYG-h&nYE&jzk#I*et>kU7@MIi9Am6T)D1^a7Vt) z@OO7uPt-B$iH25dZ_eT5%Me44Q5V*?T2Fv@8)D=!YNu-%>1@b8JY$^^U?u=-anJA1hLFqJF+<;LHC|13?vpSfm}G-XM6OH1G1 z4pcFf%sr^`Q~F`&Ad+pX|eoH<<=JBV#QfJqJ3YoK4`j14^^&=D600`5|-eW z$?}r#qdHz5OG*)p*?9$8HB;ESH zZtsd44uOonQ|9*)_d4$uZ89!ckIC7C{OI+mwS*h;`+hs05pO7>Q^;mkH@AztjW=<9 z*?VG{F5d5OKYI$2TwWS~{6BlR4p_}cDQlltRDP5sC&juwavhyah-EUFDY^L8p1VczRzPa;E5<82t0k~l@*C2yIe&@|8EsmEbHx7`nJxY~mEB zqQ~_F3dq}2uO=Utx>xzc;w5&Pa;lDY0?I$33>J{@KzR;I-&mqIl#_L|g;1siOfz&y z-Jf~IqV_V!aZBR*-U$I2fB5! zr1AuQvx!!87+A56onhaskIKwQ(I#~I2aDtNfu=1@wEOG=)QAZamSo|zxJLA8k6J^? zFE?D|Mw#-NuKfve`&t)0DQTV2`tLpJ~ zY9gE*h2;ZoR8KSxw$M2E0q8fNzjLEvl3NkX{=tyeL7*``wSFCTH1-aya|emW8n2D% za2NkKqunrt^gzENCd12Wk3Jr1Z#t^B`Nr6) zU06f9>Rf{9XNRjUx3#lth*#S>i@%H!Gx zx4D-FkCi;;IF$O|5O3yqmj>OC{J|lX1`HCP{K)rj&t;Oo@afgbOcDhXrt8%x zf1uaUYvL2an~*WsFUkfD`pe+^roccxpp@;GYlZ<0)v zwK^h99IkRvhlNRIAvZbd5H_{*P=_9pj8~-^U%k1p@|>Tn#cl((g|$@G$F{JmTI|Ql zzo0U!(_Xey-C$Kxdbp3xbKPja^Fp^N&JA^IRfKL#!d}H2HpfHKsJ4S9;1|7)SDc!5B8 zC-L5*o}xb>8li+LptW!>p#r;COT;Bfn83OvELc2K;L?H`Zyrb?MDD?9 z-Qc{;EXqkeEidh=%_8g=oqH8UpS^mLuaX?}i9PB6T@>o!Mc8EPIXzhsw|7Hw!5z*jJK0%~4h1TO^AGq^js*v7>`=`cPEbO?SkZCLkc5yMb?-QlYQOt7uKZ!uWA;*3 zN@<2;-L`GgEW@F0S{o*6avNC#LB~WFuvj$Vk=1u;vteSR{Xocki6_TN9g2w>j;}iM ztzlmlxzbp^zNQV>x5T%nsfV=kbM<8lHo5$t|0C($mR_`Sv>3lPT8u zlt9#7=HxpCvOlD_^jZb798w1L+6KC=OQH7K?8VL-VZF4y?B7#7dad`eBDFhItn`p^ zlJ~d=_}vk&Re5(KWAdu%|6}XT!;(zfH(+)|5!oSE#C^xIGBX7QB{R3Is9cDt#wn+? z)yk5^l*LNTDJv@?E8EqqtjwUGvEJUo#u}$-A=;d33Y%u4#_GF#f8RgfaeRNA-uH7a z&x6Oo#q&JZa-QfuZUSUI)B1pg?G2cs{{>|Wm}1Zc)dMiafOX0qFvXB{su3_n&S#|( zOfm4Y>I#@57YAf3iPB6ugG`MvW+c?+Y*6rOE zcujQ!Oc6+0j)ExyNy{rRMIdR}CG)HpHAOQqXC9cMIWlLqH|B41Ku&QY&fQG2<|G2S z&^W6k5kKARW(8-#f6Worf*xFyut`=*qJRQUKYs}Y6mVks^C+Nz)4^X)0R^0LemVsd zaF)q$aearlcGXEPawwPz<`_5Re^Rm69%G&M0C(x?3Dkxw0BFBlc^G!W@jQC8NGeaZz;mR~x%w zx)P@StI5rw8BGgUs2io7q1Z#}JJO?}=tG)L>4i}IA`rA#)VxAE3$PlH1y~9w0&D~b z0P_L4fO5dp<`uR-c?&@tdZBUh{2TIxfHhZ}kpBThTtVX^uA*_rpnbOqPn=Z?m3_cn zz9gkh>5(#5>5)2DxfR+opa6TJe!FzT|0%$>`?m%SvhPq!U4I}lzJlv5gnQ4;(asXujG2rL+qsDCi z3C4c1mrbH}FH1DzoRa`SW?Vc)h92>};1)jyE)=yA#3%s?aKZIM(Y8!LDWCvQ2}l9R z0C|9|?Wc{;t%b&)+W%|33pfM)efuxUFGJ+*T(ieX9Tv)U$RiO<#W$e*NsUBKgzEV1 z64#}=HAbHf;seGz<$Bqq?wDC}yez09be19=!itjPxNInyJ0d~v)p!_J4EtC3C!u11 zq&nj``jqAK@`k6!mW2rNJCH(}mtbWF!A#yQ$n3zHsq+M-9qwkz?}CC3bdUdPWrd8T zj#;h3fNDZ$5>jJ6%;^|(YgBLG9C7-IgOkwLEiXBnoIuB347#xq_5YKhMApx$bbmyD zEMIA#S6@_#AFF;RNE=4?1(K9E?c_c#Np&2QCWAL(ezRnAYU9Ci{vBsWJUGtx34Dj$ z`XaJGC2MwlaSNzqErUWMP|5lQ3XOc|l<)buJE5y$3y%X zf?BSjAt#mZ?VfF%ukfy3tZ#IB?7(qK~y<@ zfVl?zW*!5KkAf+~hyj*|06pvyN8c(47{&mTtbjHQWMH=i%UQpF*Ow$PCIIk=9%@ZjaF0I>!w85?=aaYWxL_6J(DN>(tfy!(z-j zjah$AjQXr@)t?gMK5L%oFN={lb(2&t#^N*s(snVrM%^WCtDq5Se;CUeeCx<#jN%4L z9d)O%q+v`Q2$@S77(&ndC`EVFN9bdfvF8!7CH^PxBjCnY2&Fl?{G3$yj4% zF8L;Pld&|HeUl9MYd3Ara7^M7TPw`S}5@(&oGH%-3zF{nEYh8?#M;T2pO|Ge35Di z#KC9W#8zq6+Lp!o6|mfl$ufWE>`2r%jm1_YK`qsuGevdKA28p^C(FikM?AuQG%qhJ zK92A(XE<`ezhYi*>yWtbRevifbXn-d8orD z$V+4a>gWjywrrd_?5tcOi%>_MRm96Ct0Pv+Cz`X!Kq)p1lw$lhNP;DV)8M2BLX94^ z!y}OK4Sn7GJ?FgByOmrlZ?aFT)a>Ar9_gCMN-lxer!t3IpJ?GC6?;)bX6g>FRb zGz;qIcmo0C{Q8;R$luIcIUsxSjGQjWDknap{w!EJJgzTbBXX^UP@g^G`d+t9FL%1r z>4*9L<(_nipL6ZR(C}MIncX`y`j(1nM}>w(DoN=CgLR-*V`F(qITfkez1$$Ckh_C!J zXufiM6*Zvw8n{<}%^p_5-3z=DlS+b%W7}xp9 z4c;GEVMas)l}JBgERu#*2V@Gr< z%eh|cm`)Xei*F8HuAItcHA6%!jO)=H{$BY5*RL6LqsABz&0(&}IRFyHTS=|dDYC*2nZkO&T!LHWblWr|RCsBSh9X9w3M%-6zFrc0LhJeI$ zkMX5poHJ!5vRHf47SZqihV>AnC()Hr>y*ocF^&^M?!B}P#*aYcCHR2Gi>k`RL`1EH zUnV4?lC>sVNG!&ik!p&KC3>^2nr_Q5PEj2u1h@{DU^)W+i)^8PZ(L?ij1P*I|FVC| z%x51{)}y{3Me2IgOK4w0%Yv2#?G9+Gs{g@6*Zu<{>wp@-rhhPBiGLDC824$;$z363 zQ@TPN*(KSw;&%efw@u;LrOv6CiK(Yh=TZRBt{K_m^0uZC`}WgR%*WKHD325Xv|FLw z3T-yD+0fpG_BOOJ(8fS}3ffbtPmi*9R!)c}&wPODH~&a3e1MEK7m{Z@KzEookRi}i zZcZi7PDCwJ|IFE2fwJoka}*Ugs`e$Pp#n+O?ciuCu#MVZIXl&Pnrr?BC7tUj4l}6o zxj1o@Oc}>ziX&vIi6Za%EHm~Gix)?w#*fuHIorgDvASwV@y2v&&79q0RHv?$Q!BDhFvPWm+>n2UYI5MetuZ8)1cuA68Mr0faK$0R*plF* z@)w5i691!$?S_PspvCfghTxKbN2nh~QP!*h+@B&Jt6%`@Bbs8JI)L9JLR&>~m_kv2 zb$T4`p@?Qpjl)h6jk8XR!(S4)S<|~P8$=P->@M6Fkr$8Og++@d^Tb_vl?cxht^`%B z5MJg=+*J{am$DKYAezX_ScyL%^5CU?zbQb(j^FP#)0g|7Bsf^Xsr~miTsY9bRX_v&xV9+>J#hqEjPWB?We z<^pcRS&QL}m*H%`0=`uz5Wd10Mp&-`yD5M%00zJZKm&LIM(6xq1y+y&YychL4WI%% z0Zf1|fO3>xF$TZ@_#DlDF8;eKL(ygkR0n4$h756P{~PjVL!>(BhGNi=s1691cN@4B zsrjg3;X-n8K9V4uS)Y@S)(BVCOIBj13fI)+?R~kC+klbBUNA z%$)jJMBFm1KW7iy^^R^nN5v+M)lxXy*o3jVT#kh{>}I=l>dHB_Y>(wy0%u3F z%ko;?v9xa_|#(L$`R`6HFf;{VA{OPe! z8_tx^1)9((rXoQW+ZM51z7EKiiz6W`ak75D1m~$)BlxbvI}YSjXLL~GKu&eVux|-a ze{Z*Mux1=bo`AaY%}#O`eBD;dqDo@!DM&z+8JdLKW5!wMlwu0a!Pc3jxQAw@wXhTj zA0}95l;SU$N!EF9FdNL#*4b}xU(CMN;z|?_G_v^}EF$$^qjWf#C#=NPXm10LHX%I^@}-| zSLlr%XD;W>7$Gjw6dOy+Q8y`17+rEsU{x(i22f# zSB~rnh*mDNQ!8eVAh+xOBi+=Enx#D^{iz%Gh*qV%3n_rTPOsyXUrl(spJTt11r8(h z5{r9#)&tCI%P0vb@Uu*o9_R*smi5xp-5zJvcBS0A=?sw`u5ewg`CfXi zg7lA?WupyKE5nNvkUlyXV#xnKUE5|GM{%!=HmG8RM8`ojp_kfW+(_~ACYKw3BSh5#%yaBqqeA9ZRrifk-$dP0WLN^I8XVM%W%$V#a&j% z*{a2E8{rXU8`sAO|@HmWeaA4pn3~YsgL|EYngn?gR;P&Xi=n5_j{09b33EdrY2afyB zA@)kKxuPiY^Z{JI$cLOdfQ=PRAx|5?cZksB^f*kpD8NxuLbPjwIlU#WRP`dx{t^;Z z!{l_75E|99I7dp{8#NO+=S$r3)T=oMOFZ&4B+i*3mpke#&WR!7BYGh}p`X#pddSE1 z`z(NT{@{Mv0_G(?v)^k0hgeWGdh|d4Ur(Gith`NAVw+#gy_#$S0Nw- zQeXjm|5z%Wd4Nxe^`tYt8z)GLac8SoyHG~1!<3M{4rpaj2Zk%v5Y3xFMKRm zC4C$}2&|HMn$G~MWUSzik7ebv{^GmG`VG+CjPt-MgP4l@V3h&e#8TPEuj zV3pc6f&j2eW(1!GR>?TV9|u+$Jxj#|tIPwdG~@MWz$z(zinCyqa1;0ktdf(2^ReKp zpvAez5^NQf;tDNH>-19WL(2qfYAJq-g=C%f26M?0ZB2iJ+hFmvLdC*oUA74UR!RTY z$N{UAfK>uxTn<=ea4+VnWj45Sf&2_DZE||nF(1og?eloED#4Ze&QvjsZUz!Kjh);a zNK#$5yEJn*!hJMbx+~uGknTHaO+0ClcAqJ7*sVD#OFqdyn>V`!^Ot#r=0_8A7*Wdb08g$< zDQl|Y zo68)Gk*Uz&rJZZWK88HUb6j6%mKpz8hq2)s$li2~(Z7N1&75kaG|)#v8x{M6%ggdx zAuT_nte_Q@tQ)Yg8gMP-A55q0)64Q&(U&zJY)yTLEM1*#O#`8YvePuD0oy{GV_Mtb zUO@iMBx*nxP$!xExU6n?d58cnExbG|;rcmeJi}K3$Ir4{GDs3ZCIrtpn~waZG1zKC zaZ9y!+xAf8QeC5MODOg&aH#JMMZeW`+7zL9U+sHaLmR?Zr?qL?FnhH(Y&+Xfdv!-` zo7!+i+E=!p+K@%MUE{g8ACJCxE>@HnCa9xd$=QZzb=WI~#E_(p@|4FL{M8YjKsfRp zIXezHESgczk3$ngE9%8v2#si3y|4>4RkWl&vkQ4$G_O9T3mqm}U!SoOaYU3}pSBY9 zgXnw5p&`^A%}LIsA>3H?Th4(YIP)A_cY_e)qm&>&B$=gPJL%H_Ne+d{n2LhQ4qwq(2QTK{-i%7K|G?m z38Fe&2ADd5PX}gzu}rlNSQld+A>DyCI;RH`m!9i8B*^*8$nR9! zW$q0LNOs5##jqi zKMV=Y{)^>14Z+Qf8sBCg01e;;U;+GUd?jQ68$btm1E@8=6_bfm+T(~*99isj(mCvP zwuihA#`nDYMlIk5@4v>Qyq(7N^Vy2KfR9&ss3li;D8K6Ym?Yv=mr8mKMdAfu0sLwx z&Uj)#yFVZn5C)h82m*`;L;!`f*=Hef5GI^kt>g5yLVsh2j%_>mjp6hM-`Xla@F8L}2q z*M!gT$y$^xB$w>X*kp{&WqY$k#@mKar-+UY5tcia^o5s=g$$9X1&nw`r)vvo4tAr* zFy>aZ5Z**x6f6AK7(bE6+fu}=ve;I)Zu%YU2nB^LAdNwttR6(nPR)}q0pIgJe-C4^ zKg#%c|0~edL>ZI!Ta{I*wb*Z)?z=9nPDD&h^_9T^Y9RFpU)JCmNcn-E*T4*d|rfc--_p}uGVevFV*pZg56Qy5)8=NWE}(6@f( zGwg4|r24{V_(?)!{R}$hywJaX9v!zAQy@>nd8`TES|f{zvdb2das3j6bKtvx)Y-U~M@mpZroFDo5s1S%QV- zgnUYs{HT3wTkuKwD|>hwghF=NvmM)=P;gMCx)08zfUn3^;7r=<^cVnV61togM?c38 zDEEqEuHn|D9F!Hxt%8-Afe6N2u|5W`<{Tg+MH3Vk7Qd~Z`okxGJZ9}3{ zF31)BIYxcGzqCy4&I=60vOTXLiEh6Wi5?V0T6LjETFqus+NMkHX|;b6uT}dHuW37_ zEukLQYAyKS>c4Tp+Oa3t(NESG7vzq~q}(;;4>OyCU&-@3-q1OlN?aP%!JNG%u801` z$Dzjwr}1Xgp-*4YV@_YyV^%=B0@}Zz{R>(*Xx*UIL94S6+l4pbU3Ct;tGYj;tblh_ zR!_tWcvnr5Pz*Hl-)|%F9Tr#ejN_PoOE`IE55DNgMe+^)>85WGJ)Hwevgg%zcCBYo znU!G%#i^7B$}bQ;C3mtaqhyL@DP+|$k+-9;&&RQ0h;FMTr`V*>=79FSuhb~xV^F?b)$ck2 zxe8Ul;I*&BZK-;-?NEuwQVq$rI&`xw3$PSW1n3=d^;Ivm?H?lfYJ6=SLxjERBHNK6 z_r01V+xa24BK1bw!6A<#4bpaoJ(#e(#W9|&hI-NxnTtBQM#+}3)nPR%i43ETN>j$m z=xQh@ooHs0vkP#;mhtuc0zAP|#cAjUSJQ5erW;&MzjJnWqZ@S>Ih(rikdeXpsREIw ztL1F2z}(UPY@0reX{BWg)(sOEkZ%jphEWTsF@iG*7cuYUuH2Zm(D#ZAZgN|st32GmX$yB%EH?1kqP22Q zLugx=R&h>Po-?fpaYi&XC%p-^O7ufcb`$b%(Htwk3GFUgYZd1sHj6}7VLocQXrVPT zANgO=OlwL$I!d(4nlXSlA)0PY>%rdF&eiW}bHA@k(5u?q613~|+uA%5bU3}P1lYaP z^t(%l7j(h;+7j1w+C};uC8TvarXF}IKWk^{_m#MR)=iMgOWbhU)zbPB51fu9RS&t; zXtSivL&O>oW2hc-P17!wHVu)|biUHMA;L9nk+fyV{hBUGsvL3))^3zG4tWIYkWww% zJI6CHqdzgDD4;|ZCCr)e(dmy zWUMz$wwDDOzWeuah;re^<@BD2EsE#%iR~#Zs0hms?HMDaN3`#akh0$sb4xMap6KL5 z@`F}q3n(WXXH>~o&F@kDZFhA_3b0;6`&sXrD{<)EqqrJ}o(JtbXn%+HcW5U=I~m%G z&|ZXgead@9_9#-{D=zteGWOP2+Nz-a9`F*t0_*_H27HA%zL@1$1(*)_5l{%&07!+q zC=YBD?xNnLKTun$S0a;Z0Gn1K(^D_Vzw<9N4%bK9UIKOigs^1^Y#G}M5mng6xPxth zZ5uuQK;43^-@}#_u;mjh{r}vb@4)@}54bbbIy^5oA5*IM`sY>l`^@Wv$i5allMV5mEJ`D z8N#XFP1I!79iVSaYe6iuoYJ?%6K(*DBeoo>7Y+-8h9|d0-javgBiq7nDHhuk+oGf8 zo_20qSTxj@`{}oL6Bp|u^jo@JUul=XJ()JZs#4(W%d9|3zuBCu?{Ko>DBmE0gDVMM zs(fK*miR9PmlLTZ=&kae-M1v*t?H~DSrX!_{Krli;`pkz*a<^{)N|hz=mPaRvFiox z0{w0=>4J`~uN4#4Y4i0v#O~{Kar&)dx6j&%g5+HK2bRT%$n_@DgMd(!N@N~1l5;(Y zjD<#CE|bW5Zgk1@t)nxHp}CYg=1)d;?wC5zZ;a1n)UoawF}XgO^zrm7ZfmGJdR$7R#&*zXnd?#KDkY`8Uwa85c{agiNv+;1@X9?AlBH#keun z#~Y*qf0YG0({7?3Yk#v%j>T8TyiocYcG-K}Yg!tg? z%BOZ8$7u;}BVjtD#br8U#B&!2(eDa(M#ar63kM{`&2+3UIcm!UlmZF>m4Fn043G!d zYRxx22mA!M3pfMVxBrOpa>@*BRqY|ylYp;qfe|k_aSWU^05A>^0hkO30Zasp&LzVI zIRG9Y6c7&x1jGR%0f_)EU@QPWpd%sf?&^kLhbGJ<&-8{c&U|vAH-vGvat=8OW@@^i zw47+B{0x~7r>4~U4=0neD{*$+Z2@W+D-P|&Xw0)Y9fKZ?YBc0Ncm~oQE1yAPamolH zujV2;Zxf)_3w5U&P~(MqM4X6eb^C|_-U>O2$ zx%GYj`ygq#^E#=1#v9am^V0fxm8kdXY{4T3JD_T1MIEQAV!56VkXA_aIe_+V7p?j~ z`ZlK*o%RhFSN%i%_bMChL7_p0m94(o5`CQ-c|$AFx2VxKbeVc(A93#b^c8aL#zwDv z>L>ofTyj1|#Gje#oliR`Se5HLK>kTEJ=dov=n=@&{FpOu0J}=KA!l|R=5OKboZ>pX zyBTB6$;50n2U;bW*y(1XHUB31zvdKcK_of~bd~Z-F(=H2`YwU`!0z^Sf_WW~)U=-e zFLY}GKetB;4!3&=UIJJRy|gvr1&D{jH0zY(WNQFm#scIe;VkRC1?UaJ)z;b15MP8@ zRw%PZUs;Dni+p+FXIRzM3{0wUBQKwhxO&ACdsXDmOQGWeuD-$^5a#mc($U{txq$ml zMBrsLVV;V@c>a-9yxb^=288@eA?8G2v2RXDkQRu}%Y5Z_+3662@KlB$M? z6SUFN87|RK<%*ssT+=@D1LAjKc6;Fm)MVkZcBt~dC@gLVjpg;iDu;%RNMfK(MX@e# zXexLpCOdYrU6Vk7f+dT0=G7sJH4W0sY%EFLZ(9iNTxN-fyKEKQWvk#WTLpL7 zj$Z4`@=lDABvb>w{K#aBJ zv(s!{5~#z`nZ|TrTEHW+LKYSe9ud}+5;V(l(bgy-eRWCoC}2jI7#*$!jCYD9GEn8{ z@%TzzVtfn|jD?jhU%^3H1*t~+B>1I}n|;X1en9my{>eLP+5>n9xRfI>ZRlVml7Ba* zcK9T^KJ`f?0l@4N-2rYYzeEp}Uylobz8+qD=fjKd{K+C*M>>T(vl4EyG5nRoL2CbA zRIFtqd73w(!}2R+?r2jhX|T z^YOSm^;6D4=a^RNW%+;|BM#Vz{lPNTameZ3N;}M7SMIrhd|6corW$w)a+bXNxVIo@ z3Dp-It$bsr_W6HBOtLJjpH_f8Z<$%2UVvU}*#|dSw`bs}MA;KUL%$+7nJ??xogQ?` zM95j9(P`)4COdiTeVKD%>H?JZVuK*;t?0#(Zoe@X?Scgfy4nW+XkXEyOBLQnF!rgf zlhlVBl>U1~>(mv#MiVn{LJg z^~~(xPo;P@QOo&1P*_crW%5q0Z)k{J^`47t<4~1aE~PDys=C1?v~e4iN4aC#f*Vz@ zxY#!TJmoGfqheYY`ivQoGmi)r2`)La>maQ$6n+69t&wd73EIu(c&nri(i$<={7gt| z41{7HT$Gs(#XQ&(<~ZxzO#F}V>oDskXs|_Ei*AAjo3}OhCU%cG(K_cQXt1HIGb1q% z&0K3?ByI}mv(4ynU#B^!KUabOK=}{9csP0}WINDKVA-5mN`(w`nI554mc=|_59mXW zmo_^QM4)@8fDBXKaqIze0&nhd{C7|rcYF|WO|x0rGl&UR|5s`lLYnbsB;NSf- z`NL#y%2wl6NMN3th)lQ4D9cPl|7=;|I9-9k!HY^ z^8hJ;7B%*odQjS`#@Fba(l#*yr>mAir0TQI43A-?b(;S@n_bZ4!vmXpf+kLXN$hc7 zOVpp~bD68n)SvGIwXjHiLm$#p-J;*yhkd1fpx@qyUaalW?+SG}s!q{2h7!ZoTzyL@ zkXFd_t)W=0?vB1K6z!_()ayg>?{)7vTib}sHQ_*!;o7NL%+a-x#%eq{m)aoba24M( zm(@!Bo9~+I7f5sG%la{akX>BaPwasTgLng04HX7MhA4ITeZ{Z+8+HS^0|{QhUG{3T zYz;#=U-ett-XY{$pdQ#hgk7qBWb^Cy8;QcnlP&zRnMBkMi?nPi5&6>MQZ}m&f^4}B zW#j{lyJ;x?*cJHOGfFEzDmcEJp*Ien) zNQkR-K@c$n(vfMVS&#$}xn1Ed3zEdlQk;SG&HWPhdyp`;xC3{bz61!aNs+YIro7=; z33q~ABnxPcIjcM`^JMTXf<){e=F_lzHY`5{%MB}ozKHVcg+jDO zxRP9K#w}CFbGE46WFRa-q<9D+UH)~+cB&&U5P4ga=g1F4Zxtwrd18dk+4qrF>XY6WT#>pcXBspxqJmUi3^`$4+C34-ZOlN5Ls66f4nUc zI;1g`J1+g7pvJET`d~~3L~GYNcD5n@(P(WmatUuJKbfL)v8Al>##ynqZiT%u*@IsK;PsoH! z$NYY5CbQ5a?02t&+$wgzR~>bO(WRe7q{n5PAh43N)qVz+w!}3;a(@o(hoES50pR!xF42E7d7+J+aRB`2R zclT4*Qm{p$GZ*D7J0GUjz|`Y(RPhMntxjb-8IM`2y9z_;0g9L{B2=+Agt_*a#)BFT zHw#YCqQ&&a`w%KYJs{wg{1-b&khJJ9z1^6N%qkEk8S9){fJ`^fEE5;#S}%9|!uKf~ zzDt+k3-nD9V{r$SOZY05PlWGS5sZILg#|NnZe=f4 zKK{}=AwJ-hJnyRnU3M?qQ?>#C5hLx|CYyT)(@cBKuk7#*B>SnVWoU8W1f^L<6LTl1 zT4iqH;IqnSGB2_JSyht^FAiF*9FVcZ0jpJAG7k_L!~bCx)fW-}NUhYCZgsCT-&eLH z|F-;K<&Tg&wd3>+@ot{F75b@?U-d`25xX{tNV{~?^qZXMF8U5ZL^-yLIa}aW?%u_y zQm%$Nk)jdz1odnE0VjSX^_%jRJ=rRHfGD&~w@!b6dT9C4n)(1a#ZqXU_5gj!vca03 zh}d9BwPq)xzF5BF@e`3~%M6}45gxo&@B)vMc~HP|)f~Zt0+s+_lc43eD4&-hL>~~X zYZeE36wvJ0;#2FSCDp zt~YMCnbJOI#O17dpK(?>y_EJdu#tGbAt$T$*jeqB;M2JqPLx)H`nH96Q?sBF0kQGy2Y zQQOae!+;W(nPqUgcwacRH-MS~z7*I3+p}QH~ z(Xea>EJ;pjBfaRT&cV)xgJZDv46MBkI0bkISOY`r3OiE2^X)9TCR-eveXgFRTG82#&Iar?T%(S=TfN0ShXwXK%2{0 zO$O(58?jS;lyj^NRHi&R7u&$!IhS*|&3(D%0_R*C{=FKTaW~V@xDvp7D2?7tr-VQcrYyW+nC!kWwu#M;s>?tA4V3wP#eiJ%W<_ zyLL`Ru{UaodA>stk4mB^LsCz+^hCVtJv z*0q#&*tB|h@}U1M`5t@ZV9+haLwn+2K(ss?DzT4rWB!30qNMUMBiRMWeVSvo73J=g z{$DZ0+RMO7w5+|j0FO5(1C>~eGi?Ol%a~|fGyj?V-PJy1a&-fmR0Gglw3Rg{+tl42 z8zH4(B+yrRz)pz|_EkN#6P$Arv6t1~+o~%_8yPXisl(Kf;3CBg`}p`M194%Ly3JOn z#@*5m*;>@dTe@bOQjLw)4%)zD_?294S~!gB=Gqmw(9bSZp;mJ zg#LK9>kUoJ9g!{%^w-1H&ki0C9SO~oXV~d&;DZe}EP7s&dsKT4QrtT;xD~RB{eD7`Ijl-X}K6N&?@s4z5P&biNT&ADR zpmqjp7Hq9<-4i&iaY5L>z00omo;|$bcJC?C)a_i&kEssBLE%*Iv z=lKV>AFNOI8+VE|e%k@}snd`A=x+F; z%|AH?j=T*!^UGIf;f8+?&-R}9_w9Fmj*k;n@!N9$sA}Oi^1gl%jJp=!$YgDn+J znP0zdO`VRpdgtMC)f3rRcEi^g+UjP4*Qey^k_^kMZ+}+b{q!wUayR2!()@E@u2%2a zGrTXj6vck(UFhvTy&=GJm%Xv1MBCDDrSC{fo$*XtbErGga~F3_T%6@O46Zfo4|@+2 z1r+z`Fd>2oE$pD{;8ejdQ31O&u&WU! ze}##r(Oo{U3nuLsV4@Qyp2Myi>2MuC_*A}dtyI{x2`05L`4dcr!(_gu=1`j#_CL!v zt_XUyYs2?q zaQC$9O$q(p$5~NETtBsxe!>{s?^(+H(a7v)mNGsneuNU5==&-k`^NSeD-jok>Fx7Y zqSgz)cWka8S4LcrU$O%^!-A!^CKN5$daYpjGS!#sQ&^S@&x#QE#wBr|*IYk?CTZsx z4uvdMUA?{|_I~B{0@c38N$NYr@A24l(ZsUM4;q7>?XpGpq(6jCGZJFQEr!~H_^?@N zlXH(R_MKS$SfZvLkl^zy{|qH)H*gNb6US<3{afif=eEmqrMayXmZ)!Tf$s*dTwdp( zK{&8n_(@%=^Ab$R4QPC5K*p!XZANsLpgVP04K&tP#b2WAGR2VJZ_8up1%cOun8Hl# zB=ZCDQQbk#;fe^ zxok_9wz56o&w%Bx#CS(*Kq0?8{erS+OCmBO{!Y}D+glRdGlGjhyIj(K&kyT&Yhqp! zjOh<~-(`pijo6EQE=3ybkFh>+)N$MwQHzwj6=BK7*Q^sE0Q3LQ%B`KAuqB>QL^*6MXn?#G zerLXFEtheVby$Jr21uGq4;s1U36jWLh-v1X(j$Gu?LqTU?F9?45kgN%*e%qlpm`G< z7vjBo{BMCa?F!R8?&ONezpaC3lTWt7a-<|E8uKn_UaF(Fn_UTxArDKCEueu`*-~0= z4B@hz`31Nn+IiE<>r8s3|9g3isL1hCH>xt~B<9$*6Ld+yd#Ha!NJ37cUT-@QJ;-qd zUl4CF@FZ@xh|(VPTc_fLfjt;}68XERyglHzJk=gU;9$^6>_t&ndwSEB3z?nc>n_xF zlin~Env!x!l{-ownm*dswHLRl{LJn)c^hJ5=2^WBB-==u8#a(^+Y&f=rej+-VWg#W zo+&qXlmt;;EF513I++_8cTI$HFK5)JV=nPKOO>Xu++#CanmXL;1p~Mv22wl(u*Zm| z)I+V+VI9s!EXT*sh{No(?BVE2ptS5Fe^&|d7I65M8VK?8;;=8(>y76PWBQ`sVqa=r z*ed%`1)RA`H&H}cLy3Dd^G80ZpIN|ti=HH!Ty~_yW4Y#E(?SEfFUl9OL$`&qbqMob zdkcuUIo)|Hk)MG4IiyWZPz*|NbpluUuh{b{_n|x%RC838@lPyGGRsk-=Ir@%f_qWUyeA=*UN%#xp zdh;>;&Sv~u#$SRJ9f&Tnn_yapOBYoqSki&%qD+GrDDeS=GX7t#m47Wu^r{Mhw&cCjLl?a}y0%m#wkiNj-t zfFL#-VqPA@K^1c=vFo6ocbsheP|!X3BU#i?z&%B;Y)ZwfQp6W?nxo}^JT11h9ath5 zteeb>9eP&9vXFSAWm&uvUMtRvgVj;Y}SO2I~$;weh$^+G1l|xpyY(vT}?a(;T)D7=fqq=CzK~d6?X|;8x-SqJJuVf2h_FswL*qMQwCO z(2@Mcz^RAo*WPMbyZ7Zza!TK~-zMGu^y|O#?iIaTU3mL$@9Se1|33NszSFmVbp!=I zXsWNTfBUJrdeY1?3V)rkAO&Ykyb>k>R%gmLRo|2s6V9QSluiVbwiF%dzW^H< z^nfHu>%&R1y3y^*dLM_EKVBN-A0lP=v!vtwCraJ@J)}|oF;br=S;loKNk&}CMq^qE z(iof~FfK~*H!@S^8)v0Zj1y9FjjK~)jii)vW7d^Id0{nG{;;}HKBYQOeyRG7d_(nE z`IqWWIlB5H5>I%JsC9XctR*lI=`J4;Td3ivEtGKN7Fswui~JVb5}1!1$!c?r7q#Ky zr?-*fQ@cGJ`29FrP4h(+_$Ly( zGuk|yyRC?_&5pjmOx3X!^m?_GTt?eZ;lw^E=TlR@TbDBxlP0AP#yz!^XU_LS9$>61mgm1ac?gN8~x` zePk#3K6+`uO2mSo9+&PtR!aS5E1?YkB#6KI%75hE)wkp=)w7Z3T?&!s2@er#T}~n2 zQ;YBqrVf$%(ua_J*%jyk;LDZRJ>4iP;NSc&f5=lIcaZ*?{?4YTaW>UYX> z6Rz~xPHc8;aNJ8{GkVTYHo82z?{Yu|<6BMsv+7LEHZma@TPtRJd2{C%l&PzSO@C%K zlONow)UB1nAru?YKebJ#>z{KxY|TSuzGb!pzZ*4|K+l zcD$goYP91A9e3yqvY|75wBwWL*FJN-@+JDpBiko=drUEbV5)(r7F!y*zq~=c7x5U}=FnEUg+n#S&QRzkhshQ@x90;*((G_!Rp+Q$Rkk z5kaLiqLy+4(SgAWkcr0G9ls;axXeMGA)G_|1};Dk_&-3lP3t4IrS~B#)b%t6&!44F z@}Hqqr6Mg|E%&ZQRfA4Y`u{S6a+ZC9J?&4n@&~wT9>AK+PxV zqvl8Jedhb>r_4{%qvs=SqAMx<^ed<2S=A!>4i_CVhPEA%K--SGK;Dil@{h##cveYD zYG1ZGucGwxM&=_Ob4UNIgFn_OU)vEwlqw0LN5IAmjj39W_@GUmgjo0UK5xXbYomWC zN%$V0(Q!?3B;t`K*$?q(GyM5XtwDdb?DIgpqx7PCvYoyT9MNUp@R``HB;7 z{~S!<8g%vW`72NFXT;6J1PbylOMeRlZi?6Nd_6^%r46*+dp?r@ZXD?rdw&`NHwXIm zK6A&;@`Q+J^Ue#Cc;-b&yinn29;iq(uQim#tFe#8uK_jXsh2e6OFI<9=c*nf=&BmS z>#7+eFsdBGKdKfZG^+B2XH?_Mp3y^J_(s*g2#%_L;T_fdBA}!Eg0_LfD7LLd1vniSQ)P z6OsJs5MAs5klNLN)LsXq_J4rXekUUvdnUskYn;)Kt>kqQUgC9{k4w%70cyZJPy?Vq z4Y&=|fLS1AH_RQ};cb`)4i~_y^a1NX{I8{^d0xM;zQ+HW4jldzr2iBQ$O8|%SJ#27 zEt#Ef;$4d1K?*#`1Bag$e?BT))crHZw)%;d4tmO)FC4;Swy%Rv3fjSYOR|IimQ)hY zt$j&+x1dS9UXn@tUQ*wAy!L(P^MZcoeIxmu|BaMU(z8L4a-JpOm+;_itaOGLV2Qu* zLmoMic_7zAdCEngK(0>{3_aw^_s;RI*wCrFd|g%FM3og#S|1>N#z3x5w1M=A*_`fk zwbAR-u|fC6*eLZW+MMePcvgkInsE*LD`N{Qkimz|$;iS6@#YBM;K>oW!Fxj3h3ABb z3-1fz5uO(!BS5N0@SKuNT-;Qs}S~QsS`tTOOj# zTj4HTvxrBrL5H`UoqC_S&8I68JU<3gc!*M~yfslzg)*Ynlz1M|Q+T?7U6f5B@YIAq zZ~K(u(+ENF!fn$-zTay9INJ4X?`+nl@0@~Ob7?lRdRO=B$Eu~rgT{64ksbSXQa$9{ zqo%;+UlOvAa{|MGW~SI=n@`J=?cespq@OevF1v?JO3ZDN-eO<@)*dUHn81L^XD9UQ z&R)7UZBuc`?WUtMf1Q$-!o&PC_FAekH(Q)f)*bK?eu?|Xu|?Ilc+^=?P4|}6$?Ix% zjdNJNL!XO}4xRkx@FmHq8($ncR1}KahfamcwFs9NjXKt=df&_+LWUX}Ny*{GPUI?Q z7iT)B*ByK#v{blZLpv0B^R#nHo%ox*k(tK!70QJ-1)VMHOue8FbI&+xDTfu`ayG1! zye09nFx06OW$aq>f!zboj1fQA`PtXHakD%S2s1BRqJ@YBpwz{I6OL3QoPsMw@%GV>~TtmrS>(<)BI*+b_-HCtq+^2jF;DkC>f3|$K~HG-9A zxjGE0+%GO3x)^%cXpdZhn8T*(_Dw72xH_RX;!9bHcGIe{HxbUFb#ia^L}HC?IEUJc zE1XU0jJ$Y{+_>X#{ZP)$YtCpji(4`;3qoz)s2nM-b5{9B>zt$h|C>pE5xt`R&+$uw z$MO|VKvYtStA-Gvsx7j<`8xKpht`Xyh7v>f8ts$2QQ+vP(qBv%k_?0js}p@A5?R#dSpPm@+mdkfedNCyy=j()0`C=qClfBRyFPH21+R= zEyUBbZNWe9@G^}-oiDimybW4c4_LjC`U?13_l>}!XP8@WF_#0H3R6?f0?G=u|0s1e zDn0p{v;2VCbX8_DsIDu`a)0mk@gz%uUW!agdWvv*TsyGP<~N_e#iRyI<_DTR!?53S zRlBSt6H;-#X`kL=oC3!3u0Hty_xYRI}ir4*kdF4{hN{iTfT-^C;{;Jlt1nxjgpcoFN7`Zb@>w2 zc@^Q%=Z0&3~;hWYt8??XIN-nbs)ONfjC^S>OGOW^1H);Q+U2dc_+qS%Ra1hnI(hAsB4dF z2EeFB+SuWzA>i|z=7GyAyAJ9B;UR=emKtWf6tr4Tbv7oEqkYSN^fApIjl!+NzKIim zfShF6(w~`tt#U6}vmn4If)RhBzr1}IYndgE$3V5$QC;xhFjL`@Uqj$?K~z5fe;SIw zQ;ek6QRmJFz!bEi*>lKFs!=tTq>2c?;Zgn^M9?AZvNZvWD+v8P3J#Yg7*#KKREn2O zHTqvRX9bc+Z-O)YMN(@$2lP0sPpTK3E7hr<7U0xsPaO4Nm%jEWXVWl6A#D2aHCQ7a zS#D_;mJ3eUl&a2}!tR8{c7ekIdJi*p2@v1pFJaEICy(ZV;uQh$Rp4{}J}IYZU|ey` z>2K*zX0cWc7_?BS-69?TtV)f4@-l9 z;v)%0w|Ae*mYPrPI;S!in7xHIkMf{Ie+~Pv*Bn*?p6iYXj{<%FTBM{r9DM#)^S~15 zRJ9o~(B{wB;n9iMa`BSKHU9c=Rxh&L7eKS4_Ph3*d&>n7$K%5~@%aDnPlEVQ5RBFn zXDGSD0W6A-;_@tcbPIG(z&@?kXW(-gRKDnclN$t{K)Q2hnBkd)3N+@AXbZN|Yf!7z z9E`X|BjTtO2%=HkvOUWijBmoqdRsZsN*f*f|IIe!b#|naH1=`+h_^4 ztV|vw^+vc%ZgVN`JBAc&_^U2V=-SYU!MmelAH>kBV&`Z~+Cm0PA`Ly&e!Og32$$ z_@C>Sa#=oF8c)8QknaOzurj10a_%f>Mi^H8Pi*H^J!(PoKs0UTbidTd($Uh(-E@a{u@+I~Ch`NRdh*BMue-cEA zkSe_kWRqROON@V7zZ7ZlC;~%fJ|2(;R)7mxOULj5XvL#GDQ{3RNN7iEpGVH6ZWfp* zE^h|}R{%kv&XO#>%K`<7qw>u_N0JZe7*?URBan0an+5HP%h>_Jo4bsF#^)fTE-Jqh z2rCz|x{l#}w00;m7?wIn!*dVm8G3iY=cJbRx{Stv<~BAD_=8wkGNpG&&fkbpTDXiUsu9m6M}F}ZzG z37~3_(16yCLe9-^78Jskp#i~-phQ#I(z`RD#1K^eRUo2w2|k@NM|IXtrViHQxkvQY zUhgsjkj6kpZ&dy-5TmI~Dbl7>#!P1|GIekP&y~}oo(GMo6m`)F1&w*!JkSTuI!LIU z`D&!I=9@ZLisv5Fqeg&?{(D_?fDENaeb78G2QmVLr!Ji(20Ck3QwLdiu7DoZVV4mQ z0Ms)*YJ2m5*DfPKpv;_-FMW%srdEZlJX0^-ooWkIei#U?16q2e6SO|54+xwNuUz#W z;@I22sXYVgr4nFFIHB@oKx31nORp4xjQBn&ZBEA0vI2Sy=78+2GtSbAfpc|pSdd$@CuM2b_qY0I$8k6#<90-YR_|Z z7EmPuf-j@;O?D;jFTK(WO8nX)HJ9qOSo$WU3~2@=4cl3+_jiHG@z_t|+26-0tH)Rp=8N_~5M=jg zifuNdN#_r=^`c=Pkk)fGTp;PijqvuxPCY{>#EoPEIcCReGmpJ+)gK~>9&y6VSmvsy zk;lx3sE`FI1z_=ZU~V#4jxGI`J3Ds>dS6L2f7EY}4`B zvw)B$f2U)tzZEwgUc^jPU((zXa&!lbUk$pC{n4}l%=z!h!ymUvK(+t%$K=$Non*(( zvnFR2oKgo3@faQL^%yYQ4hokT9q>9UvHuEq6ra4i}7HP+Uc7 zwir>s^ndgm(RH^Gn9mu0dLA74)T6v(ccf@ZWW&rO1+Dc~t9dm#Jf|e`AXtx1+J(Wv z0#UAZX5j>w$!}wa6L;IhlSEfdc2YILsCV(`X$*PXx4dk(tvpK{9RVfQ?=4vZ);J>k zkw>}S{|Hx4bTSRjgy&k#yVBv6C09+rB6&1tI1$L{+DE;Ku4bSLfbbNoN=tqn4uEklMD8t-ivSthJ<4~xM6#^b(cSJ5=q{@PP~s)N4P#yJsp!x!K^)jF zc6*e&150U`ykBd*97NBx3$p^ted{^ms3aRf_b7MUEU1JnBM=KWfN&d*k=0rUQoL{Z zE1=`s?G$~1P7ySxGH3W2WricK)#_Fq|0IO{a##!~apch?g3&BE-&1;L!gqVc6=3A9 zBLaz|!feEtM|t38!4uf>ImE&fP{kJj^cyhb(7;F_f=i}!fo>7h$p%^(My!OKR;zn; zd~b;5&~U+SUzY~7hhTR(rGF;80A$<-7! zif2Fd&qIHGbC%0-mK=~<=*hO`ta4yoQqM`^F{S9}2y<3%;&K>0xr7e403qm$(&2RU z`f=7sAN4gewh++JiSAx_eOD`qybi34B5uV+@;WemA>A5^%Y5wbcRwQIPCf90Hf%PT z94W#88;Ch7Z8$wGtrj$-QP-Sxg0>RhPt_PNGI<>0_A(?;u@QfT{4ysb5a@%IqAz_! zmT@`;b3@!79E^^lSCrCeK%!bhdW+I&??50Eebh!qteY4;xiyWvq!=xzv>XSTQgIpx zThNqxC>~RSPU*Wy?p&l-{7nsCpf>J$s{j}YdduYgMRGJ|=5}sK_gQO}4&Fq&2onh6 z4Bv;xbb~N`u_5f5nF@J4H)$K$dW`kuIID11hnqRe4b%}1=x9Yphnuq$H>nGW`b$aMh3Bz0k)iUw-dan{p5>Q`W2T4d66k!*pP8P5%Q z)pRhLm+qHGr)dL*RxUIe{cHzn`BweoXwja?9>Dwm-=$BdiJ1@3BQKbbEQz+64DH(QAn zJ0>rv+vKlp&bqF+;S6SW8LVv)r=v92R(pvxjBnp7WGUN-H;)KIG3IF$X0ITn;*?Tb z1)A~|sUT!srTh{5HTCs}ST7H*mFKtK_fenz{1OVJbz;6G?yQi`>3tt}7~U?@KbiI0 z$HkeLssB5$vu&TwUytfvNo~O&_@DbZDfit?Z|3VxkiS>(w=$&zTdQYp+P-YkKfT4z z999Q@BE0dZL{ens+~zDS-BR**0^{es{L{K>D%ev^{WZM1Rfx8g~v0 zY^ETaKA_Xwa%}aNJIchettkGL7OV^Ai{L8)+e*>wbIe$M1;JEAXGbD=ES}K|lqsRu zBVx&JofuQG&8O(t6=cwd8WA(xBUQ+>-vQ%d^m6clHVQiSGt%NBqY?-up;%3^3S?(@ z@PUmJ>}jy+vAqrKjUdyW2aF%0Qus7kaIMs_D%~BWFL=M1rtaRK=28(J5?$h#>a#7`122c032f;eNol8@-G^u))us zo~5P@*&f}67X*Bun$7ArSahOcYC>QGwnu?P)WedHKDT@n$V5$C{*uYfQ5sjge+|M~ zsfM?vy`Jwj0g=Jx8n*cUI?>5B7TbJ)-X5l=y|Rr1Cu>6+#URrtWhe%U##Nwi>I!uO zZ&u4ZSB*38jm6-nI{$n?rvFoO4D{!=?E&Kk zbjpaq;sN&N?GPB1YvloC;shF5$5OI#pq8lu#vEftH zKYg~VVj#K2Ai|3M9gku>q+u#Ts-D}f12qf+cRSFQfliq;SafB>N2sqq)(C*Et5O&9 zbMEAth{1h2Unh`7%_Ba706&Xl%l;j^Etah}LFt9FUMRMKjdV@#-fY?N*i|{fz*_$@ zIO~&Q+ZB-Pl4HC2CU#XpFp%J%oCyZXcG zFRa@BfNYf~0olx=LmavXcm6?<_Rm-S4`z=)ov?N!ReSBkcmErolbmuN-%B*}Aso>2 z8St={dA_~MDK~6vvStSrx~?ZZ7JRg$v{Cq%r0JzvxL!d!m4o|i7F+&#C;E{6Y%mw* zX}!o$37r0Ln67;yyP=Dfs~4o*ARMuaMI+$M_!?VEpANN+a8;DQL5xp~5CDeD-w>hXwI9i1beS6~c|sQ%rvO;SN>D$! zXm0_6^&A81;8tf(x*Ivdz`919CA&ef8iRzBc@?fW#L@9m!pXY~4zf~Dcv}vqp&7Lo z=GX7)pQ~}fE;=|iSJ_w{vRy)ah>6ez&C-VYDMTL?AVgk_@T;w#Bw$9{!Xo@M+VoiA zB6deVFbW)Ak?ohu0Zj$2i*d+GUEx<*_N`JTPau@IdR_ZgBZ7Fdsgq;{KW;%S3JsfP z0Gbv;?G#Y4jmi^3xP?QHsv)s40Oz^^!L8ZUU6NB|&!1P}gEKV&fbzO7t|BY-gAHA;~4_OHX&_^2*s;C{Vl79Pf zEY+w~*z^lPJuAfSNpS3AM zkJc6-yo!$yt?if~SiS<>EUMAApM?;y^Z&?TImD9eQz`-VEZ2yGWQr`dqo1&nKW&Tq z4*F@OoY70|aFm?hhf7k4+7Fu^1Jox4+x-rSr6{*)LslFozQPkT0QD<_SjfOM+%`f& zar!o~8?&ekSU-JR1Xj?_&0<)Yx9NNSUSb>qG3i4$C0M4ycE9HtybiEBdt3#e9+(jW za;!8KGDxt`gP*}c%mDTFcNzPTGit)HTzec8QwM=X0xrQFCERcB@Rfw`#o;wLNEmz? zz=RLCqo0qxp`54)fw`ZB!C9^rIj8q39P@=0^-m*g7p2Lk)j5eU_(Kn*#b1${9zHGlzr&GnhFJfn@sz5NVF< zqA__z8msr5<5jCSP8bJVy6B~mD9B0@u`3I~2zdG|G0Fi$69u4f(dZ`v1QL~&OI%J| zi~(Fi%N420Znw;0aFJ}A2{(9RBj7ytGwkdB>2tP=;bin7jwuX23&2F9?Dn6JtyWI7 zKwOr@ltBVPn3oL*H<~+wBxwqylsuX{P7j2ca+jfwv``ji7cu!AEbHvcK$!fli@ivT z!@`yYOjQTVdiyePEvdRLULwP!uu61}NbS8h1Z`l|EsAI)s6wg@|~eLjmZW4;0LTwP+gfnp(0nq0Kz9>S0KMgCf=Hw1qW0KZ0} zHtY0WyMyx#O^4Ka`%Vz5rt4x7xk?IaMJMRzZJxq?1y`f~T}BnsLRUDo(0*2uTLoc< z0X~^EAUvTaIZAFS;nI{jnlKt2@Lt+^yC1m1@Mxr(AwTPYN zHG=$evo_8{dkJ9ed7PrzO9ZbxRW_D_a8(|4LM*|x*L|1Kk9?vmT$p8lU&@3Au?z-? z_l*cn)Fe;IO@2tB?F16OaTjnv;d8*F)9SVnF^cjy;#%UO2;cyDoQP$9`$!h!r@1k& zCzQkR1{`3_3r&`f_Sr+Q{>X4}aI3W+1DC#o>!L3?PzI~*}Oh+&8GS-n# zG=#SWaP`WZCiq4cpvmny_T0cU#x_Drv7&+)iCI(!G*wiHbQiQMWHA!WjV(Qoav0j6 zCQE3tOthH*q5NV*cx^b7FbQVZaE%Q(3oT;T`jPR);nhR?I8gl;*F`rnTNb;}M|hlH z;e>l{1gL*p&X_?yQ5ELCAf!2nGYFS~;lzW`PwiHnw?_A-&~qV|+5 z#+G>-kEiGoLkQ5`#sl4yjQ$}&$cc~GS1UVCC<3+b)7UU%DGA%%m}f{i=svKo2DMAM zE_#zKrGdgl2+Q+3jk5xc54+3wj7(7z)-AHvmTbQTNk!G`_Qp7${81(z6ud%JZZm>V z>?We%8zq2KD1F$T!D*;%1V+&>o7kSXr~tt7%N9w!(cX~7m^N>NcrGt7E`zWj&`qW2 zv7X3)4{@_X$+X3ak+VTn-+8siGQHk9)pgBU1%DFokRS4se>84|`V{uFZ3|c8^D!aF zD&&*6hy2SsgI^m=5byaOpNvE4MkIm&UXJXh{j5OJ*?l8&i>K( zK0c^rUvc}1**Sp|e~+P8n?5ghivP29n1H`7bRt2ZcYWpK^{j(wYDKHnWQFY>t1oV8 zwVKP}7{4>*D_8GUtNH&Ghqpj$i@g7wcB`uvHEDshc=nvV)2(pwAg#gaTFU5smFPRa z@>xB4^v*>Nowv8C(_p}7A`6n1ogL?$s3=wP*lp7{)j6pWLK;tdcFOp9EIcc2-1AZ; zUMPrq;BDcdE;r4-i&oCob!Ghdc$Jsm{v7bLsA>XNjtwvCCliJmPX89sJ|dtm zZTr*olV;~bn{P5MSoWE$nKK4rz}=Pi^>&Biyn_{Q3BId0*m|ugf_RhlLp~?WJy(U( zR);((bf?zn!Cknn9O4cCgD%%2gwwy}wB<6qyz1|GHK@~1Io8}MJrXt%*?V67wo}*E zSGWM8<%;khHRmla5ByW@ z1lLaGL>IRPdRtKjI%kQ6MU$4q?!H#7nL*Jk2^JA>)%sL{L?_qFDvA5uF7&lkXMDZB zgvNetTpGRmeLus}fPI_MZBivL&dW!WsQ)cRtFCVihI`ojpl|TlNxVrkb^R>E$TqaZ zGVD#NVB@wQ*NZPe$o~i(OcA@Ciai=oRSMM>==-etR{-*ewACpzSz2&dcuGd$;pz3~ zr}otp+&Xff8F{tY%lKO3U46uL-mn647#aRbLi={-oH}b8L%`5TBa)-D+*GGH5yfM@EVYcD(3v^_r1?yM>+&E@X=s4j?5-1>g3dfcZMowHTvAPG6L-)dF<-;f8#uSmTwwG>$;j_*DHfY0OW z@SPM+TNd)6@SWdApEBVTNrV>fCxYwMy3^VPCBrsXb-eEp$FHA%u-D_>@SO{swp!#v zd8^fo>!=5`5BIx1{}lKv@JoH$D_z=0aol9jhPG?3#)g7h*|S79-fh|ORpIS(!2t}oH};41Z&BCwO= zVTOV3HYq5$5uw{85Hil~|Ga(vD%qH)$!+db`W394zkho)kNe^D^C@KF*(s9b{zGm&>HC0> zEz%PIQK|Exn^33yYQmpIIo5`I(c7D+9c#h|qhxEt&(hne(}?E-?1p-!Kx**tF|u^#gX$FvrBq>tFn<22#Z`=f+1x?ixGaHQZ$@JqRsptEh zf|)&!GRyRnCxzX;;O$NRqwX;h+^(1qlunPjoav`TlDYePT8w15C+Y+lp%N8_d8bIS z6o`@`Bh;fzFz@(C-Fu=iWR`N28)i!gks>~rsHU8Y&J8EuiX$)Y4D?67x7zkq8_SNvskoxyTT_%4&-+0l74B1b#q)y+6T*+nb<7+oV z{FZEQg8vaEsS0prkthzh2q%#VUL8FJxkbQ~EEC8{>VZxQcL#2+N%_Y?0^;km>RW_%F&v?Ou98GerlomiVi zRvq@x48}-#YLe#DkX33P4d*dh2|>R@FfBG!xy+@}R9FV{2prfI@;#kUm>wH;0aMq3{RrqZGjCr*J|~Rt8VVkH?(+DdB^vQr239~8+OZX$?5ro z&d$W2tuhtYUVi=~Dd6^zNoM3YwPoL7v(RBT%(NIeMsQfS{E~l_DOB4(^O95jyR!|i z`i7Hg-rYX(gBjUMZGj$^2pzV^Oe>PV2o9^3|BI|L-LIXJDU}9OLWxlb&eT^H}0@2-o0>T(Z))v#FWAzZTW6$|X~Ra%JyEHdxcw+};k!ae{*K z+Es7Gy?w#4s7+%KZh-B!g+`(w3%}RSI+zRfv|eVY13lOV6iSnhrtcvHHFNfgQ5=Q0 zWH+0DE)IC3ktoN)yY%dsOFp{iz1#(z?}Bm zS&RsCW4LF?B7+GKjp0z5V06PCf?<4wPVL~|gy>go-VyJbi@!23mvsL*xV*@k%W?a? z>E>NlIQx_|tGIKR&i#3)TJ~M#&z}TMWeH0{kD0<~+o$f6&)!uQUZUJ~kD#;`Ayp3s zJT^sf3qJg-(MQQY8SRyN)eP7$2hHb~k312Gz92w&d2w;fVfzFQ4Q`pOj}m5@lTJ%k z@Zsv!qO@Q%Hn1D154F2|9^7A;K-}yn65)vrU@zZ~{n|GzU>lLFSdmFAPh3QU-E^i1 zJG=cx7Gu=hSk$w1iO~(3CJLoVM3?O$OvOh)Y8T!ReuLY1h{gsFOHb4;Vcz3l?Iwqj z4SELHbYR=HvplR7IqnlSu;jOZcA$nhH7A{wtk{oRP>o81B~Jjvg%G_|Ny#vw>zN?8gBKR~X`AGT+;aKXNIQYgR025^dd#-=l(l#PhaXN!on7D`m zFimHObZ55z{;(SLYxW?|b$frw_OsvC+`d>zZUyR6_^0$==4?Ij^NX+k5dB?m=+ifm z9@ES|_iyYzi50al|Iz$um)k)nq-VKr zuwQJ3JP-S-Hpz~w;)eBHsISsn7g;qZ{yK6ks1YL~M!|^tpa0gRN4DG-z(OOpZxAgv z!crpuw&?Fflu80|{2*%Op>mrhWaY$!6?}sVShMm1YlUk6klw}527cQ1!{y%B$KQ=H zZ5vm6UwguPlW(>#30oWk9P>g8^Wzpr7{~nF!Ytn69L6#Cw=nC?ho+v4oOF(+G?`CA+{9Mi0Y`ErXRjbnDUFduGljB(7%EzG4Yju?*lqlH<%#nHhr zC0dwMTO36kv#5pnc#Cr!$8>LD{@UX3;g~z2B+*~Y6A)5$80p3@raFWa6iRaW#Y~4G z0;vw9k)O;@5Ry_0Q)Y{E3da;~VV>RMMB$iuEliUwjvwx<`xFPBhUxB)Qk&ukreW^) zKPrpCKznIZD3g{LjA$>7iDLS9&u2gUG6pHIK#gR$l zKI)IMo8p+HacTV=zzYgn95)=(p@r$c#fil+2U?gGTbw`~Q>}%Wvc*ZoG3#2GLR%br z95b|q>9oZO!7%|(x&LG~Ku9mcNMk>lpCP2)Awq{wVb3Qu>NH$L zV0XtbZwD3r(_CTO!Fkc-n1p;tta(F4F^yOG%>8r7(@M22o zyw8XQIlP48__^ts1jI+VGR8!(SAHC2@+8JYwpV@zWrB+_f%M8xqD&fMOq6=%XHh0) zF($mdoA>*p&{I)%X diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/HierarchicalDesign/HDProject/regkeys b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/HierarchicalDesign/HDProject/regkeys index eb2d1a187..6b9df1847 100644 --- a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/HierarchicalDesign/HDProject/regkeys +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/HierarchicalDesign/HDProject/regkeys @@ -2,7 +2,7 @@ CommandLine-Map s CommandLine-Ngdbuild -ngdbuild -ise ../__xps/ise/system.ise -p xc5vfx70tff1136-1 -nt timestamp -bm system.bmm E:/my_projects/Wittenstein/release/svn/main/FreeRTOS/Demo/PCC440_Xilinx_Virtex5_GCC/implementation/system.ngc -uc system.ucf system.ngd +ngdbuild -ise ../__xps/ise/system.ise -p xc5vfx70tff1136-1 -nt timestamp -bm system.bmm C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/implementation/system.ngc -uc system.ucf system.ngd s CommandLine-Par diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/_ProjRepoInternal_/regkeys b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/_ProjRepoInternal_/regkeys index f1906afd8..70eaf0b72 100644 --- a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/_ProjRepoInternal_/regkeys +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/__REGISTRY__/_ProjRepoInternal_/regkeys @@ -2,7 +2,7 @@ ISE_VERSION_CREATED_WITH 11.1 s ISE_VERSION_LAST_SAVED_WITH -11.1 +11.2 s LastRepoDir E:\my_projects\Wittenstein\release\svn\main\FreeRTOS\Demo\PCC440_Xilinx_Virtex5_GCC\__xps\ise\ diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/version b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/version index eec4d2283..9ec2fe0a6 100644 --- a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/version +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/ise/system_xdb/tmp/ise/version @@ -7,4 +7,4 @@ OBJSTORE_VERSION ISE_VERSION_CREATED_WITH 11.1 ISE_VERSION_LAST_SAVED_WITH -11.1 +11.2 diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/system.gui b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/system.gui index ca0d0805d..b115db307 100644 --- a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/system.gui +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/system.gui @@ -11,7 +11,7 @@ - + diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/system.xml b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/system.xml index 43aff9d0b..bcd8c1da0 100644 --- a/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/system.xml +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/__xps/system.xml @@ -1,7 +1,7 @@ - + - + diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/psf2Edward.log b/Demo/PPC440_Xilinx_Virtex5_GCC/psf2Edward.log index fb1007f55..276d7d645 100644 --- a/Demo/PPC440_Xilinx_Virtex5_GCC/psf2Edward.log +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/psf2Edward.log @@ -1,28 +1,28 @@ WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - - C:\Temp\WA00101_002\WA00101_002\FreeRTOS\Demo\PPC440_Xilinx_Virtex5_GCC\syste - m.mhs line 251 - deprecated core for architecture 'virtex5fx'! + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line + 251 - deprecated core for architecture 'virtex5fx'! WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - - C:\Temp\WA00101_002\WA00101_002\FreeRTOS\Demo\PPC440_Xilinx_Virtex5_GCC\syste - m.mhs line 296 - deprecated core for architecture 'virtex5fx'! + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line + 296 - deprecated core for architecture 'virtex5fx'! WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - - C:\Temp\WA00101_002\WA00101_002\FreeRTOS\Demo\PPC440_Xilinx_Virtex5_GCC\syste - m.mhs line 251 - deprecated core for architecture 'virtex5fx'! + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line + 251 - deprecated core for architecture 'virtex5fx'! WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - - C:\Temp\WA00101_002\WA00101_002\FreeRTOS\Demo\PPC440_Xilinx_Virtex5_GCC\syste - m.mhs line 296 - deprecated core for architecture 'virtex5fx'! + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line + 296 - deprecated core for architecture 'virtex5fx'! Checking platform configuration ... IPNAME:plb_v46 INSTANCE:plb_v46_0 - -C:\Temp\WA00101_002\WA00101_002\FreeRTOS\Demo\PPC440_Xilinx_Virtex5_GCC\system.m -hs line 107 - 1 master(s) : 12 slave(s) +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line +107 - 1 master(s) : 12 slave(s) IPNAME:plb_v46 INSTANCE:ppc440_0_SPLB0 - -C:\Temp\WA00101_002\WA00101_002\FreeRTOS\Demo\PPC440_Xilinx_Virtex5_GCC\system.m -hs line 288 - 1 master(s) : 1 slave(s) +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line +288 - 1 master(s) : 1 slave(s) Checking port drivers... WARNING:EDK:2099 - PORT:Peripheral_Reset CONNECTOR:sys_periph_reset - - C:\Temp\WA00101_002\WA00101_002\FreeRTOS\Demo\PPC440_Xilinx_Virtex5_GCC\syste - m.mhs line 446 - floating connection! + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line + 446 - floating connection! Performing Clock DRCs... @@ -39,7 +39,3 @@ Running system level DRCs... Performing System level DRCs on properties... Running DRC Tcl procedures for OPTION SYSLEVEL_DRC_PROC... -WARNING:EDK:494 - - C:\Temp\WA00101_002\WA00101_002\FreeRTOS\Demo\PPC440_Xilinx_Virtex5_GCC\synth - esis\ not found. -WARNING:EDK:2530 - Timing and Resource utilization information not added diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/system.log b/Demo/PPC440_Xilinx_Virtex5_GCC/system.log index 6ce55ba3f..179be9a5f 100644 --- a/Demo/PPC440_Xilinx_Virtex5_GCC/system.log +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/system.log @@ -223,6 +223,4342 @@ rm -f __xps/ise/_xmsgs/libgen.xmsgs rm -f RTOSDemo/executable.elf +Done! + +Writing filter settings.... + +Done writing filter settings to: + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\__xps\system.filters + +Done writing Tab View settings to: + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\__xps\system.gui + +Xilinx Platform Studio (XPS) +Xilinx EDK 11.2 Build EDK_LS3.47 + +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. + +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\_xps_tempmhsfilename.mhs line 237 - deprecated core for architecture 'virtex5fx'! + +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\_xps_tempmhsfilename.mhs line 282 - deprecated core for architecture 'virtex5fx'! + +Generating Block Diagram to Buffer + +Generated Block Diagram SVG + +At Local date and time: Tue Jun 30 20:53:14 2009 + make -f system.make program started... + +********************************************* +Creating software libraries... +********************************************* +libgen -mhs system.mhs -p xc5vfx70tff1136-1 -msg __xps/ise/xmsgprops.lst system.mss +libgen +Xilinx EDK 11.2 Build EDK_LS3.47 +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. + +Command Line: libgen -mhs system.mhs -p xc5vfx70tff1136-1 -msg +__xps/ise/xmsgprops.lst system.mss + +Release 11.2 - psf2Edward EDK_LS3.47 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line + 251 - deprecated core for architecture 'virtex5fx'! +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line + 296 - deprecated core for architecture 'virtex5fx'! +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line + 251 - deprecated core for architecture 'virtex5fx'! +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line + 296 - deprecated core for architecture 'virtex5fx'! + +Checking platform configuration ... +IPNAME:plb_v46 INSTANCE:plb_v46_0 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line +107 - 1 master(s) : 12 slave(s) +IPNAME:plb_v46 INSTANCE:ppc440_0_SPLB0 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line +288 - 1 master(s) : 1 slave(s) + +Checking port drivers... +WARNING:EDK:2099 - PORT:Peripheral_Reset CONNECTOR:sys_periph_reset - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line + 446 - floating connection! + +Performing Clock DRCs... + +Performing Reset DRCs... + +Overriding system level properties... + +Running system level update procedures... + +Running UPDATE Tcl procedures for OPTION SYSLEVEL_UPDATE_PROC... + +Running system level DRCs... + +Performing System level DRCs on properties... + +Running DRC Tcl procedures for OPTION SYSLEVEL_DRC_PROC... +WARNING:EDK:494 - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\synthesis\ not + found. +WARNING:EDK:2530 - Timing and Resource utilization information not added +WARNING:EDK:411 - pcie - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mss line + 77 - deprecated driver! +WARNING:EDK:411 - emaclite - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mss line + 83 - deprecated driver! +INFO:EDK:1740 - List of peripherals connected to processor instance ppc440_0: + - DDR2_SDRAM + - DIP_Switches_8Bit + - Ethernet_MAC + - IIC_EEPROM + - LEDs_8Bit + - LEDs_Positions + - PCIe_Bridge + - Push_Buttons_5Bit + - RS232_Uart_1 + - SRAM + - SysACE_CompactFlash + - xps_bram_if_cntlr_1 + - xps_intc_0 + +-- Generating libraries for processor: ppc440_0 -- + + +Staging source files. +Running DRCs. +Running generate. +Running post_generate. +Running include - 'make -s include "COMPILER=powerpc-eabi-gcc" +"ARCHIVER=powerpc-eabi-ar" "COMPILER_FLAGS=-mcpu=440 -O2 -c" +"EXTRA_COMPILER_FLAGS=-g"'. + +Running libs - 'make -s libs "COMPILER=powerpc-eabi-gcc" +"ARCHIVER=powerpc-eabi-ar" "COMPILER_FLAGS=-mcpu=440 -O2 -c" +"EXTRA_COMPILER_FLAGS=-g"'. +Compiling common +powerpc-eabi-ar: creating ../../../lib/libxil.a + +Compiling lldma +Compiling standalone +Compiling gpio +Compiling emaclite +Compiling iic +Compiling pci +Compiling uartlite +Compiling sysace +Compiling intc +Compiling cpu_ppc440 +Running execs_generate. +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D GCC_PPC440 -mregnames +powerpc-eabi-size RTOSDemo/executable.elf + text data bss dec hex filename + 53754 372 86524 140650 2256a RTOSDemo/executable.elf + + +Done! + +Writing filter settings.... + +Done writing filter settings to: + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\__xps\system.filters + +Done writing Tab View settings to: + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\__xps\system.gui + +Xilinx Platform Studio (XPS) +Xilinx EDK 11.2 Build EDK_LS3.47 + +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. + +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\_xps_tempmhsfilename.mhs line 237 - deprecated core for architecture 'virtex5fx'! + +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\_xps_tempmhsfilename.mhs line 282 - deprecated core for architecture 'virtex5fx'! + +Generating Block Diagram to Buffer + +Generated Block Diagram SVG + +At Local date and time: Tue Jun 30 21:05:40 2009 + make -f system.make bits started... + +**************************************************** +Creating system netlist for hardware specification.. +**************************************************** +platgen -p xc5vfx70tff1136-1 -lang vhdl -msg __xps/ise/xmsgprops.lst system.mhs + +Release 11.2 - platgen Xilinx EDK 11.2 Build EDK_LS3.47 + (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. + + +Command Line: platgen -p xc5vfx70tff1136-1 -lang vhdl -msg +__xps/ise/xmsgprops.lst system.mhs + +Parse C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/system.mhs +... + +Read MPD definitions ... +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line + 251 - deprecated core for architecture 'virtex5fx'! +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line + 296 - deprecated core for architecture 'virtex5fx'! +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line + 251 - deprecated core for architecture 'virtex5fx'! +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line + 296 - deprecated core for architecture 'virtex5fx'! + +Overriding IP level properties ... + +Performing IP level DRCs on properties... + +Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC... +Address Map for Processor ppc440_0 + (0b0000000000-0b0011111111) ppc440_0 + (0000000000-0x0fffffff) DDR2_SDRAM ppc440_0_PPC440MC + (0x81000000-0x8100ffff) Ethernet_MAC plb_v46_0 + (0x81400000-0x8140ffff) Push_Buttons_5Bit plb_v46_0 + (0x81420000-0x8142ffff) LEDs_Positions plb_v46_0 + (0x81440000-0x8144ffff) LEDs_8Bit plb_v46_0 + (0x81460000-0x8146ffff) DIP_Switches_8Bit plb_v46_0 + (0x81600000-0x8160ffff) IIC_EEPROM plb_v46_0 + (0x81800000-0x8180ffff) xps_intc_0 plb_v46_0 + (0x83600000-0x8360ffff) SysACE_CompactFlash plb_v46_0 + (0x84000000-0x8400ffff) RS232_Uart_1 plb_v46_0 + (0x85c00000-0x85c0ffff) PCIe_Bridge plb_v46_0 + (0xc0000000-0xdfffffff) PCIe_Bridge plb_v46_0 + (0xe0000000-0xefffffff) PCIe_Bridge plb_v46_0 + (0xf8000000-0xf80fffff) SRAM plb_v46_0 + (0xffffe000-0xffffffff) xps_bram_if_cntlr_1 plb_v46_0 +INFO:EDK:1560 - IPNAME:ppc440_virtex5 INSTANCE:ppc440_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\ppc440_virtex5_v1_ + 01_a\data\ppc440_virtex5_v2_1_0.mpd line 175 - tool is overriding PARAMETER + C_SPLB0_P2P value to 0 + +Computing clock values... +INFO:EDK:1432 - Frequency for Top-Level Input Clock + 'fpga_0_PCIe_Diff_Clk_IBUF_DS_P_pin' is not specified. Clock DRCs will not be + performed for IPs connected to that clock port, unless they are connected + through the clock generator IP. + +INFO:EDK:1432 - Frequency for Top-Level Input Clock + 'fpga_0_PCIe_Diff_Clk_IBUF_DS_N_pin' is not specified. Clock DRCs will not be + performed for IPs connected to that clock port, unless they are connected + through the clock generator IP. + +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:plb_v46_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 70 - tool is overriding PARAMETER + C_PLBV46_NUM_MASTERS value to 1 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:plb_v46_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 71 - tool is overriding PARAMETER + C_PLBV46_NUM_SLAVES value to 12 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:plb_v46_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 72 - tool is overriding PARAMETER + C_PLBV46_MID_WIDTH value to 1 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:plb_v46_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 74 - tool is overriding PARAMETER C_PLBV46_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_bram_if_cntlr INSTANCE:xps_bram_if_cntlr_1 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_bram_if_cntlr_ + v1_00_b\data\xps_bram_if_cntlr_v2_1_0.mpd line 75 - tool is overriding + PARAMETER C_SPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:xps_bram_if_cntlr INSTANCE:xps_bram_if_cntlr_1 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_bram_if_cntlr_ + v1_00_b\data\xps_bram_if_cntlr_v2_1_0.mpd line 76 - tool is overriding + PARAMETER C_SPLB_NUM_MASTERS value to 1 +INFO:EDK:1560 - IPNAME:xps_bram_if_cntlr INSTANCE:xps_bram_if_cntlr_1 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_bram_if_cntlr_ + v1_00_b\data\xps_bram_if_cntlr_v2_1_0.mpd line 80 - tool is overriding + PARAMETER C_SPLB_SMALLEST_MASTER value to 128 +INFO:EDK:1560 - IPNAME:bram_block INSTANCE:xps_bram_if_cntlr_1_bram - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a + \data\bram_block_v2_1_0.mpd line 69 - tool is overriding PARAMETER C_MEMSIZE + value to 0x2000 +INFO:EDK:1560 - IPNAME:bram_block INSTANCE:xps_bram_if_cntlr_1_bram - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a + \data\bram_block_v2_1_0.mpd line 70 - tool is overriding PARAMETER + C_PORT_DWIDTH value to 64 +INFO:EDK:1560 - IPNAME:bram_block INSTANCE:xps_bram_if_cntlr_1_bram - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a + \data\bram_block_v2_1_0.mpd line 72 - tool is overriding PARAMETER C_NUM_WE + value to 8 +INFO:EDK:1560 - IPNAME:xps_uartlite INSTANCE:RS232_Uart_1 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_uartlite_v1_01 + _a\data\xps_uartlite_v2_1_0.mpd line 73 - tool is overriding PARAMETER + C_SPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:xps_gpio INSTANCE:LEDs_8Bit - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_gpio_v2_00_a\d + ata\xps_gpio_v2_1_0.mpd line 71 - tool is overriding PARAMETER C_SPLB_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_gpio INSTANCE:LEDs_Positions - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_gpio_v2_00_a\d + ata\xps_gpio_v2_1_0.mpd line 71 - tool is overriding PARAMETER C_SPLB_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_gpio INSTANCE:Push_Buttons_5Bit - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_gpio_v2_00_a\d + ata\xps_gpio_v2_1_0.mpd line 71 - tool is overriding PARAMETER C_SPLB_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_gpio INSTANCE:DIP_Switches_8Bit - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_gpio_v2_00_a\d + ata\xps_gpio_v2_1_0.mpd line 71 - tool is overriding PARAMETER C_SPLB_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_iic INSTANCE:IIC_EEPROM - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_iic_v2_01_a\da + ta\xps_iic_v2_1_0.mpd line 79 - tool is overriding PARAMETER C_SPLB_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_mch_emc INSTANCE:SRAM - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_mch_emc_v3_00_ + a\data\xps_mch_emc_v2_1_0.mpd line 82 - tool is overriding PARAMETER + C_SPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:xps_mch_emc INSTANCE:SRAM - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_mch_emc_v3_00_ + a\data\xps_mch_emc_v2_1_0.mpd line 84 - tool is overriding PARAMETER + C_SPLB_SMALLEST_MASTER value to 128 +INFO:EDK:1560 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plbv46_pcie_v3_00_ + b\data\plbv46_pcie_v2_1_0.mpd line 86 - tool is overriding PARAMETER + C_MPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plbv46_pcie_v3_00_ + b\data\plbv46_pcie_v2_1_0.mpd line 87 - tool is overriding PARAMETER + C_MPLB_SMALLEST_SLAVE value to 128 +INFO:EDK:1560 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plbv46_pcie_v3_00_ + b\data\plbv46_pcie_v2_1_0.mpd line 89 - tool is overriding PARAMETER + C_SPLB_MID_WIDTH value to 1 +INFO:EDK:1560 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plbv46_pcie_v3_00_ + b\data\plbv46_pcie_v2_1_0.mpd line 90 - tool is overriding PARAMETER + C_SPLB_NUM_MASTERS value to 1 +INFO:EDK:1560 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plbv46_pcie_v3_00_ + b\data\plbv46_pcie_v2_1_0.mpd line 91 - tool is overriding PARAMETER + C_SPLB_SMALLEST_MASTER value to 128 +INFO:EDK:1560 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plbv46_pcie_v3_00_ + b\data\plbv46_pcie_v2_1_0.mpd line 95 - tool is overriding PARAMETER + C_SPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:ppc440_0_SPLB0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 70 - tool is overriding PARAMETER + C_PLBV46_NUM_MASTERS value to 1 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:ppc440_0_SPLB0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 71 - tool is overriding PARAMETER + C_PLBV46_NUM_SLAVES value to 1 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:ppc440_0_SPLB0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 72 - tool is overriding PARAMETER + C_PLBV46_MID_WIDTH value to 1 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:ppc440_0_SPLB0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 74 - tool is overriding PARAMETER C_PLBV46_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_ethernetlite_v + 2_01_a\data\xps_ethernetlite_v2_1_0.mpd line 75 - tool is overriding + PARAMETER C_SPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:xps_sysace INSTANCE:SysACE_CompactFlash - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_sysace_v1_01_a + \data\xps_sysace_v2_1_0.mpd line 72 - tool is overriding PARAMETER + C_SPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:xps_sysace INSTANCE:SysACE_CompactFlash - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_sysace_v1_01_a + \data\xps_sysace_v2_1_0.mpd line 74 - tool is overriding PARAMETER + C_SPLB_MID_WIDTH value to 1 +INFO:EDK:1560 - IPNAME:xps_sysace INSTANCE:SysACE_CompactFlash - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_sysace_v1_01_a + \data\xps_sysace_v2_1_0.mpd line 75 - tool is overriding PARAMETER + C_SPLB_NUM_MASTERS value to 1 +INFO:EDK:1560 - IPNAME:xps_intc INSTANCE:xps_intc_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_intc_v2_00_a\d + ata\xps_intc_v2_1_0.mpd line 72 - tool is overriding PARAMETER C_SPLB_DWIDTH + value to 128 + +Checking platform address map ... + +Checking platform configuration ... +INFO:EDK:1563 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line + 296 - This design requires design constraints to guarantee performance. + Please refer to the xps_ethernetlite_v2_00_a data sheet for details. + The PLB clock frequency must be greater than or equal to 50 MHz for 100 Mbs + Ethernet operation and greater than or equal to 5.0 MHz for 10 Mbs Ethernet + operation. +IPNAME:plb_v46 INSTANCE:plb_v46_0 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line +107 - 1 master(s) : 12 slave(s) +IPNAME:plb_v46 INSTANCE:ppc440_0_SPLB0 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line +288 - 1 master(s) : 1 slave(s) + +Checking port drivers... +WARNING:EDK:2099 - PORT:Peripheral_Reset CONNECTOR:sys_periph_reset - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line + 446 - floating connection! + +Performing Clock DRCs... + +Performing Reset DRCs... + +Overriding system level properties... +INFO:EDK:1560 - IPNAME:ppc440_virtex5 INSTANCE:ppc440_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\ppc440_virtex5_v1_ + 01_a\data\ppc440_virtex5_v2_1_0.mpd line 124 - tcl is overriding PARAMETER + C_PPC440MC_ADDR_BASE value to 0x00000000 +INFO:EDK:1560 - IPNAME:ppc440_virtex5 INSTANCE:ppc440_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\ppc440_virtex5_v1_ + 01_a\data\ppc440_virtex5_v2_1_0.mpd line 125 - tcl is overriding PARAMETER + C_PPC440MC_ADDR_HIGH value to 0x0fffffff +INFO:EDK:1560 - IPNAME:jtagppc_cntlr INSTANCE:jtagppc_cntlr_inst - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\jtagppc_cntlr_v2_0 + 1_c\data\jtagppc_cntlr_v2_1_0.mpd line 70 - tcl is overriding PARAMETER + C_NUM_PPC_USED value to 1 +INFO:EDK:1560 - IPNAME:xps_intc INSTANCE:xps_intc_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_intc_v2_00_a\d + ata\xps_intc_v2_1_0.mpd line 79 - tcl is overriding PARAMETER C_KIND_OF_INTR + value to 0b00000000000000000000000000000001 +INFO:EDK:1560 - IPNAME:xps_intc INSTANCE:xps_intc_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_intc_v2_00_a\d + ata\xps_intc_v2_1_0.mpd line 80 - tcl is overriding PARAMETER C_KIND_OF_EDGE + value to 0b00000000000000000000000000000001 +INFO:EDK:1560 - IPNAME:xps_intc INSTANCE:xps_intc_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_intc_v2_00_a\d + ata\xps_intc_v2_1_0.mpd line 81 - tcl is overriding PARAMETER C_KIND_OF_LVL + value to 0b00000000000000000000000000000000 + +Running system level update procedures... + +Running UPDATE Tcl procedures for OPTION SYSLEVEL_UPDATE_PROC... + +Running system level DRCs... + +Performing System level DRCs on properties... + +Running DRC Tcl procedures for OPTION SYSLEVEL_DRC_PROC... + +Running UPDATE Tcl procedures for OPTION PLATGEN_SYSLEVEL_UPDATE_PROC... +INFO: The PCIe_Bridge core has constraints automatically generated by XPS in +implementation/pcie_bridge_wrapper/pcie_bridge_wrapper.ucf. +It can be overridden by constraints placed in the system.ucf file. + + + +INFO: The Ethernet_MAC core has constraints automatically generated by XPS in +implementation/ethernet_mac_wrapper/ethernet_mac_wrapper.ucf. +It can be overridden by constraints placed in the system.ucf file. + + + +INFO: The DDR2_SDRAM core has constraints automatically generated by XPS in +implementation/ddr2_sdram_wrapper/ddr2_sdram_wrapper.ucf. +It can be overridden by constraints placed in the system.ucf file. + + + + +Modify defaults ... + +Creating stub ... + +Processing licensed instances ... +Completion time: 0.00 seconds + +Creating hardware output directories ... + +Managing hardware (BBD-specified) netlist files ... +IPNAME:plbv46_pcie INSTANCE:pcie_bridge - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line +251 - Copying (BBD-specified) netlist files. +IPNAME:xps_ethernetlite INSTANCE:ethernet_mac - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line +296 - Copying (BBD-specified) netlist files. + +Managing cache ... + +Elaborating instances ... +IPNAME:bram_block INSTANCE:xps_bram_if_cntlr_1_bram - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line +129 - elaborating IP + +Writing HDL for elaborated instances ... + +Inserting wrapper level ... +Completion time: 1.00 seconds + +Constructing platform-level connectivity ... +Completion time: 1.00 seconds + +Writing (top-level) BMM ... + +Writing (top-level and wrappers) HDL ... + +Generating synthesis project file ... + +Running XST synthesis ... + +INFO:EDK:2502 - The following instances are synthesized with XST. The MPD option + IMP_NETLIST=TRUE indicates that a NGC file is to be produced using XST + synthesis. IMP_NETLIST=FALSE (default) instances are not synthesized. +INSTANCE:ppc440_0 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line 78 +- Running XST synthesis +INSTANCE:plb_v46_0 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line +107 - Running XST synthesis +INSTANCE:xps_bram_if_cntlr_1 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line +116 - Running XST synthesis +INSTANCE:xps_bram_if_cntlr_1_bram - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line +129 - Running XST synthesis +INSTANCE:rs232_uart_1 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line +136 - Running XST synthesis +INSTANCE:leds_8bit - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line +152 - Running XST synthesis +INSTANCE:leds_positions - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line +166 - Running XST synthesis +INSTANCE:push_buttons_5bit - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line +180 - Running XST synthesis +INSTANCE:dip_switches_8bit - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line +194 - Running XST synthesis +INSTANCE:iic_eeprom - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line +208 - Running XST synthesis +INSTANCE:sram - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line +221 - Running XST synthesis +INSTANCE:pcie_bridge - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line +251 - Running XST synthesis +INSTANCE:ppc440_0_splb0 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line +288 - Running XST synthesis +INSTANCE:ethernet_mac - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line +296 - Running XST synthesis +INSTANCE:ddr2_sdram - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line +315 - Running XST synthesis +INSTANCE:sysace_compactflash - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line +375 - Running XST synthesis +INSTANCE:clock_generator_0 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line +392 - Running XST synthesis +INSTANCE:jtagppc_cntlr_inst - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line +431 - Running XST synthesis +INSTANCE:proc_sys_reset_0 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line +437 - Running XST synthesis +INSTANCE:xps_intc_0 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line +449 - Running XST synthesis + +Running NGCBUILD ... +IPNAME:ppc440_0_wrapper INSTANCE:ppc440_0 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line 78 +- Running NGCBUILD +PMSPEC -- Overriding Xilinx file + with local file + + +Command Line: C:\devtools\Xilinx\11.1\ISE\bin\nt\unwrapped\ngcbuild.exe -p +xc5vfx70tff1136-1 -intstyle silent -uc ppc440_0_wrapper.ucf -sd .. +ppc440_0_wrapper.ngc ../ppc440_0_wrapper.ngc + +Reading NGO file +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/implementation/pp +c440_0_wrapper/ppc440_0_wrapper.ngc" ... + +Applying constraints in "ppc440_0_wrapper.ucf" to the design... + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +NGCBUILD Design Results Summary: + Number of errors: 0 + Number of warnings: 0 + +Writing NGC file "../ppc440_0_wrapper.ngc" ... +Total REAL time to NGCBUILD completion: 7 sec +Total CPU time to NGCBUILD completion: 6 sec + +Writing NGCBUILD log file "../ppc440_0_wrapper.blc"... + +NGCBUILD done. +IPNAME:rs232_uart_1_wrapper INSTANCE:rs232_uart_1 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line +136 - Running NGCBUILD +PMSPEC -- Overriding Xilinx file + with local file + + +Command Line: C:\devtools\Xilinx\11.1\ISE\bin\nt\unwrapped\ngcbuild.exe -p +xc5vfx70tff1136-1 -intstyle silent -sd .. rs232_uart_1_wrapper.ngc +../rs232_uart_1_wrapper.ngc + +Reading NGO file +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/implementation/rs +232_uart_1_wrapper/rs232_uart_1_wrapper.ngc" ... + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +NGCBUILD Design Results Summary: + Number of errors: 0 + Number of warnings: 0 + +Writing NGC file "../rs232_uart_1_wrapper.ngc" ... +Total REAL time to NGCBUILD completion: 8 sec +Total CPU time to NGCBUILD completion: 2 sec + +Writing NGCBUILD log file "../rs232_uart_1_wrapper.blc"... + +NGCBUILD done. +IPNAME:pcie_bridge_wrapper INSTANCE:pcie_bridge - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line +251 - Running NGCBUILD +PMSPEC -- Overriding Xilinx file + with local file + + +Command Line: C:\devtools\Xilinx\11.1\ISE\bin\nt\unwrapped\ngcbuild.exe -p +xc5vfx70tff1136-1 -intstyle silent -uc pcie_bridge_wrapper.ucf -sd .. +pcie_bridge_wrapper.ngc ../pcie_bridge_wrapper.ngc + +Reading NGO file +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/implementation/pc +ie_bridge_wrapper/pcie_bridge_wrapper.ngc" ... +Executing edif2ngd -noa +"C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\implementation\pc +ie_bridge_wrapper_fifo_generator_v4_3.edn" +"pcie_bridge_wrapper_fifo_generator_v4_3.ngo" +Release 11.2 - edif2ngd L.46 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +INFO:NgdBuild - Release 11.2 edif2ngd L.46 (nt) +INFO:NgdBuild - Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +PMSPEC -- Overriding Xilinx file +with local file +Writing module to "pcie_bridge_wrapper_fifo_generator_v4_3.ngo"... +Loading design module +"C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\implementation\pc +ie_bridge_wrapper\pcie_bridge_wrapper_fifo_generator_v4_3.ngo"... +Loading design module +"../pcie_bridge_wrapper_fifo_generator_v4_3_fifo_generator_v4_3_xst_1.ngc"... +Loading design module +"C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\implementation\pc +ie_bridge_wrapper/dpram_70_512.ngc"... +Loading design module +"C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\implementation\pc +ie_bridge_wrapper/fifo_71x512.ngc"... + +Applying constraints in "pcie_bridge_wrapper.ucf" to the design... + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +NGCBUILD Design Results Summary: + Number of errors: 0 + Number of warnings: 0 + +Writing NGC file "../pcie_bridge_wrapper.ngc" ... +Total REAL time to NGCBUILD completion: 13 sec +Total CPU time to NGCBUILD completion: 9 sec + +Writing NGCBUILD log file "../pcie_bridge_wrapper.blc"... + +NGCBUILD done. +IPNAME:ethernet_mac_wrapper INSTANCE:ethernet_mac - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line +296 - Running NGCBUILD +PMSPEC -- Overriding Xilinx file + with local file + + +Command Line: C:\devtools\Xilinx\11.1\ISE\bin\nt\unwrapped\ngcbuild.exe -p +xc5vfx70tff1136-1 -intstyle silent -uc ethernet_mac_wrapper.ucf -sd .. +ethernet_mac_wrapper.ngc ../ethernet_mac_wrapper.ngc + +Reading NGO file +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/implementation/et +hernet_mac_wrapper/ethernet_mac_wrapper.ngc" ... +Executing edif2ngd -noa "ethernetlite_v1_01_b_dmem_v2.edn" +"ethernetlite_v1_01_b_dmem_v2.ngo" +Release 11.2 - edif2ngd L.46 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +INFO:NgdBuild - Release 11.2 edif2ngd L.46 (nt) +INFO:NgdBuild - Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +PMSPEC -- Overriding Xilinx file +with local file +Writing module to "ethernetlite_v1_01_b_dmem_v2.ngo"... +Loading design module +"C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\implementation\et +hernet_mac_wrapper\ethernetlite_v1_01_b_dmem_v2.ngo"... + +Applying constraints in "ethernet_mac_wrapper.ucf" to the design... + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +NGCBUILD Design Results Summary: + Number of errors: 0 + Number of warnings: 0 + +Writing NGC file "../ethernet_mac_wrapper.ngc" ... +Total REAL time to NGCBUILD completion: 9 sec +Total CPU time to NGCBUILD completion: 6 sec + +Writing NGCBUILD log file "../ethernet_mac_wrapper.blc"... + +NGCBUILD done. +IPNAME:ddr2_sdram_wrapper INSTANCE:ddr2_sdram - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line +315 - Running NGCBUILD +PMSPEC -- Overriding Xilinx file + with local file + + +Command Line: C:\devtools\Xilinx\11.1\ISE\bin\nt\unwrapped\ngcbuild.exe -p +xc5vfx70tff1136-1 -intstyle silent -uc ddr2_sdram_wrapper.ucf -sd .. +ddr2_sdram_wrapper.ngc ../ddr2_sdram_wrapper.ngc + +Reading NGO file +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/implementation/dd +r2_sdram_wrapper/ddr2_sdram_wrapper.ngc" ... + +Applying constraints in "ddr2_sdram_wrapper.ucf" to the design... + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +NGCBUILD Design Results Summary: + Number of errors: 0 + Number of warnings: 0 + +Writing NGC file "../ddr2_sdram_wrapper.ngc" ... +Total REAL time to NGCBUILD completion: 7 sec +Total CPU time to NGCBUILD completion: 7 sec + +Writing NGCBUILD log file "../ddr2_sdram_wrapper.blc"... + +NGCBUILD done. +IPNAME:xps_intc_0_wrapper INSTANCE:xps_intc_0 - +C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line +449 - Running NGCBUILD +PMSPEC -- Overriding Xilinx file + with local file + + +Command Line: C:\devtools\Xilinx\11.1\ISE\bin\nt\unwrapped\ngcbuild.exe -p +xc5vfx70tff1136-1 -intstyle silent -sd .. xps_intc_0_wrapper.ngc +../xps_intc_0_wrapper.ngc + +Reading NGO file +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/implementation/xp +s_intc_0_wrapper/xps_intc_0_wrapper.ngc" ... + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +NGCBUILD Design Results Summary: + Number of errors: 0 + Number of warnings: 0 + +Writing NGC file "../xps_intc_0_wrapper.ngc" ... +Total REAL time to NGCBUILD completion: 1 sec +Total CPU time to NGCBUILD completion: 1 sec + +Writing NGCBUILD log file "../xps_intc_0_wrapper.blc"... + +NGCBUILD done. + +Rebuilding cache ... + +Total run time: 1039.00 seconds +Running synthesis... +bash -c "cd synthesis; ./synthesis.sh" +xst -ifn system_xst.scr -intstyle silent +Running XST synthesis ... +XST completed +Release 11.2 - ngcbuild L.46 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +Overriding Xilinx file with local file + + +Command Line: c:\devtools\Xilinx\11.1\ISE\bin\nt\unwrapped\ngcbuild.exe +./system.ngc ../implementation/system.ngc -sd ../implementation -i -ise +../__xps/ise/system.ise + +Reading NGO file +"c:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/synthesis/system. +ngc" ... +Loading design module "../implementation/ppc440_0_wrapper.ngc"... +Loading design module "../implementation/plb_v46_0_wrapper.ngc"... +Loading design module "../implementation/xps_bram_if_cntlr_1_wrapper.ngc"... +Loading design module +"../implementation/xps_bram_if_cntlr_1_bram_wrapper.ngc"... +Loading design module "../implementation/rs232_uart_1_wrapper.ngc"... +Loading design module "../implementation/leds_8bit_wrapper.ngc"... +Loading design module "../implementation/leds_positions_wrapper.ngc"... +Loading design module "../implementation/push_buttons_5bit_wrapper.ngc"... +Loading design module "../implementation/dip_switches_8bit_wrapper.ngc"... +Loading design module "../implementation/iic_eeprom_wrapper.ngc"... +Loading design module "../implementation/sram_wrapper.ngc"... +Loading design module "../implementation/pcie_bridge_wrapper.ngc"... +Loading design module "../implementation/ppc440_0_splb0_wrapper.ngc"... +Loading design module "../implementation/ethernet_mac_wrapper.ngc"... +Loading design module "../implementation/ddr2_sdram_wrapper.ngc"... +Loading design module "../implementation/sysace_compactflash_wrapper.ngc"... +Loading design module "../implementation/clock_generator_0_wrapper.ngc"... +Loading design module "../implementation/jtagppc_cntlr_inst_wrapper.ngc"... +Loading design module "../implementation/proc_sys_reset_0_wrapper.ngc"... +Loading design module "../implementation/xps_intc_0_wrapper.ngc"... + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +NGCBUILD Design Results Summary: + Number of errors: 0 + Number of warnings: 0 + +Writing NGC file "../implementation/system.ngc" ... +Total REAL time to NGCBUILD completion: 10 sec +Total CPU time to NGCBUILD completion: 9 sec + +Writing NGCBUILD log file "../implementation/system.blc"... + +NGCBUILD done. +********************************************* +Running Xilinx Implementation tools.. +********************************************* +xflow -wd implementation -p xc5vfx70tff1136-1 -implement xflow.opt -ise ../__xps/ise/system.ise system.ngc +Release 11.2 - Xflow L.46 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +xflow.exe -wd implementation -p xc5vfx70tff1136-1 -implement xflow.opt -ise +../__xps/ise/system.ise system.ngc +PMSPEC -- Overriding Xilinx file + with local file + +.... Copying flowfile c:/devtools/Xilinx/11.1/ISE/xilinx/data/fpga.flw into +working directory +C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/implementation + +Using Flow File: +C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/implementation/fpg +a.flw +Using Option File(s): + C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/implementation/xf +low.opt + +Creating Script File ... + +#----------------------------------------------# +# Starting program ngdbuild +# ngdbuild -ise ../__xps/ise/system.ise -p xc5vfx70tff1136-1 -nt timestamp -bm +system.bmm +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/implementation/sy +stem.ngc" -uc system.ucf system.ngd +#----------------------------------------------# +Release 11.2 - ngdbuild L.46 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +PMSPEC -- Overriding Xilinx file + with local file + + +Command Line: ngdbuild -ise ../__xps/ise/system.ise -p xc5vfx70tff1136-1 -nt +timestamp -bm system.bmm +C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/implementation/sys +tem.ngc -uc system.ucf system.ngd + +Reading NGO file +"C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/implementation/sy +stem.ngc" ... +Gathering constraint information from source properties... +Done. + +Applying constraints in "system.ucf" to the design... +WARNING:NgdBuild:931 - The value of SIM_DEVICE on instance + 'clock_generator_0/clock_generator_0/Using_DCM0.DCM0_INST/DCM_INST/Using_DCM_ + ADV.DCM_ADV_INST' of type DCM_ADV has been changed from 'VIRTEX4' to + 'VIRTEX5' to correct post-ngdbuild and timing simulation for this primitive. + In order for functional simulation to be correct, the value of SIM_DEVICE + should be changed in this same manner in the source netlist or constraint + file. +Resolving constraint associations... +Checking Constraint Associations... +WARNING:ConstraintSystem:3 - Constraint [system.ucf(264)]: This constraint will be ignored because the relative + clock constraint named 'TS_clk_div_slow_0_clk_div_slow_0_DDR2_CLK_i' was not + found. + +INFO:ConstraintSystem:178 - TNM 'sys_clk_pin', used in period specification + 'TS_sys_clk_pin', was traced into PLL_ADV instance + clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst. + The following new TNM groups and period specifications were generated at the + PLL_ADV output(s): + CLKOUT0: + +INFO:ConstraintSystem:178 - TNM 'sys_clk_pin', used in period specification + 'TS_sys_clk_pin', was traced into PLL_ADV instance + clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst. + The following new TNM groups and period specifications were generated at the + PLL_ADV output(s): + CLKOUT1: + +INFO:ConstraintSystem:178 - TNM 'sys_clk_pin', used in period specification + 'TS_sys_clk_pin', was traced into PLL_ADV instance + clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst. + The following new TNM groups and period specifications were generated at the + PLL_ADV output(s): + CLKOUT2: + +INFO:ConstraintSystem:178 - TNM 'sys_clk_pin', used in period specification + 'TS_sys_clk_pin', was traced into PLL_ADV instance + clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst. + The following new TNM groups and period specifications were generated at the + PLL_ADV output(s): + CLKOUT3: + +INFO:ConstraintSystem:178 - TNM 'sys_clk_pin', used in period specification + 'TS_sys_clk_pin', was traced into PLL_ADV instance + clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst. + The following new TNM groups and period specifications were generated at the + PLL_ADV output(s): + CLKOUT4: + +Done... +Checking Partitions ... + +Processing BMM file ... + +WARNING:NgdBuild:1212 - User specified non-default attribute value + (8.0000000000000000) was detected for the CLKIN_PERIOD attribute on DCM + "clock_generator_0/Using_DCM0.DCM0_INST/DCM_INST/Using_DCM_ADV.DCM_ADV_INST". + This does not match the PERIOD constraint value (5 ns.). The uncertainty + calculation will use the non-default attribute value. This could result in + incorrect uncertainty calculated for DCM output clocks. +Checking expanded design ... +WARNING:NgdBuild:443 - SFF primitive + 'xps_bram_if_cntlr_1/xps_bram_if_cntlr_1/INCLUDE_BURST_SUPPORT.I_SLAVE_BURST_ + ATTACH/I_DBEAT_CONTROL/I_DBEAT_CNTR/STRUCTURAL_A_GEN.I_ADDSUB_GEN[4].FDRE_I' + has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'SRAM/SRAM/EMC_CTRL_I/MEM_STEER_I/SYNC_MEM_DQT.REG_DQT_GEN[2].DQT_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'SRAM/SRAM/EMC_CTRL_I/MEM_STEER_I/GSYNC_MEM_RDACK_GEN.ADDR_ALIGN_PIPE_GEN[3]. + ALIGN_PIPE' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHM + ENT/I_DECODER/GEN_CE_FOR_SHARED.GEN_BKEND_CE_REGISTERS[0].I_BKEND_WRCE_REG' + has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHM + ENT/I_DECODER/GEN_CE_FOR_SHARED.GEN_BKEND_CE_REGISTERS[0].I_BKEND_RDCE_REG' + has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHM + ENT/I_BURST_SUPPORT/RESPONSE_DBEAT_CNTR_I/STRUCTURAL_A_GEN.I_ADDSUB_GEN[7].FD + RE_I' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHM + ENT/I_BURST_SUPPORT/CONTROL_DBEAT_CNTR_I/STRUCTURAL_A_GEN.I_ADDSUB_GEN[7].FDR + E_I' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHM + ENT/I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[0].I_FDRSE_BE0to3 + ' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHM + ENT/I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[1].I_FDRSE_BE0to3 + ' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHM + ENT/I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[2].I_FDRSE_BE0to3 + ' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHM + ENT/I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[3].I_FDRSE_BE0to3 + ' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHM + ENT/I_BUS_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[0].I_SIZE_S_H_REG' + has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'SRAM/SRAM/MCH_PLB_IPIF_I/NO_CHNL_IF_GEN.PLBV46_SLAVE_BURST_I/I_SLAVE_ATTACHM + ENT/I_STEER_ADDRESS_COUNTER/GEN_FOR_SHARED.GEN_S_H_SIZE_REG[0].I_SIZE_S_H_REG + ' has unconnected output pin +WARNING:NgdBuild:486 - Attribute "CLK_FEEDBACK" is not allowed on symbol + "PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/clocking_i/use_pll.pll_ad + v_i" of type "PLL_ADV". This attribute will be ignored. +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_B + URSTXFER.I_STEER_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[0].I_SIZE_S_H_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_B + URSTXFER.I_BUS_ADDRESS_COUNTER/GEN_S_H_SIZE_REG[0].I_SIZE_S_H_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_B + URSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/GEN_DWIDTH_64_128.LDMUX_FDRSE + _4to7[7].I_FDRSE_BE4to7' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_B + URSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/GEN_DWIDTH_64_128.LDMUX_FDRSE + _4to7[6].I_FDRSE_BE4to7' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_B + URSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/GEN_DWIDTH_64_128.LDMUX_FDRSE + _4to7[5].I_FDRSE_BE4to7' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_B + URSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/GEN_DWIDTH_64_128.LDMUX_FDRSE + _4to7[4].I_FDRSE_BE4to7' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_B + URSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[3].I_FDRSE_B + E0to3' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_B + URSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[2].I_FDRSE_B + E0to3' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_B + URSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[1].I_FDRSE_B + E0to3' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/GEN_FAST_MODE_B + URSTXFER.I_BUS_ADDRESS_COUNTER/I_FLEX_ADDR_CNTR/LDMUX_FDRSE_0to3[0].I_FDRSE_B + E0to3' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_S + _H_ADDR_REG[6].I_ADDR_S_H_REG' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_S + _H_ADDR_REG[7].I_ADDR_S_H_REG' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[0].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[0].I_BKend_RDCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[0].I_BKend_WRCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[1].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[1].I_BKend_RDCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[1].I_BKend_WRCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[2].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[2].I_BKend_RDCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[2].I_BKend_WRCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[3].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[3].I_BKend_RDCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[3].I_BKend_WRCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[4].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[4].I_BKend_RDCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[4].I_BKend_WRCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[5].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[5].I_BKend_RDCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[5].I_BKend_WRCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[6].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[6].I_BKend_RDCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[6].I_BKend_WRCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[7].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[7].I_BKend_RDCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[7].I_BKend_WRCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[8].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[8].I_BKend_RDCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[8].I_BKend_WRCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[9].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[9].I_BKend_RDCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[9].I_BKend_WRCE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[10].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[10].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[10].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[11].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[11].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[11].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[12].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[12].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[12].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[13].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[13].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[13].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[14].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[14].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[14].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[15].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[15].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[15].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[16].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[16].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[16].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[17].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[17].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[17].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[18].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[18].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[18].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[19].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[19].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[19].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[20].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[20].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[20].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[21].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[21].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[21].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[22].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[22].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[22].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[23].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[23].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[23].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[24].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[24].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[24].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[25].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[25].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[25].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[26].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[26].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[26].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[27].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[27].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[27].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[28].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[28].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[28].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[29].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[29].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[29].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[30].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[30].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[30].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[31].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[31].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[31].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[32].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[33].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[34].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[35].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[36].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[37].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[38].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[39].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[40].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[41].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[42].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[43].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[44].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[44].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[44].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[45].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[45].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[45].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[46].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[46].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[46].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[47].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[47].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[47].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[48].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[48].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[48].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[49].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[49].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[49].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[50].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[50].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[50].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[51].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[51].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[51].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[52].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[52].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[52].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[53].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[53].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[53].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[54].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[54].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[54].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[55].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[55].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[55].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[56].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[57].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[58].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[59].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[60].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[61].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[61].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[61].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[62].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[62].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[62].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[63].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[63].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[0].GEN_USER_CE.GEN_ALL_CEs[63].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[1].GEN_USER_CE.GEN_ALL_CEs[64].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[1].GEN_USER_CE.GEN_ALL_CEs[64].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[1].GEN_USER_CE.GEN_ALL_CEs[64].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[65].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[66].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[66].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[67].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[68].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[68].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[68].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[69].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[69].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[69].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[70].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[70].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[70].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[71].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[71].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[72].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[73].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[74].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[74].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[74].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[75].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[76].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[76].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[76].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[77].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[77].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[77].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[78].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[78].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[78].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[79].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[79].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[79].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[80].I_BKend_CE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[80].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[2].GEN_INTRPT_CE.GEN_ALL_CEs[80].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[3].GEN_RESET_CE.I_BKend_CE_REG' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[3].GEN_RESET_CE.I_BKend_RDCE_REG' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[4].GEN_USER_CE.GEN_ALL_CEs[82].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[4].GEN_USER_CE.GEN_ALL_CEs[82].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[4].GEN_USER_CE.GEN_ALL_CEs[82].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[5].GEN_USER_CE.GEN_ALL_CEs[83].I_BKend_CE_REG' has unconnected + output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[5].GEN_USER_CE.GEN_ALL_CEs[83].I_BKend_RDCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/GEN_C + E_ASSIGNMENTS[5].GEN_USER_CE.GEN_ALL_CEs[83].I_BKend_WRCE_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/I_CS_ + SIZE2_REG0' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/I_CS_ + SIZE2_REG1' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_slave/I_SLAVE_ATTACHMENT/I_DECODER/I_CS_ + SIZE2_REG2' has unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'PCIe_Bridge/PCIe_Bridge/comp_plbv46_master/I_RD_CONTROL/I_RD_ABORT_REG' has + unconnected output pin +WARNING:NgdBuild:443 - SFF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/COLLISION_SYNC' has unconnected + output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU10' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU15' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU20' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU25' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU30' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU35' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU130' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/TX/inst_tx_intrfce/I_TX_FIFO/BU237' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU10' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU15' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU20' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU25' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU30' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU35' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU130' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'Ethernet_MAC/Ethernet_MAC/XEMAC_I/EMAC_I/RX/inst_rx_intrfce/I_RX_FIFO/BU237' + has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib + /gen_rden[1].u_calib_rden_r' has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib + /gen_rden[2].u_calib_rden_r' has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib + /gen_rden[3].u_calib_rden_r' has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib + /gen_rden[4].u_calib_rden_r' has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib + /gen_rden[5].u_calib_rden_r' has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib + /gen_rden[6].u_calib_rden_r' has unconnected output pin +WARNING:NgdBuild:440 - FF primitive + 'DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/u_phy_calib + /gen_rden[7].u_calib_rden_r' has unconnected output pin +WARNING:NgdBuild:486 - Attribute "CLK_FEEDBACK" is not allowed on symbol + "clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst" + of type "PLL_ADV". This attribute will be ignored. +WARNING:NgdBuild:452 - logical net 'N194' has no driver +WARNING:NgdBuild:452 - logical net 'N195' has no driver +WARNING:NgdBuild:452 - logical net 'N196' has no driver +WARNING:NgdBuild:452 - logical net 'N197' has no driver +WARNING:NgdBuild:452 - logical net 'N198' has no driver +WARNING:NgdBuild:452 - logical net 'N199' has no driver +WARNING:NgdBuild:452 - logical net 'N200' has no driver +WARNING:NgdBuild:452 - logical net 'N201' has no driver +WARNING:NgdBuild:452 - logical net 'N202' has no driver +WARNING:NgdBuild:452 - logical net 'N203' has no driver +WARNING:NgdBuild:452 - logical net 'N204' has no driver +WARNING:NgdBuild:452 - logical net 'N205' has no driver +WARNING:NgdBuild:452 - logical net 'N206' has no driver +WARNING:NgdBuild:452 - logical net 'N207' has no driver +WARNING:NgdBuild:452 - logical net 'N208' has no driver +WARNING:NgdBuild:452 - logical net 'N209' has no driver +WARNING:NgdBuild:452 - logical net 'N210' has no driver +WARNING:NgdBuild:452 - logical net 'N211' has no driver +WARNING:NgdBuild:452 - logical net 'N212' has no driver +WARNING:NgdBuild:452 - logical net 'N213' has no driver +WARNING:NgdBuild:452 - logical net 'N214' has no driver +WARNING:NgdBuild:452 - logical net 'N215' has no driver +WARNING:NgdBuild:452 - logical net 'N216' has no driver +WARNING:NgdBuild:452 - logical net 'N217' has no driver +WARNING:NgdBuild:452 - logical net 'N218' has no driver +WARNING:NgdBuild:452 - logical net 'N219' has no driver +WARNING:NgdBuild:452 - logical net 'N220' has no driver +WARNING:NgdBuild:452 - logical net 'N221' has no driver +WARNING:NgdBuild:452 - logical net 'N222' has no driver +WARNING:NgdBuild:452 - logical net 'N223' has no driver +WARNING:NgdBuild:452 - logical net 'N224' has no driver +WARNING:NgdBuild:452 - logical net 'N225' has no driver +WARNING:NgdBuild:452 - logical net 'N226' has no driver +WARNING:NgdBuild:452 - logical net 'N227' has no driver +WARNING:NgdBuild:452 - logical net 'N228' has no driver +WARNING:NgdBuild:452 - logical net 'N229' has no driver +WARNING:NgdBuild:452 - logical net 'N230' has no driver +WARNING:NgdBuild:452 - logical net 'N231' has no driver +WARNING:NgdBuild:452 - logical net 'N232' has no driver +WARNING:NgdBuild:452 - logical net 'N233' has no driver +WARNING:NgdBuild:452 - logical net 'N234' has no driver +WARNING:NgdBuild:452 - logical net 'N235' has no driver +WARNING:NgdBuild:452 - logical net 'N236' has no driver +WARNING:NgdBuild:452 - logical net 'N237' has no driver +WARNING:NgdBuild:452 - logical net 'N238' has no driver +WARNING:NgdBuild:452 - logical net 'N239' has no driver +WARNING:NgdBuild:452 - logical net 'N240' has no driver +WARNING:NgdBuild:452 - logical net 'N241' has no driver +WARNING:NgdBuild:452 - logical net 'N242' has no driver +WARNING:NgdBuild:452 - logical net 'N243' has no driver +WARNING:NgdBuild:452 - logical net 'N244' has no driver +WARNING:NgdBuild:452 - logical net 'N245' has no driver +WARNING:NgdBuild:452 - logical net 'N246' has no driver +WARNING:NgdBuild:452 - logical net 'N247' has no driver +WARNING:NgdBuild:452 - logical net 'N248' has no driver +WARNING:NgdBuild:452 - logical net 'N249' has no driver +WARNING:NgdBuild:452 - logical net 'N250' has no driver +WARNING:NgdBuild:452 - logical net 'N251' has no driver +WARNING:NgdBuild:452 - logical net 'N252' has no driver +WARNING:NgdBuild:452 - logical net 'N253' has no driver +WARNING:NgdBuild:452 - logical net 'N254' has no driver +WARNING:NgdBuild:452 - logical net 'N255' has no driver +WARNING:NgdBuild:452 - logical net 'N256' has no driver +WARNING:NgdBuild:452 - logical net 'N257' has no driver +WARNING:NgdBuild:452 - logical net 'N266' has no driver +WARNING:NgdBuild:452 - logical net 'N267' has no driver +WARNING:NgdBuild:452 - logical net 'N268' has no driver +WARNING:NgdBuild:452 - logical net 'N269' has no driver +WARNING:NgdBuild:452 - logical net 'N270' has no driver +WARNING:NgdBuild:452 - logical net 'N271' has no driver +WARNING:NgdBuild:452 - logical net 'N272' has no driver +WARNING:NgdBuild:452 - logical net 'N273' has no driver +WARNING:NgdBuild:452 - logical net 'N306' has no driver +WARNING:NgdBuild:452 - logical net 'N307' has no driver +WARNING:NgdBuild:452 - logical net 'N308' has no driver +WARNING:NgdBuild:452 - logical net 'N309' has no driver +WARNING:NgdBuild:452 - logical net 'N310' has no driver +WARNING:NgdBuild:452 - logical net 'N311' has no driver +WARNING:NgdBuild:452 - logical net 'N312' has no driver +WARNING:NgdBuild:452 - logical net 'N313' has no driver +WARNING:NgdBuild:452 - logical net 'PCIe_Bridge/PCIe_Bridge/sig_trn_terrfwd_n' + has no driver +WARNING:NgdBuild:452 - logical net 'PCIe_Bridge/PCIe_Bridge/sig_trn_rerrfwd_n' + has no driver +WARNING:NgdBuild:452 - logical net 'PCIe_Bridge/PCIe_Bridge/sig_trn_tsrc_dsc_n' + has no driver +WARNING:NgdBuild:452 - logical net 'PCIe_Bridge/PCIe_Bridge/sig_trn_tbuf_av<3>' + has no driver +WARNING:NgdBuild:452 - logical net 'PCIe_Bridge/PCIe_Bridge/sig_trn_trem_n<4>' + has no driver + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +NGDBUILD Design Results Summary: + Number of errors: 0 + Number of warnings: 348 + +Writing NGD file "system.ngd" ... +Total REAL time to NGDBUILD completion: 1 min 58 sec +Total CPU time to NGDBUILD completion: 1 min 28 sec + +Writing NGDBUILD log file "system.bld"... + +NGDBUILD done. + + + +#----------------------------------------------# +# Starting program map +# map -ise ../__xps/ise/system.ise -o system_map.ncd -w -pr b -ol high -timing +system.ngd system.pcf +#----------------------------------------------# +Release 11.2 - Map L.46 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +PMSPEC -- Overriding Xilinx file + with local file + +Using target part "5vfx70tff1136-1". +WARNING:LIT:243 - Logical network N194 has no load. +WARNING:LIT:395 - The above warning message is repeated 1200 more times for the + following (max. 5 shown): + N195, + N196, + N197, + N198, + N199 + To see the details of these warning messages, please use the -detail switch. +Mapping design into LUTs... +WARNING:MapLib:701 - Signal fpga_0_SysACE_CompactFlash_SysACE_MPIRQ_pin + connected to top level port fpga_0_SysACE_CompactFlash_SysACE_MPIRQ_pin has + been removed. +WARNING:MapLib:701 - Signal fpga_0_Ethernet_MAC_PHY_col_pin connected to top + level port fpga_0_Ethernet_MAC_PHY_col_pin has been removed. +WARNING:MapLib:41 - All members of TNM group "ppc440_0_PPCS0PLBMBUSY" have been + optimized out of the design. +Writing file system_map.ngm... +WARNING:Pack:2874 - Trimming timing constraints from pin + xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_0 + of frag REGCLKAU connected to power/ground net + xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_0_REGCLKAU_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_0 + of frag REGCLKAL connected to power/ground net + xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_0_REGCLKAL_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_1 + of frag REGCLKAU connected to power/ground net + xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_1_REGCLKAU_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_1 + of frag REGCLKAL connected to power/ground net + xps_bram_if_cntlr_1_bram/xps_bram_if_cntlr_1_bram/ramb36_1_REGCLKAL_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapp + er_i/bram_tl_tx/generate_tdp2[0].ram_tdp2_inst + of frag REGCLKAU connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapp + er_i/bram_tl_tx/generate_tdp2[0].ram_tdp2_inst_REGCLKAU_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapp + er_i/bram_tl_tx/generate_tdp2[0].ram_tdp2_inst + of frag REGCLKAL connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapp + er_i/bram_tl_tx/generate_tdp2[0].ram_tdp2_inst_REGCLKAL_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapp + er_i/bram_tl_tx/generate_tdp2[1].ram_tdp2_inst + of frag REGCLKAU connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapp + er_i/bram_tl_tx/generate_tdp2[1].ram_tdp2_inst_REGCLKAU_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapp + er_i/bram_tl_tx/generate_tdp2[1].ram_tdp2_inst + of frag REGCLKAL connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/pcie_mim_wrapp + er_i/bram_tl_tx/generate_tdp2[1].ram_tdp2_inst_REGCLKAL_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk_if/ll_bridge/r + x_bridge/fifo_inst/oq_fifo/Mram_regBank + of frag RDRCLKU connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk_if/ll_bridge/r + x_bridge/fifo_inst/oq_fifo/Mram_regBank_RDRCLKU_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk_if/ll_bridge/r + x_bridge/fifo_inst/oq_fifo/Mram_regBank + of frag RDRCLKL connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk_if/ll_bridge/r + x_bridge/fifo_inst/oq_fifo/Mram_regBank_RDRCLKL_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_master_bridge/Comp_FIFO/CompFIFO_64.dpram/BU2/U0 + /blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36. + noeccerr.SDP + of frag RDRCLKU connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_master_bridge/Comp_FIFO/CompFIFO_64.dpram/BU2/U0 + /blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36. + noeccerr.SDP_RDRCLKU_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_master_bridge/Comp_FIFO/CompFIFO_64.dpram/BU2/U0 + /blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36. + noeccerr.SDP + of frag RDRCLKL connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_master_bridge/Comp_FIFO/CompFIFO_64.dpram/BU2/U0 + /blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM36. + noeccerr.SDP_RDRCLKL_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_master_bridge/RxSFIFO_64.RxSFIFO/BU2/U0/grf.rf/m + em/gbm.gbmg.gbmga.ngecc.bmg/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5. + ram/SDP.WIDE_PRIM36.noeccerr.SDP + of frag RDRCLKU connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_master_bridge/RxSFIFO_64.RxSFIFO/BU2/U0/grf.rf/m + em/gbm.gbmg.gbmga.ngecc.bmg/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5. + ram/SDP.WIDE_PRIM36.noeccerr.SDP_RDRCLKU_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_master_bridge/RxSFIFO_64.RxSFIFO/BU2/U0/grf.rf/m + em/gbm.gbmg.gbmga.ngecc.bmg/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5. + ram/SDP.WIDE_PRIM36.noeccerr.SDP + of frag RDRCLKL connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_master_bridge/RxSFIFO_64.RxSFIFO/BU2/U0/grf.rf/m + em/gbm.gbmg.gbmga.ngecc.bmg/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5. + ram/SDP.WIDE_PRIM36.noeccerr.SDP_RDRCLKL_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/GEN_TX_64_FIFO.comp_tx_pkt_fifo/COM + P_TX_RAM_70.dpram/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noi + nit.ram/SDP.WIDE_PRIM36.noeccerr.SDP + of frag RDRCLKU connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/GEN_TX_64_FIFO.comp_tx_pkt_fifo/COM + P_TX_RAM_70.dpram/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noi + nit.ram/SDP.WIDE_PRIM36.noeccerr.SDP_RDRCLKU_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/GEN_TX_64_FIFO.comp_tx_pkt_fifo/COM + P_TX_RAM_70.dpram/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noi + nit.ram/SDP.WIDE_PRIM36.noeccerr.SDP + of frag RDRCLKL connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/GEN_TX_64_FIFO.comp_tx_pkt_fifo/COM + P_TX_RAM_70.dpram/BU2/U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noi + nit.ram/SDP.WIDE_PRIM36.noeccerr.SDP_RDRCLKL_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/comp_rx_fifo/GEN_64.COMP_RX_RAM/BU2 + /U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM + 36.noeccerr.SDP + of frag RDRCLKU connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/comp_rx_fifo/GEN_64.COMP_RX_RAM/BU2 + /U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM + 36.noeccerr.SDP_RDRCLKU_tiesig +WARNING:Pack:2874 - Trimming timing constraints from pin + PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/comp_rx_fifo/GEN_64.COMP_RX_RAM/BU2 + /U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM + 36.noeccerr.SDP + of frag RDRCLKL connected to power/ground net + PCIe_Bridge/PCIe_Bridge/comp_slave_bridge/comp_rx_fifo/GEN_64.COMP_RX_RAM/BU2 + /U0/blk_mem_generator/valid.cstr/ramloop[0].ram.r/v5_noinit.ram/SDP.WIDE_PRIM + 36.noeccerr.SDP_RDRCLKL_tiesig +Running directed packing... +Running delay-based LUT packing... +Updating timing models... +WARNING:Timing:3223 - Timing constraint TS_MC_RDEN_SEL_MUX = MAXDELAY FROM + TIMEGRP "TNM_RDEN_SEL_MUX" TO TIMEGRP "TNM_CLK0" TS_MC_CLK * 4 ignored during + timing analysis. +INFO:Map:215 - The Interim Design Summary has been generated in the MAP Report + (.mrp). +Running timing-driven placement... +Total REAL time at the beginning of Placer: 1 mins 55 secs +Total CPU time at the beginning of Placer: 1 mins 43 secs + +Phase 1.1 Initial Placement Analysis +Phase 1.1 Initial Placement Analysis (Checksum:150b88e2) REAL time: 2 mins 13 secs + +Phase 2.7 Design Feasibility Check +WARNING:Place:838 - An IO Bus with more than one IO standard is found. + Components associated with this bus are as follows: + Comp: fpga_0_LEDs_8Bit_GPIO_IO_pin<7> IOSTANDARD = LVCMOS25 + Comp: fpga_0_LEDs_8Bit_GPIO_IO_pin<6> IOSTANDARD = LVCMOS25 + Comp: fpga_0_LEDs_8Bit_GPIO_IO_pin<5> IOSTANDARD = LVCMOS25 + Comp: fpga_0_LEDs_8Bit_GPIO_IO_pin<4> IOSTANDARD = LVCMOS18 + Comp: fpga_0_LEDs_8Bit_GPIO_IO_pin<3> IOSTANDARD = LVCMOS25 + Comp: fpga_0_LEDs_8Bit_GPIO_IO_pin<2> IOSTANDARD = LVCMOS18 + Comp: fpga_0_LEDs_8Bit_GPIO_IO_pin<1> IOSTANDARD = LVCMOS18 + Comp: fpga_0_LEDs_8Bit_GPIO_IO_pin<0> IOSTANDARD = LVCMOS18 + + +WARNING:Place:838 - An IO Bus with more than one IO standard is found. + Components associated with this bus are as follows: + Comp: fpga_0_SRAM_Mem_DQ_pin<31> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<30> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<29> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<28> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<27> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<26> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<25> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<24> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<23> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<22> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<21> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<20> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<19> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<18> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<17> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<16> IOSTANDARD = LVDCI_33 + Comp: fpga_0_SRAM_Mem_DQ_pin<15> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<14> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<13> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<12> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<11> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<10> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<9> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<8> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<7> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<6> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<5> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<4> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<3> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<2> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<1> IOSTANDARD = LVCMOS33 + Comp: fpga_0_SRAM_Mem_DQ_pin<0> IOSTANDARD = LVCMOS33 + + +Phase 2.7 Design Feasibility Check (Checksum:150b88e2) REAL time: 2 mins 14 secs + +Phase 3.31 Local Placement Optimization +Phase 3.31 Local Placement Optimization (Checksum:f23945c2) REAL time: 2 mins 14 secs + +Phase 4.37 Local Placement Optimization +Phase 4.37 Local Placement Optimization (Checksum:f23945c2) REAL time: 2 mins 14 secs + +Phase 5.33 Local Placement Optimization +Phase 5.33 Local Placement Optimization (Checksum:f23945c2) REAL time: 8 mins 58 secs + +Phase 6.32 Local Placement Optimization +Phase 6.32 Local Placement Optimization (Checksum:f23945c2) REAL time: 9 mins 1 secs + +Phase 7.2 Initial Clock and IO Placement + + + +There are 16 clock regions on the target FPGA device: +|------------------------------------------|------------------------------------------| +| CLOCKREGION_X0Y7: | CLOCKREGION_X1Y7: | +| 2 BUFRs available, 0 in use | 2 BUFRs available, 0 in use | +| 4 Regional Clock Spines, 0 in use | 4 Regional Clock Spines, 0 in use | +| 4 edge BUFIOs available, 0 in use | 4 edge BUFIOs available, 0 in use | +| 4 center BUFIOs available, 0 in use | | +| | | +|------------------------------------------|------------------------------------------| +| CLOCKREGION_X0Y6: | CLOCKREGION_X1Y6: | +| 2 BUFRs available, 0 in use | 2 BUFRs available, 0 in use | +| 4 Regional Clock Spines, 0 in use | 4 Regional Clock Spines, 0 in use | +| 4 edge BUFIOs available, 3 in use | 4 edge BUFIOs available, 0 in use | +| 0 center BUFIOs available, 0 in use | | +| | | +|------------------------------------------|------------------------------------------| +| CLOCKREGION_X0Y5: | CLOCKREGION_X1Y5: | +| 2 BUFRs available, 0 in use | 2 BUFRs available, 0 in use | +| 4 Regional Clock Spines, 0 in use | 4 Regional Clock Spines, 0 in use | +| 4 edge BUFIOs available, 0 in use | 4 edge BUFIOs available, 0 in use | +| 2 center BUFIOs available, 0 in use | | +| | | +|------------------------------------------|------------------------------------------| +| CLOCKREGION_X0Y4: | CLOCKREGION_X1Y4: | +| 2 BUFRs available, 0 in use | 2 BUFRs available, 0 in use | +| 4 Regional Clock Spines, 0 in use | 4 Regional Clock Spines, 0 in use | +| 4 edge BUFIOs available, 0 in use | 4 edge BUFIOs available, 0 in use | +| 2 center BUFIOs available, 0 in use | | +| | | +|------------------------------------------|------------------------------------------| +| CLOCKREGION_X0Y3: | CLOCKREGION_X1Y3: | +| 2 BUFRs available, 0 in use | 2 BUFRs available, 0 in use | +| 4 Regional Clock Spines, 0 in use | 4 Regional Clock Spines, 0 in use | +| 4 edge BUFIOs available, 0 in use | 4 edge BUFIOs available, 0 in use | +| 2 center BUFIOs available, 0 in use | | +| | | +|------------------------------------------|------------------------------------------| +| CLOCKREGION_X0Y2: | CLOCKREGION_X1Y2: | +| 2 BUFRs available, 0 in use | 2 BUFRs available, 0 in use | +| 4 Regional Clock Spines, 0 in use | 4 Regional Clock Spines, 0 in use | +| 4 edge BUFIOs available, 3 in use | 4 edge BUFIOs available, 0 in use | +| 2 center BUFIOs available, 0 in use | | +| | | +|------------------------------------------|------------------------------------------| +| CLOCKREGION_X0Y1: | CLOCKREGION_X1Y1: | +| 2 BUFRs available, 0 in use | 2 BUFRs available, 0 in use | +| 4 Regional Clock Spines, 0 in use | 4 Regional Clock Spines, 0 in use + | +| 4 edge BUFIOs available, 2 in use | 4 edge BUFIOs available, 0 in use | +| 0 center BUFIOs available, 0 in use | | +| | | +|------------------------------------------|------------------------------------------| +| CLOCKREGION_X0Y0: | CLOCKREGION_X1Y0: | +| 2 BUFRs available, 0 in use | 2 BUFRs available, 0 in use | +| 4 Regional Clock Spines, 0 in use | 4 Regional Clock Spines, 0 in use | +| 4 edge BUFIOs available, 0 in use | 4 edge BUFIOs available, 0 in use | +| 4 center BUFIOs available, 0 in use | | +| | | +|------------------------------------------|------------------------------------------| + + +Clock-Region: + key resource utilizations (used/available): edge-bufios - 2/4; bufrs - 0/2; regional-clock-spines - 0/4 +|----------------------------------------------------------------------------------------------------------------------------------------------------------- +| | clock | BRAM | | | | | | | | | | | | +| | region | FIFO | DCM | GT | ILOGIC | OLOGIC | FF | LUTM | LUTL | MULT | EMAC | PPC | PCIe | <- (Types of Resources in Clock Region) +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| | Upper Region| 24 | 2 | 0 | 60 | 60 | 3200 | 1600 | 4800 | 0 | 0 | 0 | 0 | <- Available resources in the upper region +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| |CurrentRegion| 24 | 4 | 0 | 40 | 40 | 3200 | 1600 | 4800 | 0 | 0 | 0 | 0 | <- Available resources in the current region +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| | Lower Region| 24 | 0 | 0 | 80 | 80 | 3200 | 1600 | 4800 | 0 | 0 | 0 | 0 | <- Available resources in the lower region +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| clock | region | ----------------------------------------------- +| type | expansion | | +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| BUFIO | | 0 | 0 | 0 | 9 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<1>" +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| BUFIO | | 0 | 0 | 0 | 9 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<2>" +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): edge-bufios - 3/4; center-bufios - 0/2; bufrs - 0/2; regional-clock-spines - 0/4 +|----------------------------------------------------------------------------------------------------------------------------------------------------------- +| | clock | BRAM | | | | | | | | | | | | +| | region | FIFO | DCM | GT | ILOGIC | OLOGIC | FF | LUTM | LUTL | MULT | EMAC | PPC | PCIe | <- (Types of Resources in Clock Region) +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| | Upper Region| 8 | 0 | 0 | 60 | 60 | 1280 | 640 | 1920 | 0 | 0 | 1 | 0 | <- Available resources in the upper region +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| |CurrentRegion| 24 | 2 | 0 | 60 | 60 | 3200 | 1600 | 4800 | 0 | 0 | 0 | 0 | <- Available resources in the current region +|-------|-------------|------|-----|----|--------|------- +-|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| | Lower Region| 24 | 4 | 0 | 40 | 40 | 3200 | 1600 | 4800 | 0 | 0 | 0 | 0 | <- Available resources in the lower region +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| clock | region | ----------------------------------------------- +| type | expansion | | +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| BUFIO | | 0 | 0 | 0 | 9 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<0>" +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| BUFIO | | 0 | 0 | 0 | 9 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<4>" +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| BUFIO | | 0 | 0 | 0 | 9 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<3>" +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): edge-bufios - 3/4; bufrs - 0/2; regional-clock-spines - 0/4 +|----------------------------------------------------------------------------------------------------------------------------------------------------------- +| | clock | BRAM | | | | | | | | | | | | +| | region | FIFO | DCM | GT | ILOGIC | OLOGIC | FF | LUTM | LUTL | MULT | EMAC | PPC | PCIe | <- (Types of Resources in Clock Region) +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| | Upper Region| 24 | 0 | 0 | 80 | 80 | 3200 | 1600 | 4800 | 0 | 0 | 0 | 0 | <- Available resources in the upper region +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| |CurrentRegion| 24 | 4 | 0 | 40 | 40 | 3200 | 1600 | 4800 | 0 | 0 | 0 | 0 | <- Available resources in the current region +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| | Lower Region| 24 | 2 | 0 | 60 | 60 | 3200 | 1600 | 4800 | 0 | 0 | 0 | 0 | <- Available resources in the lower region +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| clock | region | ----------------------------------------------- +| type | expansion | | +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| BUFIO | | 0 | 0 | 0 | 9 | 0 | 0 | 0 | + 0 | 0 | 0 | 0 | 0 | "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<7>" +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| BUFIO | | 0 | 0 | 0 | 9 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<5>" +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- +| BUFIO | | 0 | 0 | 0 | 9 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<6>" +|-------|-------------|------|-----|----|--------|--------|-------|-------|-------|------|------|-----|------|---------------------------------------------- + + + + +###################################################################################### +# REGIONAL CLOCKING RESOURCE DISTRIBUTION UCF REPORT: +# +# Number of Regional Clocking Regions in the device: 16 (4 clock spines in each) +# Number of Regional Clock Networks used in this design: 8 (each network can be +# composed of up to 3 clock spines and cover up to 3 regional clock regions) +# +###################################################################################### + +# IO-Clock "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<7>" driven by "BUFIO_X0Y27" +INST "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[7].u_iob_dqs/u_bufio_dqs" LOC = +"BUFIO_X0Y27" ; +NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<7>" TNM_NET = +"TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<7>" ; +TIMEGRP "TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<7>" AREA_GROUP = +"CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<7>" ; +AREA_GROUP "CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<7>" RANGE = +CLOCKREGION_X0Y6; + + +# IO-Clock "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<0>" driven by "BUFIO_X0Y9" +INST "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[0].u_iob_dqs/u_bufio_dqs" LOC = +"BUFIO_X0Y9" ; +NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<0>" TNM_NET = +"TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<0>" ; +TIMEGRP "TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<0>" AREA_GROUP = +"CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<0>" ; +AREA_GROUP "CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<0>" RANGE = +CLOCKREGION_X0Y2; + + +# IO-Clock "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<4>" driven by "BUFIO_X0Y11" +INST "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[4].u_iob_dqs/u_bufio_dqs" LOC = +"BUFIO_X0Y11" ; +NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<4>" TNM_NET = +"TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<4>" ; +TIMEGRP "TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<4>" AREA_GROUP = +"CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<4>" ; +AREA_GROUP "CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<4>" RANGE = +CLOCKREGION_X0Y2; + + +# IO-Clock "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<1>" driven by "BUFIO_X0Y4" +INST "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[1].u_iob_dqs/u_bufio_dqs" LOC = +"BUFIO_X0Y4" ; +NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<1>" TNM_NET = +"TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<1>" ; +TIMEGRP "TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<1>" AREA_GROUP = +"CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<1>" ; +AREA_GROUP "CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<1>" RANGE = +CLOCKREGION_X0Y1; + + +# IO-Clock "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<5>" driven by "BUFIO_X0Y25" +INST "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[5].u_iob_dqs/u_bufio_dqs" LOC = +"BUFIO_X0Y25" ; +NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<5>" TNM_NET = +"TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<5>" ; +TIMEGRP "TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<5>" AREA_GROUP = +"CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<5>" ; +AREA_GROUP "CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<5>" RANGE = +CLOCKREGION_X0Y6; + + +# IO-Clock "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<2>" driven by "BUFIO_X0Y7" +INST "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[2].u_iob_dqs/u_bufio_dqs" LOC = +"BUFIO_X0Y7" ; +NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<2>" TNM_NET = +"TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<2>" ; +TIMEGRP "TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<2>" AREA_GROUP = +"CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<2>" ; +AREA_GROUP "CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<2>" RANGE = +CLOCKREGION_X0Y1; + + +# IO-Clock "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<6>" driven by "BUFIO_X0Y26" +INST "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[6].u_iob_dqs/u_bufio_dqs" LOC = +"BUFIO_X0Y26" ; +NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<6>" TNM_NET = +"TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<6>" ; +TIMEGRP "TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<6>" AREA_GROUP = +"CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<6>" ; +AREA_GROUP "CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<6>" RANGE = +CLOCKREGION_X0Y6; + + +# IO-Clock "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<3>" driven by "BUFIO_X0Y10" +INST "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/gen_dqs[3].u_iob_dqs/u_bufio_dqs" LOC = +"BUFIO_X0Y10" ; +NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<3>" TNM_NET = +"TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<3>" ; +TIMEGRP "TN_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<3>" AREA_GROUP = +"CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<3>" ; +AREA_GROUP "CLKAG_DDR2_SDRAM/DDR2_SDRAM/u_ddr2_top/u_mem_if_top/u_phy_top/u_phy_io/delayed_dqs<3>" RANGE = +CLOCKREGION_X0Y2; + + +Phase 7.2 Initial Clock and IO Placement (Checksum:7e049af9) REAL time: 9 mins 19 secs + +Phase 8.36 Local Placement Optimization +Phase 8.36 Local Placement Optimization (Checksum:7e049af9) REAL time: 9 mins 19 secs + +.................... +................. +..... +...... +..... +...... +..... +..... +...... +...... +....... +...... +....... +....... +....... +.. +Phase 9.30 Global Clock Region Assignment + + +###################################################################################### +# GLOBAL CLOCK NET DISTRIBUTION UCF REPORT: +# +# Number of Global Clock Regions : 16 +# Number of Global Clock Networks: 15 +# +# Clock Region Assignment: SUCCESSFUL + +# Location of Clock Components +INST "clock_generator_0/clock_generator_0/Using_PLL0.PLL0_INST/Using_BUFG_for_CLKOUT1.CLKOUT1_BUFG_INST" LOC = "BUFGCTRL_X0Y1" ; +INST "fpga_0_Ethernet_MAC_PHY_rx_clk_pin_BUFGP/BUFG" LOC = "BUFGCTRL_X0Y30" ; +INST "PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/clocking_i/use_pll.gtxclk_pll_bufg" LOC = "BUFGCTRL_X0Y29" ; +INST "PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/clocking_i/use_pll.coreclk_pll_bufg" LOC = "BUFGCTRL_X0Y27" ; +INST "clock_generator_0/clock_generator_0/Using_PLL0.PLL0_INST/Using_BUFG_for_CLKOUT2.CLKOUT2_BUFG_INST" LOC = "BUFGCTRL_X0Y2" ; +INST "clock_generator_0/clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_BUFG_for_CLKFBOUT.CLKFB_BUFG_INST" LOC = "BUFGCTRL_X0Y3" ; +INST "PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/clocking_i/notsame.usrclk_pll_bufg" LOC = "BUFGCTRL_X0Y28" ; +INST "fpga_0_SysACE_CompactFlash_SysACE_CLK_pin_BUFGP/BUFG" LOC = "BUFGCTRL_X0Y8" ; +INST "PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/clocking_i/use_pll.clkfbin_pll_bufg" LOC = "BUFGCTRL_X0Y26" ; +INST "clock_generator_0/clock_generator_0/Using_PLL0.PLL0_INST/Using_BUFG_for_CLKOUT3.CLKOUT3_BUFG_INST" LOC = "BUFGCTRL_X0Y4" ; +INST "clock_generator_0/clock_generator_0/Using_DCM0.DCM0_INST/Using_BUFG_for_CLK0.CLK0_BUFG_INST" LOC = "BUFGCTRL_X0Y7" ; +INST "fpga_0_Ethernet_MAC_PHY_tx_clk_pin_BUFGP/BUFG" LOC = "BUFGCTRL_X0Y31" ; +INST "clock_generator_0/clock_generator_0/Using_PLL0.PLL0_INST/Using_BUFG_for_CLKOUT0.CLKOUT0_BUFG_INST" LOC = "BUFGCTRL_X0Y5" ; +INST "clock_generator_0/clock_generator_0/Using_PLL0.PLL0_INST/Using_BUFG_for_CLKOUT4.CLKOUT4_BUFG_INST" LOC = "BUFGCTRL_X0Y6" ; +INST "PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/SIO/.pcie_gt_wrapper_i/bufg2" LOC = "BUFGCTRL_X0Y0" ; +INST "clock_generator_0/clock_generator_0/Using_DCM0.DCM0_INST/DCM_INST/Using_DCM_ADV.DCM_ADV_INST" LOC = "DCM_ADV_X0Y0" ; +INST "fpga_0_SRAM_ZBT_CLK_FB_pin" LOC = "IOB_X1Y111" ; +INST "fpga_0_clk_1_sys_clk_pin" LOC = "IOB_X1Y109" ; +INST "fpga_0_Ethernet_MAC_PHY_rx_clk_pin" LOC = "IOB_X1Y219" ; +INST "fpga_0_Ethernet_MAC_PHY_tx_clk_pin" LOC = "IOB_X1Y217" ; +INST "fpga_0_SysACE_CompactFlash_SysACE_CLK_pin" LOC = "IOB_X1Y105" ; +INST "fpga_0_PCIe_Bridge_RXN_pin" LOC = "IPAD_X1Y12" ; +INST "fpga_0_PCIe_Bridge_RXP_pin" LOC = "IPAD_X1Y13" ; +INST "fpga_0_PCIe_Diff_Clk_IBUF_DS_N_pin" LOC = "IPAD_X1Y16" ; +INST "fpga_0_PCIe_Diff_Clk_IBUF_DS_P_pin" LOC = "IPAD_X1Y17" ; +INST "fpga_0_PCIe_Bridge_TXN_pin" LOC = "OPAD_X0Y8" ; +INST "fpga_0_PCIe_Bridge_TXP_pin" LOC = "OPAD_X0Y9" ; +INST "PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/clocking_i/use_pll.pll_adv_i" LOC = "PLL_ADV_X0Y5" ; +INST "clock_generator_0/clock_generator_0/Using_PLL0.PLL0_INST/PLL_INST/Using_PLL_ADV.PLL_ADV_inst" LOC = "PLL_ADV_X0Y0" ; +INST "PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/SIO/.pcie_gt_wrapper_i/GTD[0].GT_i" LOC = "GTX_DUAL_X0Y2" ; +INST "ibufgds_76" LOC = "BUFDS_X0Y2" ; + +# clk_125_0000MHzPLL0 driven by BUFGCTRL_X0Y1 +NET "clk_125_0000MHzPLL0" TNM_NET = "TN_clk_125_0000MHzPLL0" ; +TIMEGRP "TN_clk_125_0000MHzPLL0" AREA_GROUP = "CLKAG_clk_125_0000MHzPLL0" ; +AREA_GROUP "CLKAG_clk_125_0000MHzPLL0" RANGE = CLOCKREGION_X0Y0, CLOCKREGION_X1Y0, CLOCKREGION_X0Y1, CLOCKREGION_X1Y1, CLOCKREGION_X0Y2, CLOCKREGION_X1Y2, CLOCKREGION_X0Y3, CLOCKREGION_X1Y3, CLOCKREGION_X0Y4, CLOCKREGION_X1Y4, CLOCKREGION_X0Y5, CLOCKREGION_X1Y5, CLOCKREGION_X0Y6, CLOCKREGION_X1Y6, CLOCKREGION_X0Y7, CLOCKREGION_X1Y7 ; + +# fpga_0_Ethernet_MAC_PHY_rx_clk_pin_BUFGP driven by BUFGCTRL_X0Y30 +NET "fpga_0_Ethernet_MAC_PHY_rx_clk_pin_BUFGP" TNM_NET = "TN_fpga_0_Ethernet_MAC_PHY_rx_clk_pin_BUFGP" ; +TIMEGRP "TN_fpga_0_Ethernet_MAC_PHY_rx_clk_pin_BUFGP" AREA_GROUP = "CLKAG_fpga_0_Ethernet_MAC_PHY_rx_clk_pin_BUFGP" ; +AREA_GROUP "CLKAG_fpga_0_Ethernet_MAC_PHY_rx_clk_pin_BUFGP" RANGE = CLOCKREGION_X0Y2, CLOCKREGION_X0Y3, CLOCKREGION_X0Y4, CLOCKREGION_X0Y5, CLOCKREGION_X0Y6, CLOCKREGION_X1Y6, CLOCKREGION_X0Y7, CLOCKREGION_X1Y7 ; + +# PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/gt_usrclk driven by BUFGCTRL_X0Y29 +NET "PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/gt_usrclk" TNM_NET = "TN_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/gt_usrclk" ; +TIMEGRP "TN_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/gt_usrclk" AREA_GROUP = "CLKAG_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/gt_usrclk" ; +AREA_GROUP "CLKAG_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/gt_usrclk" RANGE = CLOCKREGION_X0Y0, CLOCKREGION_X1Y0, CLOCKREGION_X0Y1, CLOCKREGION_X1Y1, CLOCKREGION_X0Y2, CLOCKREGION_X1Y2, CLOCKREGION_X0Y3, CLOCKREGION_X1Y3, CLOCKREGION_X0Y4, CLOCKREGION_X1Y4, CLOCKREGION_X0Y5, CLOCKREGION_X1Y5 ; + +# PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/core_clk driven by BUFGCTRL_X0Y27 +NET "PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/core_clk" TNM_NET = "TN_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/core_clk" ; +TIMEGRP "TN_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/core_clk" AREA_GROUP = "CLKAG_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/core_clk" ; +AREA_GROUP "CLKAG_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/core_clk" RANGE = CLOCKREGION_X0Y0, CLOCKREGION_X1Y0, CLOCKREGION_X0Y1, CLOCKREGION_X1Y1, CLOCKREGION_X0Y2, CLOCKREGION_X1Y2, CLOCKREGION_X0Y3, CLOCKREGION_X1Y3, CLOCKREGION_X0Y4, CLOCKREGION_X1Y4, CLOCKREGION_X0Y5, CLOCKREGION_X1Y5, CLOCKREGION_X0Y6, CLOCKREGION_X1Y6, CLOCKREGION_X0Y7, CLOCKREGION_X1Y7 ; + +# clk_125_0000MHzPLL0_ADJUST driven by BUFGCTRL_X0Y2 +NET "clk_125_0000MHzPLL0_ADJUST" TNM_NET = "TN_clk_125_0000MHzPLL0_ADJUST" ; +TIMEGRP "TN_clk_125_0000MHzPLL0_ADJUST" AREA_GROUP = "CLKAG_clk_125_0000MHzPLL0_ADJUST" ; +AREA_GROUP "CLKAG_clk_125_0000MHzPLL0_ADJUST" RANGE = CLOCKREGION_X0Y0, CLOCKREGION_X1Y0, CLOCKREGION_X0Y1, CLOCKREGION_X1Y1, CLOCKREGION_X0Y2, CLOCKREGION_X1Y2, CLOCKREGION_X0Y3, CLOCKREGION_X1Y3, CLOCKREGION_X0Y4, CLOCKREGION_X1Y4, CLOCKREGION_X0Y5, CLOCKREGION_X1Y5, CLOCKREGION_X0Y6, CLOCKREGION_X1Y6, CLOCKREGION_X0Y7, CLOCKREGION_X1Y7 ; + +# clock_generator_0/clock_generator_0/PLL0_CLK_OUT<6> driven by BUFGCTRL_X0Y3 +NET "clock_generator_0/clock_generator_0/PLL0_CLK_OUT<6>" TNM_NET = "TN_clock_generator_0/clock_generator_0/PLL0_CLK_OUT<6>" ; +TIMEGRP "TN_clock_generator_0/clock_generator_0/PLL0_CLK_OUT<6>" AREA_GROUP = "CLKAG_clock_generator_0/clock_generator_0/PLL0_CLK_OUT<6>" ; +AREA_GROUP "CLKAG_clock_generator_0/clock_generator_0/PLL0_CLK_OUT<6>" RANGE = CLOCKREGION_X0Y0, CLOCKREGION_X0Y1 ; + +# PCIe_Bridge/Bridge_Clk driven by BUFGCTRL_X0Y28 +NET "PCIe_Bridge/Bridge_Clk" TNM_NET = "TN_PCIe_Bridge/Bridge_Clk" ; +TIMEGRP "TN_PCIe_Bridge/Bridge_Clk" AREA_GROUP = "CLKAG_PCIe_Bridge/Bridge_Clk" ; +AREA_GROUP "CLKAG_PCIe_Bridge/Bridge_Clk" RANGE = CLOCKREGION_X0Y0, CLOCKREGION_X1Y0, CLOCKREGION_X0Y1, CLOCKREGION_X1Y1, CLOCKREGION_X0Y2, CLOCKREGION_X1Y2, CLOCKREGION_X0Y3, CLOCKREGION_X1Y3, CLOCKREGION_X0Y4, CLOCKREGION_X1Y4, CLOCKREGION_X0Y5, CLOCKREGION_X1Y5, CLOCKREGION_X0Y6, CLOCKREGION_X1Y6, CLOCKREGION_X0Y7, CLOCKREGION_X1Y7 ; + +# fpga_0_SysACE_CompactFlash_SysACE_CLK_pin_BUFGP driven by BUFGCTRL_X0Y8 +NET "fpga_0_SysACE_CompactFlash_SysACE_CLK_pin_BUFGP" TNM_NET = "TN_fpga_0_SysACE_CompactFlash_SysACE_CLK_pin_BUFGP" ; +TIMEGRP "TN_fpga_0_SysACE_CompactFlash_SysACE_CLK_pin_BUFGP" AREA_GROUP = "CLKAG_fpga_0_SysACE_CompactFlash_SysACE_CLK_pin_BUFGP" ; +AREA_GROUP "CLKAG_fpga_0_SysACE_CompactFlash_SysACE_CLK_pin_BUFGP" RANGE = CLOCKREGION_X1Y0, CLOCKREGION_X1Y1, CLOCKREGION_X1Y2, CLOCKREGION_X1Y3, CLOCKREGION_X1Y4, CLOCKREGION_X1Y5, CLOCKREGION_X1Y6, CLOCKREGION_X1Y7 ; + +# PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/clocking_i/clkfbin driven by BUFGCTRL_X0Y26 +NET "PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/clocking_i/clkfbin" TNM_NET = "TN_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/clocking_i/clkfbin" ; +TIMEGRP "TN_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/clocking_i/clkfbin" AREA_GROUP = "CLKAG_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/clocking_i/clkfbin" ; +AREA_GROUP "CLKAG_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/clocking_i/clkfbin" RANGE = CLOCKREGION_X0Y6, CLOCKREGION_X0Y7 ; + +# clk_200_0000MHz driven by BUFGCTRL_X0Y4 +NET "clk_200_0000MHz" TNM_NET = "TN_clk_200_0000MHz" ; +TIMEGRP "TN_clk_200_0000MHz" AREA_GROUP = "CLKAG_clk_200_0000MHz" ; +AREA_GROUP "CLKAG_clk_200_0000MHz" RANGE = CLOCKREGION_X0Y0, CLOCKREGION_X1Y0, CLOCKREGION_X0Y1, CLOCKREGION_X1Y1, CLOCKREGION_X0Y2, CLOCKREGION_X1Y2, CLOCKREGION_X0Y3, CLOCKREGION_X1Y3, CLOCKREGION_X0Y4, CLOCKREGION_X1Y4, CLOCKREGION_X0Y5, CLOCKREGION_X1Y5, CLOCKREGION_X0Y6, CLOCKREGION_X1Y6, CLOCKREGION_X0Y7, CLOCKREGION_X1Y7 ; + +# fpga_0_SRAM_ZBT_CLK_OUT_pin_OBUF driven by BUFGCTRL_X0Y7 +NET "fpga_0_SRAM_ZBT_CLK_OUT_pin_OBUF" TNM_NET = "TN_fpga_0_SRAM_ZBT_CLK_OUT_pin_OBUF" ; +TIMEGRP "TN_fpga_0_SRAM_ZBT_CLK_OUT_pin_OBUF" AREA_GROUP = "CLKAG_fpga_0_SRAM_ZBT_CLK_OUT_pin_OBUF" ; +AREA_GROUP "CLKAG_fpga_0_SRAM_ZBT_CLK_OUT_pin_OBUF" RANGE = CLOCKREGION_X1Y6, CLOCKREGION_X1Y7 ; + +# fpga_0_Ethernet_MAC_PHY_tx_clk_pin_BUFGP driven by BUFGCTRL_X0Y31 +NET "fpga_0_Ethernet_MAC_PHY_tx_clk_pin_BUFGP" TNM_NET = "TN_fpga_0_Ethernet_MAC_PHY_tx_clk_pin_BUFGP" ; +TIMEGRP "TN_fpga_0_Ethernet_MAC_PHY_tx_clk_pin_BUFGP" AREA_GROUP = "CLKAG_fpga_0_Ethernet_MAC_PHY_tx_clk_pin_BUFGP" ; +AREA_GROUP "CLKAG_fpga_0_Ethernet_MAC_PHY_tx_clk_pin_BUFGP" RANGE = CLOCKREGION_X0Y0, CLOCKREGION_X1Y0, CLOCKREGION_X0Y1, CLOCKREGION_X1Y1, CLOCKREGION_X0Y2, CLOCKREGION_X1Y2, CLOCKREGION_X0Y3, CLOCKREGION_X1Y3, CLOCKREGION_X0Y4, CLOCKREGION_X1Y4, CLOCKREGION_X0Y5, CLOCKREGION_X1Y5 ; + +# clk_125_0000MHz90PLL0_ADJUST driven by BUFGCTRL_X0Y5 +NET "clk_125_0000MHz90PLL0_ADJUST" TNM_NET = "TN_clk_125_0000MHz90PLL0_ADJUST" ; +TIMEGRP "TN_clk_125_0000MHz90PLL0_ADJUST" AREA_GROUP = "CLKAG_clk_125_0000MHz90PLL0_ADJUST" ; +AREA_GROUP "CLKAG_clk_125_0000MHz90PLL0_ADJUST" RANGE = CLOCKREGION_X0Y0, CLOCKREGION_X1Y0, CLOCKREGION_X0Y1, CLOCKREGION_X1Y1, CLOCKREGION_X0Y2, CLOCKREGION_X1Y2, CLOCKREGION_X0Y3, CLOCKREGION_X1Y3, CLOCKREGION_X0Y4, CLOCKREGION_X1Y4, CLOCKREGION_X0Y5, CLOCKREGION_X1Y5, CLOCKREGION_X0Y6, CLOCKREGION_X1Y6, CLOCKREGION_X0Y7, CLOCKREGION_X1Y7 ; + +# clk_62_5000MHzPLL0_ADJUST driven by BUFGCTRL_X0Y6 +NET "clk_62_5000MHzPLL0_ADJUST" TNM_NET = "TN_clk_62_5000MHzPLL0_ADJUST" ; +TIMEGRP "TN_clk_62_5000MHzPLL0_ADJUST" AREA_GROUP = "CLKAG_clk_62_5000MHzPLL0_ADJUST" ; +AREA_GROUP "CLKAG_clk_62_5000MHzPLL0_ADJUST" RANGE = CLOCKREGION_X0Y0, CLOCKREGION_X1Y0, CLOCKREGION_X0Y1, CLOCKREGION_X1Y1, CLOCKREGION_X0Y2, CLOCKREGION_X1Y2, CLOCKREGION_X0Y3, CLOCKREGION_X1Y3, CLOCKREGION_X0Y4, CLOCKREGION_X1Y4, CLOCKREGION_X0Y5, CLOCKREGION_X1Y5, CLOCKREGION_X0Y6, CLOCKREGION_X1Y6, CLOCKREGION_X0Y7, CLOCKREGION_X1Y7 ; + +# PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/REFCLKOUT_bufg driven by BUFGCTRL_X0Y0 +NET "PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/REFCLKOUT_bufg" TNM_NET = "TN_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/REFCLKOUT_bufg" ; +TIMEGRP "TN_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/REFCLKOUT_bufg" AREA_GROUP = "CLKAG_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/REFCLKOUT_bufg" ; +AREA_GROUP "CLKAG_PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/REFCLKOUT_bufg" RANGE = CLOCKREGION_X0Y6, CLOCKREGION_X0Y7 ; + +# NOTE: +# This report is provided to help reproduce successful clock-region +# assignments. The report provides range constraints for all global +# clock networks, in a format that is directly usable in ucf files. +# +#END of Global Clock Net Distribution UCF Constraints +###################################################################################### + + +###################################################################################### +GLOBAL CLOCK NET LOADS DISTRIBUTION REPORT: + +Number of Global Clock Regions : 16 +Number of Global Clock Networks: 15 + +Clock Region Assignment: SUCCESSFUL + +Clock-Region: + key resource utilizations (used/available): global-clocks - 2/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 12 | 0 | 0 | 0 | 80 | 80 | 0 | 0 | 0 | 0 | 2 | 0 | 1600 | 3200 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 44 | 548 |PCIe_Bridge/Bridge_Clk + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 2 | 202 |clk_125_0000MHzPLL0_ADJUST +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 46 | 750 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 2/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 8 | 0 | 0 | 0 | 40 | 40 | 16 | 0 | 0 | 1 | 1 | 0 | 1920 | 2880 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 4 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 0 | 0 | 38 | 934 |PCIe_Bridge/Bridge_Clk + 4 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 0 | 0 | 24 | 52 |PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/core_clk +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 8 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 2 | 0 | 0 | 62 | 986 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 6/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 12 | 4 | 2 | 0 | 40 | 40 | 0 | 0 | 0 | 0 | 1 | 0 | 1600 | 3200 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 13 | 195 |PCIe_Bridge/Bridge_Clk + 0 | 0 | 0 | 0 | 0 | 18 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 |clk_125_0000MHz90PLL0_ADJUST + 0 | 1 | 0 | 0 | 0 | 17 | 0 | 0 | 0 | 0 | 0 | 0 | 4 | 719 |clk_125_0000MHzPLL0_ADJUST + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 0 | 0 | 0 |clk_200_0000MHz + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 4 |clk_62_5000MHzPLL0_ADJUST + 0 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 |clock_generator_0/clock_generator_0/PLL0_CLK_OUT<6> +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 1 | 1 | 0 | 0 | 35 | 0 | 0 | 0 | 0 | 1 | 0 | 17 | 918 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 4/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 8 | 0 | 0 | 0 | 40 | 40 | 16 | 0 | 0 | 0 | 1 | 0 | 1920 | 2880 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 6 | 500 |PCIe_Bridge/Bridge_Clk + 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 20 |PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/core_clk + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 11 | 364 |clk_125_0000MHzPLL0_ADJUST + 0 | 0 | 0 | 0 | 0 | 5 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 |fpga_0_Ethernet_MAC_PHY_tx_clk_pin_BUFGP +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 1 | 0 | 0 | 0 | 0 | 5 | 0 | 0 | 0 | 0 | 0 | 0 | 17 | 884 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 5/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 12 | 2 | 1 | 0 | 60 | 60 | 0 | 0 | 0 | 0 | 2 | 0 | 1600 | 3200 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 2 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 |PCIe_Bridge/Bridge_Clk + 0 | 0 | 0 | 0 | 0 | 27 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 17 |clk_125_0000MHz90PLL0_ADJUST + 5 | 0 | 0 | 0 | 9 | 15 | 0 | 0 | 0 | 0 | 0 | 0 | 58 | 913 |clk_125_0000MHzPLL0_ADJUST + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 0 | 0 | 0 |clk_200_0000MHz + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 142 |clk_62_5000MHzPLL0_ADJUST +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 7 | 0 | 0 | 0 | 9 | 42 | 0 | 0 | 0 | 0 | 1 | 0 | 58 | 1072 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 4/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 8 | 0 | 0 | 0 | 40 | 40 | 16 | 0 | 0 | 1 | 1 | 0 | 1920 | 2880 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 94 | 387 |PCIe_Bridge/Bridge_Clk + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 81 |PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/core_clk + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 2 |PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/gt_usrclk + 0 | 0 | 0 | 0 | 0 | 5 | 0 | 0 | 0 | 0 | 0 | 0 | 36 | 500 |clk_125_0000MHzPLL0_ADJUST +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 5 | 0 | 0 | 0 | 0 | 0 | 0 | 130 | 970 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 4/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 4 | 0 | 0 | 0 | 60 | 60 | 0 | 0 | 1 | 0 | 2 | 16 | 640 | 1280 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 83 |clk_125_0000MHz90PLL0_ADJUST + 0 | 0 | 0 | 0 | 8 | 17 | 0 | 0 | 1 | 0 | 0 | 0 | 36 | 272 |clk_125_0000MHzPLL0_ADJUST + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 3 |clk_200_0000MHz + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 154 |clk_62_5000MHzPLL0_ADJUST +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 8 | 17 | 0 | 0 | 1 | 0 | 0 | 0 | 36 | 512 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 3/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 8 | 0 | 0 | 0 | 40 | 40 | 16 | 0 | 0 | 0 | 1 | 0 | 1920 | 2880 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 3 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 44 | 290 |PCIe_Bridge/Bridge_Clk + 6 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 22 | 659 |clk_125_0000MHzPLL0_ADJUST + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 |fpga_0_Ethernet_MAC_PHY_tx_clk_pin_BUFGP +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 9 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 66 | 950 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 5/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 4 | 0 | 0 | 0 | 60 | 60 | 0 | 0 | 1 | 0 | 2 | 16 | 640 | 1280 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 2 | 1 |PCIe_Bridge/Bridge_Clk + 2 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 34 |clk_125_0000MHz90PLL0_ADJUST + 4 | 0 | 0 | 0 | 1 | 20 | 0 | 0 | 0 | 0 | 0 | 0 | 16 | 231 |clk_125_0000MHzPLL0_ADJUST + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 200 |clk_62_5000MHzPLL0_ADJUST + 0 | 0 | 0 | 0 | 6 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 |fpga_0_Ethernet_MAC_PHY_rx_clk_pin_BUFGP +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 6 | 0 | 0 | 0 | 7 | 20 | 0 | 0 | 0 | 0 | 0 | 0 | 18 | 466 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 3/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 10 | 0 | 0 | 0 | 40 | 40 | 16 | 1 | 0 | 0 | 1 | 0 | 1920 | 2880 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 54 | 367 |PCIe_Bridge/Bridge_Clk + 3 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 20 | 602 |clk_125_0000MHzPLL0_ADJUST + 0 | 0 | 0 | 0 | 16 | 26 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 16 |fpga_0_SysACE_CompactFlash_SysACE_CLK_pin_BUFGP +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 3 | 0 | 0 | 0 | 16 | 26 | 0 | 0 | 0 | 0 | 0 | 0 | 74 | 985 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 4/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 12 | 2 | 1 | 0 | 60 | 60 | 0 | 0 | 0 | 0 | 2 | 0 | 1600 | 3200 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 4 | 2 |PCIe_Bridge/Bridge_Clk + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 48 |clk_125_0000MHz90PLL0_ADJUST + 0 | 0 | 0 | 0 | 0 | 27 | 0 | 0 | 0 | 0 | 0 | 0 | 16 | 517 |clk_125_0000MHzPLL0_ADJUST + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 8 | 206 |clk_62_5000MHzPLL0_ADJUST +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 27 | 0 | 0 | 0 | 0 | 0 | 0 | 28 | 773 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 3/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 10 | 0 | 0 | 0 | 40 | 40 | 16 | 1 | 0 | 0 | 1 | 0 | 1920 | 2880 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 68 | 285 |PCIe_Bridge/Bridge_Clk + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 50 | 333 |clk_125_0000MHzPLL0_ADJUST + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 21 |fpga_0_SysACE_CompactFlash_SysACE_CLK_pin_BUFGP +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 118 | 639 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 7/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 12 | 4 | 2 | 0 | 40 | 40 | 0 | 0 | 0 | 0 | 1 | 0 | 1600 | 3200 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 |PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/REFCLKOUT_bufg + 0 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 |PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/clocking_i/clkfbin + 0 | 0 | 0 | 0 | 0 | 27 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 2 |clk_125_0000MHz90PLL0_ADJUST + 0 | 0 | 0 | 0 | 0 | 8 | 0 | 0 | 0 | 0 | 0 | 0 | 10 | 605 |clk_125_0000MHzPLL0_ADJUST + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 0 | 0 | 0 |clk_200_0000MHz + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 2 | 158 |clk_62_5000MHzPLL0_ADJUST + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 15 | 12 |fpga_0_Ethernet_MAC_PHY_rx_clk_pin_BUFGP +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 2 | 0 | 0 | 35 | 0 | 0 | 0 | 0 | 1 | 0 | 27 | 777 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 2/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 8 | 0 | 0 | 0 | 40 | 40 | 16 | 0 | 0 | 1 | 1 | 0 | 1920 | 2880 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 4 | 103 |PCIe_Bridge/Bridge_Clk + 0 | 0 | 0 | 0 | 19 | 23 | 0 | 0 | 0 | 0 | 0 | 0 | 22 | 413 |clk_125_0000MHzPLL0_ADJUST +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 19 | 23 | 0 | 0 | 0 | 0 | 0 | 0 | 26 | 516 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 2/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 12 | 0 | 0 | 0 | 80 | 80 | 0 | 0 | 0 | 0 | 2 | 0 | 1600 | 3200 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 16 | 495 |clk_125_0000MHzPLL0_ADJUST + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 19 |clk_62_5000MHzPLL0_ADJUST +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 16 | 514 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + + +Clock-Region: + key resource utilizations (used/available): global-clocks - 1/10 ; +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + BRAM | DCM | PLL | GT | ILOGIC | OLOGIC | MULT | TEMAC | PPC | PCIE | IDLYCT | BUFGCT | LUT | FF | <- (Types of Resources in this Region) + FIFO | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 8 | 0 | 0 | 0 | 40 | 40 | 16 | 0 | 0 | 0 | 1 | 0 | 1920 | 2880 | <- (Available Resources in this Region) +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + | | | | | | | | | | | | | | +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 46 | 327 |clk_125_0000MHzPLL0_ADJUST +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 46 | 327 | Total +--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+--------+---------------------------------------- + +NOTE: +The above detailed report is the initial placement of the logic after the clock region assignment. The final placement +may be significantly different because of the various optimization steps which will follow. Specifically, logic blocks +maybe moved to adjacent clock-regions as long as the "number of clocks per region" constraint is not violated. + + +# END of Global Clock Net Loads Distribution Report: +###################################################################################### + + +Phase 9.30 Global Clock Region Assignment (Checksum:7e049af9) REAL time: 10 mins 42 secs + +Phase 10.3 Local Placement Optimization +Phase 10.3 Local Placement Optimization (Checksum:7e049af9) REAL time: 10 mins 43 secs + +Phase 11.5 Local Placement Optimization +Phase 11.5 Local Placement Optimization (Checksum:7e049af9) REAL time: 10 mins 45 secs + +Phase 12.8 Global Placement +............................. +.... +. +....... +..... +....... +....... +....... +...... +........ +........ +........ +......... +......... +......... +. +....... +...... +........ +....... +......... +........ +......... +....... +. +....... +..... +....... +. +.... +... +... +...... +...... +...... +.... +....... +...... +.... +. +...... +...... +...... +.... +...... +. +.... +... +.... +....... +...... +.... +... +....... +......... +.. +. +..... +.. +... +....... +...... +..... +...... +..... +...... +...... +...... +..... +. +..... +.. +..... +... +.. +...... +....... +....... +........ +... +Phase 12.8 Global Placement (Checksum:4ba01660) REAL time: 15 mins 18 secs + +Phase 13.29 Local Placement Optimization +Phase 13.29 Local Placement Optimization (Checksum:4ba01660) REAL time: 15 mins 18 secs + +Phase 14.5 Local Placement Optimization +Phase 14.5 Local Placement Optimization (Checksum:4ba01660) REAL time: 15 mins 22 secs + +Phase 15.18 Placement Optimization +Phase 15.18 Placement Optimization (Checksum:f81b02a1) REAL time: 18 mins 1 secs + +Phase 16.5 Local Placement Optimization +Phase 16.5 Local Placement Optimization (Checksum:f81b02a1) REAL time: 18 mins 3 secs + +Phase 17.34 Placement Validation +Phase 17.34 Placement Validation (Checksum:f81b02a1) REAL time: 18 mins 5 secs + +Total REAL time to Placer completion: 18 mins 7 secs +Total CPU time to Placer completion: 17 mins 4 secs +Running post-placement packing... +Writing output files... + +Design Summary: +Number of errors: 0 +Number of warnings: 50 +Slice Logic Utilization: + Number of Slice Registers: 12,128 out of 44,800 27% + Number used as Flip Flops: 12,127 + Number used as Latches: 1 + Number of Slice LUTs: 12,266 out of 44,800 27% + Number used as logic: 11,767 out of 44,800 26% + Number using O6 output only: 10,791 + Number using O5 output only: 282 + Number using O5 and O6: 694 + Number used as Memory: 392 out of 13,120 2% + Number used as Dual Port RAM: 56 + Number using O6 output only: 12 + Number using O5 and O6: 44 + Number used as Single Port RAM: 4 + Number using O6 output only: 4 + Number used as Shift Register: 332 + Number using O6 output only: 332 + Number used as exclusive route-thru: 107 + Number of route-thrus: 438 + Number using O6 output only: 382 + Number using O5 output only: 51 + Number using O5 and O6: 5 + +Slice Logic Distribution: + Number of occupied Slices: 6,488 out of 11,200 57% + Number of LUT Flip Flop pairs used: 17,046 + Number with an unused Flip Flop: 4,918 out of 17,046 28% + Number with an unused LUT: 4,780 out of 17,046 28% + Number of fully used LUT-FF pairs: 7,348 out of 17,046 43% + Number of unique control sets: 1,288 + Number of slice register sites lost + to control set restrictions: 3,000 out of 44,800 6% + + A LUT Flip Flop pair for this architecture represents one LUT paired with + one Flip Flop within a slice. A control set is a unique combination of + clock, reset, set, and enable signals for a registered element. + The Slice Logic Distribution report is not meaningful if the design is + over-mapped for a non-slice resource or if Placement fails. + OVERMAPPING of BRAM resources should be ignored if the design is + over-mapped for a non-BRAM resource or if placement fails. + +IO Utilization: + Number of bonded IOBs: 255 out of 640 39% + Number of LOCed IOBs: 255 out of 255 100% + IOB Flip Flops: 494 + Number of bonded IPADs: 4 out of 50 8% + Number of bonded OPADs: 2 out of 32 6% + +Specific Feature Utilization: + Number of BlockRAM/FIFO: 23 out of 148 15% + Number using BlockRAM only: 21 + Number using FIFO only: 2 + Total primitives used: + Number of 36k BlockRAM used: 16 + Number of 18k BlockRAM used: 6 + Number of 36k FIFO used: 2 + Total Memory used (KB): 756 out of 5,328 14% + Number of BUFG/BUFGCTRLs: 15 out of 32 46% + Number used as BUFGs: 15 + Number of IDELAYCTRLs: 3 out of 22 13% + Number of BUFDSs: 1 out of 8 12% + Number of BUFIOs: 8 out of 80 10% + Number of DCM_ADVs: 1 out of 12 8% + Number of GTX_DUALs: 1 out of 8 12% + Number of PCIEs: 1 out of 3 33% + Number of LOCed PCIEs: 1 out of 1 100% + Number of PLL_ADVs: 2 out of 6 33% + Number of PPC440s: 1 out of 1 100% + + Number of RPM macros: 64 +Average Fanout of Non-Clock Nets: 3.76 + +Peak Memory Usage: 701 MB +Total REAL time to MAP completion: 18 mins 45 secs +Total CPU time to MAP completion: 17 mins 40 secs + +Mapping completed. +See MAP report file "system_map.mrp" for details. + + + +#----------------------------------------------# +# Starting program par +# par -ise ../__xps/ise/system.ise -w -ol high system_map.ncd system.ncd +system.pcf +#----------------------------------------------# +Release 11.2 - par L.46 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +PMSPEC -- Overriding Xilinx file with local file + + + +Loading device for application Rf_Device from file '5vfx70t.nph' in environment +c:\devtools\Xilinx\11.1\ISE;C:\devtools\Xilinx\11.1\EDK. + "system" is an NCD, version 3.2, device xc5vfx70t, package ff1136, speed -1 + +Constraints file: system.pcf. + "system" is an NCD, version 3.2, device xc5vfx70t, package ff1136, speed -1 +WARNING:ConstraintSystem:65 - Constraint [system.pcf(65973)] + overrides constraint [system.pcf(65972)]. + + +Initializing temperature to 85.000 Celsius. (default - Range: 0.000 to 85.000 Celsius) +Initializing voltage to 0.950 Volts. (default - Range: 0.950 to 1.050 Volts) + +WARNING:Timing:3223 - Timing constraint TS_MC_RDEN_SEL_MUX = MAXDELAY FROM TIMEGRP "TNM_RDEN_SEL_MUX" TO TIMEGRP + "TNM_CLK0" TS_MC_CLK * 4; ignored during timing analysis. +INFO:Timing:3386 - Intersecting Constraints found and resolved. For more information, see the TSI report. Please + consult the Xilinx Command Line Tools User Guide for information on generating a TSI report. + +Device speed data version: "PRODUCTION 1.65 2009-06-01". + + + +Device Utilization Summary: + + Number of BUFDSs 1 out of 8 12% + Number of BUFGs 15 out of 32 46% + Number of BUFIOs 8 out of 80 10% + Number of DCM_ADVs 1 out of 12 8% + Number of FIFO36_72_EXPs 2 out of 148 1% + Number of LOCed FIFO36_72_EXPs 2 out of 2 100% + + Number of GTX_DUALs 1 out of 8 12% + Number of IDELAYCTRLs 3 out of 22 13% + Number of LOCed IDELAYCTRLs 3 out of 3 100% + + Number of ILOGICs 131 out of 800 16% + Number of LOCed ILOGICs 8 out of 131 6% + + Number of External IOBs 255 out of 640 39% + Number of LOCed IOBs 255 out of 255 100% + + Number of IODELAYs 80 out of 800 10% + Number of LOCed IODELAYs 8 out of 80 10% + + Number of External IPADs 4 out of 690 1% + Number of LOCed IPADs 4 out of 4 100% + + Number of JTAGPPCs 1 out of 1 100% + Number of OLOGICs 236 out of 800 29% + Number of External OPADs 2 out of 32 6% + Number of LOCed OPADs 2 out of 2 100% + + Number of PCIEs 1 out of 3 33% + Number of LOCed PCIEs 1 out of 1 100% + + Number of PLL_ADVs 2 out of 6 33% + Number of PPC440s 1 out of 1 100% + Number of RAMB18X2SDPs 5 out of 148 3% + Number of RAMB36SDP_EXPs 6 out of 148 4% + Number of LOCed RAMB36SDP_EXPs 1 out of 6 16% + + Number of RAMB36_EXPs 10 out of 148 6% + Number of LOCed RAMB36_EXPs 6 out of 10 60% + + Number of Slice Registers 12128 out of 44800 27% + Number used as Flip Flops 12127 + Number used as Latches 1 + Number used as LatchThrus 0 + + Number of Slice LUTS 12266 out of 44800 27% + Number of Slice LUT-Flip Flop pairs 17046 out of 44800 38% + + +Overall effort level (-ol): High +Router effort level (-rl): High + +Starting initial Timing Analysis. REAL time: 51 secs +Finished initial Timing Analysis. REAL time: 52 secs + +WARNING:Par:288 - The signal PCIe_Bridge/PCIe_Bridge/sig_sb_txrem_n<0> has no load. PAR will not attempt to route this + signal. +WARNING:Par:288 - The signal PCIe_Bridge/PCIe_Bridge/sig_MB_TxREMn<0> has no load. PAR will not attempt to route this + signal. +WARNING:Par:288 - The signal xps_bram_if_cntlr_1_port_BRAM_Addr<30> has no load. PAR will not attempt to route this + signal. +WARNING:Par:288 - The signal xps_bram_if_cntlr_1_port_BRAM_Addr<31> has no load. PAR will not attempt to route this + signal. +WARNING:Par:288 - The signal PCIe_Bridge/PCIe_Bridge/sig_MB_RxFull has no load. PAR will not attempt to route this + signal. +Starting Router + +INFO:Route:501 - One or more directed routing (DIRT) constraints generated for a specific device have been found. Note + that DIRT strings are guaranteed to work only on the same device they were created for. If the DIRT constraints fail, + verify that the same connectivity is available in the target device for this implementation. + +Phase 1 : 82160 unrouted; REAL time: 1 mins 9 secs + +Phase 2 : 72970 unrouted; REAL time: 1 mins 22 secs + +Phase 3 : 28783 unrouted; REAL time: 3 mins 31 secs + +Phase 4 : 28815 unrouted; (Setup:0, Hold:103206, Component Switching Limit:0) REAL time: 3 mins 57 secs + +Updating file: system.ncd with current fully routed design. + +Phase 5 : 0 unrouted; (Setup:0, Hold:103693, Component Switching Limit:0) REAL time: 5 mins 9 secs + +Phase 6 : 0 unrouted; (Setup:0, Hold:103693, Component Switching Limit:0) REAL time: 5 mins 9 secs + +Phase 7 : 0 unrouted; (Setup:0, Hold:103693, Component Switching Limit:0) REAL time: 5 mins 9 secs + +Phase 8 : 0 unrouted; (Setup:0, Hold:103693, Component Switching Limit:0) REAL time: 5 mins 9 secs + +Phase 9 : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 7 mins 25 secs + +Phase 10 : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 7 mins 57 secs +Total REAL time to Router completion: 7 mins 57 secs +Total CPU time to Router completion: 7 mins 31 secs + +Partition Implementation Status +------------------------------- + + No Partitions were found in this design. + +------------------------------- + +Generating "PAR" statistics. + +************************** +Generating Clock Report +************************** + ++---------------------+--------------+------+------+------------+-------------+ +| Clock Net | Resource |Locked|Fanout|Net Skew(ns)|Max Delay(ns)| ++---------------------+--------------+------+------+------------+-------------+ +|clk_125_0000MHzPLL0_ | | | | | | +| ADJUST | BUFGCTRL_X0Y2| No | 3176 | 0.533 | 2.076 | ++---------------------+--------------+------+------+------------+-------------+ +|PCIe_Bridge/Bridge_C | | | | | | +| lk |BUFGCTRL_X0Y28| No | 1481 | 0.519 | 2.085 | ++---------------------+--------------+------+------+------------+-------------+ +|clk_62_5000MHzPLL0_A | | | | | | +| DJUST | BUFGCTRL_X0Y6| No | 501 | 0.313 | 2.062 | ++---------------------+--------------+------+------+------------+-------------+ +|clk_125_0000MHz90PLL | | | | | | +| 0_ADJUST | BUFGCTRL_X0Y5| No | 165 | 0.262 | 2.028 | ++---------------------+--------------+------+------+------------+-------------+ +|PCIe_Bridge/PCIe_Bri | | | | | | +|dge/comp_block_plus/ | | | | | | +|comp_endpoint/core_c | | | | | | +| lk |BUFGCTRL_X0Y27| No | 92 | 0.338 | 2.085 | ++---------------------+--------------+------+------+------------+-------------+ +|fpga_0_SysACE_Compac | | | | | | +|tFlash_SysACE_CLK_pi | | | | | | +| n_BUFGP | BUFGCTRL_X0Y8| No | 55 | 0.171 | 1.797 | ++---------------------+--------------+------+------+------------+-------------+ +|PCIe_Bridge/PCIe_Bri | | | | | | +|dge/comp_block_plus/ | | | | | | +|comp_endpoint/pcie_b | | | | | | +| lk/gt_usrclk |BUFGCTRL_X0Y29| No | 6 | 0.065 | 1.886 | ++---------------------+--------------+------+------+------------+-------------+ +|fpga_0_Ethernet_MAC_ | | | | | | +|PHY_rx_clk_pin_BUFGP | | | | | | +| |BUFGCTRL_X0Y30| No | 12 | 0.086 | 1.874 | ++---------------------+--------------+------+------+------------+-------------+ +|fpga_0_Ethernet_MAC_ | | | | | | +|PHY_tx_clk_pin_BUFGP | | | | | | +| |BUFGCTRL_X0Y31| No | 6 | 0.004 | 1.941 | ++---------------------+--------------+------+------+------------+-------------+ +|DDR2_SDRAM/DDR2_SDRA | | | | | | +|M/u_ddr2_top/u_mem_i | | | | | | +|f_top/u_phy_top/u_ph | | | | | | +| y_io/delayed_dqs<0> | IO Clk| No | 18 | 0.095 | 0.419 | ++---------------------+--------------+------+------+------------+-------------+ +|DDR2_SDRAM/DDR2_SDRA | | | | | | +|M/u_ddr2_top/u_mem_i | | | | | | +|f_top/u_phy_top/u_ph | | | | | | +| y_io/delayed_dqs<1> | IO Clk| No | 18 | 0.083 | 0.380 | ++---------------------+--------------+------+------+------------+-------------+ +|DDR2_SDRAM/DDR2_SDRA | | | | | | +|M/u_ddr2_top/u_mem_i | | | | | | +|f_top/u_phy_top/u_ph | | | + | | | +| y_io/delayed_dqs<2> | IO Clk| No | 18 | 0.101 | 0.425 | ++---------------------+--------------+------+------+------------+-------------+ +|DDR2_SDRAM/DDR2_SDRA | | | | | | +|M/u_ddr2_top/u_mem_i | | | | | | +|f_top/u_phy_top/u_ph | | | | | | +| y_io/delayed_dqs<3> | IO Clk| No | 18 | 0.107 | 0.404 | ++---------------------+--------------+------+------+------------+-------------+ +|DDR2_SDRAM/DDR2_SDRA | | | | | | +|M/u_ddr2_top/u_mem_i | | | | | | +|f_top/u_phy_top/u_ph | | | | | | +| y_io/delayed_dqs<5> | IO Clk| No | 18 | 0.101 | 0.425 | ++---------------------+--------------+------+------+------------+-------------+ +|DDR2_SDRAM/DDR2_SDRA | | | | | | +|M/u_ddr2_top/u_mem_i | | | | | | +|f_top/u_phy_top/u_ph | | | | | | +| y_io/delayed_dqs<4> | IO Clk| No | 18 | 0.101 | 0.425 | ++---------------------+--------------+------+------+------------+-------------+ +|DDR2_SDRAM/DDR2_SDRA | | | | | | +|M/u_ddr2_top/u_mem_i | | | | | | +|f_top/u_phy_top/u_ph | | | | | | +| y_io/delayed_dqs<6> | IO Clk| No | 18 | 0.096 | 0.393 | ++---------------------+--------------+------+------+------------+-------------+ +|DDR2_SDRAM/DDR2_SDRA | | | | | | +|M/u_ddr2_top/u_mem_i | | | | | | +|f_top/u_phy_top/u_ph | | | | | | +| y_io/delayed_dqs<7> | IO Clk| No | 18 | 0.101 | 0.425 | ++---------------------+--------------+------+------+------------+-------------+ +| clk_125_0000MHzPLL0 | BUFGCTRL_X0Y1| No | 2 | 0.000 | 1.739 | ++---------------------+--------------+------+------+------------+-------------+ +| clk_200_0000MHz | BUFGCTRL_X0Y4| No | 4 | 0.100 | 1.879 | ++---------------------+--------------+------+------+------------+-------------+ +|RS232_Uart_1_Interru | | | | | | +| pt | Local| | 1 | 0.000 | 0.625 | ++---------------------+--------------+------+------+------------+-------------+ +|PCIe_Bridge/PCIe_Bri | | | | | | +|dge/comp_block_plus/ | | | | | | +|comp_endpoint/pcie_b | | | | | | +|lk/SIO/.pcie_gt_wrap | | | | | | +| per_i/icdrreset<0> | Local| | 1 | 0.000 | 0.590 | ++---------------------+--------------+------+------+------------+-------------+ +|Ethernet_MAC/Etherne | | | | | | +| t_MAC/phy_tx_clk_i | Local| | 9 | 3.273 | 3.994 | ++---------------------+--------------+------+------+------------+-------------+ +|ppc440_0_jtagppc_bus | | | | | | +| _JTGC405TCK | Local| | 1 | 0.000 | 1.699 | ++---------------------+--------------+------+------+------------+-------------+ + +* Net Skew is the difference between the minimum and maximum routing +only delays for the net. Note this is different from Clock Skew which +is reported in TRCE timing report. Clock Skew is the difference between +the minimum and maximum path delays which includes logic delays. + +Timing Score: 0 (Setup: 0, Hold: 0, Component Switching Limit: 0) + +Number of Timing Constraints that were not applied: 5 + +Asterisk (*) preceding a constraint indicates it was not met. + This may be due to a setup or hold violation. + +---------------------------------------------------------------------------------------------------------- + Constraint | Check | Worst Case | Best Case | Timing | Timing + | | Slack | Achievable | Errors | Score +---------------------------------------------------------------------------------------------------------- + NET "PCIe_Bridge/Bridge_Clk" PERIOD = 8 n | SETUP | 0.026ns| 7.974ns| 0| 0 + s HIGH 50% | HOLD | 0.030ns| | 0| 0 + | MINPERIOD | 0.000ns| 8.000ns| 0| 0 +------------------------------------------------------------------------------------------------------ + NET "PCIe_Bridge/PCIe_Bridge/comp_block_p | SETUP | 0.026ns| 3.974ns| 0| 0 + lus/comp_endpoint/core_clk" PERIOD = | HOLD | 0.315ns| | 0| 0 + 4 ns HIGH 50% | MINPERIOD | 0.000ns| 4.000ns| 0| 0 +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.012ns| 0.838ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/gen_ | | | | | + dqs[7].u_iob_dqs/en_dqs_sync" MAX | | | | | + DELAY = 0.85 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.015ns| 0.835ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/gen_ | | | | | + dqs[0].u_iob_dqs/en_dqs_sync" MAX | | | | | + DELAY = 0.85 ns | | | | | +------------------------------------------------------------------------------------------------------ + TS_DQ_CE = MAXDELAY FROM TIMEGRP "TNM_DQ_ | SETUP | 0.021ns| 1.879ns| 0| 0 + CE_IDDR" TO TIMEGRP "TNM_DQS_FLOPS" | HOLD | 1.026ns| | 0| 0 + 1.9 ns | | | | | +------------------------------------------------------------------------------------------------------ + TS_clock_generator_0_clock_generator_0_PL | SETUP | 0.027ns| 7.973ns| 0| 0 + L0_CLK_OUT_2_ = PERIOD TIMEGRP "c | HOLD | 0.021ns| | 0| 0 + lock_generator_0_clock_generator_0_PLL0_C | | | | | + LK_OUT_2_" TS_sys_clk_pin * 1.25 | | | | | + HIGH 50% | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.045ns| 0.805ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/gen_ | | | | | + dqs[1].u_iob_dqs/en_dqs_sync" MAX | | | | | + DELAY = 0.85 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.045ns| 0.805ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/gen_ | | | | | + dqs[5].u_iob_dqs/en_dqs_sync" MAX | | | | | + DELAY = 0.85 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.047ns| 0.803ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/gen_ | | | | | + dqs[2].u_iob_dqs/en_dqs_sync" MAX | | | | | + DELAY = 0.85 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.047ns| 0.803ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/gen_ | | | | | + dqs[3].u_iob_dqs/en_dqs_sync" MAX | | | | | + DELAY = 0.85 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.047ns| 0.803ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/gen_ | | | | | + dqs[4].u_iob_dqs/en_dqs_sync" MAX | | | | | + DELAY = 0.85 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.047ns| 0.803ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/gen_ | | | | | + dqs[6].u_iob_dqs/en_dqs_sync" MAX | | | | | + DELAY = 0.85 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.068ns| 0.532ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/en_d | | | | | + qs<1>" MAXDELAY = 0.6 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.071ns| 0.529ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/en_d | | | | | + qs<0>" MAXDELAY = 0.6 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.071ns| 0.529ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/en_d | | | | | + qs<2>" MAXDELAY = 0.6 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.071ns| 0.529ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/en_d | | | | | + qs<3>" MAXDELAY = 0.6 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.071ns| 0.529ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/en_d | | | | | + qs<4>" MAXDELAY = 0.6 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.071ns| 0.529ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/en_d | | | | | + qs<5>" MAXDELAY = 0.6 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.071ns| 0.529ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/en_d | | | | | + qs<6>" MAXDELAY = 0.6 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "DDR2_SDRAM/DDR2_SDRAM/u_ddr2 | MAXDELAY | 0.071ns| 0.529ns| 0| 0 + _top/u_mem_if_top/u_phy_top/u_phy_io/en_d | | | | | + qs<7>" MAXDELAY = 0.6 ns | | | | | +------------------------------------------------------------------------------------------------------ + TS_PCIe_PLB = MAXDELAY FROM TIMEGRP "Brid | SETUP | 0.188ns| 7.812ns| 0| 0 + ge_Clk" TO TIMEGRP "SPLB_Clk" 8 ns | HOLD | 0.516ns| | 0| 0 + DATAPATHONLY | | | | | +------------------------------------------------------------------------------------------------------ + TS_MC_CLK = PERIOD TIMEGRP "mc_clk" 5 ns | MINPERIOD | 1.010ns| 3.990ns| 0| 0 + HIGH 50% | | | | | +------------------------------------------------------------------------------------------------------ + TS_PLB_PCIe = MAXDELAY FROM TIMEGRP "SPLB | SETUP | 1.252ns| 6.748ns| 0| 0 + _Clk" TO TIMEGRP "Bridge_Clk" 8 ns | HOLD | 0.451ns| | 0| 0 + DATAPATHONLY | | | | | +------------------------------------------------------------------------------------------------------ + TSRXIN_Ethernet_MAC = MAXDELAY FROM TIMEG | MAXDELAY | 1.700ns| 4.300ns| 0| 0 + RP "PADS" TO TIMEGRP "RXCLK_GRP_E | HOLD | 1.060ns| | 0| 0 + thernet_MAC" 6 ns | | | | | +------------------------------------------------------------------------------------------------------ + TS_clock_generator_0_clock_generator_0_PL | SETUP | 2.073ns| 5.466ns| 0| 0 + L0_CLK_OUT_0_ = PERIOD TIMEGRP "c | HOLD | 0.307ns| | 0| 0 + lock_generator_0_clock_generator_0_PLL0_C | | | | | + LK_OUT_0_" TS_sys_clk_pin * 1.25 | | | | | + PHASE 2 ns HIGH 50% | | | | | +------------------------------------------------------------------------------------------------------ + TS_sys_clk_pin = PERIOD TIMEGRP "sys_clk_ | MINLOWPULSE | 6.000ns| 4.000ns| 0| 0 + pin" 100 MHz HIGH 50% | | | | | +------------------------------------------------------------------------------------------------------ + TS_clock_generator_0_clock_generator_0_PL | SETUP | 3.700ns| 8.600ns| 0| 0 + L0_CLK_OUT_4_ = PERIOD TIMEGRP "c | HOLD | 0.153ns| | 0| 0 + lock_generator_0_clock_generator_0_PLL0_C | | | | | + LK_OUT_4_" TS_sys_clk_pin * 0.625 | | | | | + HIGH 50% | | | | | +------------------------------------------------------------------------------------------------------ + TS_clock_generator_0_clock_generator_0_PL | SETUP | 3.950ns| 1.050ns| 0| 0 + L0_CLK_OUT_3_ = PERIOD TIMEGRP "c | HOLD | 0.465ns| | 0| 0 + lock_generator_0_clock_generator_0_PLL0_C | MINLOWPULSE | 3.946ns| 1.054ns| 0| 0 + LK_OUT_3_" TS_sys_clk_pin * 2 HIG | | | | | + H 50% | | | | | +------------------------------------------------------------------------------------------------------ + NET "fpga_0_Ethernet_MAC_PHY_tx_clk_pin_B | NETSKEW | 4.392ns| 0.608ns| 0| 0 + UFGP" MAXSKEW = 5 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "fpga_0_Ethernet_MAC_PHY_rx_clk_pin_B | NETSKEW | 4.789ns| 0.211ns| 0| 0 + UFGP" MAXSKEW = 5 ns | | | | | +------------------------------------------------------------------------------------------------------ + TS_clock_generator_0_clock_generator_0_PL | MINPERIOD | 4.900ns| 3.100ns| 0| 0 + L0_CLK_OUT_1_ = PERIOD TIMEGRP "c | | | | | + lock_generator_0_clock_generator_0_PLL0_C | | | | | + LK_OUT_1_" TS_sys_clk_pin * 1.25 | | | | | + HIGH 50% | | | | | +------------------------------------------------------------------------------------------------------ + TSTXOUT_Ethernet_MAC = MAXDELAY FROM TIME | MAXDELAY | 7.423ns| 2.577ns| 0| 0 + GRP "TXCLK_GRP_Ethernet_MAC" TO T | | | | | + IMEGRP "PADS" 10 ns | | | | | +------------------------------------------------------------------------------------------------------ + NET "fpga_0_Ethernet_MAC_PHY_rx_clk_pin_B | SETUP | 10.092ns| 11.165ns| 0| 0 + UFGP" PERIOD = 40 ns HIGH 14 ns | HOLD | 0.473ns| | 0| 0 +------------------------------------------------------------------------------------------------------ + TS_MC_PHY_INIT_DATA_SEL_90 = MAXDELAY FRO | SETUP | 13.832ns| 6.168ns| 0| 0 + M TIMEGRP "TNM_PHY_INIT_DATA_SEL" TO | HOLD | 0.471ns| | 0| 0 + TIMEGRP "TNM_CLK90" TS_MC_CLK * 4 | | | | | +------------------------------------------------------------------------------------------------------ + TS_MC_PHY_INIT_DATA_SEL_0 = MAXDELAY FROM | SETUP | 16.202ns| 3.798ns| 0| 0 + TIMEGRP "TNM_PHY_INIT_DATA_SEL" TO | HOLD | 0.049ns| | 0| 0 + TIMEGRP "TNM_CLK0" TS_MC_CLK * 4 | | | | | +------------------------------------------------------------------------------------------------------ + TS_MC_RDEN_DLY = MAXDELAY FROM TIMEGRP "T | SETUP | 17.943ns| 2.057ns| 0| 0 + NM_RDEN_DLY" TO TIMEGRP "TNM_CLK0" | HOLD | 0.295ns| | 0| 0 + TS_MC_CLK * 4 | | | | | +------------------------------------------------------------------------------------------------------ + TS_MC_GATE_DLY = MAXDELAY FROM TIMEGRP "T | SETUP | 17.975ns| 2.025ns| 0| 0 + NM_GATE_DLY" TO TIMEGRP "TNM_CLK0" | HOLD | 0.030ns| | 0| 0 + TS_MC_CLK * 4 | | | | | +------------------------------------------------------------------------------------------------------ + TS_MC_CAL_RDEN_DLY = MAXDELAY FROM TIMEGR | SETUP | 18.085ns| 1.915ns| 0| 0 + P "TNM_CAL_RDEN_DLY" TO TIMEGRP " | HOLD | 0.096ns| | 0| 0 + TNM_CLK0" TS_MC_CLK * 4 | | | | | +------------------------------------------------------------------------------------------------------ + NET "fpga_0_SysACE_CompactFlash_SysACE_CL | SETUP | 26.710ns| 3.290ns| 0| 0 + K_pin_BUFGP/IBUFG" PERIOD = 30 ns | HOLD | 0.465ns| | 0| 0 + HIGH 50% | | | | | +------------------------------------------------------------------------------------------------------ + NET "fpga_0_Ethernet_MAC_PHY_tx_clk_pin_B | SETUP | 32.431ns| 7.569ns| 0| 0 + UFGP" PERIOD = 40 ns HIGH 14 ns | HOLD | 0.351ns| | 0| 0 +------------------------------------------------------------------------------------------------------ + Pin to Pin Skew Constraint | MAXDELAY | 2106523.523ns| 2106523.837ns| 0| 0 +------------------------------------------------------------------------------------------------------ + TS_MC_RDEN_SEL_MUX = MAXDELAY FROM TIMEGR | N/A | N/A| N/A| N/A| N/A + P "TNM_RDEN_SEL_MUX" TO TIMEGRP " | | | | | + TNM_CLK0" TS_MC_CLK * 4 | | | | | +------------------------------------------------------------------------------------------------------ + NET "PCIe_Bridge/Bridge_Clk" PERIOD = 8 n | N/A | N/A| N/A| N/A| N/A + s HIGH 50% | | | | | +------------------------------------------------------------------------------------------------------ + + +Derived Constraint Report +Derived Constraints for TS_MC_CLK ++-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ +| | Period | Actual Period | Timing Errors | Paths Analyzed | +| Constraint | Requirement |-------------+-------------|-------------+-------------|-------------+-------------| +| | | Direct | Derivative | Direct | Derivative | Direct | Derivative | ++-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ +|TS_MC_CLK | 5.000ns| 3.990ns| 1.542ns| 0| 0| 0| 345| +| TS_MC_PHY_INIT_DATA_SEL_0 | 20.000ns| 3.798ns| N/A| 0| 0| 21| 0| +| TS_MC_PHY_INIT_DATA_SEL_90 | 20.000ns| 6.168ns| N/A| 0| 0| 274| 0| +| TS_MC_GATE_DLY | 20.000ns| 2.025ns| N/A| 0| 0| 40| 0| +| TS_MC_RDEN_DLY | 20.000ns| 2.057ns| N/A| 0| 0| 5| 0| +| TS_MC_CAL_RDEN_DLY | 20.000ns| 1.915ns| N/A| 0| 0| 5| 0| +| TS_MC_RDEN_SEL_MUX | 20.000ns| N/A| N/A| 0| 0| 0| 0| ++-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ + +Derived Constraints for TS_sys_clk_pin ++-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ +| | Period | Actual Period | Timing Errors | Paths Analyzed | +| Constraint | Requirement |-------------+-------------|-------------+-------------|-------------+-------------| +| | | Direct | Derivative | Direct | Derivative | Direct | Derivative | ++-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ +|TS_sys_clk_pin | 10.000ns| 4.000ns| 9.966ns| 0| 0| 0| 636358| +| TS_clock_generator_0_clock_gen| 8.000ns| 5.466ns| N/A| 0| 0| 626| 0| +| erator_0_PLL0_CLK_OUT_0_ | | | | | | | | +| TS_clock_generator_0_clock_gen| 8.000ns| 3.100ns| N/A| 0| 0| 0| 0| +| erator_0_PLL0_CLK_OUT_1_ | | | | | | | | +| TS_clock_generator_0_clock_gen| 8.000ns| 7.973ns| N/A| 0| 0| 624688| 0| +| erator_0_PLL0_CLK_OUT_2_ | | | | | | | | +| TS_clock_generator_0_clock_gen| 5.000ns| 1.054ns| N/A| 0| 0| 2| 0| +| erator_0_PLL0_CLK_OUT_3_ | | | | | | | | +| TS_clock_generator_0_clock_gen| 16.000ns| 8.600ns| N/A| 0| 0| 11042| 0| +| erator_0_PLL0_CLK_OUT_4_ | | | | | | | | ++-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ + +All constraints were met. +INFO:Timing:2761 - N/A entries in the Constraints list may indicate that the + constraint does not cover any paths or that it has no requested value. + + +Generating Pad Report. + +All signals are completely routed. + +WARNING:Par:283 - There are 5 loadless signals in this design. This design will cause Bitgen to issue DRC warnings. + +Loading device for application Rf_Device from file '5vlx50t.nph' in environment +c:\devtools\Xilinx\11.1\ISE;C:\devtools\Xilinx\11.1\EDK. +INFO:ParHelpers:197 - Number of "Exact" mode Directed Routing Constraints: 128 +INFO:ParHelpers:199 - All "EXACT" mode Directed Routing constrained nets successfully routed. The number of constraints + found: 128, number successful: 128 +Total REAL time to PAR completion: 9 mins 1 secs +Total CPU time to PAR completion: 8 mins 19 secs + +Peak Memory Usage: 653 MB + +Placer: Placement generated during map. +Routing: Completed - No errors found. +Timing: Completed - No errors found. + +Number of error messages: 0 +Number of warning messages: 9 +Number of info messages: 4 + +Writing design to file system.ncd + + + +PAR done! + + + +#----------------------------------------------# +# Starting program post_par_trce +# trce -ise ../__xps/ise/system.ise -e 3 -xml system.twx system.ncd system.pcf +#----------------------------------------------# +Release 11.2 - Trace (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. + + +PMSPEC -- Overriding Xilinx file + with local file + +Loading device for application Rf_Device from file '5vfx70t.nph' in environment +c:\devtools\Xilinx\11.1\ISE;C:\devtools\Xilinx\11.1\EDK. + "system" is an NCD, version 3.2, device xc5vfx70t, package ff1136, speed -1 +WARNING:ConstraintSystem:65 - Constraint [system.pcf(65973)] overrides constraint [system.pcf(65972)]. + +WARNING:Timing:3223 - Timing constraint TS_MC_RDEN_SEL_MUX = MAXDELAY FROM + TIMEGRP "TNM_RDEN_SEL_MUX" TO TIMEGRP "TNM_CLK0" TS_MC_CLK * 4; + ignored during timing analysis. +INFO:Timing:3386 - Intersecting Constraints found and resolved. For more + information, see the TSI report. Please consult the Xilinx Command Line + Tools User Guide for information on generating a TSI report. +-------------------------------------------------------------------------------- +Release 11.2 Trace (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. + +trce -ise ../__xps/ise/system.ise -e 3 -xml system.twx system.ncd system.pcf + + +Design file: system.ncd +Physical constraint file: system.pcf +Device,speed: xc5vfx70t,-1 (PRODUCTION 1.65 2009-06-01, STEPPING +level 0) +Report level: error report +-------------------------------------------------------------------------------- + +INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths + option. All paths that are not constrained will be reported in the + unconstrained paths section(s) of the report. +INFO:Timing:3339 - The clock-to-out numbers in this timing report are based on a + 50 Ohm transmission line loading model. For the details of this model, and + for more information on accounting for different loading conditions, please + see the device datasheet. + + +Timing summary: +--------------- + +Timing errors: 0 Score: 0 (Setup/Max: 0, Hold: 0) + +Constraints cover 826342 paths, 18 nets, and 74598 connections + +Design statistics: + Minimum period: 11.165ns (Maximum frequency: 89.566MHz) + Maximum path delay from/to any node: 7.812ns + Maximum net delay: 0.838ns + Maximum net skew: 0.608ns + + +Analysis completed Tue Jun 30 21:57:31 2009 +-------------------------------------------------------------------------------- + +Generating Report ... + +Number of warnings: 2 +Number of info messages: 3 +Total time: 1 mins 36 secs + + +xflow done! +touch __xps/system_routed +xilperl C:/devtools/Xilinx/11.1/EDK/data/fpga_impl/observe_par.pl -error yes implementation/system.par +Analyzing implementation/system.par +********************************************* +Running Bitgen.. +********************************************* +cd implementation; bitgen -w -f bitgen.ut system; cd .. +Release 11.2 - Bitgen L.46 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +PMSPEC -- Overriding Xilinx file + with local file + +Loading device for application Rf_Device from file '5vfx70t.nph' in environment +c:\devtools\Xilinx\11.1\ISE;C:\devtools\Xilinx\11.1\EDK. + "system" is an NCD, version 3.2, device xc5vfx70t, package ff1136, speed -1 +Opened constraints file system.pcf. + +Tue Jun 30 21:58:01 2009 + +Running DRC. +WARNING:PhysDesignRules:1842 - One or more GTXs are being used in this design. + Evaluate the SelectIO-To-GTX Crosstalk section of the Virtex-5 RocketIO GTX + Transceiver User Guide to ensure that the design SelectIO usage meets the + guidelines to minimize the impact on GTX performance. +WARNING:PhysDesignRules:372 - Gated clock. Clock net + PCIe_Bridge/PCIe_Bridge/comp_block_plus/comp_endpoint/pcie_blk/SIO/.pcie_gt_w + rapper_i/icdrreset<0> is sourced by a combinatorial pin. This is not good + design practice. Use the CE pin to control the loading of data into the + flip-flop. +WARNING:PhysDesignRules:372 - Gated clock. Clock net + Ethernet_MAC/Ethernet_MAC/phy_tx_clk_i is sourced by a combinatorial pin. + This is not good design practice. Use the CE pin to control the loading of + data into the flip-flop. +WARNING:PhysDesignRules:367 - The signal + > is incomplete. The signal does + not drive any load pins in the design. +WARNING:PhysDesignRules:367 - The signal + > is incomplete. The signal does not + drive any load pins in the design. +WARNING:PhysDesignRules:367 - The signal + > is incomplete. The signal does not + drive any load pins in the design. +WARNING:PhysDesignRules:367 - The signal + > is incomplete. The signal does not + drive any load pins in the design. +WARNING:PhysDesignRules:367 - The signal + is incomplete. The signal does not drive any load pins in the design. +WARNING:PhysDesignRules:1269 - Dangling pins on + block::. The Q1 output pin of IFF is not + used. +WARNING:PhysDesignRules:1273 - Dangling pins on + block::. The SR pin is used for the IFF + Flip-flop but the SRVAL_Q1 set/reset value is not configured. +WARNING:PhysDesignRules:1269 - Dangling pins on + block::. The Q1 output pin of IFF is not + used. +WARNING:PhysDesignRules:1273 - Dangling pins on + block::. The SR pin is used for the IFF + Flip-flop but the SRVAL_Q1 set/reset value is not configured. +WARNING:PhysDesignRules:1269 - Dangling pins on + block::. The Q1 output pin of IFF is not + used. +WARNING:PhysDesignRules:1273 - Dangling pins on + block::. The SR pin is used for the IFF + Flip-flop but the SRVAL_Q1 set/reset value is not configured. +WARNING:PhysDesignRules:1269 - Dangling pins on + block::. The Q1 output pin of IFF is not + used. +WARNING:PhysDesignRules:1273 - Dangling pins on + block::. The SR pin is used for the IFF + Flip-flop but the SRVAL_Q1 set/reset value is not configured. +WARNING:PhysDesignRules:1269 - Dangling pins on + block::. The Q1 output pin of IFF is not + used. +WARNING:PhysDesignRules:1273 - Dangling pins on + block::. The SR pin is used for the IFF + Flip-flop but the SRVAL_Q1 set/reset value is not configured. +WARNING:PhysDesignRules:1269 - Dangling pins on + block::. The Q1 output pin of IFF is not + used. +WARNING:PhysDesignRules:1273 - Dangling pins on + block::. The SR pin is used for the IFF + Flip-flop but the SRVAL_Q1 set/reset value is not configured. +WARNING:PhysDesignRules:1269 - Dangling pins on + block::. The Q1 output pin of IFF is not + used. +WARNING:PhysDesignRules:1273 - Dangling pins on + block::. The SR pin is used for the IFF + Flip-flop but the SRVAL_Q1 set/reset value is not configured. +WARNING:PhysDesignRules:1269 - Dangling pins on + block::. The Q1 output pin of IFF is not + used. +WARNING:PhysDesignRules:1273 - Dangling pins on + block::. The SR pin is used for the IFF + Flip-flop but the SRVAL_Q1 set/reset value is not configured. +DRC detected 0 errors and 24 warnings. Please see the previously displayed +individual error or warning messages for more details. +Creating bit map... +Saving bit stream in "system.bit". +Bitstream generation is complete. + + +Done! + +Writing filter settings.... + +Done writing filter settings to: + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\__xps\system.filters + +Done writing Tab View settings to: + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\__xps\system.gui + +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\_xps_tempmhsfilename.mhs line 237 - deprecated core for architecture 'virtex5fx'! + +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\_xps_tempmhsfilename.mhs line 282 - deprecated core for architecture 'virtex5fx'! + +Generating Block Diagram to Buffer + +Generated Block Diagram SVG + +At Local date and time: Sat Jul 04 20:43:06 2009 + make -f system.make download started... + +cp -f /cygdrive/c/devtools/Xilinx/11.1/EDK/sw/lib/ppc440/ppc440_bootloop.elf bootloops/ppc440_0.elf +********************************************* +Initializing BRAM contents of the bitstream +********************************************* +bitinit -p xc5vfx70tff1136-1 system.mhs -pe ppc440_0 bootloops/ppc440_0.elf \ +-bt implementation/system.bit -o implementation/download.bit + +bitinit version Xilinx EDK 11.2 Build EDK_LS3.47 +Copyright (c) Xilinx Inc. 2002. + +Parsing MHS File system.mhs... +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line + 251 - deprecated core for architecture 'virtex5fx'! +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\system.mhs line + 296 - deprecated core for architecture 'virtex5fx'! + +Overriding IP level properties ... + +Performing IP level DRCs on properties... + +Running DRC Tcl procedures for OPTION IPLEVEL_DRC_PROC... +Address Map for Processor ppc440_0 + (0b0000000000-0b0011111111) ppc440_0 + (0000000000-0x0fffffff) DDR2_SDRAM ppc440_0_PPC440MC + (0x81000000-0x8100ffff) Ethernet_MAC plb_v46_0 + (0x81400000-0x8140ffff) Push_Buttons_5Bit plb_v46_0 + (0x81420000-0x8142ffff) LEDs_Positions plb_v46_0 + (0x81440000-0x8144ffff) LEDs_8Bit plb_v46_0 + (0x81460000-0x8146ffff) DIP_Switches_8Bit plb_v46_0 + (0x81600000-0x8160ffff) IIC_EEPROM plb_v46_0 + (0x81800000-0x8180ffff) xps_intc_0 plb_v46_0 + (0x83600000-0x8360ffff) SysACE_CompactFlash plb_v46_0 + (0x84000000-0x8400ffff) RS232_Uart_1 plb_v46_0 + (0x85c00000-0x85c0ffff) PCIe_Bridge plb_v46_0 + (0xc0000000-0xdfffffff) PCIe_Bridge plb_v46_0 + (0xe0000000-0xefffffff) PCIe_Bridge plb_v46_0 + (0xf8000000-0xf80fffff) SRAM plb_v46_0 + (0xffffe000-0xffffffff) xps_bram_if_cntlr_1 plb_v46_0 +INFO:EDK:1560 - IPNAME:ppc440_virtex5 INSTANCE:ppc440_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\ppc440_virtex5_v1_ + 01_a\data\ppc440_virtex5_v2_1_0.mpd line 175 - tool is overriding PARAMETER + C_SPLB0_P2P value to 0 + +Computing clock values... +INFO:EDK:1432 - Frequency for Top-Level Input Clock + 'fpga_0_PCIe_Diff_Clk_IBUF_DS_P_pin' is not specified. Clock DRCs will not be + performed for IPs connected to that clock port, unless they are connected + through the clock generator IP. + +INFO:EDK:1432 - Frequency for Top-Level Input Clock + 'fpga_0_PCIe_Diff_Clk_IBUF_DS_N_pin' is not specified. Clock DRCs will not be + performed for IPs connected to that clock port, unless they are connected + through the clock generator IP. + +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:plb_v46_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 70 - tool is overriding PARAMETER + C_PLBV46_NUM_MASTERS value to 1 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:plb_v46_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 71 - tool is overriding PARAMETER + C_PLBV46_NUM_SLAVES value to 12 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:plb_v46_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 72 - tool is overriding PARAMETER + C_PLBV46_MID_WIDTH value to 1 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:plb_v46_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 74 - tool is overriding PARAMETER C_PLBV46_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_bram_if_cntlr INSTANCE:xps_bram_if_cntlr_1 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_bram_if_cntlr_ + v1_00_b\data\xps_bram_if_cntlr_v2_1_0.mpd line 75 - tool is overriding + PARAMETER C_SPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:xps_bram_if_cntlr INSTANCE:xps_bram_if_cntlr_1 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_bram_if_cntlr_ + v1_00_b\data\xps_bram_if_cntlr_v2_1_0.mpd line 76 - tool is overriding + PARAMETER C_SPLB_NUM_MASTERS value to 1 +INFO:EDK:1560 - IPNAME:xps_bram_if_cntlr INSTANCE:xps_bram_if_cntlr_1 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_bram_if_cntlr_ + v1_00_b\data\xps_bram_if_cntlr_v2_1_0.mpd line 80 - tool is overriding + PARAMETER C_SPLB_SMALLEST_MASTER value to 128 +INFO:EDK:1560 - IPNAME:bram_block INSTANCE:xps_bram_if_cntlr_1_bram - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a + \data\bram_block_v2_1_0.mpd line 69 - tool is overriding PARAMETER C_MEMSIZE + value to 0x2000 +INFO:EDK:1560 - IPNAME:bram_block INSTANCE:xps_bram_if_cntlr_1_bram - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a + \data\bram_block_v2_1_0.mpd line 70 - tool is overriding PARAMETER + C_PORT_DWIDTH value to 64 +INFO:EDK:1560 - IPNAME:bram_block INSTANCE:xps_bram_if_cntlr_1_bram - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\bram_block_v1_00_a + \data\bram_block_v2_1_0.mpd line 72 - tool is overriding PARAMETER C_NUM_WE + value to 8 +INFO:EDK:1560 - IPNAME:xps_uartlite INSTANCE:RS232_Uart_1 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_uartlite_v1_01 + _a\data\xps_uartlite_v2_1_0.mpd line 73 - tool is overriding PARAMETER + C_SPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:xps_gpio INSTANCE:LEDs_8Bit - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_gpio_v2_00_a\d + ata\xps_gpio_v2_1_0.mpd line 71 - tool is overriding PARAMETER C_SPLB_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_gpio INSTANCE:LEDs_Positions - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_gpio_v2_00_a\d + ata\xps_gpio_v2_1_0.mpd line 71 - tool is overriding PARAMETER C_SPLB_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_gpio INSTANCE:Push_Buttons_5Bit - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_gpio_v2_00_a\d + ata\xps_gpio_v2_1_0.mpd line 71 - tool is overriding PARAMETER C_SPLB_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_gpio INSTANCE:DIP_Switches_8Bit - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_gpio_v2_00_a\d + ata\xps_gpio_v2_1_0.mpd line 71 - tool is overriding PARAMETER C_SPLB_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_iic INSTANCE:IIC_EEPROM - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_iic_v2_01_a\da + ta\xps_iic_v2_1_0.mpd line 79 - tool is overriding PARAMETER C_SPLB_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_mch_emc INSTANCE:SRAM - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_mch_emc_v3_00_ + a\data\xps_mch_emc_v2_1_0.mpd line 82 - tool is overriding PARAMETER + C_SPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:xps_mch_emc INSTANCE:SRAM - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_mch_emc_v3_00_ + a\data\xps_mch_emc_v2_1_0.mpd line 84 - tool is overriding PARAMETER + C_SPLB_SMALLEST_MASTER value to 128 +INFO:EDK:1560 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plbv46_pcie_v3_00_ + b\data\plbv46_pcie_v2_1_0.mpd line 86 - tool is overriding PARAMETER + C_MPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plbv46_pcie_v3_00_ + b\data\plbv46_pcie_v2_1_0.mpd line 87 - tool is overriding PARAMETER + C_MPLB_SMALLEST_SLAVE value to 128 +INFO:EDK:1560 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plbv46_pcie_v3_00_ + b\data\plbv46_pcie_v2_1_0.mpd line 89 - tool is overriding PARAMETER + C_SPLB_MID_WIDTH value to 1 +INFO:EDK:1560 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plbv46_pcie_v3_00_ + b\data\plbv46_pcie_v2_1_0.mpd line 90 - tool is overriding PARAMETER + C_SPLB_NUM_MASTERS value to 1 +INFO:EDK:1560 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plbv46_pcie_v3_00_ + b\data\plbv46_pcie_v2_1_0.mpd line 91 - tool is overriding PARAMETER + C_SPLB_SMALLEST_MASTER value to 128 +INFO:EDK:1560 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plbv46_pcie_v3_00_ + b\data\plbv46_pcie_v2_1_0.mpd line 95 - tool is overriding PARAMETER + C_SPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:ppc440_0_SPLB0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 70 - tool is overriding PARAMETER + C_PLBV46_NUM_MASTERS value to 1 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:ppc440_0_SPLB0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 71 - tool is overriding PARAMETER + C_PLBV46_NUM_SLAVES value to 1 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:ppc440_0_SPLB0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 72 - tool is overriding PARAMETER + C_PLBV46_MID_WIDTH value to 1 +INFO:EDK:1560 - IPNAME:plb_v46 INSTANCE:ppc440_0_SPLB0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\plb_v46_v1_04_a\da + ta\plb_v46_v2_1_0.mpd line 74 - tool is overriding PARAMETER C_PLBV46_DWIDTH + value to 128 +INFO:EDK:1560 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_ethernetlite_v + 2_01_a\data\xps_ethernetlite_v2_1_0.mpd line 75 - tool is overriding + PARAMETER C_SPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:xps_sysace INSTANCE:SysACE_CompactFlash - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_sysace_v1_01_a + \data\xps_sysace_v2_1_0.mpd line 72 - tool is overriding PARAMETER + C_SPLB_DWIDTH value to 128 +INFO:EDK:1560 - IPNAME:xps_sysace INSTANCE:SysACE_CompactFlash - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_sysace_v1_01_a + \data\xps_sysace_v2_1_0.mpd line 74 - tool is overriding PARAMETER + C_SPLB_MID_WIDTH value to 1 +INFO:EDK:1560 - IPNAME:xps_sysace INSTANCE:SysACE_CompactFlash - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_sysace_v1_01_a + \data\xps_sysace_v2_1_0.mpd line 75 - tool is overriding PARAMETER + C_SPLB_NUM_MASTERS value to 1 +INFO:EDK:1560 - IPNAME:xps_intc INSTANCE:xps_intc_0 - + C:\devtools\Xilinx\11.1\EDK\hw\XilinxProcessorIPLib\pcores\xps_intc_v2_00_a\d + ata\xps_intc_v2_1_0.mpd line 72 - tool is overriding PARAMETER C_SPLB_DWIDTH + value to 128 + +Checking platform address map ... + +Initializing Memory... +Running Data2Mem with the following command: +data2mem -bm "implementation/system_bd" -bt "implementation/system.bit" -bd +"bootloops/ppc440_0.elf" tag ppc440_0 -o b implementation/download.bit +Memory Initialization completed successfully. + +********************************************* +Downloading Bitstream onto the target board +********************************************* +impact -batch etc/download.cmd +Release 11.2 - iMPACT L.46 (nt) +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. +Preference Table +Name Setting +StartupClock Auto_Correction +AutoSignature False +KeepSVF False +ConcurrentMode False +UseHighz False +ConfigOnFailure Stop +UserLevel Novice +MessageLevel Detailed +svfUseTime false +SpiByteSwap Auto_Correction +AutoDetecting cable. Please wait. +Connecting to cable (Usb Port - USB21). +Checking cable driver. + Driver file xusb_xp2.sys found. + Driver version: src=2301, dest=2301. + Driver windrvr6.sys version = 9.0.0.0. WinDriver v9.00 Jungo (c) 1997 - 2007 Build Date: Mar 27 2007 X86 32bit SYS +13:58:07, version = 900. + Cable PID = 0008. + Max current requested during enumeration is 300 mA. +Type = 0x0005. + Cable Type = 3, Revision = 0. + Setting cable speed to 6 MHz. +Cable connection established. +Firmware version = 2401. +File version of c:/devtools/Xilinx/11.1/ISE/data/xusb_xp2.hex = 2401. +Firmware hex file version = 2401. +PLD file version = 200Dh. + PLD version = 200Dh. +Identifying chain contents...'0': : Manufacturer's ID = Xilinx xc5vfx70t, Version : 6 +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/virtex5/data/xc5vfx70t.bsd... + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'1': : Manufacturer's ID = Xilinx xccace, Version : 0 +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'2': : Manufacturer's ID = Xilinx xc95144xl, Version : 5 +INFO:iMPACT:501 - '1': Added Device xc5vfx70t successfully. +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/acecf/data/xccace.bsd... +INFO:iMPACT:501 - '1': Added Device xccace successfully. + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'3': : Manufacturer's ID = Xilinx xcf32p, Version : 15 +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/xc9500xl/data/xc95144xl.bsd... +INFO:iMPACT:501 - '1': Added Device xc95144xl successfully. + +---------------------------------------------------------------------- +---------------------------------------------------------------------- +'4': : Manufacturer's ID = Xilinx xcf32p, Version : 15 +---------------------------------------------------------------------- +---------------------------------------------------------------------- +done. +Elapsed time = 0 sec. +Elapsed time = 0 sec. +'5': Loading file 'implementation/download.bit' ... +INFO:iMPACT:1777 - + Reading c:/devtools/Xilinx/11.1/ISE/xcfp/data/xcf32p.bsd... +INFO:iMPACT:501 - '1': Added Device xcf32p successfully. +INFO:iMPACT:501 - '1': Added Device xcf32p successfully. + +done. +UserID read from the bitstream file = 0xFFFFFFFF. +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +Maximum TCK operating frequency for this device chain: 10000000. +Validating chain... +Boundary-scan chain validated successfully. +5: Device Temperature: Current Reading: 72.52 C, Min. Reading: 30.69 C, Max. +Reading: 74.49 C +5: VCCINT Supply: Current Reading: 0.993 V, Min. Reading: 0.993 V, Max. +Reading: 1.002 V +5: VCCAUX Supply: Current Reading: 2.496 V, Min. Reading: 2.493 V, Max. +Reading: 2.508 V +INFO:iMPACT:501 - '5': Added Device xc5vfx70t successfully. + +'5': Programming device... + Match_cycle = 2. +done. +'5': Reading status register contents... +CRC error : 0 +Decryptor security set : 0 +DCM locked : 1 +DCI matched : 1 +End of startup signal from Startup block : 1 +status of GTS_CFG_B : 1 +status of GWE : 1 +status of GHIGH : 1 +value of MODE pin M0 : 1 +value of MODE pin M1 : 0 +Value of MODE pin M2 : 1 +Internal signal indicates when housecleaning is completed: 1 +Value driver in from INIT pad : 1 +Internal signal indicates that chip is configured : 1 +Value of DONE pin : 1 +Indicates when ID value written does not match chip ID: 0 +Decryptor error Signal : 0 +System Monitor Over-Temperature Alarm : 0 +startup_state[18] CFG startup state machine : 0 +startup_state[19] CFG startup state machine : 0 +startup_state[20] CFG startup state machine : 1 +E-fuse program voltage available : 0 +SPI Flash Type[22] Select : 1 +SPI Flash Type[23] Select : 1 +SPI Flash Type[24] Select : 1 +CFG bus width auto detection result : 0 +CFG bus width auto detection result : 0 +Reserved : 0 +BPI address wrap around error : 0 +IPROG pulsed : 0 +read back crc error : 0 +Indicates that efuse logic is busy : 0 + Match_cycle = 2. +'5': Programmed successfully. +Elapsed time = 11 sec. +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +---------------------------------------------------------------------- +INFO:iMPACT:2219 - Status register values: +INFO:iMPACT - 0011 1111 1011 1110 0000 1011 1000 0000 +INFO:iMPACT:579 - '5': Completed downloading bit file to device. +INFO:iMPACT - '5': Programing completed successfully. +INFO:iMPACT - '5': Checking done pin....done. + + + +Done! + +At Local date and time: Sat Jul 04 20:43:42 2009 + make -f system.make program started... + +powerpc-eabi-gcc -O0 /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/BlockQ.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/blocktim.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/comtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/countsem.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/death.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/dynamic.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/flash.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/GenQTest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/integer.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/QPeek.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/recmutex.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../Common/Minimal/semtest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/tasks.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/list.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/queue.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/croutine.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/portasm.S /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/GCC/PPC440_Xilinx/port.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/../../../Source/portable/MemMang/heap_2.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop-reg-test.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/flop/flop.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/partest/partest.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/serial/serial.c /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/main.c -o RTOSDemo/executable.elf \ + -mcpu=440 -Wl,-T -Wl,/cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/RTOSDemo/RTOSDemo_linker_script.ld -g -I./ppc440_0/include/ -I../../Source/include -I../Common/include -I./RTOSDemo -I./RTOSDemo/flop -L./ppc440_0/lib/ \ +-D GCC_PPC440 -mregnames +powerpc-eabi-size RTOSDemo/executable.elf + text data bss dec hex filename + 53174 372 86528 140074 2232a RTOSDemo/executable.elf + + +Done! + +start xbash -noblock -q -c "cd /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/; xmd -xmp system.xmp -opt etc/xmd_ppc440_0.opt -lp /cygdrive/c/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/; exit;" + +Writing filter settings.... + +Done writing filter settings to: + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\__xps\system.filters + +Done writing Tab View settings to: + C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\__xps\system.gui + +Xilinx Platform Studio (XPS) +Xilinx EDK 11.2 Build EDK_LS3.47 + +Copyright (c) 1995-2009 Xilinx, Inc. All rights reserved. + +WARNING:EDK:1582 - IPNAME:plbv46_pcie INSTANCE:PCIe_Bridge - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\_xps_tempmhsfilename.mhs line 237 - deprecated core for architecture 'virtex5fx'! + +WARNING:EDK:1582 - IPNAME:xps_ethernetlite INSTANCE:Ethernet_MAC - C:\E\Dev\FreeRTOS\WorkingCopy3\Demo\PPC440_Xilinx_Virtex5_GCC\_xps_tempmhsfilename.mhs line 282 - deprecated core for architecture 'virtex5fx'! + +Generating Block Diagram to Buffer + +Generated Block Diagram SVG + +At Local date and time: Sun Jul 05 09:35:22 2009 + make -f system.make hwclean started... + +rm -f implementation/system.ngc +rm -f platgen.log +rm -f __xps/ise/_xmsgs/platgen.xmsgs +rm -f implementation/system.bmm +rm -f implementation/system.bit +rm -f implementation/system.ncd +rm -f implementation/system_bd.bmm +rm -f implementation/system_map.ncd +rm -f __xps/system_routed +rm -rf implementation synthesis xst hdl +rm -rf xst.srp system.srp +rm -f __xps/ise/_xmsgs/bitinit.xmsgs + + +Done! + +At Local date and time: Sun Jul 05 09:35:36 2009 + make -f system.make swclean started... + +rm -rf ppc440_0/ +rm -f libgen.log +rm -f __xps/ise/_xmsgs/libgen.xmsgs +rm -f RTOSDemo/executable.elf + + Done! Writing filter settings.... diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/system.make b/Demo/PPC440_Xilinx_Virtex5_GCC/system.make index 1583668ae..5cb4f0093 100644 --- a/Demo/PPC440_Xilinx_Virtex5_GCC/system.make +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/system.make @@ -245,6 +245,7 @@ $(SYSTEM_HW_HANDOFF_BMM): implementation/$(SYSTEM)_bd.bmm ################## BEHAVIORAL SIMULATION ################## $(BEHAVIORAL_SIM_SCRIPT): $(MHSFILE) __xps/simgen.opt \ + $(WRAPPER_NGC_FILES) \ $(BRAMINIT_ELF_FILES) @echo "*********************************************" @echo "Creating behavioral simulation models..." diff --git a/Demo/PPC440_Xilinx_Virtex5_GCC/system_incl.make b/Demo/PPC440_Xilinx_Virtex5_GCC/system_incl.make index 87949c61c..78aad3208 100644 --- a/Demo/PPC440_Xilinx_Virtex5_GCC/system_incl.make +++ b/Demo/PPC440_Xilinx_Virtex5_GCC/system_incl.make @@ -57,9 +57,9 @@ TIMING_SIM_SCRIPT = simulation/timing/$(SYSTEM)_setup.do DEFAULT_SIM_SCRIPT = $(BEHAVIORAL_SIM_SCRIPT) -MIX_LANG_SIM_OPT = -mixed yes +MIX_LANG_SIM_OPT = -mixed no -SIMGEN_OPTIONS = -p $(DEVICE) -lang $(LANGUAGE) $(SEARCHPATHOPT) $(BRAMINIT_ELF_FILE_ARGS) $(MIX_LANG_SIM_OPT) -msg __xps/ise/xmsgprops.lst -s mti -X C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/ +SIMGEN_OPTIONS = -p $(DEVICE) -lang $(LANGUAGE) $(SEARCHPATHOPT) $(BRAMINIT_ELF_FILE_ARGS) $(MIX_LANG_SIM_OPT) -msg __xps/ise/xmsgprops.lst -sd implementation/ -s mti -X C:/E/Dev/FreeRTOS/WorkingCopy3/Demo/PPC440_Xilinx_Virtex5_GCC/ LIBRARIES = \ -- 2.39.5

joEg zquzlux2PKq%dK)YE3kxyFUxuq#CqLp`?6j%nRQjWR2kxuRD$I9iuk#e=%dIS*xQeG z6-~GgP=A4Vy@0yNjVie^!aHW8TBw}i${2(Afs**FF_7-u8ZY*Tr(1FSJb%n*)}`@M ze{`w-cv)8>D0lu|b~zC}0J=+6)_KmuiTDA|NqD-gavo2_Zl$j@lWQoqm`}}u8uTqT z2f~2dZ?O)TlWXv|I0f)@>p20r{YovK&eMJFY-EXjMvb3td!0eP4-LxM}h2#F+j5yQgae5{7?4*!&kG!97$l}+txF5g7a@FoN zPN`&l_|AHiNkdmMXy%PH_c3a-Ig5rLqsz@}X`W-WA#({0JH`;2x6(ZPsl8?~jp$E* zp}xk8P2@S$$9XA<;dIsSyx_#(2gGd+5_^Y?oCUeyF1Sz=F?%&rc|M8Jd$sF%_{6vz zjR%j_$IsEu=LOjOe%!RO`sb^kxfjuvoATuBBF1CW$MV1tD$BG$P8*@`Gp&*PjL`B- z#d6jN<44mLc|bCCx+xXn!$?~9WD39?;0f>mcxm1H-JS;YlL4fs0TYbdvuXnXjFKF9 z(%p-B?->^fPrCEIef{v7JsP9qreJB-r`)|RjD#H`W{!vHqSDkA%4xdT>nd+YG<8uU zzSojSUD8ObvEZCXTHPGTJnoTJ$mSi%RVyIfW0-wQFEf<>ok-d1A4-ch5tU)GsC;#~ za=Hu>GclDBvdE3I;4(!z~;41kKyj&yS;==<45`AmwKK-#fM~%N<$N$LekR>I=(xC zfK}zQ_tga|Oj$&o(qv zxVItshJzKJZTbYmjtY-LWTRnUg;$}TV%Rn6b{{!!IGjklum2k&lTb;B-tbu;E=m6# zXs2K5hDL@3FkHq6(IGP$52id%n5>Xif#I)xQvb0_a)ja2Gnn zTp(q7eSvuhRaH>6=~$lqzriSHY6Z(Byg-^@7UEZc=^%8@ht2_o_$NTW0$KtThGqjj z3LWF1raOT`1oP{I0ds;n8^q<&wwR$~3@b=R2 zskISoP4~dw*xP?U{H~`8&(#cj@{rlwy~7?n{T41V>}5o-+_pqFqdt`jJ^>{X!Udmz zQooD~K7j{}2vHF{6h~xfTn?s;n*|vktDFOgSbD=#Zf`AQiaTJ(j*~)JA5`TIn5YjR zuXv0s@;&~Pq_MxB+|bJ1rNiGrhPj6!%cK4u5rM`jXO4@)X+h;|cM-dpTF!c*t>n>c zv2?9J&)pW8g8E95)3hWM`-w!{RKNro|D{c(Of+61&(3CIcSvfo6)h;KWL|deU7Qv4 z`-|=p!Yp&Mb0!EG4N^!FN4~jz&K$@RN4nXt+|aL}MCn)tqryE3vM8QYcy{Tg8@d!8 zT@4=_E-SoNK^Damu7JCcMR859`(4PQSlo-h%h(2QrO_4K_c%{$!l0~@xXVfzgagJ4 ztg(XviQ6dJkv}P_WJh z`UBAU?f4*Mz2QzVy zPv*X+kKJ11 z2@venhVk%a<+^O6m0KtX$$# zsb_U?w`a8w5D8d(7hNDJuFkuQy(igHT|5mnLy}s(Xd32{WLfo+Y3NOoMb!nVNb zSC?Kud4X(V_65uy$!e$K0(zlji8J>C_6NzQ&Y}&dI7zxQX9MP}WTkV@D5eBLN_UMC z9w5&li6ge3G5ha#9Qrm6il_4uONRU;^ev+DA&!LhPLw+oB!PI(4MM7g8l-MjQX$in zc3A1A3rPX5(J}5BZ-S#?p{P#iA4&}o%^i=dEv8>!Xj4TcLdGM;dC^v(ACtNsw81${`ro3Z!XPHiLnI%c z$`9X)wpz~`wkzB+AgOX>uN!2J6Z`byAak5}W-qyg^PL#qi^*k1i^FS)7G`+8VQE;TU0ItB zcS3Ko`_b@qkmc=#WSaAejO7w4YRk^(yq+}R z$_8X^Y{(?Mve|orw-@v?iDk!RkbUJ_6R+7{0PaqDGhG1g&MD2l0&sVdn%Ujp?u=>< z>;`wIZ!@hM+?`3yKHcE%Bs8-oV$Z2}@n#K&u2X%_ix}puQ_qpC?a6zD-iGx5fB*Jr z4D5?~rd@4B_r>~Z{EW1|NMG#|qkCUWn`V~Lr!Trq`>7G%7gwku8duutNfy|@FPCrQfA>mdFpA!HYq z9q(O9?xBNjd&wNkVo(Iq|iGl3n@;=P8LD%zi}JB?%lJF=B>UPm3SSDvn++MlCstNQgHQ2dSM;#5|;ofd{Qx62sH5{$TZ{HK#rbQd^-h4Pn zEs2hoT_9+se({nE_#pkpvd#*x`UZ-fF+?MC4x8MDeCnbut9}4q!&jq(0qU=iGXh%| zxmhFs?WSr7AOxKKW*>UlwSDN{;q&)v9CG;fIPXkyX?!blpe%kSlbz`<$CvKx)SNoD zzpSt`tTk|z`+)nGxQF$Hniq3p%>NwQZ{L|c-?^+a%oTZ;e#`Ak+|<+<*zY;lXm|Yf3*qXHDn9|~VS+-iSsk|Y zN7d(m<$%Y*=rXAcrSPGn@^|1=KLT9<)bGfQp4jB7vMsd_O!e^Q{_xu0goOI1o|U8@ z{D%F(7?xaR-vfp(g8B9zsLY-i9KO;^jGi?&+jJXfG!8#TIZYUI2Y3QJ0A8mF{%!y= zfCK=$KiK`j?hkf*U3+yL`Al+* zU@jCzjWavgKZtzC{X1B3qNH(p2j{GaFz#i8^qrXwPaCA~6gWzo^7^qqTRvp08)62ezfW>dNZ|A};3(?SXHUnx0TWWnL3f^3E#vorRN z#+Mh+8F@!r$isHVOwlCq7@g5mw3~SzopI+h1fE|7|C~0BN30O6(}eRl6;bQ7D|lWN zu`e}jUQk8kOKrXpRS`qdBp9g`(Io9gqgzEBqM;bQNBM|0%ZM8lWN2cI%+aX-yQ2L~ zZHW=%%Fe}Ik%kR|obeVZYj9yM{+)C>Xe>*F2Srj3(&#AjT<9hXMOr(GbFHYAjFS9n}+ z*jUzI;T5ilXE$Ia7$bMaP;e$7!vQz4I$&d@E${{MWIpM?}WYfmb}vxVtNlO!Tn2 zIS;v*0{T(471Fav>d^a<=CXq>x`1=sL=yUTGnbj7##xG(>ze6Ge?|0l*k-{f;=(na zO1i5!li+7%_ROpEOwgZ#Z5G0N>i^97 zKp|s{b>5UB^c&->H=%_bf9Bt&U}2Cy+rvZ~pPCr86@9~U*50RZ%hEkGl`3h8k!v$}Cltx?tDZUV>ZTRpEEe*p3+NV|yzpuV(Vf^r59iPaC| z)*|1-HVbC0evT=imo>uLrukIq*B5tDOH>kT`5QIqN=~g{qc%e6RU7q2vr-vU8~aAf zaiD4=DVhSv+?ei9I18B@)BN#Okh$?JygW8A!agi19QdGebA;+31GdaDO(%FykXwAH zka~B>GLu@|P83@3)I~+OUP~Ai5(d;*Sg^}Nav-Z=mxbcc`@t@YI~`nfTWb75>6=90 zq6-BV9np~@iwcBy&R|(=pql9r$|8@bavhPfm?P?Gj+wIP64eGrm@KYDO?Ct|fs5{- z#jgombc-!lxukt|DfR4OQ&*$#ZkzOJdu**VusrQCm7IzY& zfL#_(l0FfnCcK!e8nKY(#pH~O-J2oVjk`Em1nZl2ANLG9_Cc zO56u4>f#Pu9;~Q&9fTiYMJ?{YPlpwCQ3vr$SW%ahL&~^tSVIY~VMQ%1$9qZ=V3&os zOG1G)6t@6Y)Z9m~h6che3qA%`)SO4eFC?G{#l)?V1P|sj34cjwgC$ISfJ8VbXTs?+ zcQ_&nr^_M-^I8bMN_+;3Tkx|XQ`Mpt;uQ(r346(#^%;geo#eMjl;LP6oDf?Datagz z;%g6)GsyHWO=WUFGRPO*Fvfa=e9=;nFOp0{U6ZE9&z*)jid?r(9m3VcwrS!dQ{!`{ z5lazvSxbd`nm)e_1cnFbYfOqEb|d32Q|(Y-CLB1H4AC;_hfU=}KAE&)(>-H!Yt&`+ zN5)yLv71#@l7*1U0YAV9(!7vXIkltMt;{Xvg~p)P;J4a?N>pnYLG!aCBtD&tS#K?i zUr0v(ZM^|$mPlLK*B}KF!+F}9!bWKJ8RL2 zHr<{&EX+$N8{t@_oGJRB0My0WxJy#??NfCXp)seo&M5WsQ3 zGQjTu2H@&94ot)~2j(Pvo`lbBJFWQJ+X3}}9RT35z+-{O0*?iL2KbqJYhJzFkIm@| z1keCJ02UwszyM6zIDjBFrx#I?Y@QD|pNM$PoKW_^B6@RB9hao)Fmh$#B=sX>yet?| zwHW!bFhqUVm?#U$P+c&F$|5q;rY~w|#x{W+rc$9j~IK>v+ zrD``u*}}TiBgP~f$no?W!)+0()Dy-8KKGeQV~pj8K7-TeDSTKzjK*MoxG$VO7xIJK z;PiPUKdcQ-pU>n&u-G|c7(b#={n9wS6N1GMV@zl0eGtx_-N{Q*bs0lC!;{p{j8i*< zFRR*&(VbzJ)%T6FIzu+AE*m2{BQ~qwI#LHkuRxGLXi)YFze_r2Q1Xhnz=|5oB!eV( zx?zVmt`IRB_IYCpVMEGK$h^S1CYm+wUJ+NXr6_Tu{1p6Qh<`Zm^4~x!Rh?0Wj)o85 zezo!kQyU4ht=@z4>%fn@Ok5?TyrTUsE)}9*F&N@)LU%H3$%};ey3hfF){+~q$i${v zHaL4-1Tt($Ed|G5gDa5CnWLgP(w(PV?sefSR6kfVojY3bX~@s^`9jEzw%%MJgxqL< zn+ud^@93?B-z{-v{VrA})dTcT{8~fV>Ti|8R^EQqS!)iQ)5QMIvM#>38}(4P&%Oi# zk|OdUSDCL?z}ZTKE0B#-pYm)=IAH-FUr&|#)ROa zx)e6$LFJ!~9JbHs^$^g%HY!$BCud2ay)6yYF z1i71W!@Ri$Q%UW;`7(svYfhsPD`Au5dtU4?Y?928)b-?rqP8L1%MR5BRdO1@9ppX6 zoM(z1M-Rg$$!wm_Fl>@+S(>GJ2fzZffKV?d00VIKn|55pwRYS|_&f=p z+jh=$zr7t$57+?!9t%7ccr5T(;AeoJ0e%Mf8Q^PyuLZsq_}ZP3_~+XJ^?)4!;DNvc zfd>K)1bzhg5#UFF9|2whyaad&@b~VENc|MRz5XrKXwkf0dJFxu=%ZfW7TRjj;$C(O<4-tm9+*q@6G_Ks zb%rKrZYmj_yaa8R(xWr{J?ckmes=1F`zmCOVgE2?l|I0*d)WOMQfxRf?D-7jjMT#( zzQ`6s^RSn%9&2bwbZbLW4F?m+ZTb+yjzow>US`;rNGa4a47*$&7g!PESv8~!oReZ= z4PgUwr8uI7vVr{+vL>Q8usGrwHSQZY2gF`A_*cvVaa@gO9si8>frBs#!EXZ&_9z6u zy?0Q&A^1)02z1FtAkY^ZuSmwFLhxH}Ex8_vC~K`HrNCxl^|*f{1izhhgt;>NF-P^= zOdQ8_@5l$LIO~%5j0ul4{U(U`E0xB!=}_0f7u2r9UDw;nG&)Q;(pk1wM+n!CmLWRy z09$CzrFmpBdd(YX#JZ3N*oR1;eHP8DF3zD1l3<+2Wo}#PFSI^9T5BX-yO`(R8j}L~ zU2@_JLa~2K*2R~0pgbfQ&hs+-R@y1^S@6#C?`R%Ml6qtvAYVf0k(A@+OT&9I%L(5} z**$a1@zLO&%_t|H2JdXzBiw3fY){rB!k^N>9`PgmRefFAahq2{1E%ae-|eDquH8!x zsnVpTqelL4IHLZ`m^d7qp*m~~9S+M-|7x5z9CA~2#V8nt6u$3_$%))3mDb2h42@D> zGfqk5b*YXUqY}fr)V~{(5`$N%u1XeE=iJ4BphY$8oo@pfw#H84ZRD-Jy#jSxUvJk` z;9}ufWiO=C)Ss{;kT(-~VsEQJpV9BP*H_@zB0t&pS76ubFWPrk5T7G&>_QP)EsKPdnVgkW0+b~Kv0)E+pqv#|0BlaDm_!3Bv5k2HL5%N}b&lp!5@>YGxILB2$ zM!m3@kPok~m-cAfz%R=uXl3A+6{NsZZZa4&mPlsX#sgo|l`7l&cTdTM$d z`T-+ETm;*s5ou}{#3FF7tF}XG#{q8-dP5Dj*V}8a&fSg`(n49EiKYmBLOF}zm2TTwpNJ>1V+?&a*MFc%r+tn;D-p@ zJYxPWiWLSuVta@J$EVt&x1#^GoaJ6sxPg+YNZjiNb5Xps7YB1uEbk@5Tol*zVqh+c z=k=0cE{Z?uCBR%1FYcwlToixOi_WE9)gs`Rg$&X+!7mFLq`SZ`yPy*PqxGnveweTd z`3vSEdX@e#%tib&4)vR^UM`L5PfezAWG?NuS*9>FK;qyp(%cx zS;sq~{>?GdJGex(&^q6FuokpKK0rpn)$uv~=u+fTS&NI)$bMn+9>1DVgrcDvSu}GZ z&ApM+Y{t>>nM}Dkl;)Yq9x^j&*t!^{<`j?J8m`p-!K1j+`iTRq8uKPzpsU0}oTJ0p z)0?Rip$~{tCA|1Wp@j1idi;_))DvAt*%=w(Ny8)X+oIXJ7Vz7WCU`m8QmJoGb_f1y z!-leEnHO76E^Dy4?ME`p4%*23^@6e;Hc~!PS+>tc$=Car?XtQ5gcOw>wt4=fPcGBi zJhsA4Nm_GIB^_^CA*WW-c9?>U@xxJwYK~Oivw(^HMOxF7+Jc%To!2wJ1@pD^qn`8@ z^ruqDdDepcPx?uKSPN~>kLn4Qw))skFvx-_pkGZ%Y9`f>H#yQpmqZT5~%d{)DK zJBZ7?XMb$=k$bhXUYlnQq1ri~X8e#_5p$P0YzSAxUSMVok&9U0o2L(9ia0T5&mqzX z^9ys#5MhM9#vCw28Dafpo;`#f0ofMpkb5%75rqulli9^)2ITx;{c4^%giYqmHhTtZn8Ve0<9HAkwAUNMgU2wYkST%3 zuxaD&y4ZTHw-Tp|Oo4EOmE4Oie+xW@{bP|)3+mh*4RY>)wJyImitybadd9B^-mTs5z*U4D(f;HJs|ev0Ji+K{4Tp7J z_w_h~R_2z3yoGeas3d*8L0^Hpj9fPys=!>M>!(guSIUatnJqZ7qH)?E0jQvn%NzG~YV{hI#J`4tsEU zsxNpv*CGk#m$?K89m0=MhfG;C>=?b*w3bF3qt%!n49a`rHZaG$0`No$uR1#vy;G7? ztq3KiOERi+I|w%A7cvB~u5=4En^WZIYJMRH;?q={WG_v)u^Qy(}MYv0$0dQan+SJ$}ryNl6PR+h7> z)1#L2Ea%--qU|!cg9tkQT8+%X)(O^vYnGymdJeAHKwa!}aLuB1k%8cvrRibl^K(In~z*DS1)E@CMCEbMO*LTObHbX(e#+mHR$@?n+pq(XvJ=}>#=WC%h?|<1#;8timliRGcZROg-ZaK`@}6nCjKQ6g`B{u0 zE!?JUGlq4B6>9Ipn`p>=?PYicjY!hIH9`o&Wo^ANq9QZ}w+r4jC9fc(D!gXa;o2a+ zeuvBZ0_dJa3;i#^36})N`oa80++P;{U`Zo7z``4p3kZiT^g+;VF1Ab=%oBh}{!zo% ztp)l6=%FuYbIv$ZaaX=M|GyUAzaI*U11)gKKp^*d_0QEsc(U6bZ*Tr)?VfKgp$H8F zW!0_>6Ll!4&VL{%K9`KU_RRr&3QcQrI=@%bly0tRb-V0Sh0MCZfkn<8E?gt*l(=#l zQOCczBc9m4)2l8ZFeN^(8}&a+2E3GF9_p^ym(<|u zB6e$DfVWYbNZHDaFfDY%#izF8rdlK8qi?yz&jLgMW&q*bf;#wnWK77uH&+p*#JNS(L#(m9ouQ~16JyC!pKsX=)5DQ2F1h>Pv z?Zjhc%K(c2>jCo>?*z& z1tHm+I^8Jx47;wAyt{sbX4!AK+TV@7i8LeWJ$<_A+iHtBQtr`C;RH;?JX1~mtzUcI z7?{XeJ3BGXSLN}WQ>%v^QD#1>Ptc}{UP@P;hAmO{8`vu?RQW9xs16xfeXRZ1zL@)} zS@7MzHU{)@5@5G9Nkv>*rrHU+qRgKNwSvp4h^3oVC*l590-hR)wX`(%+Q`l70tdM^ zG2YH9}2DUl(6rKnKf7mYle7QjfaGDNldOGT9})} zf*LOi`ya9YII2G)P}2ufJR(r*a=6R5yctjN84~mheJ8hBN1*FRxeYpW3RNi1p?QQd zdd2H##185Ubqg<67Uodj<)z3%=&B36U|GZi;vZ68lQ@*%Bc(UZt3yrEcX0JG!a3v- z_mB*IPT#`aDZ{Tr?s5;vu1^m`5UeEcfpj$uC^yGnn~u$xbOhP*T!=|nx#BL;OR&KJ39Xzs-L>bndLorE^z znc-k3x=r6^*wKkEMD82*bz%$kmkql*iT7cJJzRmh4=b#;0+$3U>}M62Bv@hf6@<&M z!XBzXU)Jx3^%lPw`3crrYzlJ?theAe`U}=ua2y4|dJB%D!?50hhDcnzqP4@u1&|z*|D0iVmqK7Fj?NYn($=%O9%t3D=UE@ z{GgjeHqfIGC2P+Ziv20FtB2ciV1MLM0LckG{Qs;qDVipJiw|Jwn zAg^52I4u$Ol+G*T6Jby3Z^yD~F&WRX##hg)gICnw?1(q|46@KXecY!nHibCD!m*$5 zc3;~NXI>*DjnIypi-m*{Mk(xy3TnC6)jO5RwV~mv8%ka+FI;_6Ii)uIgzBkdwR3JJ zKF&JLnUP8SpsO;i9*?OF8NgOq|A81lY;DBW%JGMk*9A+tnpQLqISBt2<9Yg@xkxM4 zh|8naUC?#@KEExCD#cajbSmrv9xI8T^y(qcY+rpVI!a#s7^E=aj%9*mz ze3bXhXL7czC<(JkP9F-*SG5W~IV!j@B) zh||U+Y@rv`x0JJNyp5`EWrQt!qk2p^!xsET)vk=Qg}qUaDCgKhD5`!3*9Q4?Cmiv7 z?rxRF!RJHXj&B@^d|sBy=!nbCYzMvI$n3f8gfQzvLrWse(({JKM3|+28`}F|mg)_f zKA5H78TR(UEIn;N`e2s+X#n}iF8v`xeILxyUqI6zW~nUZtABV?I-`xM&Ah12IHQ`t zORC^2RcXBN3V~7`&P%9>a;R1~W)BvXV`3yL26M{MUr6!?3(K)%@s6yBUsK1r2jR4m^D7^ozHS!@5syfh^I2xIuDsgNXT+)Ku0((aVErfTlcU0Pf$4X|#XSWcw5`4U( z4pp!7GH1(aWX3*IwcMwXnrD*9L2LI%Q-wUBkv83=kTV(?Uz%#=ewoydO%gdLlm6ON zE)UA2d75&EsF{pirVT^hx2OwDnM2H5^zTiTL;klQA5qZ|{TAa3Q{9lSfV##scZe;Z z|7B7R1qx^Zrko*~fN|KgZpf#bT5QS~0?DagO{<0ix@ogbg+q*P#ud}%A-_uM7E{^~ zr;`58v|=czl7=8r)0Udo8zC+!kTTJ>qz)nyzq|E2Wk19i_$NC0HTW{ki| zi%%_UtIrN&pEEu+zk`ijQ4wfBf4gs9$m(UjwYJg|+MTd4uMkVr}c-}aQ&zga2+3kn9soN=D zJnqJi2*f|OE;DFk?kUtgS}!ZZpd6WzJuev_r9Ua&*Vka^s%7Ugeo#NFNf&#LV>%;C za9=v!Xzn|fXQ%gLo?X8}cx_p27^n@HMm;6E*7x4fT#KE?uF`}%Vg{$3z)gdE1Wz2r zgL69wG0R#-(I8wLb4QcF3#jm$O1$4dHe9i}C0V9vcJro=GLO3_!DGq%Talwt=d^2u z7Ytw9+&5cr+9SLfqhT*q4|s8-AurVfFu@~8s`p07T18T;jq%>0h{|l_d-D+WF=L{4 zc!ugX$CmiHEg<<23HfaZ$E7~;;VDIB-F)KphL>giouGm5Ws-~sw}wV(zBSTYc~RO^ zMpA2dm*x+nZ)BTd2c z)qZYtSFlUB^&}6xFO$~2Gv9Q*)#iadLrkH1dM^j2EuovS#{72JyG&c*m4HynJ{xsQ z&}WtDN68nj-$ef+Dd;KQfSslEQ6GlK6xl1>*OEBr|H0Oo$3xYI|9=*YoiVl$W9+h) zEG07-*&`_`MWaP4+9)yxWyY?JC?ra$@Kg_?X4F_(QM8h2v`8iM#ACwD{I2tSzr0?* z*X#Sod7bNeU!VIv_nhUPd(ND5-3=p3hqK+H@<$ZhSp72p!guQ$d(@BYYMdKf=3i-c zZ){J%?YiLcYHb;1=11NldrY$R%CuOn`S=ZOrTc_vj=Q~PvTX;$fSVX+zHX_|9u^B& zT-4NONtfZ_$k6f9Vj1Pmqc}D!bhrqO;3AB%N{O@5Bs;_N_UBc9a~{Qn$64xVp4U`p zJ14s#&hat&Ji(vyr)FcE;izVW`jfWmM7Xck?l&IR>dzUXEp5`vSC2E%x8Ut^4dIXl zK4?jn5^qCmIA=1kAvDmj*;X?y9Uiw(-`EyN)Acd@JH51BIWGH++&&Eh#(SST@^<~WBB9iP^}lIJ+$+4z4Jrd>ZrG{p?lvqu3l*G<)>n&6%WOx({MYiXmiJ}y zS+Nv9`1X%T#+AW8Yo{P>>S-a`)moXcgPYG=FCZkYnpG^*Vy9|x>mK3A$0d7w{!Mc} ze_ch8^w&17!K1}$-X|}ZiIiXIG0)Z#gpD%;cxxxaQoG*%d=lTQq$UTa+B?I zQ(xk9lh4HGW(-3cKN<>}wfu2VyHpY2BGq-F549Ue@+3qRWznH%0^OnD^)hfJWVsX@UT zCNSHmvBB#mV4A?l;LQ_Q4Jv({0kg5GW8J;%Wkc1{-M86Gh2lNk*V;ph)Q9ee>@j_+ zM(%<3@_lNT-1pkc^x>o3H`y!q5r*B5*`pm)3GSZuat>;z+@tNK9q?=1*VrpL5MH_; zu*cq3UE=OW0 z+>=jez)ZkB`Je{*3AiU8+aNOm_v9lRlqcYxJiP&}sH)pWX^>OQx{OM{WWgzjk+*Xw z!6;^5Mm+?V3GU(&Ob$dZfm;l&Be)P91~&s-`w~n5L{EWx8r-GeLi8oLIp8iS!90cN zEpX3+>jW-De}kJABVTVWnjH9lX4k>n!ss zYdGqHGx}Bbsi<^kX~(RWQKy`-j@h@OvYl~%XZ?;UZ9@H>ogJ0gbUI+2gV*BJUiC-k zSFSN0wz4r>V|&v$Qa;}LPsOZ(1gm7D@c94AIFcGnw6ts#-)ULjawSM~i@oH^XlO$JxkpRv#>e^_6KoU-`JIG5&hWQS z!-B+CL88u4*M+jS6$?Kq-8M`^TeAu%2Oem}_+?;p2p1VAsM-~7c+DDkv~L$ag`z}K z3(jRKh8k9)&M<2@2GoEGJ-mXsCs)P~AD^m5ji}JZtC$BEW8~FXj9IE0(Y8>%E6^Cb zU+oc^PFh-U#s|CA^aW-C>mcPQX+^==E>soiFO&uII%P3Qw;*F2r-pyakfJIRZ3=PB zz)#XNwLhsN)D)uSY7`Tu7$B*6F~+I(M61<$vVo^j$*hwU*-CZQ9CP>$|Cvz{#TMYk zPt~B3%`7pIEI*D}rOr5`Mj56!Ld7ymIEyMZ$J1(HmhDk&K1&AXqB4vtkC7TM(ZM#d zOgT!G(s8*lauJ3b&_&Ew9O+7>xV#tzDYb=+HB>X6RPO@kl?Tyzs+$<7O5M2B5$PXB zN3lsvH;zrEZGHL(ZjaG4wv^SzSxJ+vFVmL4ZgK)$%lgRiqe<20Xk)DmJ22VIcn*f< zYL{P(dTMBjS;D%?IY`s7J5#J2V7eVeV4dS6(lqT(6ytJ8U$90@X^tJu&<+MBiX=^} zi1nEgNt5m=4VRlRUWXoJ^;5!VNhaUs^RY@hbQ?z)t|dhuZh$LX;41z>wvd!B&U*eg-9nHIfCM(2O8XrcgSO;6D2A z)R)qeD}^^RRK_pJDkqzGz)J@O9PbBOiQXB~A5=f4hEWrF(s*>@N~%Fogrlj=2_%`uU0_N_81mt03OUjwbX=Z~tdtrnbq$rq(=OC=_NYQ(n5Q}JB#ruV zAEh5AFHkK^MUFDbs6Mw#YLDS!Y$?-Sh`s&<*?icd*ds3puwjzguk-8pmV0AoMwFl#Xi|F)|KDCD=fw zCdH`IB)B|Aq0i(U`VQ+~N?;{6_`-;6sL3+)8RkBUbmj8kvJv!YlcShw)@F)trBiUu zh>E(Q4C*+OK|#}03-YxUKN+4txv=n*!!+Z9Ty5#ChAXk>nD!JInpMHsV%aKFQ?v!^ z5oJG3zTkARys_zJv>bCi#g*o$npuqHlD=Tj8ac`Q3*ZNV?}nV5l^|GTcU&kFK)MgUY)B*d?1k7FO8p|J37MnF}qKiacTA}iDO@BUT}Qq zhH>2ap%58o_tVmg$6MB-R{gWl5_^SdNzqAZ)Zy$*@!v}s&x|%-61i_7)HSj1` z4vg2#QZTm6NRB^AHqk4wlP)8_>~#iijDPzE$Z)$`#} z8AMI2AFXbHo!YXlDIBh%#7ZMo#)u--_%0@e>O@rQUC0cW zkg8O@ms&%OCF=Czn5(2>a}#z_Wr?PEHKvyk)4&R*=#d;$i)67}qX!rPD~^I8xfT>K zQN|<^h9yTP%HidF{HQV~@vBomQA3H+cqJcSDlP71xEI;ykX>b8_*Dq}MSJ=fXn|qwcWvOYU(zsp~&hc{Q?h!J6YGJ7% z+_e=B@k-{N5xB={PO1C3fzatI2-BOCLWyH24OR=qgs&fbu1O`-P#?9Hl}TC3#|K|X zRO};`p_a0)P^|e2f=d&X`wTau4zY?TEBG40k!+7t8Ln=Hp+GZ++KSbI+c~B%<(!28 z9|LpCln}}pnp~|46M;sIS2A`3VTj?#RjIHR5MsP%G4H->x%9ZRyWS6P?t^v{J}MnF zO+#;zHK?e)Ka{#}It6?8`;5}a#a~VpR{(4Va1;TT0Mr3U0Wb|fyW*EqR0RNc05S-u z1W*HD3xE^fySH;>MQ;_geXq-`#P3e+lY*5zr--GJF>oNpf%_vNRMzPNxtSSCI z;}KUmO1^wN(H0PF&=8v%%76@a4vbOG!^6utnq z1K5ZFL=gz!Fo5L%ejo~e0Q&$WAplVX0Z0LW1E2?rIG@OCl+^p7jEB=9(s?8P8qU{j z*Yb)13~B0f=HPfTaLlPgcJ} z(<1>7AlpM)v=?v!G++Q)6o<6v7yuOjuAmr)7IC5h1R($v)bfD3AAc*cGA{9h*6+~VBejA!gh#1k=U}rO1+&s-E=03ZYjGrY<8o6za=*ZbdzS zUNw$tN^~t;z@%(Nlhod#$PWyd)K4f9!G&Q?-AA;9Vd%7|aQ z5xf}A)Oe!JY7Eo+G=@*8hB51UqTy;~AL=aH*whl`%k-m!R4y*asgXA}yN0%4>QMYD zO$)MWl&VZeQ9GGODH|%?3Lu3-m6;BD0rN9uB~7}36)v~cbPTng*+N;z*N0)2ijbhf z_`!`P;_xj-;ygk;BZBHmbix~SU5bpQY!)5G{`2j%Zb5mMyn2tVTLrOjp*i)Fv^+sN zl{9@^O1nc`LH8tltfV?3TdBO7XHiCH`OM6Kp>E>9m)axl`tK4Y0u6kud? zGDhY$sRVn2rAg7PH0e1TBYoRw1NJA&k%FtV?kSAH9VETOUSKIxv?`5y&WuPMG+Kro zWZ6(uXqG*YuV#X@9d(a&j$%O5>?tZ%nlSo;60w>&D4LsHLAWe3Tux_Aa#U$pyVGxE z6^$Ix4_VJRvNQ#|lW*j6NQY6ESQj|jG%dTcZ3IE_>cZ(*ToVvsS$O_jySr>gNziTiQm>m{RDVI_SM zo!rl!M&17wc?>l)S?88&Fug*0d=2{wD&<=vo>GwVwZ~Ufko*;uh`)o1t#nk)fj_@g zCsTP;EK#agR@PThDw$<{K|mw@4PW|uDcZE{&(C1q*;^AFcF z3&yEK4>p%IQO$|^@p{al^C&e{mxoD7YHH1?RH`~THi$;DEXZJ@jZJ8nN6ZBKdn+EX zrYQ1!LcvL)VioB*SMYBY42gC!)m>Uw>|~v$EaNXIC`(k{O5CM6{_j@fUFdVn5b1j> z&aqxlG-)5Gu|ex-ZUwpFC?P=sdIJ&>*Pz|yYlYsA_h$@DdzXIt`REeefmkQ*O--Km z#{To&K*_v>1wgch=E$jhzcWLe*Lt0NCl zs&+|PF|QdK7;NhhZhI=)RxPd@sWYGS=6HX$5`A__!Om%hdIko2JB0M&nMFRLp`OUi zqxsBy%MH_u;%8URx2K`G7KfFsNXT=-z*yoaEGlyHW>N;Zgjg8TwXWxAhFAI^V%o>|iYXvzZhrWIh znLpfEpz<*;d5YgJw0<_YX>U&C(7+I7RFWa~d68}A;I=E~TP#5Wl@qA8tqeb570MW9a0e4SBaa1H5aPc zD>`Fs4IX?HjzoShSGdOCP-PtMT8fuS{{lH=l<5V}=z-;)f@hQdbanPO%L+sSQo$G2bIf|e(lal%*6?j=sXx{)awlL&5TE@KlmzP_ljqtV|!W?WlFm3ecsXK{v{iW?ru9V{Z8YoD0961rN0}Oo+MBdHS#mt z?mZhkwl{}7FhKSgjm#HQuF@N(*`^z(z2j%y=Ffn-=9cnYfp_Ulr;n(mhaNZ@nYrV7 zHC?asm)YFTnJI0Vd9O`xZKkL7(WOKx)#3|@rW>Zs;%Cuw)zB-NlTvd9W~DP%d_-S+ zBr8YB*Tq(u)pVUs1LL`RaHTD{ciQy3&GZv}bb@H8T6}A?d-KNW9r3e<^A*)JCl%xh zc9hP1^$|_=#iIRxIoe(&bN78K?-XP{%8YZqpCs5d`|-{ujma>$!q)JI=0 za$o8%A?1-h+%g^t2D8J4^BodY8tlci0}{2X67=-FYVo4cpxO1)Me(z%=Qnq|IjJUB zP*ge-<|9(+H3%4GXYHu2rfYN>7|k`iv!o^Stv3BmGd;JDtu8{p_JXUCf3Qbi#tI!fhW3pO8BW$wB&)B|^QMniR@1dQ%Sm$;U4e?AL58beHo%8Lv+njVeS1?sNqv9i~?V(4EmS=vgsFtX9mK)Ahv!kS?^Nlu{ zGXSlP%oP(tB*~Md;b+B{^yp{juIuu>WBR+JXQRJ}heISf6XmC;wRkRDzL6gZzIM$W zi{>w5@s1tjZDVBX$vQ8I#Z|gt83lUvJaW+7q3Cu>$Zxb4uRkDhxk_I+9po>{9zWkW z5y=xOeDZYG8@iXcRP1qJN=kP)(qSs{h_3vtla%ZIJ+$S%B zYTj#0ZZ=EG`q&FZU#rERN2i`!i&UJ&@^jrD`^CVD_70)SGf{q(*m{IboUG&ge)B?- zFWHCC(0!__=mli)@|6I`h@M8d&kHLumU1P1_WSJ%$?@UX`PP&^8(ad_^bbe^M~7JA+7QVG*CA^W*;%YPUrqnOiYFaH z@-q>;N<28iwwbK!By9aQwN^LtP99Z$bL%8{EuIR(twWgMZ>@5o7D1b@W9?2gU7BZF<0pZ)5sAS z&uEy){47kGKkl0kOu6a~XD-P%*gQIvFMb~)+3t$B65(CMZu2b~8dx#ZAx!xqF!B}Q zdg$JxLuqDzRg>jA$@5p2N=b_roXyvc%*KRB+$V<4OgHjb`@ZG32~_4UF4Tc358dHT zhkOJ7QF4YjDMaGv+F&h;cNTA%+Z3HUaIdRF82@az^VBr9haL$>#O!`G8Qn>?oI8E; z&$Vd1*Ct;)GD{7SY?&YzOegSs_k0U_AjpUQ`+qtp!j~}<$Bv*~`s8zcbamt$+LU;5 zcfk2#&CJz-08F9+2E7CIpw{sDW+E?Qq$#rq9noAzbAHl6n>rG$wv-M z$?Fd9SejoRI7()TcZ5jXT*YU_KlCJNbDLtvjBVZ-J*)RcaKKM=rAHD0N5ibBn(Wlc zp1=NVOIyO;YLoMi%(jL|c22Mhrd4>4c6{sZ7Szpm2en_u`W-uLqx9JoeFjW%5gZNI z<5r^MF5;#0J9%*6UPp(}>*=u8nd$Bd$(cU7Y>>S_JwA`_IoD+jIV9BGu}_ivEremv ztr5EQB#vjX?VIg0LG1kTeE((a5Ns-1pMAZLt}lwM78@l#UO)Zh!0ht*oqV%7>0Yit zVwWH3KFUrNCxl2=yJ}dA&Nz$bM^p5nfqO8TI`eEetZ>@4QgW}4t{Ak|pT0CtLYZq0 z*&!kJwtdQ>-$ED#`Hx75lLnn@UcQLT9wyJ9?fK6JOZVhNzIRPocvZ4^n(QYs8}GX_ z@p@PoG=Cu09GKd!JN()yABI5eG;vUf#Mf2HT9oT7UNX0LBm)EYpy$bbHtbY5T~{f& z)kl{PTH{Y&k|&w}Zev4pVDB9GEwru@?;eqmCd)fszIZW|HO!uGPTjM?_b?QB=bEB> zRkCcF?I&U+zS}VUK7Q6|e(&69PHM>&yf5wi1>d6-iPKeyVp-l<@hUyZg}L*B9y|77 zOZ4pXFM<{yQDcw9bCjHUrM8-_+bNm9_{b$K3GcMoEk|bcLnM1AB&VmlhrjLo_N!e$ zo9?!6X>^yci(+ zjh1JN2SeD?({h)rMbXY;+qwO0uyyRij_BEjFXH$RiR;9#!s-6kLE5q3$~l4+Te$!G zwRFFzIf)Geo01tbU(xSgcGzfGBzenU)pYsJsrf-)+tWeZo*Z)j02zi)sp4b*ZSAGC zXo>Uv{JGtzvTw{SCVKYV7xDTKiO0mRB4lgDd%u-G6nM^GI|X0HJYiU~d#v1S($+(Q zz8ZN6I~Fydk}y|9n1Ey7i&OimIsH%=GD&GyXgNODf|Og#*1 zN@j|DMQeK4zR+rwBhA%x=}whJbKTg(b1nH$QFSx@OdnZ8REkto5Vmppb=>Uud_`%^ zGcZ1ST{839SM;)n?e$+p_p0gG&V2K^ibPKbJNM+Udk0`U<$2;8@bQm!m0F87I;R-V z@9eh&#|Aot8=r~ZSBV#l44J}V%WNHd!A>9cp6|(4KN~cIie3(|{YT4L;-CLj)M714 za!zrWuV`@K*ozKf(lgOam3aLK43m(GhF;K9hdbwgXxKj+ys{^U-ZMb=87cd2rAq(OF4?QF;Jt_n7i+ zdolDwX4T@&BjtvZuUFSa`Ac@@8O#rFI-L^A8|+gmj?69zp~p^ipPv5CciH`o+$kW; zAKHvBW4Bu>l?y}ZdHkoj?(4tOhod9thwGHg?3=d1;#**`E;+*U)kcfA@pOD zUrE-Y*Ul+wb335CZ{QgGK79Rbc&K1{<{~|>ZwS>L=r6I(D-W1EN6efOBJ1tNdw&ZP zs>I@v@!2V&0zlG5Ex<*=yhMZI8hdAZ^1IGqCghS7UCD5Ep^oxB% za@|4x65G7;`91`G(8R)6q09BYS!NGeN|aqCelG7Ke*5df@RacF=l{L*Qgp%Mi>WmxTb_(l~_!B`q`E(PkgX``r(1u*7-}Vym=-sS71~OSJq_yN{QdM z_LnO8<<(@h&hGi&sS3p{zusw+|2i^j9wITCnDMdIpFC`Tt(vUUX*+*CDx7Qi1>e$l zkIZU^NTMfj(AB(eFFq>{*JIbubw{?evHP*nvv0l#hJ8d2d)U-zvqoH5(^+w%9=m2< zw0$fkDtcD@HAowhb}7r%dTivhH#;mE9Uh$MWX?(8&<@4M?; zP=~<(@!Vi2ZL9&%T)Z^la#{8elL~+8JatneQ#x zaFuRXcKfXOpdS1Bd~{Zb!UFG$~?zBw<_Ywpm8Elpt8+o!nw7XGOg7mh^QOis0};k<|} z7!HH6{dhhT?u>|;iH8nM`RfjAEzXY&A2m2G)(D|Tx_ViOy#D{2N213a!gd%C6-{Se zqF?PBQV5dsr~Bqb`p<3cwPOPNZ~GLB-@@u@F@7Y{baJX=O~;GKv%|0FJJ3VV24hns ziISm_&*B3UY`1^@hz2FU>;4h$n|w-svhiW}$+ttVx+}%kV#Vt}h$Q4E5;U?M|JmmiF`oN*Sv;80LCw)tedo>l5uz8O?xAIZ$Oi^9Oo_ZC zVPokv$<)_B5_g@O_J1T_ht5A;yX2=N%JTK5t76=(X8nK3=Q<~u#ocTDt$5e0HTU=9 zvztb<+9M{pYB#587GcV_Ak|{ec(x2ci@id z?gyThuTCVHzKUOA_$o8@@|$PvJK}R{vxS+pwa(A`1JCnDZC34{RK3!4H0x!=J?^>j8-yLr)64@AL9F`Q zuGSCaArI%LQ8SNSQ(A@*<#rx{EDayv!%VhI z>>Pedh8O6Wo=p2lTA*!sGQE%_oe0@&E>SJVD}9tUtL@}GAz3GSRblJ0CU{E=QJb|I z)19a?>*Lh2`Uc~&cL zNuf%P=AX8&l_;Ud0Q$aq0=yryiMKo6Nw{(VdtXDkEum6XxLI9>%p^tSn9HZAw{yZ* z>*WwkI6;-h+O~cSxk`s(^T(;al~%=8j~V4&N9?>pQER-s>;gkEFTJ+ed4{5wc&)Yb zA5hp1DVy?Yl)q%@M3ptEJk^>?m*%7EgI#4cS(zN#YJwGBCB2%DuMg|xd_UTp7zADWvu`n?)I zGzK|ty$gS6t>B=033}@HIEKBtdYZ=|O_{p0WtmJTycUx`0<%qsc^Qc^=heH@*6>~8 zHn^%hXQFx6wPl~P+w0t@WUUx3+-VDswXHwfka$jE~I+DGTmKVs)Z!mD;b=KK`Zxc>DfE)|C4) z0oupbO-c^(cf&)qTUEPecZxyg3M3!0<3(U;V}Xg20%Ul{+;+-iuLsaZ6`8pyfxm}XxC zId`tnESkcsu>D-yin~IMK2mDAUtGc+NCTfnT6 zzE(>c0kXJ-{E)V8ckA){fxFR;?pdiPwYS}{XO*7J&vtx|yI$Z~VaTHRqEl9a!8Lpv zv-SK%mE29M{^B~huv*SH8`qHjrY5D90WWkaA9+{;OsA@BiwwKFYVjO=yL2mhdeT*F|8vS>Jg_;<1XV zPHmKU1x2-w#~(!DHwP%5p z`mH22PA&iNcu5yr(hJ&jNrXhmTN=x<;_ZcryNv!~BzPSjFY7{o&~jV3@%ZBFp2`nrSCL#%zgq~3+I z#G|S9kX3N?1SZ-m)@~JK6l7iDBnljgiPLGUN`qqK>GVhZ1>?68H3RV7jH~=3c7ckh zm+cfgPesfU$ZO`Wh`!Yx3|Y>w2ioK8f^ty5;q4SkD@rqKbyQ&@-b&M!VZz^5AKHpJ z+rCA4RxQ(Ie7D{gPHcQJ_Q|jvZXI`v^7WjDdf^(GF7?Zl4@3n*@SXEh#vu@VALd9o zav5@aaiu(ZPhzB2gA;46c#41ON?dEn=PFJ?{Q^zx^0=b5nNz>@XQ(RpF!-Z)d` z_q;uNf44O|?@9k1|3*fOU$11-u|;=Q7lIZL+z-Js5UdrnU~jhnQf|^`FXyeC9ZM|* zbtAw20_nQC@_QmnTj69@Jn@`R&RA>MUEUsDrA~0Sw3n;WJmszf1F=PyQxDQCeQYl? zr0f?C*lbT#q?vY^Z)XJ19J{Q(q*~Ezx-7pi_R!qAY&28#Xog)dqe%qKzRUV-sw>T+ zYtdQ8e0riG&O(|?)0*q31lAeez6SXLqjl+NG$nK6S7{Gu3(ZNd(kuB1!2x?v?d>ar zJ!a8;c6Vt|I<58IUAh4qs*ZCviI)x4%yid?mkQPJZo9|V zhNMO4{`UA_&-17$)+t^}yu2&q*2lFk7%y;!=_3yCw#4hZl5A2p@JTh+Vwf?_BseSr zUMzt}iXtHM_0k?lbCSzd>Iol^3glY%gk}t7FC~0zjC|%5GG60@vgZEe*%zW+Z5F4Q zQBB9Ix)e8LSyi0rlHZWMry|!}{vAwualu^q9ZY(WZZ5YBCcQXiuCxp$y~s9KxC@hB zl$xvDg-I_mA!Q&;dQnuPcoZhR$WMfSt;?`M*41GV@^Twy{MRga62ngD{Xy(W)4$MVP!{#v@JJ7`V#FynpX6K zv?5p+!8#1qVX%G;>({V$g0&N@8)4lD>jYRQz%x*OKL z_FLM%!lDIB5iDV_yoSYz1{pwSA?siZX9}hSy%#C3sf$5$|Az1% z2&X}K%hSYq^X;zTP}&N@XCeFq!jZ@l-H|>7>(BPXYXmp)7pSa*U@diV{RU&)ZmN9! zx+c+}4EjCa68m@O zTA3eA2rdX)0Er)z53}?j;{)M`W-~{>Q1^$%5sq7-)(=PqgDy1CgS7dE@i=FzT@0g2 zM`z2?v}nlm=9o>HgC>*dO#M}9Pi%ZhWjNnR`Ybi4;1wBljmUHwUpF`+S;3F_i{dL-VQ!Lw zs&3Z~UO5X>yfjpt*VZbjK9u@Y zU$z~i$uvbil~Q4@=egVKx*C5;Euq;YhChV|J^oaj4M*+DK3Oalcf)v7xYn)`+KR-G z^NRg`kd91khll&>^Vq%IBR%UPP(Qg|J)0vi3%T2RR!2x*puX#?YWBh1WlmJo`k)56 zKjBR=)KO+m#g#6pqpYVDja`^&=5?M$A=cG;f7*SL)`;2ubTLUKapfoJ?yO{tH;yKWmdBIJg?Q5R%5@k zA}@>aEOVvJ8rwL#E3FbYoyNv8|KUOIkX94Rv_>y@xvxg?gT`7;Ql({LdQoCd2_-lHH91cU`C2%yp5~1lFde8 zMv7zO=`pAd<_Vs6uWXJ+Zkuzj)U0tynxJw)F|j0_#b0gbdmDACJ({;e;9N{9L#=5) z6;%==cNr3to`_M}p5+*IHU@^!hokahRKCEQXJsR@U*OF%c)C?H%OE`>W$cV-^F$A#?#0}q7Qf@z_s|NySwby&& z9B#hng@b?$!A=OS;vUjHp94V+2rh$Q00h?ymOGm{rv9cGH4()qt#;G#x;Kh8kZ$|0 zH}W@`+27@Yf z9K|wTwMsLSq7$!OrQuCk7O!5V)k#Sc;s$K;(iQnqUFNIO0{H4(FmbFEU$G0aZSUdh zbwRdmJwB!jvTa8|l9r7Tm?PY61z{18er#<)WCT{4dngWOFd9>LYTLxuY}%M{$!v;g zTv6d8FVEUqQSYOi#Z<4T@sZ17g;q5ADEToRDyn=G{8$GoZu_V_W=aLG8&G}>Z%wv# z$yzc4Dk{4aEm>9-e|5=UWA3TA)}=hA;X+wjNC?$xrYIHa4nQWC8L!Rbsa-gI^*?Q% zm8cqvF_cR7@-`!Qme;=zbH{68uy-H!kk_%`AP3Z>7v%bMfLx!19v=s6uGfwpD$K0r z71k2~Gpj*QSTlm&z%1k0_bRyB98EW)8jM#Z%HLKGN?%uL8)H4qaI7T9EGk3)+zmRiPYtGK0&xy!s*QL8O;m-VrtMH_vTSy*u;5j~-Hi4rRyjTmjl1hI`k1*tD2As=fOnk;*Q zl@DnJ?X?Zv&_?Z7c+!Fe+9oU0wc5Y(Oa#)2>yza+<0Bbi?XP)D1xksVk`+Fv4l$e{ zNq{vZ3D}r}{>3zCYk@=qK{?o8+2tG@%~cp2fHGjQc+vK9$t2VCAm{{_*h}X?(%i2! z9ic}6E;4HYZ;?GA*?K!8mb+*CMltq2;XvCIO<(B#6lS`BhTME(FEQm&7;f5O7(Q9_0@u zUqO%zfxp{#s_w~$ARdBCpi~_MHbE(R=T6lhc@Q8#zzIr4LMbr>u@J;TNo6Rh2Lflc zEADX)P%`|y%*%fWSwQrGkbrE3B3GfvODM8~?;!iUW2fr*Y!DcLzz_s95U?Rw1VJ0v zU^N!uve)m z1sl{+JGl#qH<}1lgapO}e_sJ{4BeQWMoc${uiOX3Q|6e(*_Fg>bI6>+CzhH+=9H7f zOmoPb@`6}o4w+N_BIcVz=9GVk4fa0wiT5+=H}PZ zJt}3)t;VokRA+8PXolz0cEpGP!vrxxz^F!y4Pb0TjCEjqMvTp1XduRFFwP*xdN9@^ z##%6@vf`M{C9;{twb@{t0OJ?oqv~%FNspF7fw&69{}|$x?O&?{eu!Wy;5$h9jbI!? zj4&`J5hD@|B`^kp!N^67a4-T918MX##6TLIk8JfgY|H@J*f3J72jCzCR|DS20^<%C z@0noSKn#T317kTtE+Ga&Zh_H)kXpoG#cgkFk==1Pi3!FP#6Ur8^7aE=b%KFdFbeb1>Wy!wL*T#IOWo3u4%SfkzArFtn)7 zmCO7xjIP;c#Zf0s@Od@&tsda}!vIfTll2abBz$C!ekbXzKIRFcSVUxvh^#@BfQW8_ zXb2I_fJj6EkpUty0#OQ5QxGD$3L-Khib1e`*uilx`2H)3_rgWOcVF^C0D%!Z+ZyAm zrH9(jXeJwkZ5a0iP~7w)&0yG1p`$}XH79!!QD|{9H7Yp*-ImdWNn^!JOP%7&%bIp- zsLtwzQS3}0xC?@}A^44?_wBslgVj&Yk@W7IHv!`5Fb1O? zF-TxkBZldNKW&jzXR@$X_A8D~_&CekkAJRmQxyz9#83lc7h>SS*nk*_<|zIekDc8k z{y_AYNxCHa^B0-F302Bh(chCt-d%4ZzTWmqI4n)?C^vtjNoPhS%{DU@l0t7MWc552 z`)82;wZY$gP0tQ){9x_z@MylQ()WAuYM2@Lod3e-)MG9pI%|h1#+l#L=EX(Wa|)Yi zsh8s0QJwrOw3xL>##tYNBnWN|*dJ%Pas#I2ymkaHLeVD9O-8FpHC-Vhr%hU%+a_(( z&chT%$1xV!H*xwwvH>J3K!Q(K7|7X(k6X0Q>p@-8;=3AXU#j^A6OD^8X96-R*Y3w> zt+N17tq#Bsz%Bqg5r8OG0XPakj--BLpB4aj04o8U1I0E?0A2vr0eFi5MBxcw6@X>{ zpAm&OfXx8@AOI9=DH)ah3!%a>*yN!iS+Cj_FY^t9MjpM_D!}o8h8UQo^ zSqLx$Pz4|afFA;oBG&=z2k;ocH6nmY0Db`W3&~}g|JyD+G{YB~Ap^}oKFSML@NGXR zZFvU7>Htn605)hjag`8lXV`G~f_Azb>*o*d3r`OkYq>znMvk@V7dNuW*m&Io0{!F3 zf;W0Wv&a2CXl<_l1y@Y`7kt(KKfzu96a2H{zu>|@+&lJ$4SwZKj;6+rV}#co8C+Tl z|6Osxo1vfxU4q(fF>80U2Y+WOq7(E`kWk{^#Q41dKCWp6NU5?=A4I6)V0<%Ij`dYv zjjnO3Akmd12(y=CT`^Z{{4B@HwEl0kWAT40Z>RrOX0HFOaPI%DzIv%?UCQ7_o3P&h zlfivy_TS3W^1szBE7tq{cK&d16k#{LgrgM*`)%oZ08{|OaFB8d7h@(b!9Fv9=rJ>o z-cenMM8eLS_5fK7IA@L(!$HUb%{ADsV{j6!4TL>^2trGt&>&JM1soM-@a?0)P{iT0&D>=0Gt4z17MdG02YAD07?*G1K>D-PT0%}a7N`| zGeJ=EE(?etNBR{=-@$fwEdp=>z`p>N0T?w0a0Ll+=M!au;%1yMt5)0dSV>LQd-~08StP zPU~IBX{`libJbu6BWG|CIQQTqj%q+fb;$04z1aU9%6w1-$z_lnD8~`Vh*>ht$1oUB`zpn}U zT+qiNdNYtDfrJba9V2kO!HI?l9Q}=xr$8ovGNuqMG52WPbrQfM04Y$(QZJ}66yz_d zBkKaS0C;9B>$k#>hTih3S@R5kan;f=0ImRj1F%-Txav+Y01|*~1c2gBD1g73o}k&> zP0GThWBcJwXc6{o=O*QFe1c2Vwt>&^>#PX74gqkNIchI}LjYa@5Uv3E2`H;OY=fIc zu(?yPxm8f1^Fjat0B!+zjsODy9ssfdh;yO5 z1(e?gpFNCPvu2aV#Z`v_;jXWaH zN1+*<>Sd<^gdo5gKrVpW0HAaGz{~%xyfN}t{0OSEQduCjIbOD?(wTnCVH$o(d8dIXKesO1r zPsU~6E%*{sF9c`+2mlZdzy!c)MBxWuCxC4TKoqM0908yKAQVyf0@wy%EdoHXzYeyr z3pRHxyT{?Gp~uTlZV;UU=LiKFk`57lXh_H!a2_HK9vpwDWG~1LK_z|2W`Y151E2)J z0XFjjX=*fpKm>r|1=7?50P?eX9Cjj`u}2(aGagX$DX4h^5;5_3S#<|m}Y6bZx6L4&i58(wB z)q-p@0k(G+$~YksL~jj}SVZCtk`|DBKqU7;q5_g+q!uHP7=Yvt6(mIDh~|5dFHFD&K>cy`O2#AE}VHQL;g0l~zaAlBx5H5=9 zBQySeh{nHD!Fk1y_0%qk;=%zGI$uqTjt`NRaD6rO@=sYhkR#`xy9rkkq>Ko_9u3 z?Qv>i^M-IRyr^%eS{hr1A_6jQ?2pI){n&*1W?_-~7S9O345j#;ct`Fw>YH_EG`4J9 zyDH=DjaKbVOq12g%9dHS6cd3-jn#e3TJCE|el0Vw=)QD6Hv#@i)$da!r_OM-mKpkQGg_Ox>UIq}Z@x?NimBW!q(A z2uq}H=Ptm!Yga`7j6RX7Z0||&Zje6+IeT_A$Q*>6Jz)*X2O(!qf?)XwOrlaoGa4}w zqwckv_P``6L2!p|XM@HBOu&^w(;a~cxOQ-r$FIMUDj3S%XmpZ?H1i z_oA3@a3``vQN_-v6WQre8O~BGvmWxKc5B9#=&bHU2g~F9pxS=em@e5t36x9W7+J$nn=A-Ei zm1u1_HI+}#RO>NGj{Uh?KZihw=>P!0w5Wn z+6~U0UY)1-y_|?%&8LK)oaA1#mHNUqQm>pD&Z47&lgKEgTOT*-a>*o|f_TT2K&hTKbWfOM%B}oQ0Qk zfnTW9RWFl*m7y{_y)+6|g-U;GzejWr#WrS-64PtYi?e1Zrt#!1D}B^@ZaU0yCcBle z)cvr)uFFs#?c!x!;NJ(+4K6J3?t|$DR}=*G!E}SzV2XoO0&^vARj>AdNl9vp*P6Ke z7#Vp;EnASNuC8fK!3(s_E%kBjTtY=tqS|RyYj@vXm=5BG`_5jO)A+sa>w953h@b99 zdSN<gD=8zCFPcnuu zg&7nD1u>BX5D^kVKxK&0Y8)Y!YE;AwRWqP~qN1Xv5tTZEqM}kw+QiaUD=1Z3t>znT z<=a|Kl-5{j`>fvgdp+0t!}|xkKYXrz&R**r5U+jK-fQ@*2aCIOL8*E6GwnwuU+5I| zx=t%9ANo!)trPQOPXZlTbxZCra;mthrDPa?MH10coQ8WRnJq2tMMjAiO6T_Ck4f;- z+zmgHjTr#U?}W*+kTLdTVVEjR zUGRu}54a|HQ`BWysKY?U`NlehOTDpIE+1c@6InyK!8eY|4NAl| z(>8g<_#e9ecpv)b8lvT$N@6wjwoNb;+%E`)Bnr0c120!C$s$*?iZu@$yIsHg#x?2U zc+YWVz++P}Tb-Fb-vtdD`h&tId8%POythX9g!QN})3+{Y+0YOiHperoAJPW{Zj&r0zXWMQwfi}kgT5Oih~f1UA{{Vk+O=+*2dNRbG0zLB5iB5oSB zt`qHxjZHiXL|BPiOqNPeD6ZiI}WwswVlMPX`6;&E@b-$3|IU5A@H5cN0mic{5+*MZpJoYevxHt(FvrNR#6 zdcy@*k&65%>RbIaC$_G+&yQ%@A_`X#nCyKbnhMKgm5WkU0Zh&V5kZA+W2TE@RsL=4 z%dBP5(8hFrE3=L9m2g9Aa49ubC~2ja(mxe`&>C1uTP~c}%6=4oSG$5gy(@_>3{YkE z6)!=&H8;7Ct%NMWME!PXpIEX4OEIr<(ON@>=~IQPTN%T&E5fSQpkc-a;pSFO8ugtp zr!_2%jukFe;g$TCm^scW%l^KgG|pR1oJO{q73g}?gcGxT)DYwh9G zcfxb+4VLvFj)@La#{s5+TF`FF7^wXTYIhufn*b*O?$Pj=Huas&(%vAiE6h%bj1J?% zTS=h44a5;3ZUwPFpsT&Xk2>0DrYNM77Ua z{8~!^G{Z}qVJwER7{)s=4#5w24&VUx0m=an0O^3sfc1d401BWTUic;azN_H(O^Ocl z#lr6#wwqFD_zE8l|Fqrky01X(4xkOxR)AV!bXa@nZptlCSqdr_K;?T7cYt^eh?7AK zZ%6 zKF(>zceahPknyAyngDlpx9kz+Zz@GI$1UofpM|+(I3PczhTNx6U>Z&At{TQ=ibJ|f zhOt|W8|C}DD1Wm9Y-@(7FK9b#)kEADj5)T(AtpFt8Q_SG21jh6t6PcOY53A6^JZj3 zA4dEGn-yCxCu5SMh<9L@tC@yq5#JUy2@(M03z<`O2FInervxP+AqvDc`U zs(#X1L%FcX3w;x-(N~un+Ks=5zHGXa!aoddb2&zoOdsj7B%3%Hop>K zz348%O-+G2PddK|JI|3Joi~no$$Bc9oQf>vFhl`EU6EfU1nS>Q7#@w17|Og2+=5fP zVnbo&vR$y#=!y!(Uj(|{oof0pD^WCkh&aqSBSH`1(ty@JVu+l^{!PRfLZ-1oM3aUH zX`Dm$qgH=q_*LDP;&e~nDETmTx@|Y~%YYsQW!7|vziOtqV+W@k=PEfRq~7LO+%yLh z9rE;TK)vZn`ry>YC&GQc&FHFsC(JN09VVJ#VmeHW{i~4)6Je=@tf*-H3FjTlxpvf_ za5lKnjyp`dXTPApsf%u5?wIGxHSMq+pDJ%@_s5wx$PMk3Z6>U|y~1ysSt5tCL9Qvv zh3#QB(Z8{o-5k#@AFDs15q-|^lWSfNsz16+_rMwF*;Wzon7PunP?4x!cpCl6@Vl$Z zo2f2dg?I(MdC^|HPr3>fX1wiM+=Ff4U(yvjkGLlF1T?W9LKGJ7oB0A$W4Zx7G&sHm z;{n%c*X)-S6kpK`>=W~57rrN~iH5f|yLsGrvR36z@y!ZGK6T1cM8Rl=bB26Z207Zy za4l2O3uz~8rK-R}#xh&IihYav+*YUxy+!BPR;g&WX#eQ0xasXNN&0tgVg>K4ZmT=6 zBJ!->37aea8r?BByCQl`ymL2ym!<}i&<^I~_L`r`x8@_uOl|T#`Pee^uzW{8`o5`G zekdP*-#q48--?)GcxcaUMUID0)Xm{DMiM6K6Z!rlap!e2BvZQQEWtm7{&G3bFqx7h zNNNgLXUu}6Ce91?J4k8@d%?omvQ-?xum7B%C+dFkPbW2l7mC0;^{y7RUod;Jo#su+ zhzmu2DNgh>cLnS;Pjh|Ta$?pt4%nDolE@lmHHNVOZa=H?t?A1gif*ysD~iKu*juC^0$ zmkRqayUhDUL@zNvwi^4XVNsQu}8E)hApMe6G>FbU6CiD`RTMS{tD>dmR)zQFRYY7(fr~TNprF=FBy~V zf4LWVG-^ziUZrlYP{6)7w6Z{%jDY7n?){&LRpG-Y59vrgDQgmxv>9ayvj$m~0y6(csKq7qs$ z-jH|oVYV5+k@xkXat){Coqf1!>H~XM`@9b-^ola=zJIZ}Oj{0PIgAfrd;nuQjOj35 zhVe3t>tS3E<69Wt!bpLU0%J3b%`g_jSPbJG7>80%fpt9v*7X!v*Hd6!Pl0tk1=jTx zSl7c~Sr3C{J#1^|7Q4RW7Ry&P#iS(NWcXrlGPq$+355nPCiX)9z9#UzPoe`@r}6H zV;;pevOcrdS}7Gthf(p4d-7wW{$<8S`NdJneZw-_0&hY^%whDe&Nr_0sfblnv^_V~ zZ#*2QpQG>_N!X@KRB%V)w&`a${krEgVIDs1@?YnWab7eXP4dO>yecmb{>c7BHH^Im zf^Pbu&$^9`K>wGj`gzo1lMu@m}+fN-o(Cy>*#XFTh~b+vJ#lTKk`QO zh2PX2R0Q`$MQf}tXU6O!yst?|@r)JNU8e7N`zz49%ollEEAVqozw`F^LOR(&!oVI{ zCu<9&6EpIYr|2;~*hfj1bR>6ii)+JfrRt6{)b# z=;fj{s(@#-2cl{f`WYi#)Yy7F;e8nFV8icfGbJ1A_T?kin;+Vz^U(sqMBPk2LjWH= zKHpyeA3X&>NRV`1Pv_$Wv1@g6`5ZywTKz;TUl1RsU2B~vNIt0{TI0F|FR^>Xlcn=Z z@$kPF+bMx2tfjTWFVHNp7%NBzOi`Bo6~qJP4_q=Y*w{vF zv+;@TsbZ>n=4nK+X^-UtQutupHmWit$FJ8Ij``sx74@6KPy0ve$HML0nU=*Mj@?Eb z4bJha2k})9{|MrjAZ`ZnG7!gu7@i&j@nQNk+dX&fVEGH&UyjhhnitqRhRv?|Jz-ff zWX*G@C`A~ILOU0wg4s^2l zjfZ*EfCLnse^YQP+3+`}?9{;vr1D`gfukCc={vrc1kt~W`e*0+Ot5o8=OHG2KeW8_-7h&6{k&GU_eXR$G>gdy6Bnp=8ViC>-L;f)m(LHoATa5pk{kwh55aNaL z9&RM)L_l|PQIPzG5=Ax~cUhD;vhinEx0)FY-8^JoZboz{oMvNeDsN;)_yXN-D=P!K zo87YpWJLU+yI>8;i1|VP#)|IaP1BjJ3}1OYZl9P_H@_ZRE>5qTSC4-nrqor9VbaCP zbtPlC%i<$z!}A+Bh+T#gqPatyNXB2nwL@XBE!MQT=fk!bKNs@R15**5EVO7^s$VBZ zFVb;QYdAR~Tq2I6wHtH4rM^<&l=V7)??aa1*w`K*L(aR_XS zH4R{?Yx=Q7!)1GjI|R1H9M~44*PGwkr@PUxE%t+Lv2c+$6}H7liSvY|-A7__j>5Kh zDr}1p#~k}$TTE=D)#%Sdf^kuUKWvMISnu>MK_g<1gDags?swAAV>t;GM64Ow6^g8` zq-af`g5OtMhpfT1p(TpCb*x6*C1nonh7t|di8Y*_EB>|NbC@ifoHe9Cni0s6#c`hXqy3AhaKt%N4petR?DpBsN(tKw(+ zW<5hZl@z6jo}n0$H7T>6!P=~bwTVA&7?+O*WhhvicDjrRYtueahJ&@KtC38FwMp4)Cc@hED>D_y_jHlU z%yIG^UBvsQP4;QBfYY4s?60i25#CF5kn=AW1(sxFDCVFuM{e#STrzF9AAuz~XBhQR zvbsBG7?~-l^1zZ@XZ+Ju3rmt6U=JPgdjaXt*+ZBYoH=&n5Gj~>+aB%}WF#!mM7UGy zO0&p2jWMSXm`Nt0Z= zMu|!0H7?UA`K&3_bzqcm)?Dq{HX5+Tla&m+^E^!U#3n4BwcnLE?7@bOA!cK;o9Ogh=HRgNA zY~D5XglE)qnhy88mf8KdwdMzq-H(Ipe#|>4>ARs0Vp3meG9A}kMP6W5?5DhBhiNuB zwnH0nXk8qp|J==xiW`U~(;Z=IY9NzyL{E47OXoHa3XJE3%e|8Y5!aC)I4@f|eB?IH z6Ft$5m&%%udmPP{^IZRv29@QckMJn|rhbJ21&dM_r~rEALfl*PQ*bg}Lw%L-TC-hT zEiHM5rkKZJ^NSd!uh;zGE|TUwg4V{@MAKE2u4JMPXQe6yM18K+PnlrSMO(SbIFo*< z6{Acl(B(;D2WPfHcaDkRWnyQAf31yiP9B`wc{YEdxz}=W0QG|-jHL|PQfjFhmudg- zoc~e|E$-B=Nxdt43uZJA%;+RAqbx9^JHs)5I;f~( zC*VseYV%@0Z6jFQf4DPU6Nb(Q{=>Dh)J46xJLV~JjnW@yS|@K&QgCLn+%W35%~T|B zA0=%wC&@n^CFYvexUfSZP4wwDvo%INYYdfae(Yim;rj!jwqyYmo%{+#C$)}wxc+~O zP0C>6p%_jYH9I8>JQf$TEHF__A05Ae(0lsp_ zdOqw}VQb6yPV=eiB=VjDqxo+t%GSh1%9l1FQsQ$F?I96}TgE5pDd~+*Z2fS~e*@?I z1jCc`?i84?!i4$X3DD?HgNgH8fomV&0pK!MAm2b@cJpz>iV0}!X;Vo+MaYT3?&zY) z^40KDufk9L9e(PKFF(btGL!_2a8Cq!@<4DL1h+x3&|QkYbW;*MK7pg^PE2ykulXjt zpqAK*Qd#3s$F)_8X}*QGpfgorcit`3Cg-Z|;#lMm2F_w!5ipd_^2TRGHKI>A*Vg5pMl5p{)D@jZJ$J6C6P-qKoD0BNj88KD z?mF)asiXzj=Jn7j8Cx{JDj0p?Q}hQF{(VuG$|y$IK^`E zW7la*-L)+XUf`BHLR-pSVE^mLZmE8OC%6%PRl%4Ej_?*}`?udgYk{_Z^Bt+M9Y&uw zKIL7@K(00H=AFpEu7!l<%Ngj`h6}uN8Ti-6H@q8t2%^F4B72kjN-9z7jo-M|sIZSB z&gyjTKmm9YK6m2;;7zc)*@Eabr|hQaT^c$+RuH>OJC{FIkT_Q}ksl?9pQ~NVpDake zt0D3ey99T&1>hP^pbJ^5%&xeJnoW{i>6|5~?<7m5IZKdlji+6WtpQoQ^Lo65>}eSw ztT0u&7PSUt@n35e@RPd|Uu&oFXLQ9AH5=VzY0V=-py8S@N0qLOe2Lrvu3<3~HAk{& zu#kzo4b?AQ0^9JS$203ro*#| zLfdGaGMfC0W|_FkbGi$EnDM*)4FpqpfjX^~#*GZr+pPpH|A6ij>jZA}0Whwzl$pJV z2q=BQdNa6DhY`sp=)`4>QqS*2oip5b%^Sk?NB^LEAj$M>Q&Ju?Lu?DJ5$c8Ys8@z> zT~$Na{+O$}w~};^c9fjWoNmjr#z2Ee=#lo=RWgKch(3%u>bwWlu$+eQKefq{EuKAU zTtnhv>=VOt+hz9#&mk`2ItOj1D5zWrIsFcbDHJuc_~%>xTS^%_ChbNJ?b&$f^(sKr#k2s>y>7MyDFuoAI~Yjk*_kId`nU`C^}REP&(fIe~f7n~36$W%%&^Nl^c2N4XNplCgQ!7Q^q zwFfgEu~+w0F(D(o5gFq==W6o>bg;j%Pv}8)u;T4gdx#yJQ+8|*u9CUZenSz`7kOX* zjeC8aWEG;^nOiq!9C_9}$F*&Qw8oU^+B>o!qHw{>El`H@|GPzbt@)F(NCj#(eu<{2;Y(3G|%{c7;Q{hIz&I1wUO4oC+7g(k^_4{z%*?EoUNrcZ683_c79_ z>$Th5YX$(aSKFJ4R= zUbU(A(&~p(9*_O8^x>u763-m??DX!{4}PnE`Oni=zy4J(DH^PJhMFT@Gg$fzd0SjP zSosW{C~h2_%S47*jJgK~c_}6Js0-p{DGTe7ABxLU%Inc@#OqUP#t{DE;%?~} zxO{56E5?ws#WmpaL4PCO(p^bH#5;uDb4jRE4te(i5^|+O+FeG16;sz;-Gm5oNNlvOicfgK-VMH3(jTU;A$X)b|l%n-vvDccbdL;wYQ^pnyqP*nnx1;&~`Qhhvc*zv7M&wZTRAE6YBa6RQ znOSNfUExt5QWqykg41$u!Mn3r0blw`Wt zUs~3S`AHlvt?tFK#6eP7FF2zzrSp5i8HJb5tH*pNj*(W?<0gwa(vo`YMRB@xVLkpM zF-2NFhWTBbEUg*C1&G52rDNC~;u(V#WB54^#31w)zwO`+R+4au4(i}s684NEWpDur zzuMt9_<#GF<3b$VL0P1(NRcp_a8sM4$Qq4{)~r$RN0W95DXD}p=2w<|?NF*7YiVvL z{%KlnInqx4(>wtJGz6S!J_Kk2aOSCUL%aVr(*}7v#A(b}2+;WDLUCFf#A(b?@}>$R z-8nI(ECG5O6H}^BLvQ1_6xnIqEJZ*&)c!B&As4cLwKIE=g{&ZZS`VR+bI?xe!QO%% zehEDRw;&u7+=ITw%Cu+o_}>DS4O9<4fw{@f@1Z2H-`nXbL;?$MpQ!Rn;B2=ORhZMv zJbRpqbQ;pL0#&HftQh+=74bCZgdOKajR>kS2OYEJ7t|A0(avekxJe_?)!2v5mGbTC zkQdBl_9PYg1^YQ9Da9)ucxC$KBQbPs+#0thy=d=pE)mnCFXQ z>|5bBbsfFZbO^H#Zuh|LWVi+C1GwD*x0BYCq zJnw^`bDRFVi`|kdyc)!mUY&jQ+BK0-T*#!#WUV)aA}sd5MayZ@mX|Mget7%0>xVc0 z!QFJ^3+}7uZ-ZX>pTFP#{GaR3JML_3_<4W-x$HN$K54p>^`@}!`fKeM{24y58hK(- zT`3dwCB#S|>n27ltDDP2pAf6+7PKLjiD%W7wV|Gim)BLdAvxlzI@vIKpLlcK{4_+l zW2Nh)((kM>*L77%T4RWI9aj?97?-*(DakJlY}Z*O;U$DGt}6pV4O3j7DE&i?>s&ob z%3(vgy~N8_N8kI$Q9;e=$18w#Cc%p|B;M3!xO1rG4H&o4%e&r=pc{<5Pkh`+ND{dK zWcL+?kfw2h_oQfMfMiU4w!>ki1ln zQji6LpLH|AVO7!KZ-R<=8C1ka|EzVx5qajgUxDeJ1-{n><|D!h;GUW_j;dz+i{|(E zwLwzKYj81{DoE9=+2H2N>=NwN6(}ZjCG6G5DX?8}Wx5p#R##G)K2Qn)W)+iWV;9JKj{MB<-VFR2~2J^>}f#%;V#u4NJ(;>_L5$pl;kCv??=mnQ> zVqUfhR6+gWFEvB%Bz4In^kTTL$?zOj6CZQ#lpa57SxLeFS`uY6C_Qbo|sr>2f@e?(*;#|*}0nByAe*0U# ze|tN5F>_vqEe^ zofPsa%o|-{van!!fNhaC&{v*@$rDG^m8Rjo6f^27)37n(Np*A6@F&Fn;Elp81K(6x zFYY<`rmB0f9Pmxadhz?fH#NT=Qx3kVdG)vl;4H4H$EJg`xTGF`8Jxun$1v-`SzJDb zdn*pBs~N*m#4|k7F?_QF;i({DiXA*pDd}wRPq+VZP^md2Y^pPbI-7*=fpmzST%_C7 z$~(-(y3OfARBB)(vsNcokkn=USh~4~XH-KO{4328ppvTW$Imsq6%}}q>Y^s}r;hEs zi$1?j+Ee=*1u8#2T37F0+)`bLn&61x9c?E~G!~1NdM6CD>Sl%fgY6+u8_re|T zv9=Tchhr)=;2Hg%XiX~m8EulNI@SLf>Sw6Xj@-&P@PEb1^uYC$!OSX!b#m_VX2(uT3?gsoo$#GFxBnpnFdGLQ1K#MUoy; zDg7JK2R*1#TD)jp53!VSO7u|=ZkW1KG^d9=O#fB1x(7K-3ldfJ5QZ5CMVouDY1D=G zm5Lyx;BUkZ$GX9a7l=8IqQTM^sN0S;gOx9kiH_>Qx#NTd#?6+CKL1|Yd(lD_qL+ae zZStm%^8QAK0EN`7VB8_+4U0Jgb=7p#axepT)%?`5Jp;MXwA->T1G~|D&(fTM{?l~9 z0^REVG{2E+`VcsiS>Doz!I{628~RY&OsC}SeYkDrUm;l;-2^mH`6~Y=`el2No6Jpo zsY`cGPsx3RuQlT3r`3Ls+0C|0cdEKP3;D`;!nN63$eN}xAa5GmT{$%WhDe3p%O|(< z+&Uva)K}ra?-rvx#(ckMv)EPQP4E>);*OXj<)(b%b?R5Pef+7uf=)z^%5Mu5F+*2q{dXy4hYAp7)PJ$wj` zujjN|fbvD0hRTyHt}9GKekmyd0vL1*FuxS^B2GwV*X8!2mPuCD74-rE%)&ZRFA%_N zs+(1h*eA)WlhmWifgEN|J@NsN!{pSX(E31=1~8IRv13v9p~Z{#{cjxsY4vJ2w`O^-N862Z1CdpdAa(J zAtICCguG+AEL5bjBWbnzPIm~TTKMlWL$erfc7AL&;*L=Taa*9K2-Bu1veeauh~-Xk zOHl))NX_EueV8T8-M}alJCKl#p6__>!lq*XUwm)X%n}jVJ9!}XIM~N&1Bpw43o07y zqqYH9qmqBtpn$SXa2>hTaoN)CBQt4F^j1ZvAi5ElpPDTnw^IrrZrbJ}w6SOCC;pq= zQ{h+5HVfx_;{`E|=%1Vlu#c!})(t&T5exQFTcDWQl~AUMQ$&G%gb>i=uB7`A0!jq? zs9m6#-jz5-GfffE6+cD0Q8B42`H}{whzI+K4seE9T1Uu%<`A%tgaal>UK|NHV4e>4 z(SLzyGuTJ}UiNxnkc!gF>N#~6DV{i39f=PS69;9T5ZhU4xuEpBYRI*mQj)G3qb=8z z#EpifmJ>?yMkCvDSxNZQP-Z!&4EWPH#c~7O!-jQo3%G}kWO+BZhYdyYE^rSUljMD) z#A?ouHa~C=gPTY&#OM#-s2#GVt4qd^i^Vmby`%V>roUaQQxW|UINkc3mFQPn@8cd* zek3(er!jz7hvrL3i}1*lx$*|UOn^d}>v}raWa|XPd@@1%6`(k066(m-rI>8WZNEao zZNCN@JU9^^oCgoAfCqjB%!j1F3h5X_TDK(V{e}}}gyARXI-e*sSY$m~3ox~mJn^%dyVGNS@^bz(N9=g`6 zI0*qpSe@_XSJZxGhA-vE)TlD!3He3!@#qkt1F=$4*j?m6{hCA41@OruVC?7cks}Fo zZ6ZH)ByN{(b$FgV4Dd(GQTg_K>^f7Ld|$r*I`f#kdBE=v(=Asq?DAvIYi~Hr@*4vL zvf+`-GT;v_66`aqyo^Yq{tK%B_Okdq*sqqh;l2dw!#;t3kzuW?Q$Q&)mfMzl<1!-R zG#9M=jF>p>8|%bA-bszw8rKIoJ>OWT^+8V0DQk3J^a?03n9>*ii{_v;sW0jm?L%vJ zUqqnhnqo#D=PvdUC(n+C>>1{l_M=pRt0#kS_~UwHan9A@CgMOnGSxAkTGD~;acrP2 ztVF0B5^8xR%Io-mT2qN60EdgT5^Z#R4kq?-@&jD3()@sPK_50Wab@wWCsQpJ1N z$|5@H6wwMV*vn@78#f_8{xI0f{R=vreB1y}p=;u^2jDAxi%%ZlPyFuZ3ga!_sR7h^ zBS*NdmDMjeuMOsB4#ZYtu87Gk3!h;&h?82%pW)t#LtAQ|VX@+Dsq`6ss~9P*U}7ZV zaA_$M=M>YVl}v1uI8_RXyvM`@DJ1fK0N&bNz*WTl02U}+5L1o&2_okv0lu2`lL+gD z%!-qG=s(w$utFbaP3nsKMZdy5Sz48bnk;5WOVZF6;q<$(7xfVwd&_&#zr%UArXCd_ z=6DW_0;^M~rF9e=XwJ46NAU+tNXvdM=72fevXzTlV0s{mPo?*=Yat_X&LHe9elT7J zgPAnSdyEf(@5t_U$}}_9V)ha0Su^x&+?>Ix)9C4rj%k%7!^<5Tu6=&cZ{v3N%A1+Y z0#c`Og0KYhAzBJ+t|xa+^ro zX-)JTd(eN{bwi2_^`u~VN^TRPcI(lAn>Fu~|;J?a9MK=hR>Y5!1Db=@djG5tDqaw+d z(H7Ot{2Bs2U(*Z0LwZ6%^A(O0v*9?*?7=sL|Dj8U?8W#VN+B>(o^v*)%n1fcL{Ulv zi7Q{qO|hL$k!!wRWJ7%Txd!?3HYB=%fg^cVgJ3;OKG}{$-||P}eBmUn>r2pl2b2Dw z*+_)RsW7<@CbxcaWyB?#+o@A6v*VO=1}TL)p?<}TtN z+eR^4wndZwFb2BLQBTTmbYaOK|3N03rnz1X{tAmbG=mv9BO@VDv*imWVkhufDY*n& z>JT@W@&=g^en7W-Q3c|J_`|wsg=9!lBz(Iq71hBwV}F-YqVcyT_Hl8fx>>L-{-PIo z*09sHf;-(dd1yi<~$P4TY7qX93?Ij+_WRH*r= z%b0;XY2)fr+wsI#vtC6KEhnXNMc_vT?IYtlJcY6+F^cnegs|fTr#tzEE4r!#|7SJ z`J{=4NZ!$W;zZ*T-i3Vfc>~jhZKW?^-O;Y%vj&pp>N%3YmYgigT2n7?Up{`Vd5qUQ zfOu_c;vE^lyas2nW&lMrafIQmEGGMVA>{WnA-7op8B$4Wb#%y(ie0Op%clquU+X6F z!_>2$;giiM%V~k%*T$KaegUbPCb6Be3c7eEt;w3v6vX|XI|CR zbNuS+;v#n%TU~uzY>^?|HY*j<{%dvj6r_xZ z$Ean_in^lyfN#y~ZCGzopWrcipW{75UlEnGr?z?C@V@A5)B~rwE~gNY?iAO}ZXm5O z&vNbbVIHw|+ouC(VRAN_0-MxN+EJm#WZPnIl$w7Xw#&nNhk}1OlS0iYM5j9Isk3h( zdYpw+h!(4ytEdny_BtzDgbirAsaC}IhN#OLD0K4%p3#SlWX;emQDo;Qu{BJ0Ov~JH z(qYC8O{pS0Kas6XbC-MieF2>;vuIimrjv6+PXZ!T*rpaLrVhY1H9--j7M(`Tcdlre za~k2?;}sdKgUF zHKD90h{@O_RP}J$s9SWq6k&p>zfs4Wjndp;M6yv2m1gOJ_`i`qIl`sY!MJmVE%sAx zzb@WRoe4-%BX{b*c4NBuMY@x2W><8P{uei?EBssC0e)Hh#><9)U(6X6t--&V;T7i4 zpt^@3T--`cqZx&8tW!qQ^*{3o%J8+Cy?l-mGAXCSzK{pJeqLjWg-iSh=G~CVi+CGa6f3L;yKm0zr^zgYueCg z@rD#>KMH3ox65Tn5{+e-$_OOJ9($b(+n;n2Vmg7`*pm>`!KrhGF-Ikdp5t9WHag9f zC7XE7JqpCTqC5CJhpsZpu59sBGpOdmcn}lMm<#R&2Xqfv#B+pE9c# zcf>G7eqQOH&HBx@%sSmyS&t|Y=XtgQEiv69GJYO{l^~NFh=((syW{$lfm6SmJ!d~qD$a8^< zY@|ilXQmPp=(U;}r_yr}%IMgOZFDc&SJi}_?R+TTuf`{EUg=ow>D_btDP=~AZAB{i zG%ZYb(H+rU)P(=liRl&UXSVwfL-IF}qeZi|ZB{s4bI|8*N z*Bs^Y%k9KJJ{B=v1n8rElSl{THQY3N&7wif`!6g6G6;f6Ajl*ga2-ie>T*D^9Rz=a zb_N|cr!EZy74U=?gbWbIfUsz*h|xg?1qLWg0fkCXcn1pppuhozBv7aXg}#5^Fc}mU zfWq&f5CjV8ps)rM9{sEE?;FzlYtLNSOL!I37xp;a!|ShgEmA+R9raw-e)*rx=;F`c z;|_0od*-A1pda4`IH+b(p*PbIwO2Qym|%$5tM5}p8Dh$`KE>pG-hIu-ije%sDVk-@ z+?I+};QK9u5fX_;GC`-SpZZx4QnH}k#C-yH^N75?XqAM&pCVXB!A zgk%-t8S}CzNyT}_ehWEL)Mu>Nhp#zcQGfpX%st(BV+>lro2b|E;{}n`n5~i|srVUM zA_KSgjICQY&8TPZ8PW@y4jL`_9 z=DL+P$|)iZ)-Z8sF)c;P#OgnP2W9bYxj}&3X4)mM$hd8*lLhuCeXXJW2ZM=lej_i< z2(kxRgSjC^b3Dh@*e1gBSoQ2u#Nsbz`9Egwv1M8(s>@5klXTLxSr*X1JE>P$aX#rV zCd{}%-rp4vZI~vvcKK(sf44=-!W#I2ny=iGJ)bK5vKc#U&#kHL^z+09*u^DFCVBQK z$;VA>*UBNFHaugihq89+YFptDP#gXRMeaat7y?D^w1$Xj+FHpn&!JJ&bxxH%Ybaox zcU2z-tGH$i<1bG3>>dqh1SV(O9aRtK$OztSYStGeg) z<1ZQC+QY!M{{|7>@N7(G&Ji?F+;=Z5L~L@1yUQC$e=~R6vb_Y~>_XgoAePklAj|NG z{)UqYDf*~jdX4T2aBkL)e(TByC;=7iFKnt*bO&{keO9V}2Qeh1gAAa32)v{L8JvyN zGr~4b@1p_)KWk^Z!N)u9ch>L@3R)3ow=P0fa%Sj*+?=|S1k7azzb>=T2WB$hQNYve zzL>q5_ly3(Q$Bw|3ElR6SQhcZ3^iD*nE%W~WCd_gzgdf3ZbcZv?`zr>iH4~A+7ZQc zL&OwKpCZB#GX>V+qs~c{!EvSQqVbIk&*WHhW0P|KDy=mAaJDb_qh+e7 z6>j&6;a{B>m66m3N52w1GT=z6Ne6NqXOxw+%SS)WePrAgrb<81>X_d0qBYrR#EoXe(UdC?WCNE)> z7p>pvE*XRd4b!0Nkdun7XVqxdxMvSSgNBWUPc0{Wfn)T0!rIi3G1??yO)6uIaY48x zHHbw0&?Zdfkmye|b|4}bHvlunD|<>Rg~XYmdEji8gTpQtiu1r>*B{=9JL*h!&FP^w z(ag4m?(&qfaol6J8P384b@@2?F=Mv2=&nFeo_T!Yz2WK$CqT>k07lp`d z@+53Kb1Bg?t3B5C3LhCjZnO&P_Ywe&uy>VSru_fkSWElNJ`SO+!HH+QE{I8|Di;fRuR8O z^N~BcB6*EAz`d@1>DK-HX~-`MX@^cMI&LUhrkXMBSEpVO>)0)jI_?S9J0^t~Vrb|w zR;s9$=08UD3e#y}^)!Morj=SxH3~Cf%ayoGyO%#znKV~(oFAo3IE)UE#7S#9u^&mM zNf&nFCrfbBswJ3tl4$9yC0LetipMlS{@Tc~e*@>ah_$+1{A5AWYps<(Ly$n!=&Zbf zWTH093N_~@eXtcX010=et;_*_fxgL_ke~duw%3}K4;|h=b)4cI>q6`@#_^0@#KWxb zgfnF!4$fp@rHtXAek%pi+S3>mG?{a5{7=CsgP{J{KuM2W( zzBR9NomN6^AlcP9>bKKe`M+R%X0IN?zo4US`oCIOxylli1qt_Lb zio8W**b}^|?cveDg2M3Z@dY=8AJ^P*av(4f$<{l8uoiX*{uxIN%8?L%8d zDyKj8I=Iv+nuY}v6e*semVLT{8_h5$^3*j~sZZl!L2IDn|AK^=xo8b0601s1m7`N!> zy2GS1gH3m9f_DJSfabe3|H}%numh$$V5$SADh&Y4en(V`sB$M}eK);!5yYr??y zy2(4ySJnpm=&32NTbLyNpY}W@k`Fw`x*(W)(p4g3H1JnIz;|_uXc!p=g)<#p_{WR@ zdnTl8#s}(CA!Rf1fKFzepswmgeIZ_%BJM@%A&*Pgi~dWzX>egZZn^|KfA!cZN&4XY zdVGk4G6*e2R*P!}3&tQTZOdRG38HbrK`9AZK%_{EN%)W86TBBb!G>1LNj0OCy;ukv zHubzF>`%^zmeXo{8!b#TR}m}#i|%yfSqxkX5nOsVe3)mf-J&%R6N$}6C!6kwra(-D zRsvCy$pgIO+Iqz_b@eU8r_REbxwo)iInyCMfgQ01~ z4gAr7Dpq7%)8r{+OrK&F)8~FG@Hpp!;YL4ztmJv84XB#aM!*gLdYNNmUBN0ujN>LK z-3MF(gt=;aLV~H8wi?AUct`R`;@_A|XLfhhIQEk9FS$8`dhA996A*mthA+Kf%l~H1 ztW_nzWgK7{AR53PPxw}gbvLyA?OqEg0IUE6wkMv|?|0|IWf5Qvpc;_vE6hUdc76e? zfSH4|Idi`$kZF|v;d&C#O%9FI^^z@P6)=$$;w@w;( z+58cH(--=5&oZ?KH}U=AzwFmN?Ol^pjF*>me_0DT?%Yy5UNYOW-nUS{MOYsnu!z13Q zv|sexVpUS$Vh3D2BEiL(e=o>zap>QR0J!+fGvfb<()^iC4;NV^xH$Un1sN`O|9cV8 z{MpsqWuLr38lZPlyCT{Ey^}^1Qw-2MsZWt)h`A1qj>|ml2$pV|&TAcgq#t8!-n6UH z8kWz;X*w0u{OE0(X9_`n_%>}DoMoXY$y{SA?;;m_*O2iU_n5e{rObi;uehP5IubEK zJhMd>iP{f-$_x3V^YAUfwlbHnz7kGp4PL^DwVC-*1L23!QzdaNvpa!1DX=9ki}D)$ zlt=RMugzoNnM4pxO_r7c3=#a4h5?ib{FJHSr{sJuB(w&#G0R1<-d+mlhY_}CVQ!4>}Fw@mpjHP7T%CPvuqK(ZiK(@+7zh+qZcQnR5)-sGp}nu z34dbiZmE^42hu$o2hvmc&w{uUUI#Q0-{Btny~E{hZ$Q^>Yd|js)yse$P=5%>1Y84b z0=$Q_2L*hIPaO@g*Ft2|XK20dWhtTr3sOKm4t zdFM#N4GYXW^3X?=XN(J>MvwAFSJ95_CA#U-+iiQvdv#?{qeq&ddqg~L+zWUJxCrw^a1sAXUt4d%UI4h8dlFwcNDP63zk-~vY& z0+$uwauHm{gUb{6jJLt92;6eP4L)Bi9LXKremVkd57;@@2;SZnIRF7{UN69=z}nfc z)&gsJHXt1cYiNnIM)1D{=6PUV2Ij9|P6Tu0`k+qux&>fv1#>Q#7lQc{n3J7db$>)e zOJ~d~#hkm^XY+3T0n#IfB%e-3ZF2bp!X_gZ9Ik>x3OH;5hbC}{r~UmsWsGN63{og} zXCCRX6)FmtXL}q>@_1%zkG)Az#q92JBFjMLXP3i&9pBe zE!Wy>!g?TQSEfPsgtLx|w&-3l;QaVtp_zUZ%bYQ>o#|bzU2rNHE zkXq$r2OZFIE0RjP&WRMcQyBpqTM>CXi~|T1(YMoI;mh^>Wb@V@Od)+Y_iw=xbwTqO zLBc(^Nz+tEApC)7*Xz5+igxj86Evf#jR&L=^(y0+PFK`j}527(3S@Xg^zk4x*L2;7B zno)yc7sQ^L;K9HPl0wb6!O+#>1WmwT@M>r*88aCEO^nopJF~w@xS9#hAvm$Okm($R zlgtuEIEN+RPpJZn*IUUOsYQ}ZVNhjKl|!;>zHxG-$41g9-3;Mq=inF6m>CY)1!7k) zUGhbL3QBI=;6VxZ57ZtV$ipR&Z|W-BQRy(aj@NEi6_O)^`dKTl1*Sd3nUCc;B~aY7 zFNHo0tkIHi{ao|m0aQ16hAyk!p(^wz;;w#0#LOYYD1Ao6v?0`Q`o$45hLFqjg%P>K zw%w%f&~FlM-Z$XX&A@BpMZ{s|Puv7$wYCasYKa>lfLUbzEf%$ol%l)Rw^XYEoI3C? zWE5_~w1~hq-;ZAAi?QgPwR0h@zpVIttWyq@0v_(P$$?V9Go6I{^5Ei=op$%36!21~ z<7l~C@xe~p(TW+xzjZpCm5(bv(P@8HvBn7hJeDz>Ac9F8qHfWKS)7OHQ?#BI>JaTV z;ZOsQ&^ASnsaE6_UzR&K%43acIVANh+6kx)W(TiE7Q!e)i9u`|^! z18!jt!7Z$UYV1h0y#;MG)9dXTDaUlH>Tw0c+cFg^wA1&FuL#&uF!6SR-ZKOx`MoNy|iP_R~vfA$(3PssH$X2LV$KkzUa zFl!@X%GKu)5#N0FxpN5pWIgpHC!`;zr!V5V_j~F=T_@f`mxtq=aJPp~74_R`2Nkog zjC{R4PcyPOb6aWO%}>1}pHD2Gzs^`aoV&^Y`uF$e*4~)#J>XkWwZ8iD&+F5@_a~q6 z3Qc&C5@7k1P~>Hf*?h|BtLyFDy>~`_d`2pMzV!O<>4L2dKJFUaWw+4nqd^($M;PehSn)B z?vhBak0g6ek!93iz&hj)Lt+Fc1jGVP71voE2=FvdY{w9w{AQLg&^c_U1a3$pK*7Mr zkQR~F0*%Ih7I);KL3l^!-0s-rcVE_Sn)UJg7}B`>yP;&+O8)E8SLb>NPZ;MlG?OO6 zIp7A*{&zm#T;EJPIfk)Gi|Kbwr_R*Gyw-#__}20#{cUiLn7&b!(*?cUqb$oiA`+?31-q!;)pseDgShtH&3u-9DGP{@V10kcup-@A7QfNOw zPa_O_pOw9Z`*f1hX^8qAP65V1=x5n@6Jo&a3D11g>NZ4~xyHOf{;s&1ZCB3uh{In! zX8V)=1F~sQ*@BZ@6+&gpuWXu4dGtNESpQ_%KhIk50We;3Y8N^~@dZj-kg+}qn0b&Y z3)>zQ8}2W$_ZDdR7MD)nBjTF}(9&RGP-oB)$wGMf=2-}GjNZL`R0*xquN!?X36EKs zco)4ALYQ9?0Z@hHbj8-jvlfT*tZ~L{N!p8=k~j}FCF$!Ix%9~ge55{(IIRrPuJabXX&v`u{LBC^kj*gePR4jl!)L9mkK+P-685c zxKg>N`h~&FQF5wJD`-~4)a5)vywZo(6+S|`K+F!pb-VRGb<^V^QaEb7i;16Jm#xSB z4L77S_4sh*dgg{o`g7_;PFOwRIX#f$X>sofo+_&{t*R?JgvRo40znC?hDR6Vm0!n03%-fp!x-xl@3GMOFk7jhZhMIF33XqVa{}r|DlA-K4{!4`CU+gM&n>J zZL_G^9KTG5ED(>RW!h)amUChn921=%$X=;y7L9sz|d|8L?K!UY}olB+yI¥F4v!YD2G%Rz`9QwA zf9hVr!5^JJZDZ^nY;eVTByN&!K9I~kDgfO)xUTu#V-LD6pjOz&*j)hgcrZ7C`4pH} zf;j-pZVRX%!TfE5>!zI#*8l(T=k!K+-(P>^-ThPD`X}Ts@WCZdTBJ9^Z^79WzVg+B z@RfP+l{YHEsUMso{lV$lFQ;+9EQP=R=Mcfu>(?$TtZQ%vw(Zyds-?ed^w2Yb|BWom zJ(O9Y+41%1du4CXf7KU)qs$}UTT?$)cLje)yE5{lHTA&Ok;k;%GhbYOnlL!BF89!% zBmcJcT9{TL$&#TGv9Dkn@teM7Z+35L3k=_55 zm6NjNlYYGFs0nM46M2%<3;4hOvBBU$fK=7f6k=CeGL?XMdSvh(%-3@%nylKSCe#p1oDJg$JEJhoIAES+OP+0&d52zMvohvkSNJ^Fj0&pFnw~d&xpKu%e{l zJb&|HdBW`vJ9vuzV>$EbK8Ek}#^^FcNO zG)s4B$)vTXU$4xhhtT?uw(nGc@L?skh1mc2(+&+P&o4;&r-q#86C^vs`eri_mq}}3 z^amJ5%U>5ClqU$zPP_+^u8-$`3)PGs2-0jhx`?t|=f(O)eYhgiYl!He%^f_}CE5 zBF;~mhmJ&gOJAB*0R{aX$9hElv_|4%?L^Wd-qN&>P(s{M^t(&HeIu>^tp7`>&M)L$ z++RTaq2-enZCyH%fY`0(H4FE5=*fYSbs$u}oQx<4ZKFAh6ruqjXB z?d^U&~+se{uO?qKeDob-|%~nv4 zh$1brY%55I(ODf7K^l}_WboW@hso2RdNC%|M>Dy$iE)>kPkPJW4(fle_#JaHaglB% z)c#w^yY&Ti(|K;pIi#mj2Crkv)EvwB9%!T)Vm+Agif{4h@z#VkELCEeyW}et+yHUd zRlJS^(+b;(&nf-gQ@$Ebsm1cM8-mz$S)$OE9ij<6tdt*CH_KNv1^MrknOG0h$JgiC zrYTFkPD~ZXutPO(TW`qE82w0Zm7h-MIo(h?s2k-g?gWL8mgMp}p4~qov~Ol=)}9Yi zE>drmpM8Hk$GrS|nDtE`QA*f4C}>)b;YuoP{Ajv6JPfCYFWyjm zdOBG$(z;amW99Ubr`e9qA)4`DYUKgN&GNG^-263r@mKjBvZrrY{?6W-O+S;B1I63r z=qJSf7vh8bc=HkYXQDe4sh#6>{AtXqx9JMic-THADRdWE4?4}`>#1Ggnja1mh`+z)N>BxSW)$dsa?=k+UVsr5Y`RJdG-f^F?I~fbbu!QGw=mE~CX?Pi{dUnAyHi=Z_pdp^=)5q^I zu1Wu7J&>>4REckKxaywAs2$suKv-6s;l^^Z?_ys~<5dYF^n6Io_oVP>uw&<(8%RT05QGK;VI~MDBIX3bDQOlA9FR`adFLV_eYMS#&%NXE-fDe4u#8i;q0~g$yG^ zrn1+e6#Co7=@$Fc5W3V?NJwc8^vQNu`pJy7X!KGil~{*p7P9){GO=PsZoiNIoHCKE7Pi4e}lK4Dgl;1d4>>Noai+h@t11>uvH~p zllgi71Hs0Bba!C+^q$JLcvwb?@@xUu9B?@SE-_$!0OpxsPAG{VfTNZXTyFoXI|4hG z!A`y&HG^N)Hd&9XmUk9kl;c;(t;I)E5i1nyi_fKEKFjCnp7g;m=>s>#YXO%NcOkz+ z!3Z98aof)YdkuVUtksU@hRyo#?Y z@=K8Oc(n4IEbL3(^76ua7zQsCI&4AZ&7*w!J#;Q_ZFzPVu2tb^yfA39Se{`#GDujg z2s54?w0kFCY-}BLe5dd7${2zg*F zFL;YyK%7B9i}dBh+%C_P-eez6RV3M$c#x9_{X@RVvU!HR%ywtcR6fU<3jITrC9>zx zKa|M3shO($qFu(yXYWYk1s|EJDt(pZ3O8Cqt1P6{V9k!~S9%Pz^MT0Cbtc?Mo!dFF z3g%;$dgstdC%>=eRzao5|H_&%io^`VF6IWS-E-(93WEe%=n+Z0Fs>(fuDD9*4=uJ5 zlyEe(*z(@o))zpJEm|pG!p*dJu>HI7217jb*do%DUfjS)ukCbuj*Eo?J+_2G`epHH zp*K5#F8N^kt90!?t3y{1U1le=1uZ%J4*gWY^bmBmeyJe41@WgoO)#?s6{BAunAUo>QkRib-Hx@&N1gGjPh73t9+%rei(+G^e zwQpGCoV^P%K77ZBwC9*5@`B=y9*{s@rFE`nboqUdw6i8u&Y&XR%caJdnKoUZe((j< z4-v(xyM4^ec=50rAgB&fz64elLLpp^&*1VhTl)yM84m4$( zK@_o@O4H?P$PGb3vQvCo#N;gOU4BeNQWky`-yvesJP~5B*2x_>72mCm z*MYqZ1E!CaI_-q!sq=%-H zxVSP$8DW4tm(dopF}Qe!bFS8FRsr(i)lSrk^|sg{0>y5CR!eRhS5eUx02otIVV*ZQ zSX?v+^P~Ivbw2?TfDZ8Vz(HAcVhVmk;#1s)q^G!%+HQx<>jA&EHGmD>4jI5RfM)>D z0DcSjE#S9+-vS;6JPddk@G#)5z*~X00&i9AGu94Z7b_owObvRm>JpS?Q6AC0Yhj*Y zXZU9s-h`-RJ4#bbOU!x0=q7oR@mQ?;qO5xT#aL!-EJ~<6$lMu=6RQ4UZjOcK+ilFI zSZKbz%ap`I_404b`dEAu?Il+zLi&;z+^Hg4U&=1-QW5qLF_)Vra)=LTm1UW5siBLd z(I$Fj;UnC9gGXJ)BbbIVzK;6{?_xmLO^nCvHu%)><8k5g0OpBGyVHt6O3>eYf>|TC zT`JFG9+f*RRm3vS$?ZSLE19R{P9GE)=H*lyJ2_9SuB21R3hu0W43#vIn^{kwQhw)( z>QUQ?f!v7}cedYD$sHER$=!k0+y9Jn>gn5QuQ+4tJ-0Jlw81Q&%Ip#pjW<`2Spwp6 z_UhBkgyZrc_3>uhafPe;LbL4xdA=Gdffpz)sLwXzU(0do)fO7rSEtwPeYp5b{*z^e6SiFT8g+YZ z`K=PN)z&N%{BFgKFmkz9%*6XsJH{e^aa=@RsZ*_uhgR@xdPI7qBY_boB_#$#OdTX7+s@RM9td{! zsX))Jc~{)nhu+9oEwxPykH{Q!@V8y7EjbY0!1{=uR`auXPXr>Jfs?viVMa`ICd{=> z(*Acv5HZ6U_rm6?u3#3XAz&jW+~%NGb)__-$QezynXOBl<(}sY*T)*$VnqZggyt`q zbETxMpaGR*GfkH^%Qeq09e<+6rFc^WrG++Evi!<_#g`)7%7`z-o30eJO>DrAwhtGF zUQrdFi0~?-(8X`BB(^2xVOH3wbYZh>2SP)zYu3*xKGo(vM9C5VxRO@R$-_F@ozQvD za)=FS!KSQVZftJzbf=6K|8oU$Lv6N=#L=>CS77?jfL(_DL#@lKak0Teh?nd48N1r- z3y3RZswQn!V-a%WW+$gW5_aWyiLgWU#`E7kFO4BK6?P!Q|-m%2QpmHVs` z943J=0E`*eV%UvJFnWN|AB<&SJP)h?0|y2;gn>f=I8=@W;|?(7{^Lq5RKbq%uwxVK z7y~<={PGwFJNChjmA`iU@|e)7>-uJIh+$U||5BT0!I4wos9x}Ss>rZoKI|BQw@3hA zYdkDg!QyaO+zm&w#=(xguw%)u9ltyhV8;g7;RQR^{qlfM6p;A!Jg&xF-CXX%_J58s z)ZFCSdPCz*0W!_@Ja_)HG(mC?`i9*q?pSXxc6b3c$i}6aUEmO8`;|NA0HT>i#bnnk zH`8?H2H?q zZT(VX@`3oyiOq<`^dRYs^=ZbO15urmn?W7+IO^Q3KgBa%zLCs$`C5B&nS=5EdH?b^ z1@@iGTOA(7{e@h-?+v(o0+(-KMuXYA{LLeq&gI=m0103VZ~gk00#lH0sjCffNg+jfV+TE@NH%rJI>jb zKX^!ueY*(P_<1xwW6cc2(MzF{yH9eo^Or0HvjdpvV8%CoJ`3h?V7`q4Adg=10JjWq zn+I<8;6{sm`xcI}0^HVs+juY+f_aH?>lvr=-ybkKOWxuOPJhOSO~)g4wV)-F?sK(% zZ;Fjum)n&89!Kpg@xT?Fb_8<@n0>&U3FcBT6TnOdGpybW<^V8Lz&sJmC16hL8oY9^ zvcG1&MPq;WA3kHQUKQ56aaT?QE&Qaps;lG5Q04rZv6ee_%l^0k%sl#mZx9`q?SioUxE-^#zRT%41z3^Gq z@X4CHmY1|!`XVS@ujV}~7=FC|KP~00z4pYAN}Dt^N&E24F3HRLrx4*SMcBf;%k*7! z=GE{$+~7Mbxn|GqqJ`I*S9CdF8D|mNyuYUM?qa}>b+DuQ9|JK#&PcLG6cU~tv~IQc zdO2lHPf>08euUGrgiTv!9vK2jJG6O0Bs*lsw*>w*vf8}P$bO0#Xi9%{y%h%jT` z#2IaKNPtX0BtQhP1xy440_Fo;0Mh|u0jmI503YB7m;;~zvH>wh+xwril$O^3SHK=X zKHvc$4sZdm8t@H(1JnYN0j!Uknu=*S0DL1F2EG}5yE$N}0>lFz0SW+(0Cz1VB>d!E zeAFb_&__w{oyFt+@ID>=K4XHRWIncOo$~iPdGSA}+YV1&^)1kU(%t_)PD|fOfBouc zLx{uQcc(;7e{k{We&Ok8)s$Zg#_uouBV^Oi-4nYHhpt;4^IP=aQ%=p@x%0=XKYOPl z>cF-f_3LHEub0{QUoRVfy^JCJdina-OB(62am0}rc>e!UuW9s{v6Te z!bo=LPH~hlkR7~JvQ#*h9iAh$6Z$o?b0le?(GYSU6dOXDgYJW3!}w-T343IV>(4Q6 zoXPE^d*r>GMeQ#4NHaJy+iCYG4>@b$(TX^ZlhjU$CST;tZg-0&t>H{-XGBxJbCy{= zO1(crQ5K@q&k>5Uh^3%XaMol~8n9XV+2lOP+zf^980*wVOcBg!Tqts_AkX8>6VWS3 zFFCm)&k72Ivr+{8B4MB&8Z`(dz?by2z8MABwfdO8++oBwNQhU9JP5=wT8@Z9AfM9~ zTPV&jUL?t|C?b6rRjV2Yl^wK=)Rj86#SMg1B#|aakbthP$=f;HQ(|k1Y+yHuCz=AS zIq}d7x-5d60*LqmJ^$!$}7 zu}>8x#g|hZ9igdMZN<2g19h`3fw8Ovbc*4nu^|<^S#{62J{6sz>@aRm#b?0CnTxn*`bdXRXALNv^Q}v{qoPu_DJtbJ10$pg~IT8$Xp|S6aw;1y4Qd`gp!|FO- z8DgR#xh}m7im~U`C6*!g%TGf03oe27P&ZGAw?bdqF@0Fw|4jzC3Lho^!8{m?h*HRy zzr|us%dav|#DZqSLFUC++*0{JMtc$JIW+(p)$E?rk8(XlxaYKa+ys&1bH+<9QiP;Z z8QlM4IvgmD1+|KWrXY4$tAq@~V^cwROz}qD(TThv->Yuv#NJTs=d7}fYGy8$DNPK) z#7D>-kT6kp+8aAh$z{1pXsQ z#4RE@eS{zJPga66lPs37yq$d$a56qCg6E4%;*%rNeX&>hQ4xv0cptu9L|PRpS+%`* zqm{amwjU-nErYxyio#nEk$DKYjhAmenTq#^u!^z=aRkCDpr(2R!YZ{rsJY6P;+;LX zxvHV!%{|B$%5ZIbJ*Ag%UW)?NAqc6k>KP$0Gl^X96#_GpqB4mgj2f+7rgICGuMNti zwt$|bYo>b(%}*PbNp6APFfP-z41UA@ne;OF4bw9{%iuRWI+IiezhTErmm&BKhh@@+ z=znTGGd+fAG1>&EeGgb5`Jovd%X}@CX~JTCUrVlP5@Mk{?x4my*56g~k0uhd4aD0t zfw6vjBzHAqV}0^Ly2LLwARnYlVmg@*#4rw`(>G3bQ)6!}{J+LQ=*K_+20hGPEq$Y5 zbb7Cr?bSGS(rQOpCvL<~P}v&KHrT8qZPR*KLao{9xRnN~d2fT=yPD#?-@ z>ILiwEPPVC+%4GDy7aMhrG6c8qC2$l%9y8PF;6HPbl*)ja@J;Pv&mTwmAOuOTjI<{ zjF+;ptKC36&q*g){+O^+Zhhf3gID$679Upk*XuOW)8T&<@+CjLe*LlV^zsNz9Rv@7Nn6Z&%R9i^=H(tKg;0Hm>qJf zd2c?i$~xJHl`wpSMmlAA#nbOyvzlZ%-NfZ}walZE-oM<<(+97bOR}Uj@p)Za=g~;v zEB@x`RWnzR+C7)aU5=gK4y^#wdZE>Z|ICLJ(MeqCgOWs?iGt zc_BzX#3r*s&<2Q27PKJzAT~L*1$7u=lld*kIS`x7X+i%BvB|zEP(32<@!QF?hyJ@Vm;@Fz!!=pZKDZ%)Fz<+ z0QCjxz(@T7^gp01s%-~YPwE5v@*8pglZkA^zSWQID{O>W7udec0+^LH$rvnhsS53e zF^;sp=>^!CaQ)04MqGgFC!`~*d=`s6P5y&{izS7ofW8^Sgm3bP>Qh$iN6Jc0wI$e@ z`A#Y^jfns)VwquW1TP+uWJrogk4IfK%#KKmNBS71MWn@}55o1+Kbo|W7Ov|grbZNY zp(u%=I=x_0#KbP#)7lE@@1}jxA#NnwblQ9Ad{$IL*c0?9{rBP{a@1T!bMaX@?uFb* zTR`&YrFcodvT%7!p|plY&jY0#1IsZFM8FP11%Q8$^k1j|@D7sg(Aeeq9fx`V*F2x& zvNIYOdb>dSNkboCE|6`~I1c!}2JtY@0oH5TNeyzq-&OinLmKdQmF?5m4*2bnKGwJl z`0SBgdKN8R3wVEJJ~Uv@$KYX$hwTQ~Zh&nHY*S!+9k$nD8vxq?*dB%LQP|Fd?L64N zgzZb%GGNPq?Jn5vg6-1{cWqkN18M;^cWu_ezrJ2qk0bvAjJX0ZR2z3z+OH#zOuD(> z&%L62oO^|NQtY5H1MpXQpYAbW$uqTV4d6Qf57_XmRGPvHs|+zo0!%*UEIs}<4`nX! z#f0mx8xN;q)-e>i;Cjrk_g0uJ7iG@(#aBbA<@+`!oZC#@1lD+KZV6&UvC(+4XZvW= z%WodGT|TG9sjT42+!Ay>e}%cJ2l)g-{cKi%JZPz;lr_f6d5-Mo7n%?B;Dz#G<`FhR zsE{(xvN0z46=o|NWm0I2KA8kP!@xyBO*7R`cU?nG^-YuMHH1{w4VWfx&}D=*&J zf|CZpq~;);YynJa4y%PR8P3gtwX%F6ra3fO8YiSS2Pex`3kl8P*Q7Y1dnx;xELn&u z4e^)y3(2KH-Pk|$C4Ep_5vtGXo0^V3sjukE??o)qr}yRbqTcGu`U-oI9{Pg5%wBX6 z=tKv%BdS8_(hMPKFoZ4(6WR_2?UXJSx(tTxlr7QQ^(D1n#v6kACbi%$86fx2f?aEf z>zmSo|6#yIaLO=fgMUP78BS)PNASw9Nrur8>1Ft<2FC~(m*`^%i%1)S4p7gCA zLqY^hvAm}GQ7nx`_$y__TVpZZv<VMbZ0X-B`PYPR11Z%U<(uLioyZ*3 z-r`N2*!#-;+BnN7Xup&>m>4jo6uDP_3JQ(w&&r=_b3}0S`$f0Hf*2w?=`t)fL*y;G z#TLvEX^O7ULKvdl)~z&Q2f_=bXwZ-cA&L!pFJqgP;!ZlH%d{|J{eR-F8{EnhhvDY8 zS{G>XiVb}t9c8M1Rf#Z+Gd9ZM_IITYOL8fozK7Q9wdK=!$fv4iqf(A*RXS;7NFLCm zh?$^tHeQh1E|%vRkH{SsD`JfSB*rkDVh`aIQ^}p)$uY*t*e_w2mYJs>LS2UY@*Sz@pTzmP{Vb2l&^xlr zJe>JlkIfSh%HD5=WTV6TDC1>#@uYU;?h4Y#x9*$bl{`n{#46+y+E{K$y?s}}Qb-7S z68X=unfwIeGhsVm5W(w}Uzq8T?;`5Joq&{h5mq__E@b!qo- z$M_y~$@j4H`Qz&{?%`kY(RJKtj0^M`OpM0u=2PnU(b(zyQFW7}@qa_RK~e{%NS?zy zS_;XA(adwDkZf4NJXH$G21n-QK}a@)gU~09?c0s3;RgtK6*xYhAxN*l8u()bi4}N1 z!x;7UL1db$Nxg9pdqep|-8hK8q3Tvk2k}A5pX#m7h#-|jt#rm5SL)PzoKeSB2h_FB zxCP34uT~-WuF!CcUCxUW-5{v`SzfVwt+r*>yK^f^}-srw)y<@a}JNl2L zA&!bTt}jaO*kRX>J_&R$(9uA_`WEO0ppkmVDi{KfA~G0e8Cx2hZYi+Ft~{GC zIp3(sBZNWk)9F0BZpKY5t=+wV7OahFCl51oK+bSM`OFrme$FqS)`C8#Uv1ttfLKR& z(=BSZ9cGP|jT72iv&*n64WrF_2OzKRVeB4&y!uSzfdR;?k2ki1$N`MnJ7#r^r+n8f z6Ct{Yc-)OpD`y+e#5(>Y2WX#~d@Fr2B-Q%)=2NlgpOksJ8KSVY zdi$eZYe0V$CHD=Jt~B@&rx)PfE8fGMu$`RM3U|V;a{qM*FS!@D0&cC?i^a|+e>vP* z<(UGYid6^RjGtI-FfJlQ^UFNWTlwyQ4 z*FrBLjoj4w)832ASmYiU!#b8%Vy>xldQN>WD`466gleSmEZ3gk1jJWfMO_h0dy_k> z)pA=qMV`7%?x2*%LN%VfQcAXUZu1f`1}nYB%^^Y0?N37e*n}G z=xnx^HEO$46?k+z{)^t1_?7cgkkIG1-KoOiU-V<3Uj!h`#r7H`-N3J|{U9lXMJs_) zZs5NGT?e$9?d43ofyV*m9)MZoK*=}owLoV9tpo~dlYwRetpEyZuK^VStzvsMz*_&> z4|7Vk!M-I)U$xH#2@&uC(%}Q#P5i3;2-Ii0Q!n9P5DfdO9ReBv6s-RN9Tp_CF}FK~ zfK>(51k@KOSZ@OT0F<@esRgVjfW8Lm4-~9#fPMq&z1^t{tb2jJ01EG02G)l_KLdsL z9Rlk`pznaf`woHiJJ6Nz;o*zN!iRTM4jJouV01;emQ+u1r=8bE*1NegR%vbP8I9Dh z+Q53RMmkpOl1XfY*^FZ|of}~`BQ}#-0J9nWGTjScHscqKZzl-MozO&gg20>?Z@T#) z8{ew>V625alu~Kj*^F67-T`qWyUx(#(xNMWVBW3YYdh?^*uOmY9_F$l-`La)a(!_| zNwdADa<#F(*~wFdGb&1Lnv}`L-KB&kmA|p3)UHrD*SNCZy^9>G_0srRr@^Ej{z5Y- z%KfA-(z*3J4*NWiRrB%Y{ew17l;NY2_1D8E7(Fa8a^E<~O8z|J^a{|pX*I6xci@p8 zbF(ZCa{tw0C*Bm|%#SE9G)R$LLIjk;{v0OC-&lY8{F&oz1Zo7_`1ox_`eOPx}*@eit?F9^9V~7 ze#~=ub|2((n5Xg_KPYI-%XzkT@@%!bdAlM?bwl0Oj8e*vtDBo~O2un+XEQQQzDIqi z8Jh;pb{);=8}bWkn5A?>@lD-TiU^X|sx_sUAZW8YU5Yv`KcYTf3Q77G>IxbrRdl4v+CAT{A=j3>mEe7$~UVISjo|LH1wt<1818cvM)M|5N&_kt<=m{=+?}ed*Bg%fSmAyusmMoU?tlmF>{-(NAEFQq) zl<&37b}s@QuO*6z1lk5|gvgn|NCEkJ>PG5ykgs>&NDt6Di^v;kN42pc*Nu#MT8xOE zPJOBM6?s+#hk23kZ?0d|xjCSuYsVy?(DcfY~J3WEwl*TPXg+yh@?Jlqt!OxWQ~Sgx>Ge92#WObO3H~~dT3)~d_4w%Wsa1#!#h|gn zN^B%WYNwMZjg)rnDw10PQKaRQ7zJdDc8-N)E!5+4d8qPiU(8?dOo*?y8D^7ZZ&T-AOT z#>!dA;%1?*+&@|3q%S4T+=%p2wliy;Vbp|$xw8QwR4Jfx6=PDyFq`u16Uc8kRqdX> zL^n=iJE8Hh$?Vh{n{93=)6|X4gd3_LwY1qTNV!10wb?O9<*HUT+a6cutM@cJ99P9b zYpwkP~|faEP`se(1Gv=5;XLMu;au@8lTvZZcK_k*Vt@zb*Jppiuzp|i8Hkm`)Q5j z$J+V*pab?4qQCgqfYs9fAgs$=EmJ{Q*Y}(BrpC)!_z|D0N0i456_$q%6`GRx*>%)pyu)fXnM2+rI;>bGXQO1Q@2T2=8P7yjFx)GP|)(h7xmX zI&upPcjKj_rx+^C>Ai^ChIDgcFDl$nX1>^fE>xU1Iz>7S2fmQ~B}7^$gjc={|+EYlERYaW->nEX=13;FO5ST>%-AFNXOoNOPdCVPhhpDPq z<|euQ9c3kmlfeXJ3l~?9D52VN{VkC_phq6UitGtmDlKC%dcu~<+*wZ6#OK(t{Ditm z&++H^$hs6NW)+`bHzf=8l|M(oX@OGnAVF#iuEyXh@NM)GWVJv%ceS9P4C1-Tf~jQ? z&z&pCFN1h)jv%KD;<+mXg+ma}%@AY`K|FV{VEPcma|;F8LlDnhslG7av_OtlABnYD zph!}m1qBP3)Y2Mj_qxVL^36L@&6N{Nomm)Hpx1y-1nSDd>;d`$C?BW`3zHA@KG4ZP z-B_3hKtBUbk`o7EZ8!BVU9Jgd&3}a1rw{F$J`975_j7YaZWWZv;&&!TYw|D%-d|K7 zwK{wxf8i_P#R`(Q+(Q0{J#%Ox+2ja2Q)mCQvTJWJNexPdg^p zw#YKQ-xOE$#5q$=J6;1S0$SA**FZe&=&w9iywzH?qE=CfPp8*wsg|&YAeL;tX?(=Y zE~mM2HiUHT=GLgNy^aj~21*z`VoX6uabahkcDTG(h zq78t$vIomy0A?Z2WO)oglm&*)4+KX^*Rm)u4jK2IPcP?`U||edIW!w@;5(M{s*sy& zPq@0<=f=c(wk{}V75r%|={&AGR-P@p`|LPw-j!@96FuSDNU71T%5*Lu^0j=p^(7m$ zbD$tMJWU)7x4uDXl7&!^%iWHgpge87z{W3zi{lZv^{qJrBHato!|wNSaN{d3;$@eo zWT7uZl%=&9xsDQ}8=qbHq!Y|`2HW4b^f z^*v}P`RxHM9DDQ8QrkjRjxoI7bvX2ac#J00n$m$DQGSL5Dzb~_@mtDz{SqYH_R{1K zXnC*9V4fZ%JfY0ge%A!cSr;VDnsIXfZlssolX+nf#`j!;j(9gcbuDznGxYQy9QS@N zJq^u?k0e(4;y^kuB9&PS(t&Ji9s!zy%j@zsA|~on>#{bYe%F`Q6>LNX>hsJBXOO+O z7^g)dtNi_?w++5^X;t=9RlhSgHK6V&k1_W(;O?kiF=Y+NDCKVEwgzmJ>Tjm10exC| zp1HRHe_HjGxgihHO|<7`wA(x-io!^e#PMQJJnjE%M9&%T;qqB(PU@DA#7Wsdv zdXd(^R2Zi$%2UZ};RH~c5$`cEoBbRm4@?owKAXiCOzh@>&601X*ivSOxYpzgDl?L6 zrsz`EE%6Z(DD2*nyfDR=dWVU3npmJRBe`#iD)s5cFEY^jG77M74KaP(VMLtLOSj7u zXH9#AD1xi+woYsu43lC&!+y|W+5c$WKZ4ucBCeB&0oPN=xOfhRzLO=Gkb}XV(sd@% zV7R9YX|i=@H%YlB7w3>BnYRh+98@TsWm*|g(1r5Rdw~iXWh42NuGGSC_W6mMZE%ao z7)D=HRqHSouLkxL=|ofYSb6*Z+u5log^C75FR5QwVDWAU5Q@LD{2}2YsbP)I^EHVL ztgt+mNphH#kmpYp|I6~u^G?78Lp4I0FYW|XBP9D`7xJUpK>G9z->!{Yg&M8g4pT;{ zW%T`$P}8!u>_e!ByajDD4{OXj<-k&8Krw?0k3LhoMiX3FRDsUqtt4hwp*7I@f$pd97?-pgG-Kr6-^2}?aJk<% z$$(~p+y@6LP)vCMPO?Q`Oe`Y6{0TvwfPnT=J!958!~BVQrotKKPxLc)L(2z@Z>n*| zCy@T(roo9A1Sg{2*L>beKSbWfT@Z;q1bS!rkq(C_ce$%0(Iv!D+~i3667p}{xsmu1 z(lTyNq*DpyKkkZl#B-v(jv*xV1kz;%Lff9uozi%rOHc4lS(Omm6P_bQ32AJ0jx15= zzz*@0vRD?z_UXPz18=IJz!&YuTPm1(2ys{+pax}+8}cdK=@u7uKmz_>evp8B2uI_) z3ML-H?%>BkoCu%I#|b8vVE*L$!{}mM44*Cl#kw>6(Sno`{BnbvU<&V#iMtJWwM340 zt*s8~V-HW0Tzj_PF4}e^PCeiH7xIt9J0Aou>Z1Etz78FPiRhDwcQ|i=`txi$97w3Q ziR(GviQawQJevvv2~|{UBRQ40Ui%kZNvFY;)SU&_&DCGOx@Zyx{awZHG~U+aXw-P# z?7nHy$VrudSbf+vreT91%7w(OJ!S9Oa6ab2_00yDc$Gch38G@zQ3$ z2J!;iBenU``3H6uuLeq5U^^G+bf7DMQWn_00J;k3x@NyT;^|EB$jLf~Hg*X*#PM&$ zq{Kk&oj!D1$VWtoQ}v=Y*6;+&i0DA%03mkXF zS`!LP#g0b)d{GENZLcF}g(^U`B3&wz3V8gXI) z_Puf;^EBvA2CM_Ayf;i`CsL!_%iPn6O(0H?44USd4|F;R7hvpEp(zNhzLXyKzalrDng76 z8xlp0ikdQN3M!0K|PP}xxRCqAK#Dr z+WXn-*&FAYtiASn%6&T}(sJQ*ml8m`nM@k>3ZOv80_S9b)j2)l8*+*1lJ5zd>tXUr_J+|*vY|5Q>EdyK%I$*hX$4TZeNHYMP3#TQ zN?tQUq3v24Wx_+#hs!FZE4!Qsf@>^x2@wR>bnMMUJcS@)vx%-0l8^L1j6^1bB+)Yb zm_dm+GnbJ!EdUqDCFNxVV2^Oe=cNYV7jhl)a;i{Q)pZ(~jZ#2qmS$L2=gm5dn#oz3 zH}f#^4rg{AkO5BQY{<)BfH=cR&6~3T1Y;KE6)ix1!V>2y!Y&|G9X#4CiFLrj+*N}!=g z8(^DVhdRhv0xHDFxtv*`LX3XES>HFe0P#qn(rj}^ABP#@FmYQud|z0@?f zA-|~i3nXn=oa&ySrVUuKy9A0hpve6qXedK$Q*RUeRE9}aT^7`pK^;5-S!^#WNLHc0iS;O8=Q4|RocLBC5D@r|*dADKlSXRI6zZ1C$rO)%dT)DL48sc#5& z4x^r_P70caanICm1yUO>oHEtG=m#SCiw07^XE=3}VSGP5oc`6|(C>4cf;NP*2*+u1 zg9pp)I5ou(&!QZs|7O6kyp~h^4M8l@a@rvSjpebNI^QsfMO#jPY;a+Dzow84ku2i> zG>Atd2E&5nn}iOwoGk3W=9r4)EIi)qRFQrSv)vqC!MTP@GkaBJUBg~6Csa(kh7UHQ zDl)<`t!73=YB+AOnN*Pzj(u((U%?K?)6EVQ(~o2JnnOn}@|{mACR&d19ZxFPSnxXU zG3F%6E1|#MZ<6$YaDqPYtfbjgIC6RrSFV0zq3h5?!0Fd6r0c`hf-bptMeb|tXLI66 zauwcN_0>`vhwxU*L4XC*r25UWFAmiNax7cpa3!k8mUSXjH!0ZYW2M;g8*%Ms_mRDE zz#H}4;3@JR^N*BlF>^4f|tq|Vgtdsgpgg&0S%$khZ1<}@o(5#=qzSc&OOZ}~1W zxh6dUt29rj;UwUvn;mPix-q|--}!D8V-ty6Bz4x@k)vYgMDiBtP4gq)J!13>;KT74 zjY<#uhJIn1Q&Te5PB>K9jUKN`_uVa~FQC=3X`|k~5U=}-L#dg|fs9Mc$W|NT38f!e zCH;CMgpvdXsI3X5RSZyDvr145P+PM~r&;~;K&Ow(LFE+$V9cf~8htt@Lt)Y!PDf=Z z55r1|yQ+AiIi3zoin+<_Ye@x!W|_)5A#ZvW#EA+ts&waLsu<1wbjM@rO3k)(*QF{a zO?|K3QgxPQXD=`)hHIL79bT%JYoxs{9x5Q`w4q{XliB22#~A8)_Jmq|41GP@5jvPD z|FFY&h)x=o?a8z2q;6$T;Q@OhmyL%GW{TOkSBtS_)PZv649#w)b36pI)J(@N2xc8% zx^}4@ES@~#2g07@T%P*}(#+(QJn9GHo#ay9xDVus$y<12C&C#+GSAbAw8kLd(Vd7N z4S75tC$h7lqMuMr*kMTTcdI648_N1A)x_%te!o{WIl>_BCuI>%7&!eNS)>()b3iH) zeoq>ro!Sr@FIl4XZ;0%{OaM`Z7MsU4(m`OEcfUrQ3oP^0Yvc!ps(uhAd?eYb#q$F; z$jn+gpRoa|h+O$WU!aP}hwt|Vc;gZLKpgPK6Zrl(`5B=dKX{w$qtI>8cbj~N5HlE% zD!VSE3^G#XCxjqLd|CEC#`w$fgF@6`V36#AkTmGugWYIe(2<*ke55=oxCDaW9N!Gn zW;EVBu_C<~zuoLy!5PbI;Dv3*Trp)=6c3?-|6@f3|7JzC!m$;Oi{ZE!j?dxv9FBB2 z(&4xlj(g$wXuBDE@+ZJHz*aMMvz^*;%#B9Zdjp67cL0?}w}pg9j;!VvTh;>hcIXWU zJM^mPrSODxfJ<=a+aG|ZdBfAZ;c4DrdxPBsb`#i5U^jtX0(J@5n_bn8-45{A;IG&w zz|-RaMey`@@bm+KqF+_`z_1L&>XO?jLc1ecqVmlcCDbLZzxi$Ml* z^Yb!dfL?xeUTP;|AvZHGrxW#*yDX30iKId`()3RB9`43GZY5$SH!Ux_%Kn(TJ=uA5 zVp~YObhdUvTSS*6UK`dH)+ODbozfQ7gLLM&_T_!R?BGQ8@ju|QIX-;_AF$UsNqxm1 z@DZROKEnxf0u;n&J3+}Gp|8*hO8%nzN}TZHOm=;|YAE^RS;ANzRS}mZ{}=i2An8ib zciaxz;i?eL-Uf#a>Lnl{?(#+D4ie(_U(~Y%63`A;O$G^ZN1S@SKmpp}KqKG4x7()X z3Vs6Za8;n7j_;7FUMQ&MyIfXL1&w_B%j%f|86QYPCJKJ$Lr?K5!vdXm7SYpCpd)6H z4;U(S?$-!&3|TtrHPU^QGy@hhQK66oUO` zpYJf{*+Q{J!N>Lr{W*1N!p?{HE#p`y4$0qmK}b=Ar^-K@+(vrb9JLCO?=d%5tn8w&gbp^~Z$U^% z&PR+_ZS~#F$Bb8-ebs!_Db*3*1AN>m^-~BJA$uSsl%sQa0wJMt9S%soAYA0~1VTca zbw~97l=~V~`F?LFhK}aZQr}U*YuFWq8!bJgXfjJN^ifQw|0pX(Xw%{8OE=nl# zjf8L!qbwj2!bRiD7(MuG2nl5sW3NL<2rA)bLox;`;bucP2rA+3shX3gKr#j@;angY z1C?;4s!|B&M%reN;o_AWHK+BiT?#y;U+lUd8FNAG)I-dL^b6$!s?s8K=Ai;oMj^#zV<3kc`P1bKIu>Zm=7rRa3r){k|jUA@UG6 zAUd_%@v^E{&?t7TroWThGaMS2TG@1lhpn&*5u_d#sBDBRD$5WZQG?egu_4SVU(Y}`M3H1z>->(q0F;^|?BE9=GdVLl3Ifo7a8`8`A4Y_kf(1v? z?F*H;#-*d~eE&rJGHz%`&SBguu15#^FxHbB4qr60 zdhR4(uE$^Cx^$#fAwQ`a1*_`N$2gCN@FHT3Jf58wd8<*x z!!#1O#_2q#Mv~dMgokP*1{!DafK~K}aXk-MMHd>mJeOk9Q{zG&vI?Z`!n7nk&?79< zI@s6+*d*0bOIMpqxxxd2xAx^wl@QGH91DLbxv!11aR<=rIGaX(EknFjZL`Go+YOO- z7&rA}5(wGGlzyiK(skqfepCW6!kFLhkU&0RT-}fBCaf@K_PdNl-jf$;(YBOI%obIY zaoH%rPo@rBU)f@FX^$=rTN4(+0Gqt;an z65l*d5&`5%`b`MveX-N3+0gsqW+J3O?+e95`Ym}mbi(|9V#3h-5~GN^EWrrF)0vm0 zyUgO6^elwZ%&FmIp{4_&_`U|GpVcA0TN@k_iMNw8SmUZf7fEiKvPRA~U}q@*@;%dl zzNI+tdjck+@*m%eFcB47eGkDzRGNKH!$edZ@%VvofUCL-lmb|g$h z+A_8`OhoD{cA^gXgzm{k!bGGTVEe&Dq|IRyVIorRvspScg+7IC4-=7cfgJ)9k+zZT z4il03NV-ax#Ef#0IKV_?y1;%jx-ERCBoroM=uYWUn23=%5)YV&Avx07FcBkeNaA54 zhTV{EuqL!cMM`im5t)(FRG5h2FcG2Py#OYnX<}dT7-BY5Wo3%Sb&_I}mjU?)@m%tp zQF2-EX51U|3W(jJ;#E^DnWJG4yTz7*oJ6q5wGyhb=%a{Asw+Eo)UJ}g%NT35ANFgK zHCf$<1DoUzt=M7z5?PORU0-fD>Z{pDAhD61DD6gHh|Pw7!=;!!qYK8++mu_36{9|G zeh+1})-6Z$Naz;pRA4SE)*1Ok_iFMx>8N#6G@FAYa|@!UbI^~VEOh~KTo!1I9cADe zf`qac3|fPqP`;1h(hz6`Rc`MF|3uVkZdG({0OD`%w2tH|Cz^^Qs7(hJ*Z_et-JxE! zK(H^}rCv=DY_(yIQ$mcPqapl=MCe3&E;x}6QriQ9i|Ob|icZ0ybo?ac2f^uH#92kP z;MZQvS>-jsg@_EBLM(kkJdkLBm6~}xJizldi zI!OGrzHy-r()rrxIQRl1YngE&DrvqpE-oTNGC>;<7nUJit&NETc?m~txSn}cnyF3D zhlfhuak&-T0?^i8Sdm?TOi~=Pr16}K>359IJoFG{qA>!R#R8Vfo4MmE<_usjDK=Pk zwz+PmIveH!PYHC|Y}B&!QTru$ZHSn;Uz!H-+3?wtU~Ql{bhdP{cA_})o`kNA5Qp58 zKH_d1$*4qZ0Tt0)pt_6bL2XgC8y{)OV*hy11fY+!4?BE=ZNr@Yiv=8E)pz7O$dmj$ zZ2ypYF?m71XLs-y3CMdBxF66w?iNC}6IA(0eY>DUh$3e@u`nh|3Ok(TWTO4X_F|z- zRDX5?%b^Nbd2CQ3VYC~s;=;n{G+rGD3!}p+)k0VpT~4W~ zurS*95PnV0(Rn-}ElV!f(Vh@rC70;DpO8J1H|vNL!hvMA&YePk5Gb^Rg;93Q>IMs= z{G}BG3!`kml>!T+{GQbb7Dic@)e9Cz`4=k+7Dnkdn1})25IfAv`Z%1(>(0JH`67l( zS)^4)V|bT=XkeihR~E5UHc3k>3tK8*t92=hdMR_!dJi*S%A>ew5EZlQq3uWx?su!C zWhc}7DV5ag$+7)jmGlThtTu4iZ??2aJ8?Mhp5&o6V%Yzlv`0I2I5=MNP3!OG+XH3s zMFQvoaDrDZq2Jw!I@b{0PjzxF@^mAfoD@BNXpx{mzt1pS;VxzUcRXtTZwoP(xBg*Q z?YfuPK#25@W)-IjX6UO8=U{L*47U9Ahh3I44ANk5Dh#H=;5E2=7C4*+hiBk$?S}&$ z9Fm5T1Z@2l!!*$muULuRfu>$20F}yc>Rsb_k!Lu4oxx!=j34q=Hq+|OhcwVcE0Pas zpfy$^AJRb1R{KFn17%y?2O$j<0Tu9&23lc-R43?#Osh5I01t8CL&*bcX-C=^{u88S zn{B1*%Mqh4gHa4A+B&x)dI7iH3PRDCj?9NYYNS6%8Q2E4wUhZTOwa zFBW|nA_ruH50_zbl$R}?WvCksuBty$0?c_ z>76icoipx=QRXMA_f>!Y@0xKn(+AKmxG6O=Vo)hx9X;gpL>&UX8z$8>1?z7XM2D6{ zyG3l1u}04t`7Ez$b&>03b**695VC=pDxWT*Sln5(teuX?o2pSkMx&!RCkvDRc&*`Y zE4OB-^>0#oXp7t=#HE9%H%3U)9~f+BK!2rkxoWbdOH9xDyEK~K?fXnhH&>1P%ty4S zw+goLF^evbN@oe}#glW~ukFmot+v(~!$8dHn2pjMR4Bh|4jS1u==6{>$1q12CZ4k# z{Z8@5GFOMbMxA0z&^cb)#Y53dOr+!dItiDRVX(Rd3Z_?+I$~1o#ZiEyRC}9msy&}} z2vg+-pd7-4!!3nyOBmcT6K=Tz2TP2ta zms19CuMQnF;&}A2keAX+oJ}3P7{mciO2@1i)Eq{<@lWRFj_erheg6WZOTX9a>ghnO zS1(xi8r_lBiQ70oT(SuY6QIYrR)o9DkIJ>v1l(`@!e`!dHvD)c#$AD~W z3zXOUq=)a61z6qELwCv-STX65IWmfsk{*%+sTQa7h#SDN<&_?GLtbe`rAI}|oEW^K zo;Ib)_fR@&yz;Q`>2%yF#S`CO(~+l?b-owUvEGEEMmfW|*YB3Bkuk0}@Rodtf$sHx zi(k)8t;t;gp`%54$qOKKRG62(076G=^PqcDrNXmWweSHvnQdPSAHe6?A+_)Uyq@h| z3m?G$uqX2nb#yEn%d;z>Y-I=XFaP`+CTCYVC&u_RDT)-G>?bF?cA`wxt+MF9M8V| zPV7a_l)gEg_)Vr13$Y*h0VEWX`t3i^4;m5u*aXn!y~2r&&P#Cerav%}wRrK2513qK ziJ)fKxrw$3cBpo4j4!fZv_5V@U*!K4BHaAEVJ^=X)VbNEs;?N`Mlo(-O_;}~d_lJx zCP;x5X!&+QO0LCSN6Di7VK{BQztOm7;E_cZ^6htzkwzOai@MDCw{ToT&^JVs;v;a2 zBD({=VQ!mC1=|Mg7OAoY^@G4LI0r&b)N921hA`n2@yyqVcI8hGY~v{_a1Rxe&?{39 zNY81n8}9cnvK%a%FjC&>-r%_c_l$c$`cMBN0lU+k@Af;M#(gg}!xWhw;KTR$9sf}M z1pO}Uz0{TQkKq*Pe$NZ=u`z#k4d3+y9mbezxC#>6p|`MAUN2CI%1UH&Drk7uMp{mT z`u2Jd=w`r>`wLW$3MOq@mt-Z}aSnzr!0;v*_5<~AxGM?nYKEbkFtitjmcdZOE$o>0 z3)G)5v<5Ekg1?h^3%eX<{}#A(3A``Er4YEZvl2N6%s;`*PH;Y}HVU@8q1LK?5$tt? z)%d@HU2d?wt`#)9VZYH188@?VUWEBZHp|6}^w>C$h4ccsz9b>ZR+IqUFT0`BE<7%% zMe^7>xnf!tHrV{PrLF;&qo%`DWyFP*pq`s5D?mq$Zpy0QT|@0P{b4!P0O_R3mSbXU zF}Yp#$~v(krQ4-k6>EqX4bn$EM6EO3x11EW-oH5RrR(p>C>DJv_?h%4VPbj!O=1%I zr88*K!$MYiP(7$zg{1pEM2DFF_1$Ab9H#vNc^JQRV7Y!_T2)hW9N})tsJO((j#uqs z2aVeIh9_cYnU>bf1ma5NP4?PRtT?&K-W&8aysXP=@~hCRlq=cgqvLv^dS|`a)wh|C zey{dnBS*&ttYOU+?vW{cT=J>p6W5^8EM@`)zB14_Tb{~bN(MhsZ zLNYUIlH5V)*v337%Mg0D{a~FI;@d*k%9aZ0ZINr`9zxf)kWaGNLZ7yXZ@4w4&3Q#$ zKvc=jEA>J-o96Z9dHs`A^2Gkc|CNwN7#AbHSMU|9FMRr`XUpx2Wd+kX>>01$MmoJl zp@~E`heII}iBniLZ|mN^bs_%v4vXCL+3V4noBw|Op5XH7Ve@>yuI9xzM7uWLSaxkz zSZ4i&tBZgCIneXcq`Oytep;@>4*8#zZ8T5HOIeQp4E<7@b>sx!wNgCy)4f@z1}Kva zDU4t-{|RcBV#va)#V0_}{R%g6E?e=qO+z% z=X7Epo6{f}jSo`oG6sy=H^47D-?Uh8%;uFvE|t8nW=5w}VHc^|jp3ut4G}%q)uwfV zlVz^Ypd+nn6wUYDjBzyQ3TlTDGgsw-kICG9k=2#r|m{NH2Z$FjQ zvLvbhXH8d92s)DTT%tCuw+KavdQJguugcM|&?>ArulFkUJBce&y)w+S;wsMQ?Th`( zk#|-14Qs6S6&K4;<%E?IPi}t2ZZUZ%e7}4S$FbtqGUsxjM7VEWY;hS4)aO^?)&YNT zc|Ub%_s)`v_F?Cxip`du$`7)CZ}&TTr*97wM<3jwSrqRHOZtdo%mJ;Pm_xztYVpS; z)bAvW=$XhS!eU9Vd7lM1w8gXQFgIa$QO|T<+WOczA?yb3CUklHQyBoP{*&|48XZfr zt}xreuFCgvuz6AAE?O4yhyy^3681umbLLP-juG3{z~^6tud zNvtVQb5u|14v9oxzx6xjA*o36%$hNBR`1Zg`^MzHX~pP=)Vs3!7t1m4l&{#oRxXu& zHt*1^iO)6St&94C4t82nL}6tIZU{zd_2W9p>wv}QpoJ`=+X{vtDgRk=(|pn5$U{T( z0=iWBuko%GIkH1cs0v#uduK`kDqpv%C~t@-r5SfwSulUSD$}<*j)ga2#+maqyMg?Z zc2g3mr45HJg|f_9eRC7=8x+skxudAzz?YJTR&F0q6KyhQLLmvT`|dR&cs>x*9{WAED`;&D7Tf;kA9>pQggK}d{WU$P!Q=hl0dvn@H)<(UUV z2b*Y{JNzTY1dC_ejFBwD>zt|KgS$8IJBple|ISEp{M32_=|%X>U>tZLOF4Q0yWN~# z5wL=5;ITZsGXEu26jx(|Zv|l+vkp)yLRZW%BrVyE`bOPt*s|mbDyMbaAC9`8FU&{I zf{wWCEa-Z3s!6$qnQRWP$-IU;4;^u7*RboMBQEzE{vR_cFF73J4wLx*=tOMB{*Q8H z44U2+LSyQH}DcdKhf3mu5_^NVMZQWnF0SY} z{<+dw(>>^hO}g^EBjiu4ZgRb=F0jyDH<9MAi}*8KH?@9}&c85OH-VO+3;Q!)H>G~A zF1T>9E|wk>(tY%nu|^_(ZDy{aM0_*#5% z8hWAd~V_EUy} z9%9a^7s1Pcrq7STuT!2gKCq6f*j0vn3rs5>+CY77H~uaCgaK{cG@>bUUJAkG6s@zE zUuEY_Twy!}^ac%OcyGFoJlGU$nLZjK&ZxpQ(VFFpp=PVzMjD`%f^Pg>%j8jKaY>b9 z3E`16UOQFHt8#s*@-bvu)ADl1KrL`w@}|)VVs0fg-Up8y8+P(gMQUn?9ZJX#lIQo2 z>yF$Y3DO3OQ$C=wxhqFnhSB?#J(h@m*BJU&<9#7QoaTgyF!_!^Z*(U`4xC?hWr5q^ zg%AxnZXi1#YIe9mz51c#Igr&3xGY&K1VV#qbhG0BUw1yTwZW-}l5Z$F+KMP`Z9-M; z-rz8@;$?_o9b9;mpNs6tor<;jeWX~f4CG6iXXGN|4>zI48aFufu|85X`7c9~pTj^T zc+Umzt|LvTO1NXV791vkLlHQ<1BXO#*aQw=z~KWpxYcZMh>re95#+(&ih@Bo3~qx* zJ7vRQJq#wm;7@~4ZFXHyHwe{cCj`}lP;K@`&^QRyW(NhbL8vx+AozI@9R%HuszH2< z>Z@RX9E2R?g8xIbA4ghT_%g(b$(qV-Y(3hlxIL>;ak`|jI>YaqTE@spdqA93ayUVG z+_I+DxjX2Tyog&4g5&5C+InLq!$-_}f{#+5ETBI*K;jy1YnkF16!b2&)xzVU5&|-0 zcy36=C0GO$<1OBzN&3t>>^b${h7%B@pH+Z}RsC)JsAcF2Ax^JcYH<)D^;re@y$VMo z*UHG7TkLd9^#^;Q2;0UyiJ_WiS`tJPnZYNKi`AaVOGN(6kaE;w)d9A>$dMUTj@@cL zsL|*hDTH;P_~l)bHsrWU>EU}pOjtmjXngUyZiMlwA2& zt9wV$0O|sFMMp|E;;b@WpcWIClhznXkdg10f~0A@kbB}7YY2zZDmLsf516(Uu=3rdiH*4an?iUSimBi%ZTn^w6l@VOk6ns$Qb7k(O`OM_(#GnSQ91Az zzQYaQWnR{#n(W4%FPpEo9FDv+^9iDrlT$J63F-=`yn^!tiRU6aN*72jYJCSI9Ha-e z(Swi|iqJw$)eVHFX`kR=oa0zvhU|=%!VkPEqguT>itEsSn9f^(`jH=gRsN5b)DRXb z|4Zx85OPfRLhI2G8j09#G7DsSOf`A0jAb1kol)$Pst7aWiJaZSPh#b!H3Es=`vW;v zk_a1z{2_-f_5I``(Ujrvx1h*#P$Snnj`=Q?e6l8voY4DJMR+4hRe0lbZIF$V;BZfQ z1@eJ$W&TZw6XxBTJz|G$NJy+Roz|QeyTp)h%3G}1KF$ELUAaN?i`YGe@R7Y~)S)fd z14;pAj>w=>o!lzcHM#PN+Cz^C}MMLF`pW8IM@QDs(oF0+6JeI6AeBu^F+)w98Tj zd(^0S$tvvxW>}YGyf%y(*d;y9Sy!=b7^zYwY81nc4$95GDIZ|*MAQ^H!ACNoCdCQ+ zm#L$xJniu`C<6KQqN6RXx>^=>In_vithJ~|Ej0}Y7ll&L+(5yWW~pUSEonyj1VbT< zyqtF0kjk3k(9__9Ee%)5qG(VmN903qK$7WarcLP158aH;c3|05?#G3|t(WG?(9W8o)Bzf<}e2tJX0 zDPC~zPM8{3!1*ya+m9TIv+tp|f_a>L>i2;>eGS4f97MScW!j*x3!WV5!Tkuu*f3`d?tqyxry*haX zuvYqqN?J|-SnPSkgrHDq8fRf%elfzEaL2gGI<2BzZ#NVXiCd@2H0-cCMBi77ie#_8 z{(eTVGwD1MTE=C+eqC`+w*K1_m*~Kesu&W(L&nEdT*tl@p-fi~}_ zL33AN!%SzQ^2<$A1$)}Cg{@nbb)*j9m)(9dZDetmBmP^)=hKEqFCJ3(d*8ZCKfWL( z4Aw*%Fg$#oEZh+VbbJgFjC8z{!IjC%fU~;)}KAo0b-L z9fXg(`{ymmaN^PeCwV_keq2e1lbt`V@PC}NPFZT5eS%)B9)mmy;s()O)5x^D0h|@`r~D^O60AdR);SFuCh>_YjH$Q z?4r`JQ{6cslv&0#TC%<*91*M7YDv}E4F%BT2cWV#GybIUgfK)OG46?FtM2ZmynfDc#Am3NDA73#5Pmgo5<2Tkk7HdGNk+LfDldP`*_-sr@SAX|7)VNYsdiXc zI{SpkN$^=l8#z4a&;(_3w;_0$`WkV@lmkqGAm{eLGFgXDi25X>-y))3D!xLv1u;Mc zQX9zD2D`}jnu!o+!nP~sYou{5CD6BPX z_y=d#I>&&()f|{rO)ovgQ|`o$=WYD`~gcbQZdjI)NR_ za;&7EX1lWRA1JHYQ7qRFw7=QS1qJL!fJ=by1qDV4zyQzyqK>x7i~v8tFMxS~{{o1B zS^y8w4`2aw01;pmU=L6NrUQNlgaD2LmH=J=+yVOlvjBeqCIij`)&u?lU;$eJT!0x6 z2q?|xVKV`jj%Xd~0h@EV)NsI9ttyoN1N#7b00#lP0S8nsJ*(Q`&Py|R*e$JEhd<%2 zKjAp(FrWnh_9U>+f_)b3vtXYEdo9>&!CnjYTChKX{R!+(V1EMJ1#Fj=7NS>1wtrmX z{?v+qi90N1OFfLbff(82qrh`GWXdb=ldx*TOC)%ZnXvF3%E8od{VRwQFsL-PuX zVOB3=i$qhIe&vY8iUWpy)QdF%v-8}waLmDN6PdV+nZx|K~Gb!vkh{Zi|!3aQw;fF31rG^;HP9=$jm zC&@G=R~&W2M3P?_p9<0XY0EK1s%)6n*y4z@(q)_%Eme=L%PS5t9Z%AqOFVCl;>xqG zcVfBIIsw{<~iTdmzyK?$D$&_1C%-bwHNuN37@-`=pst+DF(^4X$x7E6^ zDoW!`kRUD@NsfPLkiQV4Cz`)neyJRhZoh5BdlM%b54}jo>^kC4Oo;SG<{tiyl(5ew zbEHn}m2hw;ZzL-|6=;1aZ3kWWBg^9j#?@MyI1wT*TOr01Z=F0MjIU!qsANJ!W*kIh z?pmH!7DKf~;E1XX@1fXh{8gJ+#wWa*XX7g^v5ZlIUa7dOIVv949kO4t z$m%>&U*@o19c#R=br+{~V`nRx4HvZNvXFS$XHIZmMkVf@I#L57K8b_}$)5e_D&_|1 zS*@!r-3kB3WH+LN?HKWpp;Z`T%c@2;t92GfXgdrElC?wI;ThP65%Ue_grU%$f_?++ z0~Z^Rn+X$)yM#0w@ZO9wd5xTIaEw>2*X(X^jaOqeYQ9~UimN%mcj{6HYPQ>`A1ImZ zs8P@1hZ*W_l#h^YN*N z1M$^anJ#X7Ag&T0F&TDRPM2Fg;;YGXjJ8fUsl9MY19Popx-hsQe62J@7~2r~NwP#3 z)e!kf>Ml%b2yv0jvideexJV~kqZ`6@O4eJM4N*I#SZf@gnIqv^1Nh-N(m<=v$gehf zIQ6^qk!eXs)`IoB<4oaYV!@eS=RXw*f)l-t-+)i4l#yfu znWtAA96!oI=Byfiib7#GDVizbflMS2dj!wGSWP|2YspOHI{Jix~_t@W{R?roCnh6_1x z!4?er-AAx%{XWAJnbUgXzt?U4Z@iKVd5#OnXCO7=)$7-UpA1xgnFHAK=Iy?_+-_IP zr&nYDflzB{H69D0R=*$!r;?ZfLGu3y9hi(3nO5k*3~G^EttZae=sPBad%s)#$J1G- z>)OVWh8%7X{%Y^t|1_%>-59t?{ zRoQ35?{KLVzYN+(k}ep`)_rqMEj-T|fKZCZxkZ1h+NXCdj_f*jv;L_=Ke{+%gX~vM zRK=NL=aa-uhBMkIea;8;-PWWx|1O_Zp1#FH&-%K=((<8Idf;|5u6#ONfMUyYN~C2i zuYK9s@Qdx&fBvQ#STXeU=I2Y_Z~Pqg38Oi&|C)XM{rB(R>#tqd6f0N?bF!w=J$`9M_;Ypyvf+!ZoBh&%l8k*K@?!Dw4~W*%Ypk_3ZEtY&aZg* z{cGlz*zSGbzkf~Y+jZ%=ZE{J`uIqCX+nds)A9%Cp3tOM(UcT}@m*scEhs6Sftlc17 z_4<0-8ocOoPVP0;!KQ|BQ>LxXXR-GFpO_{2j7{v-X45h3z(V@5&}$MolB;$Df-IwP7> zjN7J4H#w6#BW7(=hZ*feRJRbu^4N@GbgFup(M>eYEsSv`HfIQ;z`ftH39(Y8by4*6 zAyklhj*-I7+_UhQrs(SU>>*@}+Rp0+VV!gzW0mqWeCwZ8*sNYWs2izW)foI1|2Ow1 zKe_^MoJQRDJJ;&h!B23iSM<*M7V3@7`^5THUAv62O`nxtVQHz*=t5n*lNX1b`BBUaNe}n_ncz#^#sV-7FD6&D;wTii|PS+ z_-e@_#XIO?-L2hf+67#N(pwBIni(p5+u1N|r&7D+M)<|cCvfN$EsEMdPjh1PW|*EV z3+YGK(OAB7Qa_*;s~ZkQgnf~$=2YLWhx(H9jFwe5$>`+uEJ6W+_4~#i8@7PL8kqyN zPJ4i!t%&$r@=W66-|m>~Dx`{#$1jBxeV!@$Jy+R?8Ckd@WyAiS*;&}T3b&Izg<1H!$_8Uk$u&$Y5MZVfSKp6SPPQx}K7MdcvEEWh z%(t9VVl8WlzggD-31$v)!qauYf>};H^!&5ph^1uIv&~Of{55fOioVoT@GsixI-*hh z_w#;Xpg7%BJ@%V$qL^c1emx|N5NDZ8UmsheMt-t+CWHtxzK7_%6C#8S-`#bf-og62 zbk1_zO_eytuo}vfN=#%-3E@6_9MRQ7%zKSlV~!m8S+SiwK;CHhgV8iEuky z-DFDyF;`p``3=2NEhdF>CS}W7`#6x;nw2AVth4l6_ycqAwB$O z%XEw;S`0LWJ?179l*!7VO-lT!FQ6ZnMH^LUL$nbMp;q1Y+ng!UQzxz#q%M7CxHfQ2 zI_u~@^y&Nw_-BU~xm?S>?$xk&|K#W-aA1SOf53qY4r*|yKm68yY=2j9N6eaB%ejH6 z(uLZpoWd9$GMxS#cw+)PrWN4AZnKd$Mr8{oRGckC79MFQ9?vQD=kJD>#H39-EH^() zlwG=Y*Y4Yoi+kZ>BD^_LzIYff!$9_nWtaoE@7kR`+)iAcUFttr4-QkoAq^aEfWusH zxBw2Hz~S`|hrJtCbtHX!Vo84ayU{qXTb^<>7{5I~*JbD7CNHn-sgvXCH>|2i00#~@ z{9aig&41B``hNJ3!`OkHp(CYm@x{RI=hawqlh*?3CibL$*9G*iY!?>v(xTasEW0PP zOQ3rb-5a(|QYB>eMs1U#gmJwD|713cL?InYp2zZ_5a%cJSTqXxak7ZzT}L1r+=Yy| z{4CUBugU;oYjfQMtlz!H0^f}nN7m5%=K`xNz z^{dz(=k%76W|(Vb>gRZ&KPw)?#sW0}WZavq6n*I!+MDoQhBt2kk|_uoh%UXIS4&M` zoRX$-apEirW|w*z1hU)`LR6Ag4sWEBiEAR7*%3U{0Bxq>v^G?nQ-Hpyb~HF@~&1JiQq8QkiM|!#X~%wAiJDSOmQk86(H^4l$G>c`B6GXUCv-nCDp5^n1k+ zSIT2Kj(r84n3bx}$rDBs#hF#E`=OFxML)UQe}kO1q!#h6<(}j076-?NnN(V529?&` z92#DBFf`oeJColRG?PCv?^uUs#jy^{p@Mnr3kCCxSC0NimOEqX4$sSQa&yL3Y;&uF zV^2DjR<#fA3WYn?fcF9LJO>W%z~N2)R_r5i+6+!(4d4_4kM;w{)$r)~fPBD@^Gv`p zzyUtGOMM157~~H?!&qWn*$3*en@k(}Kt1*=P*Q?=EPBQcJUV{|UJl1ghdo?ztsbu1 z;JB>?a0CE$D%h!Dr-Gdd_GPdygMAt7%U}nA9Rzj|*g;^ofZYOi3)n4SF9LfJ*o(kk z)ar5blOX5VsGuCs)a&w0?In=*+S63Ug4$kZnmR$C>~-8s{l_q))@LlpL9%ek9^|Fg znYi%WU!83JC&ml7vlkk!c3zdtgn?gghob*HJQH`Ex>%9~DSn{1u+6E%yyAp(l+@uo zIqn@iQ_u3rPdXfz^-jqPNS)pm`GFAE(hDwtJ`JEve-3R$$Fpv%(xW^u*#2EwQ-6yp${UT?^F(&BXK_6!J)E3ElFHjfIGpZj!4@a?kSc+g$A-L?3jF) zX{+X--mWS<64$KAG_+c0jO^1pRYgYPOCdY67hWTK^bS=KCvlGy%M9nNvquiY@`Q!6Rwq&e2LeY1v;U5Y8}A`yK!=q>Jnd8%f+9!Rq$ zCP#^UfHVsVP|-j_38Z!t*;_^lZob|)wAo)!Bc_Zoyg{nuu|Oe4IZ<Br4XWMwrauJ7+FV@Y@gPzA<#qmmvv%v@WkZZF)Ua=yWi*o7sGC;TnbyLci2G1FQ9IbFBgvMjr>#YB}DgzOp+A~$-NPiDxqg@)LA)7i0_5YTDH!S0-d$^&$_#n5SQg8QKuG0p(~`Ur6DAW|OKprg1?1vW+5%Qd zt}=q#7^|em7_n_Z?wu1+`cCAImBprr1v<3*)jX z_Ap&e0!{TqYjrnk<)W4QVxL`q9RbPg06n`76|1^s3F6^~!f(LDJq0VUi$1#!eGY#7 zV2I9 zB_et5Xry?0C*~ulZY}J07=Y&7BF?hDtNfo52#YrLrYjczAEC2Y$YcN zlH9I6uu<9w{D4t7sgEhjlE!iy3)m)c0gk{~PUvywioWU9h=b;I=nz58HJAP95P4wc z_oY;$r<%omnOTU7W=>yP7HX4uK_4(?d^HzDCugD2=E~^wYlusVeS(e#>^8+;g0==| znmjMiH$Y|NKZ3)2M5=PDpp%cetS}3X^HGb=2g+$F=Pvn z5$xyNw*VQzHoo&BAS0;fJ1zp|<;DSLh|POq{+F^AenY!RdkH3`57<iQ)o1EdfC zA+gTf{XuejL~#%dAq>8zeo33yc>LW#&>}g}e^g$?m{2h&!JveIGSNm!1mzqkr)-of zpm>1t%r;8^D8p?O2`DE(Szx1F24$p;Vw)ublw~%`SWvEjGDis`9mc;fyqVE|^lQQ2 zWi!|?nqZ8@;P?;>j>h0k7`%Ek_*+2PZ=;+AWhN*;!YC|2&ly_}j@;jh9=@%I1w#kp z5_*blG}{4h2@_ZTp>g|jmBd)OfXw>#$|<$mpYwvGXD`$#JD>h2uK9bIYxJW}mtX{d z`ivR<=;v%>>6_g!cB?Qh1>+WB+ydJqV_`VLcw?I+SbFxuC>SR&Nn0dlJcmhK#Hpvx zv!eg=@qlvN!}G#`N%8FH|2#@F`u%akX4ra;pywWFt0SbF|8it)IbN);pZ0GuC_jR7 z)kZl1${X8Ed>B_?c*FP{1b!g+UN$34y7}DH{7%u~vpU6H-13TW%e#bI-r|(d@a}!N z`5nF|&T41o&k~(F`@Q_>tb^25-zPhMJj7$ZPYD%t@6Sz$5}c5gl;jf)+Os5i@%IjP zw8K0mV_c}fZ5KMj&{=^_JJy!{zLL~w8`;ry-{c#`FWp$2ol|WN4jhx=puoX_BhAK` z1P2$62{wm~QDm(Dg~6J-Zm^_gz+HCqjFI<87;d6ob+KRo(wSRqlU|;1li%Rjh?_f9VAdfjWEtJ-^IhWBi^n_bU zL4LIU@3T^w*DMoZv*hCn715+w;;R#Aw&QINI4l|%CTCIrhp^_Ndl4@B%X}~ z!jg4LyN5f`F%!;hTsZwPDj%b2(D4&Grenli7CHjKJ_+Y?IA6dSqEwdbjRZRr?9DjF zbQ~iDojK?{is_1Q5;;X;${(ZAp%IIlB3xO9OJX>(;J9UT;4ChUh9en{!Fw0f*yY;e ze^N{$LAL`YnU15_UeV1^yrKfU4 z+D7HH`CWqt1&$lE<4Dczsx4png~?y}mHuD(FE(GCLjM-;a-v#U;)FNHJwzxUnkS~r z!Jqme0dLM~yg94z4z9pE_!pC9coiU&{~*ENX$uJV&^dAWAPMD<*`Uq=?IaI>hcx^h zR>0u_$9#MeE{%g@1{?)8hmA2F4%=Hl5{}n4MhYCZkKan01B|1#Pt<-K;$IxX1%J6w zLHG#ZgZhULSnF}d1B3go?i2Pl#;al8}Q5?86Cgq}v?6!c93T z;(^D`!+8eIdn!01!ICRubG=y0kMkwZn_sEhr(W>`GhICJkLhrJ1oM=#edD?U@yQFLpfmE4t5a-`x(wRnBtsmiivO@gmWdF zCosiRe%+1P;W&#b%c2slduiz;mE6nV+tG+I9zRx&BMl+e>^*YlyZNDUH^9UPD1Z97-8wVeR{{j;DMTZ z2-I!pT>{5YI3B`b9XxNHz8J>mwB0^U5imYtB9HH9*v(CaBN&dCa1_`alst8@;o(nq;`R!*>w@aV#I%Ep^J(SfV< z(XCuK{e?%f^2ON)YtZo%I&hWF!BsjZI1ucUa4v@vSLqyFrH}531UnP#&C15)={QCR zI&qcG!BzTbp#Ysl;_Mfr(SfUU4zAKU7sYU7!GWuE4zAKU7e~X94977zPTClWaOA`B zhs|MQh~P+sV>cZ0Z43z)7PRi38OPXz@f*6ExAZ!8Q5D2Lt z?6l2*58HIx2RapmNg$j7;Ux&SZ3Np4#UR-J9=1=U1OyET+d=3L5gt9Ajn_OJ9n;Zq zRims89s!@=5%4l~EC?Gxz$0Kd9svvR2zUXHfKY8l z=a)mTUGWH5fJeX!<-X?{pM=T78{3t(Fsrf!{?OWx!R(f^Vwfq_%417 zyn`k9PYV9C8QnYB=st_?>9+0ycu&K--xpJTgQ@QE%76I~%i^!TrD^Fc5E-kVy^|DL zPXt-6E%1joRMYj{L{{^nQz6nblgArp{7YhediP3r{yAUWwYk_&nzFiCx#5YwaM;ov z>h6J&ocM{;GF`n4JnX{ET8#D92=nYtUZL-$syUKnWqZ4cC;6icPC9-|=6l+3Rh)T7 zC;zeVV^slC{&u?&=JUx0FDbKSLOTVZ%2wQCv6pngzK(XMlIe$DaK|10&YNT?yX zs5`ZX+{gow)OcO$Spki&O=^2{bTqbZ1yltZr}bhfX&ivya^$!mjYi@yiIz@#~cyP14Rknq)qhU6(Mb*{(IrRq+v}BqaR~?pWo`>GLQJ z+K$py%X)X^LCGgx|A&-XD$JD+H->a%AEzx)57q9>b9~BPBY%kn-t$(ER^NwJuGlY% z)r)XbV(-2#O1|ojc~vv@tZRXRF7+t&J+E*vId|mtpj2Oxc5jzcoa;(`pfu8&-9z55 z`qvB%#LBwaLTi>MsrVqtzRh*4e)y4m`GN`OD9=>CYFCBqHLt8Y$KJc4owl0$lObJ5 z?-neS@0Ub$WIm_u6%Xu~^qg8Gj_b&IPJbdMb`(vM4b%y`gB_|S>!P~D)v`F@Wcss- z#y`xTR25#8Ygnfg-U7>#Ub3Xsd3CMwN5dB(EQQTd!gAsDjj&F^koZCj8LO=D>QAx_ z@dxQ!8>t4QO*T@wjWijgKpRO6(tbaXw%AC?$b5XTc$j<^G7InD|H*Df!x`4?)S15Z z+wLYtjoiDTBn^beAS?&r9SE)uIEBs>bgoW8$M(C4y({5-i&634 z|74eo5yx#KFlEySjM$*u@#*CFA}8zMB}2`p9{eD?DrtSZY_HAB?_2oH>31>RhaWFpm;goLcFU)~XM+%Hh2OUHfQHeT7f;E3=Ir6`O3NavRA9q`(Pu`?Q13?0#R% zot7`^iovhf2%pxh%syeKvT4rSw#UictoL-_E){+)1Sm+rO`|T#P<5BIETrsJi@k+DN%hu_bGUB^+k);72t1gJwbQCfO3p7Zp881h6Lw|O`vouM-lkaW%61u{q?%_oGZ%9;34zTh$(548?+g8^RBajF zQ4~fVWqhMOoaekk6`!!6ne?6&Dk^Svc+WW|T7%u1%sGYx?AG-AOuDMPYA9)TX0ZM+ zWHb{Q91lZTvwaP7w;`#STEi|dlr}rnuzoh=HIr*NBN6I#Ol4j+h@0uD?3IRT%`T~| zPmrl5q;lwn#pQOlnd=Ry<&@j(v4*+jjN7amkf|o!<^&ol%N@d)Uu4y&kd@R=chw;I zqCKmcoG}f1rl1kq?z~;)X`Mi~F6GrCwzpeT~7@z0sAUh`{_Xj)3 zuVVAbg&@X5m9PY*>4zz$B`8flOvNra6GNWMnkheF32Pd^mGZMBxCzT?BP9cxQua74 z)toNb6hrUfz0k*%M|4HrCDX;r%QCVF>yc>E)M&R+nQU%sWNcK0m|Geh(y*G=&_dY5 z{=pDGE0X+q{K^4{&D=t4CUSy*+^P?Q1jkw!(>Oa6Jr;-BF@^RE)yEPd%7?axpF{%u zIl-wGR6qSu(Qlz;Bs{hoWE_taI~01mAiHMC%@9APsnw{uSWXX$cuny)7MU64!(%2q zCf{X$kZ;z}utSr4(Dbjirh>31d?01IWPI7i3cIZw7sVozuQ|3aC@69unP>bJ*+-Pd zpncd2F+qE}!abXHPj*!2+88if;fO4$OBK$A*jmF^@%1L1bBnmuE`qnjFdd7=7aQp( znURW6$*Qt#s09-4E}LUKX3p*Xfl1cD!@FWkJbWZ=?m)NhIzUH zEk&)=*UUSHy_R`pJ1Xdp!}Db;jhD@nJJ}DA2=WPuAXh8AV+HvNI%Gl)mEtz-jbb$g zy-KTHY{;Xk8)DN@PZ?G%vHvAq9byj73%!ucW>`e|?suhJSHUE&^lW-mQHoHeZ=SWx8 zcfgMmn#tp{n#l_=!LCD6`cq6YBn6XfQ+t)}!z3dyK^i8IV1g$Yd<%nDVqh-t0fSEf z-WdD{h{NEsID<-@K`G9_8iT>(Fz`MG&BTCvAQyw!24W?SU>yO%co2RDVHpU218+cz z2Wd4({g|n{7DT+3j%Xklu>Id37z%^~qX1uEFc1phlsf`};XovS_aI6DL;*oKU`oi+ zk}@C(C3P21@1G0g|K#kR{hPPix4X}c!Q?9$0U&iq%O45bA&Sw9;}3oL~`zt7P+fk9iN@-Z)f z+5+dgenqtBT&6Fw+t_p2-|A;TZGkmakEQ#$oL}`zp|*exLut{h9`+Xf{OAEa*v^iX z`yS4H{px5hPv&5~D4OHRKBJ!!?cvEByLr9)iCqEhD*ybkHJYDuqV`6{?6!=G1-&GGqTFsVxTZNRlC{x<*$$qx$c3&+@Lky~-jh@kw(N1!=pCp><<54P{Mjv18 zsoh!28Ny#!_}GXS6>vB%3y6G_PY~ zqr*r09}?Ca7-7esEhCN|&-8gfB3Bcb!4!GWfniG5S2DK)5lY^xsu&*%gFRZ*%B0aQ zR|*U-$f^kMq=o+^oW4cRDvYar^|s0fjz#|@T)IVHcd4?Z9?j*-lC`(!iLkb!fmL&h z{vE76Xkf{2(F0&@MgvQIi+%{!E;O*#-J;KcwH*ztjkoA8VeLZ$tLhfr6;>S@SgKp} z?XY&DfmMBruiyRP1v#`RuIVPEpwWEyf;_<}qZ&FvTD8)&Y*AP1ts@eZTG z@n)jI@qR;tF+eA$lb?PlHqUPVqR%Kki({aAh8>~z4ZVnV6QQ}zhih3buFuLGQli8krF zOR^8uC(Yyo9@Y#jOtV+WHdXI4a}J;gh#hIa{T0J+qrH2pnT)pg(@Dku45~V{YNvkq z=;GcJ9WIrD@9EDRe=*ai5UiO>LcBfMaBsAqRiY$ab?7t?_o4Mi80GP6V|ouq+4cJy z6<4bbW^W&2f2f~)Cz@0!Z@@5qDfu8R_< zP}==!C_Ae&1)3=LX}s@bbFbyq7P-+E+Wjg@n?h>$M~Ry1ae_@z1D^8^$ttg9*A~~) z9PI9kL{n%%ezz&r)swW!C=V|0rA&IQFr%=Zo^1C{G;Rtp$Uls7uv((6X>!}j+b&yp zEh}Sk9xcS~mPj&%+UOVS7~&Wz;!WW-h8(B;uy&~SQj_Zg=EJI8*H&btbP-5vHwZGi zD6<`Ri@c^dHipin{}y-LE z%TdhtOra><$KgF&C#q$VS2#9nH!Z-hn4C6&6UR8p3{|)rUW( zELw{i0c9SyIj?2^Tq|nQcCT5ue?!{;vNqSS>BA}>#Xgz-;D;rjm-QW>7_lV66_04R z{Pmy5@2|Hz|DGsLNT^K9;?x=9@?MvFCD5=|5N^n~GX#@%S0<+(+x-evuVfihIAcy7ag%FbwRw z%D`)y%(CzI6Dn2-9l9cQ2pT}8$R=*xZLU?K7G%9y35{!BvpyOc*AY+YfkwKy0jk#Q zX2UENyPl;Z4P19jPK9ME;wOZJm%!i$rOotZeEjF}-(3x7}n^u3XSLpp{jmkJp7- zCoU#`QN1>w=&tjzOtHo@Xmv&=gif4v$^(LR6Pz9QkBp9YxD|NJ{ z_MlYRevz=8;OF|EL2u8o{v%@7kt&0;bBrYJ86*exZvA%+8|Yr;7mL5v~rK&SUWalJ{DYVCofdC3J$l^7pnRN z7lH_Hm2&J0p}bXH6I=}N#G_EAKiY^YS&vYnmOLBE^oJVhv!P6X zz7#d$)@e_cQeHuszO9tx4rTfSs8kDO`sNnOF6Axl5v$h_6y04^juN#$CZzPyOZ`tO z9$ILnzVqehEv}`3^A&$voVx|DGxW37gCzSf%20UR(HTa#cPZG|EXMiddJvS z0?Io5SGo4$5*c(8)K^ii9jSz0WIhLScD9J1OI-??YFCI@ug|Sw!JGz@2N7$S(J<%2 zH=esy1zv?JO-)z_jQq0)IpyqUQ?FK zApC~B+Q|&a7D1SL9Ym=eFY^l-fCJzRaq58(re*`~0EDWozEHXz1=!lbKqxR02n2=$ z*ckQ!%GKBy=7QW&Yz*6kXoUDSDILnyske#uOohFNt&muFr%#FYZe=^_i={pl;jUFz zP14>({NP3`wYZtlAGlp{LF%9MYx?&?cM4ZCJ{=>7*>usgayv0=y(qh!BIb<6CRaCQ z#72dig`x~jlP@y`GgJ1^-l&T8pDYY(!XB!(dXeCKjEhC-X!h+3RfevH{H8{*uL9Yx zrxGgr@H?cHW^a53aX)e#=L>3*T@}<>UHn|52fv$|)atldnP*Pv8<+%5u>U}n@1m92 zz-$&Jv*--T$rPG31L-v!WIG*MYh6erR$Eausr^QxLZ;UfYyMS1bS0Hg*xz zstwwfE{KWU(Kd7;<>7?(tkrod3cpY48x<6I9>v}1C~8OG;Q?YC#H>x5P!z`LsCtxk zSC`{a=+-(lyFB22LN+oqikxL5Ae=d0$!z2cDX-SsRncHhfj~7^NO6Z50CN^hSEyOT zbcHz`CJ$=XFo(gM2NPo-!F0B;yMrPWX~^xJR7fl`=5*u~lAj>O_i~H#3Z;kkaEtv4 zRe|$B$4(x!U4FQ_`VzVn=k#$IynFhnK2HXJjhtnn zx($GWb@g#YCnT#=p!?HaA$Q)JvNSIFH%WP!Xe;5Wdb4?Wrb8k3puspFR}wR zNmlhjA1hrlrWg8H_asxHlS`V6g6#)OX_HZ~J+hP3>KYE!1zv@3K2#SxdT|Z<%=uGa z&>5E^PU^o*V2)c%sgndUC5vg95$+k*ElKc- zIKWs~n^{R7q*^XGQ}1$GNrf7U-D#BsYAB3_N`JxOJcos<>4FP+&To|qM3b9I&pDx@ z)y)oE<}qxLrg7PGutD0H%lZQwq={UPhp4>Sek*e~YS&S>vI|7BAiLmuzUs7PWk%Xu z`U{hbR^CMnQSa4m?xKdEN-eM1Bb&D}VQ4cyn;&20j=HkJe=G7WGcyW22}@8{cA_Wg zFC#MdJc&-mOq7=;Z!ng3XeufSBHtA|?z>lmC`b^$pEQp%a9~l}AVfkzpex+yX7qfV`nVtGnR8aKSDSm<; zimz0D(NfgAK}mh7`k=4ui^~(l5geiMRi{M0ObjFz?812EhCwWsF#dko0!d}l=t_rN zHKk-*l3lLmp5DFho4inV)e>QJUSu1=L(Fc?bv2$6* zbIN*gL|NW*8g|Q+Wk09hKvh3vW(10fWe}=6EcPkO=hCh!h31+dhr4R2c~6k@T@BkT z53*m)JE&iv8v=b4S&A;K1wwVtB+H?XLYN4dl=0c5HOiajOO2%Y%A@8(jkNiySLXAL z#MjDQ=97)o*Q!V6wnp+mloKwNl0I|C8yq_!hkM5m z+DYp7&zJwG<5>&N(iY&0xCviGtZA%4aX*jMZ>MdrQ^hY&@awb}yY3ZnJE>w`k!VP# zQ>*VKMYoQw^jRUV*YPVRzNa;dy?gg{J3fWHDP0$D&0r9kO$p4g43f9XAUNqpigPVi zRA7U+m_hC{mGvHRBeIosf&*^UIL^0*-=vHrzwhKmh@*_Ip^r0CdJopyZB~yH{2wYs zS?XDWZS~GsngNhPvHxB@NwBxxsg1oTVMR258uT4&qyyXiUMg-&iS0sH`Eh7h2e>MF zpk3{=UA_m})&AQRk1YkYV~-P-o04nOkCXl}&CWnEa5)ab1nGM8kkiMPNK+eH3&(S28 zqbi2>QoG%3Wvcd2J7cygOnbh9{7UKeQ}CGz{sT_A=-=MlD%vk6NKV%asP5Iv=tG>} zIG3_FZ`TZ3-%vHfXO?z(l6xs@GcA8zLsc$rl}m7| zJ9~o17?S=_EurZfKf+vldqM$Bn=JLu*WS_ET%cDyaNqFWG0>{s2r=uu=(voI)eLla zLC89gLhW%95#y`1vQ<7oC2Q;)tlvPm+D=5IUq4D*e+@u87VTKHH_+Zd8;CX#?P0Ws z(OzAfL%wUnI$!6z)xdgR=LqFF^TcN7{(y%H4a&;+4U^xJa;!yjX%mgEWjS-HKN^>r zU7De3^i1I)9oZ7RnsCABTvpISS!RTcb-&$f)f01D7rwVw%m=LYu~0yq+Uy<6idP)A z5Gs%n`kRGmMdnPTk=2`pVot_rXyrOj)^N-V%Gs@~!}@s35bMN#(r3<0eL?v!|y^P$6`pcFOrT@vQ4@PhEs&cPN!F-vE zX*{#wF{#`*4+VG07WHNG)N&V_Y;K_Ct88w%$+tEkmS%6_^zN*1h~wXbl(nay>l%5a z(Xm$C3em}lf+JQ(Kh7!9!oJ~2K2M>b>s_1N@0g|elHlIw+Q9pA>z;&Dr=KVsloY9x zF@z!QLAt6`s98@E(Z@;vbmhEvbn~?+QuaO(p`&su#BzD{ff;(R**ki@x8?n8rH<=rXAWnNe(wP)3ov= z=MXhlyEzG^g8px^xmHLj{4NTwBR+5)Ao8lSf56)*8dXPqz@H={qbL^lCy{R*`TvV- zt|%~*wAj^O#LskC%sVI=o=IEGpDuFAbbil$A&Sf-zIWw`yff|J^R|iNGpX9D$zFaM8@S1-(09ML(|2RuUkM6Y_EM~a;~a=rfu`A<4dJw&fA>Kv_! zi>dD**igKfjy%XFh>mK+kxdXCO%Z!HL3H$%IKBy@qtDdDl4>`*T(ziVn;Ron<5RN1 z>JIH{!-#0casG7!Et&-FYC}M@1GK9RuF*7TR~wc|Jt3K^cw?e86{S+*mE%evI=WOH zT(YCyVKw(Y7FN7^Sc9>!!s+*$QMF1stSj=RY`>|nETIx{qU9({=;ExQnw5EW8`TnX zeI8?@#^1a)&mm1c-Mlr=IZd+wg7DNB-(2|_DK924SMd%N)dUaa#ikLxyYpNk)K2Ej zU3L+g@#baC0}5G4#ct*mLhCW!IIOIw(q%p}vJY8_kJ%&j^O`x2S(o)ieZDP$OBJ7x zZ7KL$zTOhpo76+zWLn)T?q}>%lg&G0?Diq|GQHfbl{r?QQqFB<-_RFZVk*MZWLHh& zdK34Mz9{dTi?P_0zK6KL^iw}8<<_%!!R8njp~g|5w(`Wht5u~IVa3=&Qm1J;b4TPa8eCU&z(?>A!T?~hz zVJ#S_SZlGjrp8kDYi0`SDxBHKbK+a5Ny5qUNKPI|udNeQ>BqaB_B>8`) z9x<&EY^Z>s*cV>O19g%)lr^A%pP4{o@uqP*69W32+rxBqmVd8I&RNqw^#V8RHr#+aw_wk_K9i#64qt1Ii3Wv-%Il4I*N?WIZGx(6yn0s2g?i_|l>7BNMXg5F;vLwUKII%Se8Q7KLIYw% ziv{Pc4o24RhOt7jGIWr9lO%wdJ`K6hVS=`H2b3vIAk}&KK~7_)Fwk1~oTL)Zug!Z- zEJoy2)lP5Y{v*oDB=~WtqJ^1u4a{m$awesLEfLMmWHg|1Lw+Wyf#YwOsN+J$TEWmU zAY(00)pSI35owZc>9(2iQUg*x~4@S}=Y9jzVJ@GEt$?UAz;B%N~* zGMq>21_p(_!q#VEP>8!+s$&I3xGUH?{NCLq&qBq`@Lh^QI%-g8zI>sM7ZjPVaL_q5 z20fA|>%1Dn9w|a}-6K|t#Luvk7{Nz_!_-kvs(=}MIY46t$~b?TeD{k@0q+@ zN_B%enZ1-(AC#*YCv~b1dngZm!EA2S_>f!NU}jq>lCB=dr>vGHT*n z@-yt?if#6~&5xH+rTC&Ql}n`fqOPW-80R--_YiLxCpQ)LQ`&f+^bXD5$GP!}919^Y z+*v-zWf^{i_2SWG zd6l${YrnDIxDKcWAU2%_I}LUk?6m(bKD`Ix(|eo%N5EwfyU^~x#HhatQUA~C)+_%n z>eid00u6rw8-P2&3E)p)FYpAo0x{};0R?a!_!jsT*aF-K&H(QKn_x8`*a1ugY{J#2 zu5bNch*x`-_YQmf=QY-*Zo8uB>cKi2@X!Dxfg9zlD=6kD5kn?B1#s!a={ z6eA#X1sa_Q2z{I9FbDymGtlTnKq$AFGz|fvrO?bpKq#%52B}Wb{AOoJbs|_qgj6Sj zMfO|-ghb6$NOg*)ARr_>U!_ApD0ME~gn-c6E@%<$)o$&gCL{c z0|G)pe=Cv^5Srvk$U#6T$CLB}0zyTe#G%H_j0v9PbH?(Ff;|Wpjmwz02f?CQ8O8lr zc^Rxd5aZ~MfKYP`w09h_^1>U!;fofP+n;6b5T*2u#LA28p(Ui$_hi+1i%UmZA^lI2 zQ-|m=6g9#-#yzI(HFI}j|Q(ll4~%s!~qf2NHwc|f?DyiT*mU^R{kzf z)f3yegGE`2ROxtH$wTDU{_FU3PC(;{{i{7!JyCt+va- zLG=c@xlUZxGlfn%GALj*!DMpI7~MnhH$`M5_uD0Fo@i^j$T!tjw0o@fTlt?7sLkHl zxb`Po2#sOR@(>hd4sk|pTD!)GjdFKL=mu{@~Qqj(P@x05IC1`I{Y~UL7EGE)9tz-4Pdd z6lPPy#X~!?vgs$pP96CVDD%YO9ng>aQ|#3-`2p2SJPKlQs5MCLm^6e^B=+sd8A5v^ z=5!Pdp^g#{?toa&6%oo0TCIA&hSG$6ZWM#HH7E?vgbVxV*4)R0P~-Tf ztjDBdMz5y)$J9B-QBApz>3O_O6O9!B4$%vjoPBd2LnEVaNmxG5)={!GO$*Z<+~j<8NLQt0Ba zvW!+2XCzl^QjDeZ+;cl{fGG~yu%(GoNFXcD@yPy_Yk`-OW>CC z#k>y*L(08deFw_AEj~)0fmQXE=!%K4#Af4!-hCCwL$Yn%D1SQ4L2x$VD0XnYv&7C4toE>c{;OdgDT#n6zKhE~rzI*qTPOBg@H;rMmTQ zk}5JGtB&G_N80B?=xJ`Q+A91e$v;c>Kv zQZcY)$nLZ<6Tew&v&EP**@*bZl_nOHf>wCi@UN)d%%t1t~w=}8qFBO@=cf!?JxuhCySbC zXPI+EDb3Dj*?)-UG!xIFCRIUm11X*+-tFF6^vigP*R_d)i_9|GK}@^(6aN2qhga{InD&yfz)WCbJI5OBIjw0V5V+Q{$B90m zpX)rRiw}5zq^)+Q5Ad`D7}%41O+;HS@hS5woLQF5CEgHcmKAczf#UMAtgVn48do-= zfz!`+j*s!ZDLdnH6bq>IyX(ghB9sp1ADdjTg34}j`;OG&cT{$3$~UrSlA&e(x9!Su z9uR)Kc8IV>nQva<=4M{kNS?p$9C5yCg?TA-s5h1pUa#{ay;dcfSG)O}t4c`&*Hx1S zs%DtW>qE_|QsTaKf0Fj8a?Q)#2AS{S^=W{VPUlt!4!z%hgzU!yQuCXRklw^X$`|D` z!&QreHNB9!z;tc|YwA<)WrEEu&O(icK;2@mQx^z!wKzRxElXHj&NnhYB&6aB5IRtn zXYr{>k0rg)+%S{-D3w9`-6J4GUYpWD zy(k$`JGPSgR{dVEzn$)VNHL}=HxQw*vi{$9I1h&&q+ z+KoZ8p-*Z zOWF;M^hfHC+P$TON1An7MJZ*3`aA8GQql;`A+4sAc1itGySo${8@6lLmr_@#A8L1$ zl2>TXYd4kBKdS%M?(Zgi)NI6;nnF`om(=EAV}q$gnMZ4L4MpCXuduN5-@ulV`ZcFL z82pubyK-g?cfCHS+^q(Qv~K0x8r}_kOu1(bKTuC8XQy%x>;1~zQ(dphf-Q{tkh}6( z7SH+!-Gg6mWZ+gl1IPuI0%^efmKnGu-@z^U4sOYJa7(^}Tk;*fE+eifW~)n>{R?u+ z7)VdUjNR%|>2Z^uyY_9>wgZ(VIYHieUN`9vVV=YHv8d58&-)c=*xF074KOD(I(B)! zBAthM;s6wk}BC zaWh-g=orI%MREpX4CX0=$$mxJ2y-gT3Ye@{q%@clU@mTSwE91w?m?V;QYTd1iww&< zoeG&x45$7pe}^xLEF1TpwnO5LG#YS%{0KQ?%P-?idJ14{Zrw zCm$(wYYAPaSSh8nL?+7VQf@_1qGGI+Q4#i?JP=vhA>S!xN=X%nbH|(fdPk?yB2C=h z0rHyOG(S+F6bGqI|hiyGa^XzmG z9`|Z?6Z2CN7|mQG_m=*$^c$sr8}*HfhdgU`r63oPrP<5^?BO-$_ts$#ulg_Z)Mla) z8I6HbjxutXBHiR#n=qGZZ(3#^-0V~t(MDGpm)7R>6WW*~6WGn1%HX9{4VJOBsXes+ zm>Rex?aUX zjYCk#Nx9VG9u)DJ;BQ=#k@c8x&^SIL|1oL0ab8C5W8w>AQO4xQWS((VMyB}4y(|1o z(R7wmHtUV-sBlDMfK>KM7|`hRlsZ%rmXXp*pRM^I^6DcthGxlE2ziazI71UUl?Ht; zPZWBUhP9E#i&u1HPb1tBCw3H0BZZ4+bYx8z925g}cHO~h`DC3NlFSuxI!bqFvV66U z+l}}*QO9sYd|agSbVGdHM@O>eXH&*V{G(E{Y1bvZsL|O}U&+v@7{B|Nn5F-t8!_{fl?P&m2*jRx7~JSTFH@a#&%Ui$;EDmHr^AxL#Nkq z?kIgoC+E296}@|>$8luyjzFp!cFoW_z2|bt`haNWTvvtOHQH@1Z;XDKWlqz02KAX{ zO37t6=haA6bB<>BaKF_@M7#F~eJ6V&4eRp#uIh?3);cbg@X07{Do%wc;eX7sdXlsH z2o_Ii&YIuN%6j5P^-gnrJ#`~;dDqsH)6^Hu*?C9Ji-8?Y*@m6KPryasAD|jIHRXu> z*|jVx|9Td62ihHIudYAnaB?lM4yZop@YybfSLl+$dyMum18@MG0eip+a0JjFi~d;j z$D%(L{juneMSm>%W6|F~V0%af3$V4krx$4bZVqoeD!iT!?_E~$OuxIgt!mA+PqfcY zZ#=A#tqaWaDxSHE`$x6D@lg7mm$yqjl)^T8rfDg2d_UnSYous#v!jt2FRzylub9|F zZ8pZCEP~5ZK3z|@Fs&J$v}RK#bF3%bTXjKjvi@1^tmlvNC~<$MyJCw{uvHvJ?lWC5 zPiQ9jF^?sTZg#H>cqqST8c~}xm!Lw0h3XjUX60aP>GenoiBL?lI44C6lyNQgNx}OR z*;siEpIkM>GBhdlscf-uzVB0UUv%TIBXP{+`StJs5I&s;fL{mCZSXGgb z**7#OAW>nFdItHtlyA0pWF+4vAH06w@ut#WdpytiX7yqIR+se1M&`hjjOa=a(ZSw% zSY_?`u4X)op3U>Fn*EDC@rUai(rjgg_K=nG09%je^#wQjvSpWqK8=BKZ-U!w$&KUccaZ13s_gVh~_uudSkt;rq}ALBwAKr zj1)}J+#PIh9OlUU5xAZ20vv)Z_@2*aTi&3umHm1v+$|P8ET0VV_07ZP?!%m51%xfw zhbv?`hRxfDeZiU*wrC&Z^2CN^WMS%A5XUzQm&u}pWoKa@v8IGA%)(D*S%ppBVcBPQ zSy`On+(+D?%+G*z;aB|4@|cEDr%J5cGuPjV&z943A-k@RJRlc>`Y)AF%MDooMufB5 zW_6%~*sI!-JCNtud2R6>=vC~kZK-95*X)EgW*N#k?z`%A`u4VIgYL!VpYVC?O?n7I za%JZ=#0~rF%8dign5{SOAh&8b`g#Y{=beR^&(h=C z<#Yn%S5`6UC>J{~)ndAZi}@KV7fPX$PN%xqB-k|CzECC6k&SjF)e5@#IL%i$nQIXh zbXu^U>l77wT4>3|8MFH^-QxLLd4p95B$`+k^;r9*&!f#{aUY8g5?>^A zNrn=2p|!)Eucjn=PT&GG&R_$Do-5tXbTYWZl|fLZ+dgNgoo1I9N)r-j7GMJ zuaf=bfI26-$jqjbvq-lX8|W^BkUn7!-EI)lC#>p5=>=mO-7UhF#E7F4 zBkbxKg>=UVVkSIf!|u={#(KJIhwWs>Y&xmK?h<1a-MNFfo{>kl?;t&^{LFP5g9HlI zT;!NHRdAn69HUW%tz7dl-vffTT*oob1HvX`qY9+IaGHluyTlhb3Zr!uI6o&EW;*y>5f13^VUQBBP?H*#`Udsl z)@cL@p7BUf*F#+obtcrAP(OnD5!92Ro(%ORs4qdi9_sZ_Kie?}`PWV;yXPRO(5CK! z0(~m<4?zC_^bbJ)0Q7HI9YM92K_Nh~fMN~B9Eu6Igkp09RSbMF`H9N66kSZ6s-l)! z7E?M^n{?I?a9{9FGqY{sFg_Oi8Fur`HcApW2YG}Fa+-3Df&7N}K)J}^GVVQr`KFeN z*3KIu6AP4W0kj)u7H6``k7{0v)?PQxkdt-YBAKX@_y_UFs^lh?cYhKKvYe3n=dth? z*aXP20`Z`)$jgY2sr zEVgY@7HaJYW9MdVK?b&qn5|lsVbujuUXIBldA_eI{p2A$?^k@hJVp`Z1lw2U3b0dn z4S^wi4-13jScUiRmCsmtCCm==OV-ws_%g&9c0$RlGFTg&TEZ&B?`GMQFg{|wu|wN( zKBBL&x3|qEKyEcg+d=~BshZQqCZLa~OWK%Kh}Y`Gw)iSo&MeYjaIiTbHs^>N%&6id z4!?o$mpFh^-GE#sKE&D8fITh#jU#D5`$Bl?u3SV9JQqS->UfC;vd^J*!Lm#nB&n0^ zhg@~ouad{I&Rp~s$z|D*A%~3Z?E&%$?t0dD4YfbD7`s}%iTKGrmNi)LM%3IrBcIQSntV_28cL6!`%$H1=wetTt^4*VI`fMi(Q+^ukisX{>$lNjs;8n-Xbs~FhoFN<}?|_>M+z-?AmU38YTw;inPt>{k{9>$;G;8;WaEqjyltDz- zE?c^CvcZi<8^PCrM@ zU&X_mJ-z68;w}!@ejSjGbI$rBs1T-w?{D#!n9FJPhkW05oYVg1r^P>UB>s3`@q3P- z8POw_aH^W&Q(MVVG+XbIIDui8IZnI)ti9mJ$X8is5n_vEm+V>**jV?-F2Q<%hzg4c z=D&;P$@UjnEf7V@4i#BCNvmbY$1ty?_hCH}Lc6!ZA|>vec#%vpW)%p@m<3~&cf}R5 zsxg~niAtt$KuIJQnTzRUGWiyxg6=X3)(atY+^B6KGm>t-g!qE#OGlox&ty!eQ%({e zF#_qXC*j%}PA7R2*E6{WD`S2i+FVnvKbwn)AzCu?;fa)Z54LTl@e0Z0I*> z;wolVyETjY`pCcQBXps1<@UPa5UqQA0L`eoCelh*6IEb{{E|C^7g&L)(NqKU2S8hC zg3!-`Je^-j+#B?E(RYA$17w|m0Z)={$q8*&6z;y{1;A%iNe0WPko%PQug|Lr|y&@7DGXa2UdHhlw` zQTq4Wy{YCt6x;o_wipb{wl;ppQlFC=Mi!&}T81rghS{nAd1TkP-~Vnr@@3ib<)7bf z{_*8)Nu3J;jJRy;a9zF+1uB}WgZD$osfi0qUxGx#b7|TV^fq`dojr<}$xiK`Gl~?` zLKAKOWx&3DnH`pqkYU?FVl$mH2px8t_y^=sMIp(R%jDigv}9qAoKOVMpg6e;q?#69 zm7|(N0{J^Ds?HP6ZTXYg)*JL#$<1)hGF(}}5$C+BJS7il9$Rgt48IrwHVB33BTo+t zD2rBD&y!GP5Vsq5zU!fN3-upn(%RRUUwNl2!Fh#2U;7&Ot43$lQloM9A6vamwJVq8 zQ+vetyG5iJe{HXGhI=t_wdz;n1#n|AyK?)g?Hkb~mm$Y*!EHesGdY{yR4JQ`7@N;M ze!RBO7?tq5!Q9P7l&05m$_4n{I#P6$%Q3C0F88J2MEia0Z7w8xzCGQ(QM4f>%JFr6 zN#L(Piz7mQ{aKsRvR?HYsMdq(W#LiF5cPEX{HhHh3NomsfgrhfQ2BVMnZK$%1O24% z1a6Hw-fFmNkCTGpJf&nt*%Y?N^EL4uA=Ca?p?a2O!bY#cYCJ_*aG>lqy7vd+0Ju}h}-W!7ByXYvj5a{J+ zmZYiiAuG8y?<8t7Yh`WDN#wtmZfJcL26j@N)E!Z zrSuJ~SAua(Bdk|q-%>XTwzAfwFBnBGg=`b^M$vz=D$*B`5fm1)1bn35l6ErL8JH}v z)LWWiF&vr-0n0Xqj;zwSUQarnh;p;@Vq~`yvPk!s8`@EWwm}d;kkah9-fE?Iifkic z8jsb2-k{!+4#E2%*|Q9S_q~$-BI6IC+(>!MxOS@uyQj>;c64;eMu9Kao;T|aZjQ#S zKjjTX8iR-pLpBRUXmH^&YqHzT2r6~xpe8V!OUWIS+l(2dE*-!)@8((Oo0M%RU-As$cF^d_hd&DsH@WR;MaxQ0=rbxO3@$frZU%-T7DFJ z;PLjGpb5-7aW}Zyt_52V@ZuvW z6Sy>EZVKv{=#Dm%jxF~+4G-TD`kf8dVCbRD;rbdE^r47r&(}}c24_J6lnB;Jj>912Hh{v^^#jmR6#;z=)MyL zI@o7mUwED4%mS|#K(_<>OcdBa&cN0KnhYomT|vwan<=+g#>!Il0ZGZgUIH?eMd<@_ zGmx=z3o4K|MS;06QVL`eixLdvQet*nwA=!sv8wI@2_w$~Ifq4Q0dfP7F_69)$R1JP z5R5zm$u!W?|jZ`P_l zPR!pE=cS;6U?vFSLGTL*@<7nZZolzk`y>5%d?HOEJSoQ{`X&oT<>W-qxA2fN(__EC z)m&+~#~y#MEnnwx)ZgZz)WSokuwO#{!cPG=<={YJu&$yuI~wtm7|-08K^e7u%t*~Z zkJ{M?XRs&L<_+RDvs`O)2C@ILX4V!ALh9KG9E4cO}ai(*_*(*>?*pAY1H3qwBEW=Sm4T zwAuSJ|1LLHQQ(5fRxj39_CBrYR`lAq!PPuF!!}F08U46$gt4b#s=lomv$99eUm&+= z21D8e)|_-docZ7$CaOk~z_{KJm>zrDBEq&taGR@U+;X7zCq^Ll6pkog(AUbu;A|&) zj-FF!WK15wL_5}-9lq@?pvoPK91G2xB<+|Bu;)L08M#C3h+WMN>JPzDJ8Jk~ERfev zKW!iF`p9y+*jjtYVQK%gc*ip0QO2ykDPvCSt?bzL`j$k*AFQ1Ih28=;-TeN{GV}v@ zC))nAe`J7AR%^pXOP=5$EK}zRV*p!6e zSKqkPjf_5oJFF;r(Jjk^mkHCLoYEI4c-YAla!6YBwvNL=~=8+l9>v z#y$gojT!AORpcNrzqKn5oyQ;GPBAiDkcF^jej*p&18e4f?a1-qNI`+xSATwpP(jL5 zzT*ZNhjk7lj;d}c7K83hj zImmP1uh5w_fPs~x&Y=Metmf-54Pan3O-F731FOxj@e+DpfYrI=`rj9_b*S9n5P^@* zE*F;bmg+2WLs|tCol|Z=t1w%K%bm0a40frxL2JNZw|at5pNNVSo#dQI#6^lnIhVj` zTeOdJJ`sCGJjl702<8kOoHL1d@DSzv{|?)q#eJOaUX-<{MJwyYS&QFj+j^1JqDF0V zFSc4dLseSm+-SR5c_+$?pGk9%a$W9S;(I?)+v1P84~dMr{81qyxmNCv3(-8qD_qvP zYm>XSRh~C|Mz)#&Hvr-r1C+l+Wme{uRv|iHjQ0Qd;r{m>q&IOjbMAn(H_4T;Zh&~w z_9!EH!11JA9CIao1>zRua_-<-B>J5eeB?UydYl$kadExg%LIK~YAu!U>gJMVq< z55&@t;EVs)=r@a}F5&&&^~VOqfyZudw_f$zv!ilOQjo|@(p*+Z`{S&C^1-2n4wZHf zeg5!FJm}%+DJT<0pzi)#J@b3@^)J)DHOAIF`4s#;_#o-fgKxtxrjBf``Q)3lH#ljp z_q~F&z1~|rCup**U%ZnZ>R)=F{n>4M*owU_J163nmK;f3TBMsZ@Gmk$ELDRg> zMb|~#`9~hu8}u;xP()Hp9d*?HHN^HsD>C;zXb8TuP)983>}~RyzjrIky5u6<*GWG! zk)@uSe)7g@*y)M+$ekKUVNrbNNbsHSx}5YZ@|LLid%5y3|BzIHosR4uu&6wG{@%HA zy8on=^HL9tA~P(b{o^YR1t&SuE%s4jD|f4l(o?IDHqv%jV}bncFPJ-xk8ysp=h7>t z=!?czYTJ#E8K;bol`jnE;R1x>%}T+fNv_mNU1t?8oCbyC*Hmzv_9)} z%3)*YvzO04qg!o$_I-aj_W6VQiEmRTUdA4d&}{GC{o_;3o$&`-#<%|nJZqHdYi``j z`A+-vW8(a_ebWs&N9M*D+}|}$bcfa(-Jd&0L~YQmEqV3hedxaN?gFoWwmTQ6VJZ9y*D@^|FOG!;N$Zj|E@it`=MX>{#i@rSM^rvjl_pP zV!Jma-5&k%uKUp4Ppqpy!j8UvJNRR9$dmesquA%qZ7t)*By7(z4YzeAH;IQ)&uw+m zovDrC&QEl2Z#;j+c<6j}t|~jHW%Qiiywb<^=YMt*P06>?ENDHWncliwy`^=zW|u9N z>-FRVm-NJv>z?D)9y{7%oIKhRrF_hC8C@A@T{?xd`{%<_ulz~sjMf7>hn%3&#`Bk> zRFC5u33Yy{rI$%(e*RrLIp0-1zqMMI&s#0&p5-L^kiSrkZ9S-Fw;t5^w6^NPp9JYF zo}AYO=1eW!^LmP5>XX;HEe-B!t5!FiZ%&%QCMVPonzOc4dcMXiE$>;`kJ*tQNp~3A z;d#V1K*=E9{AxuE-%y)qHA41WJz}>)^_1qyOE?Lc_z2pWb%+srM-`q%GNv!Vxr>9f zg>{s2$o-kd-l#v+i;S`Fot%-+_P6oKd#?c!YGL8!(Dl?5+?c3iGlRlc)wwSj`Va{H;- zM)gy(3TY?t4SA0$WPtF7`ishK05u-6KyY17F~)V^zOkI!z`ky~WTUpS$i`Y?t*sq1 zvzDf6MPr0&Nr<+AXYOJjDkMSRn}9{gGwSo&jxpSQ(G%r-!}KV>tHN$rOpxeG3dY5FFmdsQA=zK1Q@!*5^@1J$F2fX zW%Pf319Z$1QXF$5oiJ+qjQQg38QP5obT8OXmvafdp*?~b>Zp=4 z4mNM?NXkFBGzDZ_`y#ocjiJMCQYMpW@bdRQ&A-W=?oV4*`Aw6Op4ErasEgC{`;b2B z{Pel7o1`vJUl0uWDR*j_?PmLkQs(@2Otkj_!8&k?Y|F*nmHw$ELR1)!dGN!^hnLlY zsu4ey?af8I*{@@yJA|?*f3Lp2 zSr_8BS$EA-e9fhwosS9?-_tHF#glu~q8$Qr?0-LX;eJ4f%g z>lkV9AY}J^+wKus*W$qhZ}c|U`bs;A_|8raW1K{x*(>$C645wuhjvb#b(haWVJ91> zuTBIfu`2kgnsNG98butFJpKP1!3spf+Szq@0(FlvN5|G* zE+X6@&QW&C0~~0F`NzR+-*-XfJJxLd^&;#p>93p}Md*0R70$jQdu*;+) zoa!+!4ZFc<8pHo3o#5~t5L5}DQ^!LG!zQ$y!8bQ>1QjdpRD~O?bKQ1N)30~=ODT!! zu>y35xUX)g>X;Pqi1LiiICahj8|~Hmi`H z#m@PXTagMEAbo&b>2gJN83f%g+N@e{Ke1L23ub7SmH$(@UAGE9Zki&<`swr4UkKJu zVRZt(S8VY3xdAa(B=$JffSD`4<8iqG^-y%y0qK6e7YB-rDEEW^j+J7&MYxxX_xGuQXwIqn$|0;9`5M!)6oN=5DC2bg{(jZ`-C*Uss1Z>ks+S3(uz`|C z+Kf_MwC{aEvd)EX;(@hjimy) zQhG#IZNv{!eh9YcsLi1_)7CnR^!0al(HwaTyepTp;`J@Pm?qL8)d!j-kGTZ9hZU)p z_d-$w7n#_fa9Bc<9a6YQ*t40Iuzp6?FoQBs9pEGHoMF)cKJqgRh@wz8j4R8rKVu*E zs5(w|$sd1Jv_|V=n9iGDhF{3G(M!h67g4S=rw-V6fuqS24WfS`0XI{f*q=F$*dnIO zHsyOWjx}7Dtxd`85A_Wmb0<*=B6CYz&kpQb^$x6%ds8sA@TQ;>>Q1OPLA?p;FHnDh z+6HPHsB56EfjSN9G^igy{Q&Avs6(Mv(HE<7pge&x6-pn003`Q7atsOuWOqT9-De!^dIi7~02b6ijH&?TmO8s)+q25}I;Ud070OWB zq@m!4{7JN##_T1CycdD!E1GOvam{(c5HZJ5pO?Q>d0%n2a$)Iy_!bAEKdZALtm+!{ zR(7g>cQ5uctj>4$q9@3mLNqNj%Ktq-jusmg{1%a*KB{eQMpc8;5-h)`iVkZ}He*vE zd`(9)`o3t3wx!wTzIYeJin3_5zo5bn;PT0)#s1zuGju#xmWVm0p^GE|qmS zK*9l%QplzGd-?Kz;)e4QQ@|K2OW$TB?z4ZS^x{r$_E-kDU0)vDwrj+{$@VtDZFfC$ zDcvU8^DnsVqV)T6;kL_`oy#Sh6Z^=n=31;29b?RCCk)!%151y|&0g~=uX25w{pRt% za;Fq|{93t-JBhcT1^qzuNjtwC8SNJ-cnLfEf+)0;_>z`wurCS@sr1!xi{d1+;hRf1 z3@e5^6}Sa(HQ1kca94!=-(UfP?4X#-j`o`UE=`dMdkNDe!Ls^Zi|Nv0nY0(;{!UZf zquGxIu7kX`Zje^qwT!e`wTkA>TL`&BG_J4!2|3v}K~DBH{dvRaucEEY0!Z`hXHhvJ z_i*sAfTfHuUK|YZxslM7DIYRMKZIzRG1^aOBB0QK0F!go*=okoO z=BM-2pY_MbKp^`E?9KX}JWP2&V5LeitjrDu?9 z;)~qEA>^EZD0gxQI48`I(WvhBzRt)-ETel3O4hMDhT(#V(ZpxU?3zO6P(8wdXf%i}Zy> zuKR6Dn`dk4Vm z^dZNbvbG=~Hv!oMfax@qDQNEY1+$)fWi2X*NmIK1wvl{FA9lGl+@E>BjM zc(*>)76I9=SR;G^0zVL>f}qhPa0P)c2#P`QGYGnYye}0v6ZCyC7F+GHO#@(0^-6YnhD*bFh8%Plcb!5xv3pD}!~xy@B`n#bAE1 zun|8^jV(E%Fk2^??Qu{6-`OCK8imCg`zMS=hN-=Nt)^TFinw9SCdqas#I7yrRAAo{ zpCkIN(*hJ}-mp!uE=bnUZf|VuRiD-$WL)MUpmqJ_*Cj$3}O?< z1}PVqGY4>k_N$rb0qY3zKdMJ^G%vmk^FWfSg*DIU(5sd9>e;e7o?{oJ5$VxU`dM8T zhb3!dhj>;I_A?ol1Edb}bw<#Da|d-B!+F5IgYum*W5B(`9?ihhA+5*S%2clHSnvpD zAw0hL7$SM|yUQ;rNm!n5)p9z>;GhQYEyH>ndyV?K`v*k7;lv^sW-{lolVcg;A zCC-2br!$|#SLPtV67%1jFp0q z(-6W^`ru1DAF`By3HExW8Qs2${6XnYcOUnlRt|7I9lWUgb}rq)??9!28_?iUfg)+b z!;*sW^_mA9NiHOe-VeJ47Ad6js;Zy$hS@d~MkWBc7Kj-z zYNKX_?VD;KNib?F5F;=OfDj?!u)NUA64X@<_Q%1p*CD9;Y zHR2u_TqS@|0D;5ProfQjV90tHvK{7Xgt-*9K+=Jn3*?_b1_7A^^R_c)=jSpv)N%Q9@25O*@>WjHJ$u^AgnV|zUzXfummn@Pt1%rb|B z)tJq!fRbze5C;m+h%tEdhTg55!J1!khG#bHbC-Wjb4%-%;WiA*4qwC;vdU&N3rPag zlW0x1r#`n`PlU#^(3_rr$!RX!5MOBMObt(H>@_ufpz#nI6^tEmeKwL3(a%+8yBqF7 z<6qMp2T9LuKio12O>-o$qSX0J5K`Dt>hWd}x&y!72ZXU9z~41ZGz<7N)A#^@bBMra zn;ItJ253C7g~l9H!wwp&O%0P~p{ZfgY=g#BlO_%tv6Eodx zOo$X31$NK--rl1%{`ODc%|n0Wu%a$~I|uMJamlhTQ;7H9+z0{qt%>)9Mw6-G1C0?= z!wVWWObtJ1OhCic0~&m2Y>V6Bbxz2Nl7BsImXP(#?z!mIQ<_W0wgiCRNlI9sW)iEL zZ-m|?KDIH5j)N%HB)Scv?<^12q8Uh{&OAizRg7N&3{!CTBCb@05q~q zjY-g$Vrm3IV}q#?3Joi04EsZ44lPTyop}8F_4zwKf1wh8f7ab|4RNJsZS)Ye`w3_3 z_#&aJ;i+YX^jSvR5l0AvFY3BYdv{0WK;M_@=J3|S{m@3=b&=BNSSsfne4JPqU( zAm0QNUd`Mgf4mT+Z>ccOB-;wIKm2A5oU4S602m9HBrq5N5dhzSrNAWFDKJSXOya}x zU$CDHKr#R;0I-2sx1>YI5jsmvuleyu^dvYI!0-CPu}EQ>6qwfzMxL^V`_FVbj%4@rH}R?6JJfcYbT;{RcGpJ4u_Z}~Ej#=sls=yA)0&Lv zgFDoM&Hs^G$Nop2{~!7If8=`zI0hqUyce%3oW59X^$p8%2=lSB-XG>~lboz?lu zl6W<{K+=D~DHu9IrjE4#!q@m6YaVyf%Fgc+0TVc@b7h0sALrh&#^!Acg^mI`L}24h z<9uKo9>$$ukImadJf1gY9*m6w-~|A4Yyrpsz#D*i6JSzg15g9wPmB9691ejAcfo{* z09YnYKXce?*3p%%W*g3JrVXC`OPYRWfd>G`0bm0_H32jL&H`|Z)totrbUgKP^xJjH z2c-wm^VAEia~(HL<75y2S@{@%Urm5B01E;51VAJJLk<9B0#Kj}!53Fwb7}K|@fU%; z(gQ3N*sZ|20#G3Zb~3Q7ihHo25`upN%hh@ldmY#b5wM}aP5`?d#zxt0nx^Fd8wafQ zZ2;^5C<34mfNB#!1YijOnE<4k01^Pj0IWAnD7ofR5(5+NgbD58CyGywJkkYfVi z-~H4H|NCorGuDXG&s+_db@Y`x@oM4^Nk99l&qRWKfHV(No3$fkZa7gAeTVJ;{-o{k z-uk&aXla1}oHYSN0OkQO9e}?9SYlFm%&PM{Va9HPi{9pNX@A5W+N?+3=gsES7tBliUF4G_gS-KL+w~kiWG(zGB@O=v-qJ9Uh(p z<4m$IlKzOF(_jn|I#`&543o^w1%PN`VUmErS&vROz&IUDk}Lus0Dye}JOscEW_>S% zPB?V#!epBhVeB**YhxN43NK@thyt&fMFwdzNN<}u@G|ce(76lanoN_Ngw7cgLxcm! zhDoNtxW{lrgTT(s1Yi;Xmra04kpsX?06qZ_0g8v|J5JwuWSR`v_bo8lOPDO$bO2b8 z?SW30KOA^FFuou=49B{{7l5AupaQVK1o!}O8GuLtem4Pr09*&a8i45l{Ot|EB>+MI zSY-Mqeu7S`sbhNGbI@61>X4vw6*_OBvjyI?zfEu2K>$Vo_-X>+vpH${Y$gD}0pJKn zH);ikDl{D`$VW~8?^<{lHz&Zqb`4}Brde;m7#on3oAh2FaR-3CHyw&;oaqyGhjCTZ<151B zVftJc`@#g+1Hc4;1wcIjDJBIQfGpxy??>#h`aav^Y2leWV(tV3aLEJ^0muO$8h{S~ z1cTzIbO2}oNKF7Je#!zMjrK9=fVkgpe#oq2qsxH}VvW_ekbzAGb|nC-OaKLdQ~qNs$CVD2$Jk_WS)tgYh}Q=E3a6q~kB!7w%}fZB5+b)-CS;FcdKBLE%BOnKggV zK1P2Q^?xv$*hRo@ht7~6u-#_=>_*c%+^r@36;a-^zCCXPzzcwC6F~LLE}^BK(=KVu zQk{)DD|l2o7rR_{(&Bzk5~WdEA7~5$vK+{rKuUo;2xQ3ZBuc)xK2YHVqbGsj9gweq zoC@R`7&%Vz%hs=jQ5T}l?mD({*@n5;B=#6Yqc~2g&EJvAupmxH1^{r<4uAy!I1wun z_j}y5IU6p~`)>6mj^sR`-Td}yKATrC^N88I^ULj@Z~Npz>$+HB>b)dGFCThMc{{#5 z{vgud`1+5jmt*RQ^*6Ruzy#fK(0gZ6USsjjd|E>sS#dpK$EF4ED9?X+jG}e@ydZtY z)ErB3n>Zx+=8d04W_f>pV-E$|Qx=*=50V$>!B4x@@Y8NtCth8P z3Knzy{k?WU)czUzTBF-O(k(Fd#Q1}Aa)R1FojHyOlniJy=&;k$BS;1Z<@_rAeho&y zqrt2RLR)2W!<%WRE6;HQn|&)Vk2MkLaVhvI8bbQ)KFnoJaC$-?ZiB`pJ*^M>NfX`A z=)+sV5^qPYSrbvJyu_vRQiG8Xq^Go41F48S8Pnx3852?Mh&rq$Amr z2C-1HR!eCobp>wZuK|zBId6~`rO%j~+U-BuZm(?Ox{vvM7LMp3tBwm|n}*q+=7RdJ zSscc2L83Jo`dv{tocM)yPARI(Csoj?3D8$ZS&dMZL(rjMUO^+;UDOTBwb4fZWnlH0 zk2$8U#2k~{(_U&gp#1>Fvec^F@dSxLO|lHH5G~QJs&gs#nhUOY$ziOMs5th@u#}U? zXR!CWwa%Jg|F_Dl4p~Ju=j`jn#7p})RlTSl$ze`oGqy+iJJ|fAeI-{pdzZ)=VREmkQhtOwsNX+mod0~j3AbnyiwNHS!0K`)u zuH0UZd?R{*GERa~%VAV2kbeNVRlNb$bpn(lV8s=yOF@1XZ&y{6T8;Ct@ zKkVSo1F1garajB(|6EEd->3H>#v~hmGIRGSXYZ)7yAgOZ!MxxqHRz8L|8EB6HiNf3KJ)213`D^gMH0y;OhpMgYs0^=u!l{M_0=V$9>Im(7m7 zIugGl?b967sM99dBa_V0B*T~#CrpYYQ2Y`Mie*GlT#|s|H zm_OhY4ZcL{%ij=s}W@vCZIH1TOo(>HHhKs=@pa(-4}< z->Hkp^}Y{whc3B+_rdNEl^YVmr|81L?ojX;%n!X=8#)0A*t$9OiE|s4=6GZC zSnJxdPa>YO0^1-MN4J;@Pte)=w7!gzpudWqx5*ridK}`}dP8vWWP@#@j~i|UYjf?q zEc7(?hT7SKuz==Tn+DdkY_Ig(2qc@GnVu4X_hDJ4&+Wi2Wz9&R_YvYmGSYLpkgvo{ z*$o~k!WJvB&5(QWQpuhakeX)>TYBswnN&s_Iy8fqTaId+o3X+HO zvZIk##eS+)x&S?-x3~&XJrc_23z`f|Aq|j|Y*YCjL5!R_7PL}WVR&r$^>$9EgU2h_ z5{lu)MIeN%IQ?m!Q< z5Pwmgf$z;R&22(C*an5Ng7l|~tZ>pu?RjI7;NpuD<~NA{s5<3n2ikPOaXHDsce?N$ z%U*w-XHEd?Afi0T0jz^gfOQalz^aORkx$oc2%A@if5EZ|1M46X_id$EKDEL7Yvmnz zXansl|ExULm_a~1;!5~`(>2Pf1}%jpv{to%j%gvq zskYDw#b6w?N4K>tiGc4G5jmF}%&)-mdX51-6c{gD%_R(l^axzJF2*@k;G~0To1KEW zrh%wiDY$NF0Ow+Z#RRxsY~wl&fg5qGhET%j!{9Z+C5e5w-5Qq?Rv$J&6J3(hhrg{s zmCOpp_-SY*$-%fY8oQGCVC-^Dnzk_)H(_^Awb?LP0k%O>u8+cd9{(P9ih}lQ<$3NT zh3~JBrfW;d!eYc!b$m%?F$7F6PNU0V-XQ;0zw@|0WEn1sWeA4w;gatj^+wVXDxN_twOvBl&4?^@SYn^R zur4JJ`&RQCAxMT#Dg-X~?)6FqON$k?IgQAF)$?oN{<8`0KSy=ZirM*yO;V}Wt<-!t zC`9;8O-X0uW3gcHkO(PwrLSco1@f)^RAz?3#@}}WtI?~iNzu~l9JB1^D__upnuCAh z`>{6aPxd04>^k{@G{0t8J6}ng+6-&wPP&chnWNatteEt?QTztAtE^Q)8icj;udqML z7)CouwyGZKV)Rx1h$aeFwO($^TSUf%ifFPrg?pF3M9?F5C;~hE({fA^*y;ZzCl`4- zRUVLg7Wp*cE)>4!N7DagJZ&hKEli2&kMVUMv#qx&tGa`qt{Fui1v-TOuW~k&dmww# zP_Eq%|~TKLc3-(6PSY%wKOq0D44p2af~E z+8!vT`t~SzfIxx%JLtcIzB}~Yq3;fTcjzC3{xRqugZ{DGqd|95oa$}L9bv-iJNO$C zD5hErWzQY_E)d7T>o0~w-UQ_f6n#TEhm{f&77oWjska%2W2u56Js>RvX(>pIAejXc zy03c!x!xuP=+i)F0__fE1xP-EBpxJ_K?1Y%f#ffctOt5C(BnWeQ)1e}eBErC&4QN4Ia6Z?p98b2j>R4p_aBJQQ%Qa-lqM2=bWElutJ<97Jqo z&(ZI025XDoIo-`*ZLvXx%&>7Ge^MP+g!6BeE=48i?qaGX%awFPa8yVW+7E7vCwUM) zV2^Tzfffb%BQD9!qx=pFx>=J;7DeFgS(YUk9hifxppy9=xEz*qNp=VJ32TPFv&g1Z zYOUQhX0`^l8{G#S$Ahj`=IKt!Ju>-;2Je{(;a|Ipq+LwT4k)pey3aQE$n|1lQEdwQCI16tM63mQo=2rskwG z%CQ#UW$9_K@(;d&WvgvVx*aSelEtzHu)YAtM?0{-2#Bwo%k}o36wk-2ZM00f(>`!^ zpHHVod-w3yaie*;DTqHcEWM}!6DYbPlQ(BpEun5u70TV3y zqkbQcnnirTKd0NAKBo(DP8u)U#Ul=q_b?|7U77^qN zuxV?>i|@cb07KMi18&iw(<|*+3H^y>s8Gp$S^F63u;?J;Q3F>tKZV{OecGXjm`UiU zx;V8z@Wu$^wQ&o2weGc5#~uJe0Qd%gD*$CCMF0RV0ayrorF$DJ7Exmbtz0J_I|YAH z;wU@PV7`cSN%@)^IR+6r-MArR0e|rqabw0NQ7dD(o?}5&eg&647J8r($qjJuuRyI8 zE#=haT8}^w+fKEG{))-J^gP5sn!*D={ddyKT6DX0x&N=i8Z}PeK4ca{Jfb|Kv)3OQ zBE;C|DeZMR`cp#|IO%F|2yrc+w6SundaX9EokW0K8|m%N1kzRrbF)bgSMy!cSfyFJ zO%?f@%HQB;WV#?uXqaK~E~r9yoJe&+zR+ZZFqI4{7}Fe^fgv0#C%49^PnCQtbUd} zRk_nbhy332ztduknZrnH(KTkkk7F0!qVeBnV3nR3<}TD4p_e>7DzFK08@}fXo<*Z= zHpG9XG}=9e_|LA5#3{=88Kg$iWo3apGRhtATjFV*qUE|YC{IO&@$Z##P&r_7+1m4` zYrgc}4C9QDciJuaBdR@$F5!{VdensY2pKk7PQpe@{oLp-hhZ9+S=c7}j$rO+@;r9( zoKM2{EepPH3%c+RMPHZ;49G;k7|h?A1P`In!CREZh=<&i-ZAJy5HU^)Hp-Mt@VNBq z4LQws;Z9e~=|fD@q}0ytL;b8Nu1)Aec1p87F6LUj7f`~Yc2fRgZ5~H+h zGHi#vEcja?(B?6Jl!fRUlbJy#+i4h!Gr-HsNBLdu?H`!T=gK4eL);)Vl@PMbqLU$j zWCzbI+T$)Cuel|w^0&Dw0i#Aa%HJFN*2N=4(*pSxy3+KFEL@GmPg$r-N>7T$ zy4hzlmS#|*JEo*W!lw6-97r$BtM(W$JsKYBMPW4GoZh5V zJEU>u0zIvV)L{3*JO${ADg)*;NFIU25hQ&enFo>?Ab}bBK=K#RAArUJeG}-6D!;a< z9#Sstcfl#St1+nqe~*Q4%P+&kN>e#I#|ZNzA)Ng@^A>8TaEd%A7t8=(tIu<;kKrQ0 zdOzMUeaQQ&umI8<&HsqWV}!pL(?dlBsH&P(n6Bg>1yKGSLfe-?*Ti0x9eLKV6MSLP8$|+%CNidA! zfj|s`dfn_2NX~KwtO2WxPK}gjmFsnR`hyOr`w-wq;D8H}yaUUqnTp_D!XPe25g0F6 z#U+Df6rxo+pxxzwUIQsx-09ZiK|R7euATpzkg}C`+J0>CLhJ=k=tH^qz0yw}JBJW+ zC3_%L9A>VxfZ@~*q2lrw(+xq1p;rV1_yukIlo{WSUSikH@8kOQ(l+v2xKn$5KUcod zZK{pSM|O(Odz?|=)``2BYf4>5iBpyT=zM#FCgA6Bw?}tkp5%K?BK|G;Z`DE?T9M~n zxs??U?o;6Qe29sxgCNZFnVxl4Wa@8BVjX%obPbx#PEAi4MQPY;(r1k#eb|oa$@{RU zG;vyFhIO>R8*V9UbNak!@QbD}rezSLgI5aQ%dL5=K`ce>ot}{bU)lgIoleP;Jh0*A zr=a(1%3y&E*+ibK%H&d;!7c3^*SgvFFTpFWTQk@>9_AvOeW-%pxx{8Ks_-h;yxH%7 z;H%EBpAn3<(yRk70>@~ub6l=T);H#2o9xf4EV))iw_;MpI(3o_QmnRNjDJJ73v-U#$JpyPn1@3I|(8OngZ3UsLWx^}4nKNk2I zzPlCrb4F4bnDT<99&e!we0LZ3$GIS9YBKs~yP&UYO8XNUVUaAUKdliK$yS6h8ex%a zJ}i>qao_}fL>JA2=a9AV9O7DP-UZJgU$`y8FNG~a8>nrdu7SD+>NKd+pnd@L1I;A; z&cwNgRV;6;kR7hypJ;u98lap?^D)kYB{J5^u&k5FTe_R58&XS4V@yaehnJtL6e-#& z>q-|RPXJLNvb1z?wWo&p0$B{C0!RapBp`izc4AW`mX-}JAn*YJm~D>xzZa~axi^6A znxoD-EOXd=nfMyqai=zTy+y9qT<0_?Z2Ii6N>qkzFnOnvmf;W#;k)cgV{-!~f@3Ut zTM8L}k8Q5MoXWE9Owa6-4DBqbVM4GRb62A8OSCj1$-rM9C8 zb6;c+p(-63Nps+i8xR$Gm~Sbcrhqhxv*kVtNTV1epP~r-y>gX&66DWBKVfezi7P`) zWhdxs#xN6*r^?Lio>?N2H21&`*gdt(OZuTW5|c% zCXef5*l^K^2h$YTprAJCBl-|~do7!Qm=Ey{=5!%{k}^GZ^GL(KBdBSbryd7+_)+RR zL9}jW$u*w)D8;?99P)A%lw;o7x(jD${J{P=0PK%(Z;8i*TQ&CD7=w?$2Tmx^1*IpJ z!xQaV2GKA{Q9wYlHRZL+MNX_(dNa{WyYjHrk*%dOU z{U6reJiNv1jsMS{rd#$!*EHSIy`{9MHffW#s;x#>)g@x9y`@7?WTCPYEk*4SMbV)( zMNuRpp<@hV$En>TDr6jB^W(~^2G_o%*5}V;ATv1~ zGLuE^=#A!;eS!su9CLbK_5##zQ2(&L-@Q~5rP~I+wTx+e?@o^{`UC#>PIMRZvfNV= zYUeJK=SmXnk?&>EFvr}|?^eNBD?1_amkM9NWH^PNP=n|Jcg1_=fWC4c$Ydn<<@;bS zn&SGV`QTTZ2z|wSFkehzeU*D~Xw!(;ygk^(s-@yar&~|hO_&U0ht71n48kPyf^DR9 zav4m9k6Y2OVa@#tRe8_BIuTt5v&_ln6zeEQjI(S2RjCRhlCE+<)NYIXq+rR==}hD$ za+vWfhtA?mQ6AuUut0_6HE5Mz%p}%p-NoxN5rM+=*sPhT6x9uJ7wl%M+Qsd?xT|U( zaZk5LHfgL;(Bzg)IcJ>N1f|bsl}9--PSIoZ{{(Ad%LkCNRpX7>HO!XaS0DpDLAanhRSNYkC6TZ2lLVrU0vez6Yu5X?`k&~aU#D3w9T>{5Z4qIV0N1i!fok393C$J(N@!uQ-FJ5 zrowGWf!h)_UP$klRDiu89Nhs~T9>t6;*)KJucXt4WRdp^<`1Cr6rBiLc}jh9VvrYj z&IcrN_Te(jqo5d%;lsQor`lFjPw7GAf+};Dl)MN0)uvi7a8nv9^&{Y>RJ!T0a8oKK z>FID&DkJnBa8oMg!yI8+7yh0Q4>u(yK^O`*rTbTUx#7p|zgMs^>S=zB1+~~;OcCsJ zQhF=tPhOV8D>JwPe^j`{8=p#l8gg-LzBU3_L|ibRFCrOTwg(w z>;>~vtDghgU0g?aMOA{6C7sK?gj;V)&~-Q&G;*5Z3&fGa>tVZkd|p`{=%qh`ssLn` zx(TWRuzsWz8Qxr#m)-!TmL)@tEKqfGSf|G!o0(K&poG%K4pC|(uF`^R>}GYoZcFc5 z_Ail(@Y{qHL+g6+`)D7H`8Lly;Q-;7<^peY4eT?$0!yHay2IVXJCK99P(-=|Ihf@l z)*Z;fTwRk=#nve5^(<*A4S!2Tu}&3Hl0viOPla=b6x~QKb^NT%*-f7Dj6Zl=oj;;- z{yOU#uYDNam$YU%PwV^>88xGITj0(at@68Zw|To+b9D2Ubv-8~phO&Tp@Vd>3U%BoftfzaxqwJNQU=P@(*ry+158kC5 z)MM>|rHV^>x;?a1`Bm@1V&7ND^}f=y|BMrc4%=M@DSHhM^rNI1waC|33voS6C|Fqo z1uJ+cR`G`q>S*vmO^?yKRjM=|ap07pNW|99#X=s>BNH_Pl8BWq?pc^)IBCneI5i@2?k=4Wz zVW$Z%PVIRhmH<d+k{38*hu=kG&tGfeL!`9OS9)XDOpPBPSV()N&2Fo%ZnpNkvqt>c_ zxAv5vzuV~QOYqU^f32tb5hqj|t;hN?C)AaOhp;;weMaVOD~A1I>^IdyYh|Y|xORrG z6aDrfia({38brOoTha+Om#g4T9-j#XR(EW~#`G7UZ@#86cbITni)JemT_~o2Mv)J0 zr-|G+)dxG(6xUejga5-sXe{4@8EXow-ks>NL^F!LBhhV%b}4(a(`_L7rn1L|>J5!n z4BIH(X=S+Onh0xj4SgW$gnX6hp|zq0v_%%lP__^*_bYO}>9Ve)8>>+T(WhDjQ@{xm zZH6*}vQ|Velo3p6MI92%%PVa~5>;KWUF_LRe#W2LgiH#e@WwX5e0Ze%4QG^8FcV1^ z6v1{edb8#QY!{;;{Y`!irq)v*qb5VS=)@*`Qt%>tAt(|RK1TlzibU|VpQjqnUy z!PEYh zY*yaXkF<04Az~nS^Q$eaudo1l61>R^MIN=(-;MM2IBEGE%%8%jzO*~I2}0jK2-#f} zPUy?HgI_H~_f3w+e1X~-nH1+vyQN4l!TS{gH(?cs#qhXa=m4A4c-(7Eh;@R6)&mnT zqG0I|1Y(oHPxBg7bPKw0`!!>%qb!WN==)IhzG~=@9f5=DnFtG^4r;>|L(t|&2-=Wi zlm{Sa6H)=e;$q_my*Dem0v%|2E^f7ZrmOuRj6-c;Tr{vO-VOBChC~aq0W_I07XNI} zWDbfWWiuAb!X%`Y@Ig$9=By#!F{UMY5IM?pL3~(Byg_-b`~&>PGU{BOXf_*?9C0l% z$w)U7=$Sd8=6yeGH@S5P>ImC4Mr){pUJ`N%_p3R!-J5>PMOCgGL@*UNvmjqgaW zBi_|K0tZjzU2T(C3D%t&e^7)5T|;rUf09H;`*V?pfA?_tbo}HkKefwd<{_64(qj zgPksF6C@3+a}xG|ta(_c&mKmK5~g2?5k;UQOLs!~=~J^;HNPFpG>@yE*pA;}##IX! zV2aHl)j7kcuZ-PBDCZu4a_-$A)RM6P{SAa#w)czTvP)mB<^BJAQ+}^NngHvc|rsE;MIQnt5?lk({3;N@&{Mb)_?2D=S|<9kDpNE{g{y= zO9E~6;sIFHPG(zu$gjvum67?PKhk zZSTd+10AtVy~uw+M{J+e{SI@pGSh)kQO@zYq!bg#7NMKG<7q2+flbVK#z!8t$v>V> zhWie&3p^3lb1|jhiLi}}k_bhlP>uYqK2gOC=6Q=KP#Vh{FY@YQ&f?)kj9R!mLq&eI z3_6b~BG=Nl@e)KMYGJpFD5Afk{mzRK`MzU}=J|`L@93v_DI(AX`oVw@G2LnZ8rUL# zcSe&~rGhkycvgtSPz@HgBoAXISlCiFLS;Foft&-C@@+RNlxTYjG|7257o_B)9JE@q%}@#!sM!htz9Lq zH&wH&prCydcapR~*>+~(ZRi|1{1OEaWf$!(@ z5(BEE_K>>$a$N>oLNSZ#si?C*6XgGKg&?E-3;w!XeUEE8QNRW z-hwvfXM%9l?nmnkJAh)~9*_W>1(pLJfS2&^ZUC+UxrqTo`M^^69SM6#&S3a0%v_gY zFMt7j|6RmT%vbnrU)=#$z!M+<9-*`^-`+`6*?U!pXF_BnpN1i7Qmp|ZR#K!!)}ZG~ z1vKogJU@}|WBO=bGH9AJPxIEbdAO>^>2z&wuIiP#jy9r31*Nb8xrF0IW5(&$RY7H4 zy{@?mlcl|?Yp6n9*BsXEsRGG~CptwHGF-D=x1|aS)l2e(CiyY_=(Z3W{|BgvBQ50T zSUm4gUh)@Okax(w{BjH74s|bowFN8CoM30wqAmLIDu_j)E!23ZfeW;F$3qPq)xwO2 z8n{swC`U*0+~P=GG$k(r%+WLf>|A&3kLFlXOucf91XC4}u2?3aRmG$$JtgjT?o~yu z#K#V6;%EuV9v-4tB%#=&LzI3JS9{oDMTNx69(7omEWz0$W-Hc681|UiN~FY-#eJgS zOZ-@o6a(qIKdoBaQi7=0t{1QSzap~DnW%$iN-RXvXF#1=ZY2IMvui9rNU4?tw**Zw z%!E=k@=@`zO!WUu^J2@};XPCuo8ON9$+R+d+5*HeMo9 zsCjgCRvG??*`qpr05iuNRh>I?Q$S}gY?iiL6}_-o`og-U7a6VHW6d(H?OW=-_5V|p zYV61v()&pRKlC)ux==s;*_PD5zc$Rh&L&`B;X zS8KC2aFI7PTfpB4dsBPcs*plO?JPsE$h(a3gMsc~bcg?=m~UHHU493hAXr_UACEXI zNUMenw&j8u)y4714}$#a%6RnmiBnz|Vlya-lysrKsqb3VRp@;hvUR8Qa$T*@H~)h? zcSoEmEE!zKjCg3J;0(b@ZIVSW*tU-J<6*N|6qhr+1bg~wttHz%n5J#mkQo#IgPF}$u&MLQjOKMI_b@nrTn>Z#Gc3}%8?SJR2YdlsS)bhOWd!+6MnSATUs88{o4%w^TVFu zniPY#WNcedk?cBD!*hz{3Fdiuh3&}SO{IC|?dZ{ic}VJyFs7*GAoU+M_03h#XTYC+s3gX7s-~Ja)wTHW9wB9#BJRm-*>?}p@!(r z{9tr(AR1^y<7XS073`-Zn$|*vEv7DLpJKCl)R0_5-SPCEt#GnTAEmsNw`EkYWTnb zCBIf=zOXbF;@^k0wc`3r;u_irLv|B+IB2ANzi{@Dwih*9-7Y>Og{bTwhLfD}EfGs( zD>$JoF-zoFPC^OyjZDaiDT#a|4~F6DK>piMaexF3U^ce#M)DZj8<*8>Z`EPaA%#W zLZ48bu^y|!pHRQIp0^_wsWw>;+cAsOH?3#vs8^~J))RKzEA=buB|Fkjwas)d+D|6&{!r<>B8@NKi4UC+WuzWe4%@g~^wO_aE(BI*@V2}>0-h$fh- z8}k|vY7lYkk@^QQ4)Q1vw+&Cm{9?)gnJHE)X}_%5mfx7wfIDiQ!`|rhX$2PvH@Kri zFCm`69j$b_&ZPat3yJfa$*2T51K-Bou1qkw=1pwJY%_=F3EOdt zHKTRMO5BdAe*h5+umSYY9qxCTr_Rxx>39D}6=jX;q~D=GFg%cqZwp-}`y>giVlR`| zOA@Mr-pj5^VyZar<%cCHRUuz7znPJJsXmw}*zL{o!To3^_oe$_7nBcf zde_pv$#QKNJ6N$t+i3Riuh>6LbNkBoASZwwZ~h+iMbnDDX=RAjCShN38S0B^R$pZq z5^XAs%_~DIO+Unz3?N>sc3aD9+}z1$zYDFoQ=Xfy`z;Gq^YT z4Rc4zc5(up6Jax1djm2Uus6U4bAf}?5_n2pDO?s?{21|8kQ-b18098d)RFfXsTEXo zlt3PnV9n5ODIt=MHH;LIhNE5ODT3)8Wi(_2>={p?p^pn{I!c=n^92(-ikcyrX>LbF z4^9t~Ip+|M*9n1z{RJGs^E<@;Bo!tZOMdz(Cjxo+@chKKdpR6!R%!LeY zm?VCsZ#2$@En!%%OtrZ0f%VD?3#ts(D?*EF8RbXgEKrXDCG0|rXBqXS@dpcXfaGh; zu@D9*dyNY%*a336vE1S@K>gFW+Ja6ZO)#ce+>$64jWaCx;m}WtP`$r2{F8Eyg!eaB zQuhrlQSsS_S?&_`a^3<*v?{P()-BtSC7PY}1piaNqb)k$7DNWoM7;?H)B z?&VxUPK7D~eT&N}^E>z)0j(n1X%8dJyA@N_;I72D0i4qdFk+OR0*wxouCjk zi8mJBjX9CnliFFj9;a^s-6ptSD6n`0r>k~i{- zEv#B<4sW@I_Kx%$Z?eVb9VLpl*g|;+>D!eSuXogiytNjFJL#o?XYq5V_!`6(a!=qm z`Euc$SV1i^O;{Y8U5kFLekBHzlyucT*#5x|GA|mr7RV9)q#z1YhD5A|*1ux!8$ze= zf~D9@)WIvUsQv4wW0HwiTp9>~#}$`4ay{8O(mimfkOh{zKpiXH`aHJ5kT9JkCje?- zF?@0B&j7Uf&_0BVT!M=Xlj_MWUQdWE3?SOGcm_%pXw9gh-Jo4FOt!@g{p4g0b8gC} z+9F$`Zpz2nP|m_K%u;i3?Bp`sTQj`_@>1Q*qdPLo@LID+hhP9aex`|!c6)4xH~;b) z|6wwP5XmGOT^*1hJlPQLptUn@8N3{2KYV|@+*P-TFRHL(*0C_&LZ6O_CiHG0szVqA z7WR^koFMFjv`q@%fDGf!#)IM=nI6luU1CT8c&~96@9za)qDbpx$QERuQYty2i9t)0 zS2!_=oHy{kL?ni|%Ky^4I49B2Yqb=szX+0-Vw78L^lC@|_@-F`ksFUadUp=+(j=!U@eW>#jD?h55%?--cYI*=60{hFzq+Z{5^}eg)fZ z6GY4b<_3eZG1__{5$UJiYVAzKesz7nq8krlxW=8+q+#P z+DYt9-R?F`1bbh%t4%wfE${ZEXuR1?-GqJ2vph+ScMy1RPN`uAQ9tmO)cCiOh_Hc7 zYNc%E&2z9?$=~E%HiDF&gnecj)mV{KtSs(SAxCOj*jrWDk=lN?R)s#LxiR`&5y!1J z7)c2#9O9|NHmDI zh(utxBIMPGXw4)uZ=%SjnG(#K3%jzBS@Mzkc&V_*y@EcAH`U?F4XwbQRG+f`Ao6Tx zo|L=lliIk)6bXVK@+RH^d#{Cg!XE4(ZL#v0KDjN#OYx6Bt_=bvyYyq*f=d|@ea&@!6C)9O&8dCDc6_;c^J(cQ_W5}0GuO!+ zJK3{x#=Zm9Cfozh{fH>;zm0fw?T^?B!4kn zcywJJAVILaq61kWIM^x~jlE(XFBWtg#H}pUAhFoHfpW!we{@?(G*=Ia67kjz5$A|)ZefVm6OdxaaW6LG6-2em5gHr z&X#pPV#{Mp*+a7uw_A@_M;f~U>Hni$&3}QVnv;iq>?ek(Q;aif5ZPqBak-7;tnk65 zr~<|FxGZrguo6h;vaHwgVhuNd$p^%;{b@$T<#h_=?DfC~1@Z|Tukpa5Z+yoMAY##x zE`U4WdgU}>@*36&@kG`M>)F^c<89y+@OpZ;BIW05?5|ge$RSbvpnp{k3C2-_h*g5%j^YB`X92w< zMG)#7~nQIOortLr{7i1fC;f5F2xC3_iHyW5MRmv8q|3N!$b>W zkLwr2aD_J8Ex6S?yFIt4yxB*) z30u_D*yp-Erl|UJR?H8!$qG2pnNc=*JSRCbf&!Vhsg1cosAuN4Y-OhVNKFuXE12|Y zXR@`Ko~JZ4tFVbOlX{f5tjX&!X)Z4p)TJpgvH^~7Z{TfZ4+q^FI#Myrp-Lyt#9;*t zaF)QXH)(Ypy*Q2Pg|4j^sZsCIb@yVkR0F!!UPxo?(RKCWD;OX7BODZ|kcK#^QRqPI z`#Okyj}~EiqBV*)dR7}-qtxgz(zIsGHbGe4)Mi|v=~rux2vgBX3R1rF!KAJyD zluGD<7MmR!Qp`J2_jqNP;K|NHJ{3iXC zdU!+&>+lHYnCwxpVZc}*ID6DkdCP3;=h)v2M0l+q1?B?J;KpcZtg~KgtP{`9OdiSy zmI9M9lVc--{9Amt5N{BE!LRXveE<5#=(nloWgi2|4T{ES@$^h)2e;L&#OF3PlJE?* z#_btudwnhPR~Ygz?Yt}n+P`6_28Papq2Vyp_cpd2hTer?&!PKY=&pzE8PFZp3U<7= zu?wL440Jz$?w8QL3A*P%cLa39w_iZ_d+7cQ-Cv-4KY+A&`_NV!0xV1)#uo$G;_pL8 z8{*zzIWv>Aq|IK}{@QZ^ov8jI_&uhccxOQ2Gy zI%!OEcu0dnWY;7d=j1xKeX5Dpqb=_H)M3Br{r=hiGwdo&P=&2QbealC)3VVurdm?* z4TQtAnSH5+Kmkd@RL7{ypfymvI+fko;;}_FmVMMo527yRFNq_zlHT&OIuWfDH~zd% zmsXG%|7Ua^u}NLvpZ2U$r1dbPS+l8k#d2=qc{4l!bjrU z8+KP&CPDW`jZq{?sJ#(mKpxqx7qTOFn8tvbDjsY0%*%X%S#OTc6TH9)&3<`cuVOYQ z=cT^D2b=fnniCOOS|tbtg97pfkU(>h7W!aknd9HNf#I!|zH-d4O=I_B4n#bnl?7{yoY=3fN5!t&39zN$e(b8!q^uL0-yviVihaj~t zF9@~K^j6oI>Ap)9q&wP+-K9>_t?zX!)zs;>^%6_9J0SLh=%Qo!<3%oA%=LV{2vbWF z@1&+w?|!v$~hlACJQ zmxxojigj%+ICX+SEF$y-hAWo~>9K+yLcQjgxTOs@2yxqL5xRji+L$SF>xr2M3W%Og zUJo`I3QIC<3x_(}@X6$VjYsrIR+N|GmLPEGTostEgoxX#TrZJ+Gwjfhb!K%T{t`@z zt>^;ZzPna$3oe_q5CTY^brJWK6ZPnp$Z?7=J=K|8i+iYzh5+n;LdEeG-)!atgS&+~ z%v~wpsZVf1q$ovu$;z}qob`)wK^)=+8ErfXvDgTla)r$|wz3C&cLT%+Sgs89baAJY z7)icjTr9zqKsxO#+v=eUEbLb(sQViV>Qd`4MVigVa44vYO2*DNRdp2A;qIz$8CS;P z7LaBb(;e9Upi7X;R4mrA@Mkm*@ouNr0_H=RuLRW}7NRJ(P3xGJjap@z*-@Mgx1j~| zIn9DuTdya0t^>Ze>wyh=!X`N11m~OJd=s31brn*FeKjG@P+Cqk2N(&2({hFub9Y&5 zfoxy_PzKZiselBS0xSWtfO$YEuo6fIW&=gQG9VXN1XKWPq=ThH6(LEBt<$B0hJ!;r z@@tP)puequ>XAga=PweoBDV5 zr4lqnb((#kgh)|ughV_-5MvsT(&-Td8FnKf-GX@mma^`xw3Mz^uRq4Tm$!d?jF5_a z(0`a7vM+QamVkWJk#6J?^#k_VZnrn8&+L;@DBZaRNyUF?zU4K!#yc*L^W|D6k5ni) zZrq?#@}D`OT+SPr-WHsfO~aXmHF>GcxL|XHu9@qWrM+kPRiDHS3|ABj(uR)N!QdrX zx5w^QLHz=yENpJfAd+sX&J*g&{N9y9K4<68ENM(k>_#}>^_?yBjSzX1Q=I` zyivY-WK#O+5%w@wu&XB7eJfbH&0N$cjb68(i~F?U9+Ab)lF9W!te`Bp@zE^YAY>~n z7mkPx>Op`eX*i2fvC%p~{c)hk9zSS1mI=;uE-BcDU;m*0T#zA}v5 zZQ^!JtwWqq5sgI-a(_rNgnL8987;HLM_3-q)VB<;VxL0t1MUTVhA|m#Bustd9)*Gy-v-7OGv*=l?^eVlUb&$YPF@1*^NJ^~WECtD!u z^30muVz({;_OuXgYWEw~$38cjfkVKoIPWs@QbQq#nnEi2bBSL!`8ui_GFzuf0=gly zb-X05+uJL-J9G{DL)xEsqG{w%Z0*`1E|3UR+P_-WC4_zT2`KASX<*;DU5_u35pkwc z5(M!&O)E(d#H%r-lOTxaFwG`aEIjOBs1M5{oYNc;5xY=SeLpRCZ*|^{rFK>Vv*r2bdo#uyZtrI_!9%|4+ zIqZL)@ejE}Gd_nptD;q-EKbs#gJFR;g;z57Y@WTno3wFEP24V-ZAv`X{CCwpO@yVN zUVQLbciDivnK>|IQfLJZ_5=vBX(0 z0W;Pd*f=Q(ch0<)y;+KSqdCIfFNF=Or}z722;K8|CJa^>nU`t8trz;_2~1d_FexwF zgg1jTX=)HASm>JvhRcV9bB0dYQP*MfoNn>x2|uqqrN?taS1Rs7HOF~)B540}TMXB% zv3gki3n*ybtT<8uS!viUiERrXgn-Tk-FX&jp6Y<^Fbn3)AHd?)=MFj0FijE!b7pu5 zh;;j$h&xPw1~GM)hUadG6B2>DII0wH(8X059yQOQ?mW z#J-{i{7ch4-9;y^7Cdq@;>fj>hv0Qfv4bn~2?zy;Ttfe8{-E37M82ct^JC&X-ZB2i z_m4xrqo+VrIK&R=&uG&vvCfHgr~G6P$9eYzK9MaqpA@TF-0C$e#4RnvL8_afx|7sE z(i$>5Sv@h^Wg=U`ki5h-84`CgAh)^G3CYIWVR`EsTiTA_s(r>_yd) z$6=i#Yh8y5?KE*2P-mxEpZ7tLz=3=j0Z;>r(~cmvrUKCBL;G-jF7gs_FKU=H4%^}d zFaST``^DmFU1LYV1@qxTPvJr_aDl17AHZ0k5-ze7cncSL3#}WpZqRB?b301*ASaoo z4((3FZ`ZsP@9ajbVKR;WHVOzJDCsuW?*a&gAb=2cLOI%o1LGyd4>m?g%p#?S&9k5T zD*a@8UznaLw$m)n<7+kcza#z9Pp))uvs@kVt~5vFbzeu>G}}ZI;-VnCFU^E17bf*h zHQ{}QsJ@CI%t9fjuQUmK^JeOHW_s>Y(cnQ(@KP1%_GNkuQl9Y^Hu>G5jo|&zL@uE3 zo8*rLA9R=1({L84JaIjxj9)K5k$?BRjU2=gg%heL|KCXNq5Li)^BR{iYQFd)3w2j> zKzy2oE7E*`hp^9Zbh_-J2`}z+LdAx^{G)9~Y;hgxiE6SjM&j8AupkmR!ja>|Be~)orCX~$DuvWpVWzqXU>OH zZ(uikv>V!Kyz)-^9r6P>z3?6QXce@p_`#jT0@`Od-2kT>pv{0bgFm;%y_t3mPD9}| z6xt)ueuHez_}++{f@k2D3#0==KzYLkcHAf6bkTR@??-@{_c;Qw|?$^}QS}QC{PCO1U=0XO3TYgiSdOGS}0BaQ6h1YGDv|hhQX_ z-Qwp6=T$=n=n+9_b!rddE904=rpeETywe!ag!Lg!HLiiQ0f@ri2Xrt z6doM^lF&DBM+8I61^26vJamEUx?Lj?tGS*GH3f7dM%RI|z8>>bBb5$El)qd+n~J;68WA zj@_jl)E%{>y|kCW6c_(pL*xVtf^^GyQI6=gfO;qd;kJS9eHJ`%xr6w>&D^0~PDC@^ zA9C#S^GX|Fx;IDH?ewA1XYi)SF=(W}cx&SP9@8t80}z(X&qn-V7C>|oxzoHHmVJJ$ z%oI?%E@({8Mu$P=Y1uGli)KGx;&96hnk~mj#;78m$onP9sxU^^+m}`EHgJdH>;J?K zhcYtcG24amm^GVGmBYwIU}JN*1bITcO=Q)c27UnkweIOh+=Tq&%FZy?y3QzI0)Y0R zk2g;5bn~HIIA~ygh76C;{wPn`UK_hV+7m)t)y-dRQs5*PDl_2^d#xe72Gvgb{zo?l z{^;gF`xM%zMpO;*29*h?#lSuI=pM8Q2KO3jHuWr=e)tZ2M1+=TOn?yne}8oQ;E!+L z{9Dhb{ola78Upu}P9vA>528ng>L!vqRc#{7)wc=k=Ah zBFhCU`zFpr_zKeda%Z9z3TF3Z%|!ktT-I0c7_m{9+Xwk6{|Xlko#{pY1CO^T5#kOB z%O5Rr=?QaHUe~*DL$hQ#U*k>$Nq^tpjNJWY;?xddGy2e%)2`yJMwPA+J)E+f}NX88{1qrsX+0_c@lR`5L%ry5RF;8{zA3& zb#;o{F!fcAocd@VIdQ~S3^8~8suNa8_fyyC?*~iZ;KVvOnD_kvKH2M{uf9beaQRSX z{O$XJD;&K1en5bOKffQi!NC_eNOC!Q(Qu{ufm@O*9Q*|b!^}miljCi54*XA|l%EsP)CxHxPAhBF1EXvF7anXP!!@6G2EKQHDx!W^KJ7>a*w_*F93oFzt zD?M&R56JYN0w0;sWr};I=%Mrd9@jT4^$1s8)JYN_TRl2qezjI{-G*r6cq$Ui0eOW! zxZh3WymBAxXj5EXz7PJisZw{w>3xU%O?K8q$b&+v#oBzBUor16kMPkoxOmzeeqarh zjy&a4Ymo7DCVx~7A)dK|?^c8Dq80NaYCO6iF|muBz?)V>?V_ILt*U{5;BsC@4YQW= zfj6hdzm`no6+1|^)Xlu*4%R!;H~Bsr!5+L%G5Dxanf$2nLkROq0BlQU_f?uuXu*Qm z*vH;1+8Ymd&yTo40^soxSHG?fotAbPP?! zfUnm102J6>jE8N-(i_J`?dasCZtH$w@83<4O+81s~&Z6#2l_0TAF;GLzBV7x{b zD@q6^)_?!&Nh=uTc2-Vaw=0NrDtdpC4PLHAwgo(A0$ zpc}sZ0=kz$_XX&l0NsPoT?yUrJDj7|g(t!ld|-$t4A}rf0%3@Sbj(`fQdpg|Zl3>% z`S4?w1C!wc4vZg>O5X$jnO{8)xaMxi!_G-oPJU9*Dk(?m4UKk}0t6jh9?45Mdfa$V&DFdnI(Az{+e2syA5g*A=Y z%@E59YfSBNpQ0Jb-UcOPj9GjyC?Siez{jXu*?ZeuZfmpH$~FimB=AE-^qH{x&Jdwz z(oY+-`Z2vBgQ!1*<&9}~AXK=zaZ)_yoG`F4BObXznBO=#9{*A3HUt^2uBy8_T@~cW zF4J|iKvBsby0#XyM!i+noe1HCwfu=S;C(v>W;lL5kt5&ztX9!2 z?)|(1eNs!&)g{*H6kLyRh{Sq`{0dz0gaS{1yjYfS;dPY z!pqwpd|o-I$J+x}LWLL89=Z}LykMi`6I6Klvw}V;jS_@3BO6@S)UZs#)N6i$WfE$T z`cVGJwkkGt7?G}-Yh4|O{yqc6O9GsEktlz)**c>WQ^#3@-mf_%?kd40Q-3u+m0($c zMT$-+cSvo=Rcc0qP6?xd`rIJx^s9>+19BzlvHS(#7%>}SfT$4lkK$APxWlTKRwy&A zgX{)>Q*>n-Dhh9#hVgc*U+zl zB#F-r>ROm%FYe$CqZt~iSi~ADt^p>p#zN$8iD60&F4FBSemMH3JOwfbel=q|Qp+Ga zH@G9K40jxI0Mg5#6mxV(ZW;bL zp&gknUm0pc3d~|tgK(ijN_AEc1oLNFyD~j*g5FhoCgG;Kz}l1P5v_{1>NDM<)l9>z zCeJeRGvn`lO@`4;_7~5(QSbCa=1%+p?2_(%9S{ z#8qvrb$*jyUEoL=ffLxm9VuTdoEa?l$Ww|iFgo1Zi@U`9!${bh3Y1oPf= zhT10Y8zc*U;u_itV|Ej9IOvUXzwq6NZIuFK>?9iICt*NrhQNRA$3hx%sZbOZyX`6N&|u)rA6Y-aWzE>T-8Pf}m@3 zZN59|te~r`79xJHR8{zACs?Rg>Z-zLmsmJIRn@^~2Uwnd>MF;xP8Q)S^|dmTQ@VX+ zcrxOa>D#M<;3a3>O(f8V&vp=0!FrD$`z7(JK)vupw{0nDvfy)t!(7tHt3y&WRT+{0 zWq|7oPyLqyuHX1mD=f{j1=_j4z}hTT@OgfiIjAv9Fn`feGp#Yb4u4xyHTo6SfzAxo zdz}BzX<9G5@SoMRes#|cNk}h8fBIs(ZO)Khb>{Oa^;GtS7LO&Ws=(MIPWoet#U@@9 zM|@1SteIZXiFi!4cuCfDy7UC;7n)HL0+*{lbTw5H#*N%A=Wt5bMTUPxm<3%iQ_I?+ z+_I}^>Xdeqph0wQ$q>9f^3O?dR&6$MvPG`;oSdLm$LwOQd^bN)zj}9 zuBzJIrSJC~Bw23H4eq2CFf5Da(L23+!u0gJ$0RXrA@Ak?a7tJG81NM{TG-_~ZITb> zw6IGsEyD-5SY37R%!x$TL53x3(S#bGJ50-yMQBGt6%>VT6m-3OP_hS;Bj}?FFA zEQg&u2hE*gN&P5xlr0F^rFwt!AwAAWBL8@VNbfYplJPyE`fcwYar{^T`rke@agfqV zf!mvd0?v?J_1>OBQyVilrDwmD1Y!59sv^GSH~BO`qUjvY{m0+RTEQi_Ybd3t6m;#lYSF?qB3zf|aoqg38z6WeQGBmb1KU(r-oqdG%D&Sv^#+l*)hMd{U^x^d8P! z!NWAi#VW8ewcIPrru!P=$*Yf>YaygSvh1iF=AMU?)lZum_6rgq+~B!}Z22(n24#YA z0eSV8t3DR*;c)%pXDtz*6e~ENMsEJ7Pbp#RUk{gXaS9>l)4WErB({Vzh`g+}KNoD* z1c-O4F8%O$d7S%jfIbEUxa*a4&L_{U+ay6H!TOWqrh*pSXwIikU8f;b7~MR!BN+$) z;(#z*Uf2DSme1E=%O z82<$}0>1%20xyBRz@NZH;0vGxt^fzCm%*ZTnPKgt7qU>`$bkjQIR_S8Q-`dd@EU65rmSyUH67l;@05v`eT` z(E{8bCPriV0_<4Rn8y4C_;V)D#%V7wD@@Ui#V>FlO@57)FR(G{)jC-}(G_$%0%{O6 z2A)r@aiP&S@Z)MQG}z}O)Zm(7RT);}+01xq{MCly21YB2O~GI^=zd)jq1)5#T0y;M zcy1fVjaejfwS}~C7s(S$3-cxpAh(&z^MnKF#hTB$V^YL1^#tA5g zQh!z)2$*i{XzZ|FZ0rzAGfQGQL6NKx6h@Cfh0)+ja7%Up+=1`Mo&W*xAh@M(Scl!e z9)NZRT&5E)!vuB!#XvV)t}e4==m#JNE*lUO*}|eQ>bxn8Y`9bid@Uco_WC<%Kf{DL zE*5I)|7WTcpLfFh{F}_*Oo+|jgGo{6L(y}LQ@98BQ|eXa9|3%-8V>wxd=dSF8sdJ~*)g7ZyqzDXNzjcH;GM^zxcscNn3 zGKsn1%--DV@|C{RFt=&M9`aPf)F%2K>NevF5w?s}XcUTk%P6A_vqaP~@@Ye%2z)gb z%b!EtR$3P}0rv1Gb>Ytn@zoi%nB~II>dCdZ4?^Y;uNF%bCJbfP;x`M4L;LN7eX0uU zQM<=Jb+YAYHD&xVzIz%j34@7Q_vgHs&@UpTR4iUk914 zG5in*y%%yQBPB__;g@hRn#G{giJZx#@;x0dRbt_0dZ2 zMD&C+6sII)ZYV=}&qNtI@APP9PU2%b-3w@!p)|x_x2420Tuaw!K!}odoLAWCRY0B3 z`=OI@hxDA6)9H7I;>}ywNxlO*l+z@m+9J-#&YFwzCNDt$YhKpJdx7vU=k{g3Ky3sC zJwX{d$L!IUJpf`t3;R+BkWuFHzN`WKk7jgVdJ<}(@MmZ1xlGJ`?NdI!iB(IR$O~0!KtCQ2>yD3930cn9yk3XZQm>b5eOgSJPERIK4p?O^?lfds)$w>u8~{xiO%e1#D47-aRV27Rdd_w<{0Um(u}NB7aI~GPax*H;-qlw&_*dJ z1D#Z=^+`^UYuG9f#!l@)9#&;p$3v0;%yRuryCEvzdRRSAe7?lGIn|}wa zzVCzX4}O?4i24b#1g7equ#HZ0%>n*&-d$r4QkJ??o?kN z7vOvA3U~qpzyojth)cbP=}*Qu$KO~uG`Z!0RRGKc3V?^PXN}K*oxmT!Ip8C(9xwxk zfWLul!0*6m;9p=PkkjcsKv`v2*vT9qe>RkN`VUaoDn8o~QnsgJgN-B&`akTwX;f3^ z_clys5~fU$C{qYimA>r5M`=8#Z?$YyJ0WyF-h6)P3Ra|C(f%P+S$>PMO6$t>%FeSnP!N-F8vSR8J{D# zu3hiU%!x?U{MsdJP?ixV+UGPBmJzeXEmueo3D~H?VTo{qw?U?sOCyjY&X?y!kh)~cjWwysj<7USSGrf_wOF}VI3UQ+!2&Vmsg~X3# zb5M_tZ|RTXB1Lc^XSjHUf^&;`S~3}VB=!pQX#3t`{b8GKA+^Mf(w&t>%kgjTm5kSo ze|tW%5#9K=_mRx6Tl$hbS(enD_mcX(EVO&vOG<)lZ1*H@@^PFR$9q#3ca3iTq=whd z%NEm8xYgXt;^_X6K5!}~s>yvQ0$YO0^%@XLV1gMFGxK$=H;k zFM0bRQ@T7^zL}u6E0dMAg!`;=-6|A;8Thn~C<1G854{lGNx0laP9B#>4(~dPyb^sg zsz+#QhFe~Xa@7Y|@APwUQv9*>sqImZa_3#c*EH0|PvLC#AB*bp8;;z5FZZNtSSekU zJo6f%yuREvLY>$oc%n6`v)sk;9^38XlBdNJ3+!swCrV-`@1i!;o}XM4j~?$oaULaI zV}57bs-`r>6zlfeV_jb;ed<^Xt?_LE*8+aG2DOC@#HMS`sl%J1)3yIwr&JxMj5wq1 zQjb;&S7LE@N^9XW;&*n?(e{$wG1uCbwfP-mzO_y2k5)$dYJPMIl;OVG)6Qfiibh^J zMZG8*`N)~ki=vS|*@5Kg<6s#7gYiEYM`Ij~@k@+fVqA-HEylMP-(p<1G2PR5J#28? z0Na%Axdr|f_*>v_f&Y%N7;>U_1@7o}Nu>~$3X5%*xRzGv9o4Wr*dkaNtQIy7rmoP_ zS8v3l?8Kv#U@XCS2jd-#BQTD@coySXjH@uN!uT5FYm9yv{V;CDxE14jJd=HRR{QX* z_H9V#|M4#3v=5pGD9nO`q&6u^ab|l|Nl}V3o7gW!Db8#HU5Zki3!ZG_BFAcTa74gJ zG26_E@INO`H3vrUR*M;C-a+mgajZG?U_g>K&Ek<0$I$VlVGX$I`%T7bz*XN6SxN)0 z`gY0a4Y=x?CW~=dL_VjCJ0&X>)JM#dn!V1VhTKNVIoZgD3DVqat7Yx>y;CuHgUP@) z!;U5|Lxu5N^WemYNh>DxgIih#Cxcr$Fv%UmaFUG)?uG4T zxtQQ)*j_gHq;WTFJa+;|eK7c_V{ouLgNG+!GJ=aq_~2v^;tWpwF&Q>E8I1A5V8R=m_+v6+aKgjH50k$KW0D3ZgE66l zlfjs=gA>F&_rSy#G0z2q6U01!|FUwYL|H8H*gzw&`WXhp&p-d1uo5IQtIn#=8vf^} z7u$YLP=6D$>iWCdcXi&Yep-EFS)*g_>k8ZV&r@`Jex5}c@#Q!4B`de`?mgQl==f=j zqSCTvn?w3)w$1o7M#uTFfD(P=5PeGFRNmf}ePJ1jF$I;DyHgRg13`-rG!j9}5%ep9 zZXjr;AVcw$906tos1Xo}fLsLZL_iV({vHfcaK1wq@FlFF_2%nJ-u?qWUIAxw?_N>LLznJ9kTa5IVU;U5~Xr{ zjg98v1k#8f$=;if(D@zbqExDCL?`IBUr*5$d^eqPXvZwrS^AWOrM$hR_ro$yUnrBj8U2WC=1(*UBr$x*HsIt`BVgI>L2tZ4)T3^;_xBX`#G( zepz81p|$x4Xhgt#1PnvKJW7mvx$d90t0n*bt80E&*Y=J}O#0C;wB}sh@7B0i(`hr0 z?;qhR{nw(s^ZNwv3yO==9g`)Ne|I!rt((qNUktA}`N03t?LX;^-(OQUyrR8II$+&| zmlcYaRa?eMc1@hT*?MSr3UU9BcF)?GoN$*68+Kwt`QU~b*f1Fz&c514>pU8LylY2+ zUy0+;nhxUFJD2_WC2)4a`Q`VAjDb=(XW?7~;{G(`gmwR`O3J$}G!-zRz=e*$O*gF8Q7vK$m zF9BvrDo(cu+Dr48r3u*kANT%4tkPF_6?jrbQ}GIBFiVGHz|mLuuP>f~t@Ge2;NnOx zZ@uw8bM3@mLl#Y&H}7S4s`I*EV#4%42OKw3={GR_u77ZvJUAWwa&THQI6eCJ;IwXV z8u(`KM+pW0;8*=y{JK1VUvK}#uVL@;>m*@W`kBn3SAOb!aYit2)_0us*qG!$xUphz zKQsT^(-$5mn||jt?x;WBV-w&=z^4Gq@VCW#coc8{ zkAR*6@&WWYpj>9@aXkKQ{ONxO{0i_tfJuN42-@%FFiRKX38Z81J$Mq|Qx5zX05})$ z$AC)#hkG7B1Nc9H{{ZX@7@x`0+W}8wmi{61JiZd}mw{|5XP z@V|fwy6&f9NyUl=LHj5rvosic`vTqs_%dJ?;2gk>fbrPD*n11$Zvg)Sm=0J9cpG3m z_9xhT3gAP4e*ioLa2`HG_(XmZ>3RG*K5W0@TeKX|YCyG;=_?L2A3vYdT|6Vc;%FkP zy=W)n?vd}vi+_BqJ9FeBpmE*BKFs!_5^UD$&OD8kOdmcNoltR<>UH}ck$17sPWi&IwJX!DZ`5!3Vx2d za(D^vQQ<1jWk*I+M*cWMxA*B1$&?ja1&7X;0FJ(L_&H!dz_f+m(K8FDPjNiFyNtN? z)(%ch``?`2`{S5#k^C-kPP7`j=aqW&e~6JP^LBmU&h)+jBUchnHGydIT)@Ks?*beTxESyrz%u}c zi6%b*yd3a3z?pz&0^Sd}T)sOVdj|ma0=xmR5U@fr|5Q_R+O#sj*n0=yT)>|JP6a#- z@Ls@p>|@xw7;qfmj{(Q(ZXP@ds0a{VNHMQ5YN6+(?ZW|;V$a3ca|_@Sz|o?~w*dzN z_5nN|@GQW_=Cq#*<+~SQ?{vV^0Ve=12D}jPM}Ug~M}PeZlViRxwt7F55 z{hD>>^tuO^FEsW3`PH4+`)mLFif4YmhW+-d*QND`nm?+c-ZlM-n>E7S`kl@C8p0OI&+2i z1f0t!u27#CpE-t^NwtZ~bZ>+_rC^zUgV0Zj%FHfQW}*lFGmD2ZilKXqw9Pm!HBQPx zohId|G^G{PxeKLqaAT0mP5G)%L_W9cQ&oDASGtLzs&DZ;W16Je)8c)mK327SMhFj^y~E+NIK2uHzNNPR?Z8 zYs(O~B#kyf>gD>hg1*I6>}aa+sbep;R`mqz1s}r1o{+uZLzv$K{^U=r(|U&N<$MK} z3|blUL)#5Ys9W}ucF{y|j7R~)2UR=Pu5umX6W_73Z4cD^4$pR?5_n!+yp6Sg1A~CNc<+BOKy{eF(+^d{Za+9oJ*pEm+pWFE0o&=W)X!A zqO5QQHG(xqlCSWM;5?QrQxFa^{UwtW^n>h=B?}akgRHM4r9HHRoDU^ydO~M&NnpTX z&JOUC1oZQfK#`>PqrNUl?AyZuOEEhBU!R_k)$+ML(JMD8fW()+jw0 z;FfAodNb-Hn+;0n49#h7Qu>DpK%Dr3)}JT|T+@eFv6?xx0dRR z>y|&GePkzeD}%_B?P1+%Y2-97AHw(9+2Z`mZjLv1omS#pnhcfBZ2MSMi<{@od5>xvHa{#;Z+0^HktcLL&Y^q=7q&U6 z{OBdRerJGNdXLJn2PZ4;QMTL1xDI#tMb*<(lPZKwu_4;|VGXMDHN?5=OLe;r>`?8f z@twP=S~pTSF6zl9gXa@t4w!I;)FxUqZ-lW}+0$+ij#5UbHC@gRTcvh#ZWj$JdXydb z_HQtF7316gaSI6Ro~k}-0b$*4v^#kn>)p6PPR?y@qWhs-nOKN_>7fco7uy7##wBw>j?Voz#9a34eq&V6=8sly%u8Q zQf#nUJ10uxhC;`j-ldKr*y$_O`{2|2TEqtl^G!M1Zg6W1z+Mlk1C zH(BCyL|0KNmzfhEtJx}*HAoAI6J>K6@(Kyx%8DAs6_R40LOJP{$6?vzhVi$E^JR-0 zrraX@hB7$GEz%H_!A(r_*d@zukfjl)$!0Z7P9yw;YPjq)l*lb_$ZZFkMsCA|cCcwI zY?#^(HjU|WaXX13TPx40_ShhsAeUAXWwLqlylO(1tVBMp)_am^W^-eo&m?pPZSM2B zYN~7A%lC{mNt(Cuy<_XcnoWGh5y*n==KCJ0XEkr+(-)W&%{%x$3()4Xh41y$6sOwM zf&a1{x(ck?eD-p<5f076|~j-z{7<9!Xf&lT^!dZHPkxh4I8VU9prz~n$k}V z;w^=k#LR%}Ck!4_>T?{&4Bpp`Ee@T5S{E?V`a8486;;i>;1&G+i;H^oD2db@DrHk7 zT!p99Uxt5iTs!k)iCRIajX0t`Xo<=RTcB;U#9R@UYMxmluY{HA%Pc-uK($J=a6}@i z{;HbW5;iS*^Qs=I}<9&_07(Iu+*8=`P&mrNO&&jAJ-pt9aU44)n zn_;c(fzH>@)^R<-@$4~Hbq^<=6^c_cPZ_mF|JdT^M)o*PI<__cCGDu)qqWqVywEOa zO|Pca#%$EAP>YmF8?`>_j9$@r&17{%Z%{1t%zBgd_yM(QdJDfd@oR0cIHzonYt#p(k&e+RyxOEE zNb1gW7~DYvq9^)K)r5?w0AzE8m1XhNXgj+yKb~^Po?3~T;dyqRtrwW9p^u`$Tm`0 zz-XI)n^&3tm$u<;v@+gWn@^kHJ#M*nx_WE}nifVo1s!p|+O^JwmE}QHKl=#B0e3(q z`+@cw=USI^z+;~&+_B5e%H&P3c~yB|;EvUnI5TPzrf5buBWmKNXjeI_Z@>Tdcg_0~ z-a^9{0!s!>X#7iXs>8!#*e@yU_cBD)QF^+T3O2ffFC@M5Na@<|AocSMk?*K&T{7l` z0hI5pLz!);At_NycBZ=JWdwRx5vV&z#;z4Ea|dCYVUOT&2W1;th|hJ9^0DCYSqClO z_*QVb#^a`8li+v_`6e2Qzp5do8V(6Qt)ZqGe-(UDLpWvFDL7g~Ic2;f__Brsc?Q9u z8rm}B>r-tLW#onlQu1n9M8i}m^^J@x7fUG=S(-dYilq;4x%7gc$<)-`Ee<7VWK3qjv-{Vh}il`&T#p(2ttuGUtSaeBKBqS83#Rv zgXq2BAn~+xfqeQw!U}1ryyPI7{nyCLXQSDFe7Afy@gsCzC}$HUON+Y;XOq5{uIw&* zK+M~{+Kia?pe5F z8vR}Z9h3dW`ptpb<`Pk8U+`7hKkHv;JK>hY4ZTXE!qpzARmnx6{Gh9}jc^aZRl*Iq zN*fQ?47U(2_Wc@e8{9Iu*f$Fg#u@jlejm?sFMVABU82xVTS1;CAjiyf3W$PTzkco>sB zt2MRJ?-=7T=_p>)Zn){#f5=tZ?F}!qudqY5D72S}>#C1ui!fOB`#_y%Ul`&;el_O!1u%a}N zJJRgR8EM23(pi-SX@s-V0Sk&U%x!G2lT4VqpeXlyh5I$bhp^h*_kJq}@X*q(gHGZfG zkZ(pGa!rG>mYBunh}kKu2>Lh;b-`WqHami)RXyJB3a()o`Q+rr) zX|2!KhRdpBZt7;v16*c<+sYl1#~iCMIz5{r>d5;|pQ=t}ph|tewXiBEj}vXJu3}$f z9&Yx$~E3a9mP4KRX%`*!m(C0)&+&{h`CT0 z+|}W^1FdX4XL;+m0W9n1sy^=UF0PMLebm9YZCdEatqMNI8DU*h#aYBWYaL$|xQM;V zI=70qi1pf9Tot;A<7Zvj&wR$*YMs*0f5x6_UDD5ehH9#r{Xt-dww5>}dy{5rNzUOJ zqWhX`Ct6A`kT!L#9l5$dN_HJLcy@Bk;FwKyOQfJpE_8irpl&hsIhqWFIw&vvB8<$J;6MP{TgOPWx3xtd!Jx)&F(?Z$~x4s zCz*o=67n_m!btaogP?V77fdZ&H(LLG0JIdjmb8xetDvol?})vsU1-VdNQ~74T7(@j zvD)dD)Q*HBn$ecnj<_S*wU$vGNeeWz50bT;U=v{9z@lM?VDlbc(EkeK!FIw*V0Ru) zVAOEx4rp-tJ+ms+M_cGY^iygT6j$n-z|5uFR zf&P(`)-W!J5FuEf01mI=pRNnmI)ErKg?3~j9?`AU*WL$ruQB9nHUB|{WHNhAD! z>33e$ur2YTn5)`+5O^fSYEmsiQCzHcnI%<(ViblY77TXUNtRJ9@e4GumZ+B41=5Nq0`gT`=XY@uFmH6{{NcXj`1+-4h3Bqv~^;>pQ&9 zqcm_&2jhJG!sbmKzH1<*Ijf5El6_XZv?}l=r~%8XcrQT>xUMSHo9Sm2_cOiOTdk^o zzBg;CRgU6X&JAm2f6!)Tk~OEFy_tQ|TG5Zj`{P%?_hB3-{xa^U)!iNInpolA#4M#m zZ7kMKJW%BLp*uEtWIH2|ew>%5`Ze5`NAyL$tBKR$-iDh17wBlX*Wt!JqCW$=7VdqxNjSRy3-aHa zGPNX2c!p?{Hrq1HU0O}pBr9=!)JN^~|Jk<6LUYf2Ngiw1YGd_yfxk!psl_iN>K`cn zjjb$uNsG37ROWk=57`BkrQXzec4lRr?B=51#5CT)IWM zxaqLhJI?~%z>v=q-Eny{T&tSSJJhiK$t!FZ5%eBGI|uFZElLT-5{!2+-oZEml}viqZR?_a;Y6G{~5+$(J4I9B zj4&il(ej;XHRXE=DP7ZC+6IDrsnYi3t z?lRZla(AcTa1AbZ?+DJ-;Bxn@;4_1H(}S_j4;xDD4G zUq~JW_d48MxY+kR+~;s7z>RrF4z0f^PU;^4l5|~`Gqk&8!0R95dwf~x-prjgaTORf zN^GhsG`ZZd$*V}-oDsIlDzDAVv$mWn+GgC!S5*0JX1%s4!IjSOv(@x_)(S)PbSJ%u zf66y%15`G%Z`&R{CHh;bpt@{N~? zw>Q8xT_&clhkbDYtfm^S5{%y(w zSF3j?dz!V*>>tGZ$tp1i2eC(5?+Bwa;+G+3yu@|<3aOK`+V)x)>XtmBO}KQJI(B1$ z&z3>kP~cO?I5afK@6gb_o-l71{JjB@wjm0}UjNT*BNf!Wyg4?C!gnD4Din1ouA?oU zb)1i_e_B%A*@c94U~x@S_zWZ*(bs>lShMcZU61!0PZQoD7Z<6ZLA1c;ukb7Le`Ooq z<59-*vHA3P-s65`gI4;zfXOy!rQh@a-UhAod%Of2w9+%V$8F&~)Xadz;6?Y%^#8*) ztcQ@v3$}Un&@XU5vBmXJE(FZ51%eU=|AR*Ng#Y<|obw_@Qjc^U6Ad#dcCoATzntn0QVQA5&Xz1n@wT%rOUhD+c22mY7Oc(8Pi>pj-Z_x? zkg9`nAn~!)9xX6J>j2E?yP81dJXOMg)&ZE&V^h%l`Ga_9O7KDdVd7CKoP)eC#MG3) z+1%yGDf4Cr{3QzLXTT(F!?hB`ezeZZXTXyVYY`Q08^lDQH2N*Lmkc`P3ll ztF95vT6aJi_kni2b8WYBz+<-|y!o)3mByJMSzZOctFhW0&Wwu4DVp2Ph>Gwj+B43y zisK~mXk$>Od&teHjeke{%Ko7FY=`GR zsDLc*PwI<)NBXxbG8sIbq%Qwt@O0|Ch9^tQXxUvp$$9t4m%9>@$K9ibcLgU;x<~o6 zYfSR^d$d_yq~s}?CbiY{m8DS>AzwQ-iLj@Y4^g_f|6#G%?iOG-z~Zq0N{Oh>|Q?P!SI#+7Q; zS|U4=O0_i0@EXxw%>+wAP5fOD)QqW#9i^FP39m^UrR7Z0jQl>pKOeKAOe!POzKrVX6PGxWRp%UXqtWt`%(5 zDb;*K!bZ^ek`2(>sZnzc(Apt`gGRoYLhs4|uR6^Lb=&Y1LIjH=$xoq2aQ5pSsZ(>p zKG!s=V{;-u*WOZ($^ox$yE-Z-dam}RI{k{^iDt7p@k+!K?KS7H23ajgUEc`yC_PTq zZxC!!l9!pf1Ur?)W%Zv4wkoMFOpgWo-NZ+{I5DA(%|(fMSldu;z(O&rEr9D^u2bVw ziS!#Ar^=XgUA{9wl(6l|p=-h+qPT7PWkQN5DIe(}{}xfcev;6qCH|%^Rv6q8dsDwa zNNPz;)eRMLT4GZ5r9!Wkgj2dv!oZfeQ~EVRP^B-^QH7vNU#1@~1XcPAU6j+mBG$rd zzJ?0cvIviaOZyq^-s@t!V+Rh4e|Oy>EWT7uYxkKLm$xhXruc0`T6b84Pqp_%(kJ6? zihpH>bw^4)t9@9+pU15izlIww^?d2gB8G1A)Xu~SbQMmZ93lB1)8}1ozGX-sgj5L@ z3LiqM5{o|{LaJdFAAamz-3OLne&Ss{%R=JEjM6DA96ogQQY>ElxbwOyOCUe#ynd?G zFFCAiNOB4+rfi67en+Zm8Eg`4fm>&5cTx1eJ@lduyTtRJyYZ54TG$cQN<(ZDX94}m z#!}tt13MgF$a7t*TOyl67tjN+9<%}LL5h}0WzYip4!EDeod!4Llw$AuX<>mX*%hjC z$SFechJ4MB2WYCveFSA-=VI3!_Zi!H*JAxEF!C?fDt9tbMvprVg&iomu5qksojc82*Z{kthw*QOUb{cNmeK-E<6U4X?=pF^2 z(v#tiB2#Jub;M3D3E{z}W=-fgxz)_%x@IYdJ1SsG*rC=1)_#}~_ATr%>^Imh*iW!? zus5&`m3G^WhX#H5B^Fj+CnK{vWFYa3W-Ri!hmgl*{|BqDv<}fq-8yh^ob2^^$60HQ zY~z&$ytVrG`*(mwf1%T(12p=9PG(0;C-toTgUac(r00gEwi*4w9nqb%*QiU@W)MS6 zp|sCU%Q{o|9aYI7P>Ea%*Cy=u4d5H3`>sBb-4sao6>$oa6f6PagjM zXSS4iQDbnHFeeUBh0y2V_R|cp@5nv&asgU<>`WfSWkQ(+172Am-%vW)hJ-|YhLd54 zJE5y_4mBj5(3721xBMQN-j&dhbB}853PxhrYnx#$61$*4q2WpwT__Vkf#RKSj7DOY zanmpliCy2DMjjHo5JMaae4g`y4j-6}hKUl` z+EihRC@zcmSjv{qjG*{SQ{{>X+Q(9ceA+>Bku+9bbddU^bSM(Ll;P4*NbJ&1OR4hd zv&k!@QSy@6)K}5~Bz7r2(sU$tX&*^_yOod7=$Qyg9qRYe5F~af3DPVic4^0@gzi$b zfJwu;i_romWp&R)3z#&eTY(lZDZP6dTEL``&qNEDbVxVooySN=b{90F>zdKLv&C}_ zIGv$g^N%UEd4CH!!4{}AExuIKP*p<rm%tJlW*HLCS3e&bD4Rbz$c z`1)>Ez1`+A`Xm_Y#%u`&6B7emwIltg{5hvp>W-3ooAauQk6X zG@e7UE=yt7hEcWt=)T0NN)c975;kgwt22~w<26ZLIjvJR6Myfj0J9?@uuBOYKhhqE zS|ZbN#za@`Y4SW%KT);G&3(q*ZcD_XN(#y) z5l?g{yD}@4wbV|?oGU0E1U`33}x+m*gs>XWQklHbdX zvtdboZ@^I-mgM*PFSKDvJ_AegUf^Syw#efmSf5JTP^^>=ecJ%nre4N7)G(B*N4uv# z^Wb$&ac%9Tyu+f(I<;R%#NWE_)S(^1PGU({UFGB;k2_tG%IqNGh^{*hkUlJ_Uuq48 z%z9iW>9zf}qti{uWKOVV_lMU+Y|(w=YDGGw3l!a zcaP)ul9qO@c1hg$pBG^ORR|gKtp^TO##N%BW{iqOcH(0rOfOy@}Ko+ zGC_ZxcmTJZxw!50b{gUYC!l&@Tqx*->VYB9kzK`!;GPu=s{$heR)IJ$F-Q1Zd(fGc z6BPoAv#^}75N)Fq9bx-4x12FK;rk$dFfu24CRijRuLx#>MRNF+i2Isr&V(z%``Tm9 zueNP2WuX;}CGlm^A92m-F`+yPP@u=e=aGMpBnyovyg&6sA*6A$JT^$<vkO^= z#YJW`d(8(uADX>>6Hhjy*=qRN z6Bn2R(>SZe%gwxY<{NRYIkX+(3kwy@c8D)bSMb{*zOYuot%mr*1O+N2BE|C*sF3(f zT%tgQ#2oP|taLITi>E43ArY&YX%Y4%#A>skdK-5{Q)h|o1A!mGGKw!+ppjUj`0)$0 zVOZvjeX98Y%bbZ%wJa=i#thXcEMZ_v)}~le_;I^6RTh*ZL1IL@JXzB2!I9-APi#jy z(rp#QqdqsBQ9(TFbK~DCh)2yeY*9fxYOe9R3gS^u3@214`cRJa+%c>N5 z81GXX6$HrKS+=MY-$enx*aG_Ly|Gc6#cE-1Vw5)6Sy#gZpf{n9{wOnYnh zc75DL|GR#gL(}Briv`YxCa=zbzpNkh4{=AuAIRVQed~Ih1J~mmxE|-g^*9Hv$2o94 z&VlQ34qT6O;Ch?`*IPHhZhS!3mS18MFK&z^*Lmearg8_<9UdR%nx`D+IEjD<2&jjx zL(qE!y+cqL1Lm9Ck~V8td|2ghSORPe40~1%Zi|DBhDF0hz>;8NaZ~{;876{dz#?F2 zuy|M|ObAPb#ll9xqG0K;#P|a@-v^FK3}d2zj3t}IL;;yuwty)+NKnX1nUfEadSq*u z*|R3GAhY8(Jm(Q@ zqLk23$R&S^UXd~`HAc#6KmpldX-WeM$mUDw4aJS*-=r}OGaIQxq(d4Mjg(!|kqy%t zY15>XhN439PtwSS8HLo5QvZg6LdrSm@P_GywAE6dhLT(4H`0WL@>>um2$sulQ8q}& z$d$KfGAT)3m`3iBhRe&+sF6~RJU@-{nRJ-EG>tY#>Lo93CqI_P$!E4x{iT6&MLXqV z>1g@1c3P2?CNHWc|0s=?�yjm-6HV)s)lH5%THPv=vf6dC5!iEBiOioqd#{hWnra zBn>roHn;WBb{pO`ALe`PHfozed{%0(HRBUmYCO;k7RtMZht2pz-i65hSA5DSLr?Rk ze9|amTk{uu+Id5N^HDdsn!^#VN@2ZZZWmAOk8vyWs9)K+-S|X)Xiw|LC-PsrcX#PB#USAwRC>1EAnV{T^GTPxc5n_0P5WgB-h=R0dn zTTrdA(}QDYBrA@Qx1+!6u+k^0ez?k{^!nVCWJ_!F&Ex%U^KK(t;|AK|+rW6d#}?E^ zx#mCJmf7Z08+As1qsuqB{2AHHp4cjXMyZXAgutiExKso9LR?wB2zM0S^#R4_k+z_Xv82pfU!` z7efM4*L5Ol$7)tA@ahfMf0-2loTgPLuK$fB6LW;11Z1VvXNb8;T3r>mNoeGOmI zU4qY>C|S%e&^-<6ujP`U6i|Qd*Vcgar2HCykgKJAY$wRUKUHK0J72BO5##0N^KK~QKG5xUj+W=v z`Yosr6$e+5Z-In(jZ@T;v<0<=(cSVO)E3ga2gyq8G2MlGso&d&beHX=B-lrG=kKK* zw^O=H2fXisM}2yKTm=}e|L6*Za2}@`)qzu-OS`9)k!RS);tR{G=DgQFce49X9k|cQ za4X9wU)hIuPcNf=X!q$Zxkvujp3q%>k4mx!C(G|q^!70j&!c7ANy&woEG7IPD@@Lol7`8ulXLAJUv#}yZ86ZFnEb4nEU#LmdTz*qlFRKBFQj_pc3M4B zJ<3|o9ftL)!#U&~M!V{q8-#w_H2%UEWz05hk#M9ED}}>_k;=GyZIWz3>y(3p-({t( zl7pl`*_u|cYVMJZZI4_~9su@KpwfDN`07EgCEm-4`)6-l`MiFLL)+&aVoG$F`xqhhOB}{N-+iVK$1boF z)Ne&v*6RZIE2L#<7Xm&+TGsD^|G&1;Db1JOulZ$ctIku+G@xKDN9}2d->4HI+Y`G{ zUx92-;&>fj&8;cl3~?X6OUw6C8><9o_4f!Or0zElZDJ?$`Ns&2EtA5sdc2 z24x;8)c70HvV?7>T}aDPw$=Y6*w94EH=PrF+(gT-zabvlKQbroiN0D*$N^!Ax0-cD z6rj&j)33zu(8a5fmffLW1m(RM$B>qt)KG8?Y1su0(~lu7TiQ@kOMhWpA-JgYV?cJY zx!02c*~!*kh+HN#xAZbh#>LGYy}pwS!OaJI>645zn%jGQt{TQPAM5qH3bK+5Zbl*V ztwdJkQOKrApdeDnD%T%zCbvX&5`OGD+q}{3dyD%(U+SdErw0*M*ta%=Kj^7(R&%GD zp2qw}l3hhiL&x~6Dn=UXkfflBn#P%jw5)GC^H)i36``FyR)5>c>mEPAxNCYK9^F5> z0(Dlw_WjMDy1lB|>-5*1!am_U!i=tq&3oK_)x4czzp5cGxh2+boUwh8C(s8ysxN$r zrqdbK7rjLL&Y8{^JlANQiTsG?TAMSAFAUKfaE9@tLQv(K!VlZ0F*{@Uk^8hg&XN4^ znVL3dBtLqlw%g}N9ayD3(vpD$!IVMSz!aA;GE zML$hQZA!RLTQ1E@E~)i+VpL+qB(N5&V{e3sN`VtazFEo$Hi~?+Tcr`?T$!*nFM|4A z*97p3k&iJa+9sL3kFmeCEie<0v0`kcW=3sHzJ7(!N11dJB+0?OqMQ23LQ-#hsxCpu z>5Wa*FBW?BCZ5s-3j=#&PU&X|X}t-{bYq0P-neD@4~2g2NslORWm%QtM>Lv@P?^Ie zm)DPTw2HhtSu&_1h}=`Sqz6!8rYVR)tVr8dq+VkuK@bb9*o~BLWFsrbHPWJGl*&nk zZf~>fk9j16bC6AYJVGvW4^x<;eR%x14*6wyvJ) zuvB=yV|`@3Xi0HTO(X1-7F16AACB*$c2orAR?cWgMbN^^f_78{O|P8Zj*6hQl_k}v z2%1n?UX6;Nc`kW1DuPN}%4$>ut#TEe$L5Lcl*M42NAbxYGGaICnuBE@ zcB8KO6IpgQ>Y8WBW_6>k`Da-{H|mDhO+gJ3y>W`3Gd5Co4$*7|(!h8_IZp ztD5^fsKza-);{t^!*x|lA917c1e7bO;|Gv`BVO%JbD z_>Y$Uuw83}O?)`0VL1m9lqry)gkk&v;|~~zVH}3>3yfc2T#j)$#=kJ`-!Kb$m*03^ zgT;HoykQKOFN_ZJfqA{A#rwUc)rQp_zDj}4@5iVenl#{BTtC(}v_DiCmk2Ig|JEsG zl;68nfTGePuxm0XDv5i#7Prdo5vF&|07WI~KV2WTW@mbg?#gb>%_M%=#c7?8Nm`q2 zwt~XAHgcx+U#Cxo;J!}p49*bQ5Bza03~u|CIhiplP1%pp#xpY7P31I?>K$KD*$b+8lau6Wc1?6M{a zz1&5!Nf(Vlj#Xx_fv^{vv9c`JP7#RNH&|s@eF-;#myhn+FGBN6zT=b#%`d5rBO)}v zEOVR}`7JRp9G|y%E-_AWoN4iXZisc9Xkk1zE^u_V_=XsUIu5kZLyV=4wicg#hEa~g zEnfSK`)z;8c`h(|CUuQn z5}n8yV2E9!uW9hB_!q~3Uyo|J10h{FBCFz67p|5zGNR3mzswj zM22OJIbb$2EaS}~vyow$Yi7+xhNaj%WHvG^E6x6okYSl(4t|6T%Mvr^5i%?@&4G`Q zVW~6oxX7?b%%T53%j zkM}KRf_QQd;}(0d_`jqf!QvS`^jn-6;tzX#(wJk!+5IUM5o=Jd2f62e^q;E*6;V|E z6Sb%!Y@>dMI-&x#@we6S72#j&&!~wN(NWsJ)ucW_x^9b_(-)DhzpnP`6Q0qXa0Yfy zK6dQet%RP_Ve3w~CXmNmzIMR|=~tbV_L(oO?x%9+$OIyLd zxkLA@dPo!0J`Ov*k|!VY_~EjiJl9a9np+cZJBIrEpHP3l+@79XvWWJV-Pg4%!zYV3 z&stj*5YPP8I<6`tp3SqW`-drob%b1~j7;P6Rjc`YM}2a#)daf=I|6$O+YP%5+xdY? zvjX-C<^%f(HW~IkECF^Lw)kO3`s1ykTjF|IupuyiSTKwO3xx5u#JOXGN?OMTl~f8~ z$uLn+iEBm12}dPtPi2|y?86S-pO>mA4>ombLorT(jotV{`zf~1vZT3V%1SHC8Y$7z zk(K$4v_n!#C1geCNh2%M4|4W;O$ge{E3$1sd&L(mzIF6pgK^$PHPLa}#rnIBt(9(^ zcu`Dr%mc-^eu*0=LdntxwM3zs_o%sz*-XKy7o|kYJW6ECD-*7H;@nFffexJ!vW1m# z-rhL*QdXgyVU=ucWm29W&c0;7OTGj@**uqMGuxGcR}uj$tft+#R7SWVo9Y@jK$uy7 z(H2<6y5Rp_v&#A4^Y?omybB_+?2$}G8P#m}XHF}lDD1ft8Ew`;W58!lK`23oAuKFk-6L|jxv*H2TYU%fJ@&0 zTS>Z^IGYy(N_n)r9hM}T(egH55@Pm!0ItE36v_h$ZE07K3rtA zQp_YSvRZVrS0neFB*si@1dsL*vtOhC8_7t8M*r3UXn9OyaNbF7)q$ zumG`;7b)>kFm7=_lO!mpx4@wttnj_%|5!3cLAb^9myi_nH15Zea0MkTph&_|_@w#& zC>f?8rSXPKycAyT+|!ac1+6_`g(Ohn*Y5vHGP=j3o#!K=^>|iuKaxcEkgEeGOL#rr z)&Ad0M)VM?c?lA~9>z-)m3(9I>5IIpyKf2Z3%{%Hw2=CuN9o>Sc~dY-uf_6a#Ce?! z%bUXU`U4gkKWdHcAw*Hey(ETrElZwM3!!#@)n=v7*QOP!y}j=*R?7Z*|Ag`N+x@jZ zS*%Sqaz8k4x9BE1`R>92a#Z~aZEpQvsx2KJ zPfYu5nXJPj$F9CKoV0(_Lh2J(zAWW4K%#14i&t;J(?Pl|O)%VfaQ&Zx zZR@;d4BVw~c~6O*j1`2p*)yzKIj=JL79|L7IU+6}NObJM`D<~Dmpkzmr8e{_vCeY^ zVZyi>)~$dlTD&rF=sHAygPo1oIrSE0FWf_LcfrMJZm-u0!mqNWm6DhLTikCT_8dlKJ^{zvhAXp?4G(A5|yILX`87fc7A2iX38CVM&*pn zv=R1b$LCERON>UxnI`gcsMgF#8FG(PVEr(~Ka=@`H9I9ZlReBjD}|HE`oda}5}3(Z zZe5V~_7Zx9CPM%abSqIhbLoz0vP zPf4+-G7I8qZFUB8`Z02qJ(gK=jM{G>$}B%dq1#76RNe1Bc++!7xO2M>^863h?QWR3UL{Gy|Vn@qP90Qf9h+Q6 z{HbeBLv}5FndziBu?nj=C6bUTtm52}WL04mXM}`M<;CTm1@$J48?Z{ks`BIdzm}x* zqgBRFLhtu%L|QZ7nIcNqr%81N%8P4p3*6$?Ss_PTIvpOB$BVG;C2F|^Mu$$eoa z%|45$FVdvFZ^`HjpQP!uMD#^Z(!R5#@da0*ycf@pxT>{TGWo(-%>j#$9~G;8Xi4RV z9nqLAvHZv*pxztB4_}~Z!|G1-0&Tw~y-Dy?W3(hTMLgAhYsqR74%HmCgf&GC)&6El zX$sq|*=31oirlUJ$uhDjyi{`zw71cv+BcTrO2J*t21|l6;;y#KGDayJ1?s$TWz;C` zW6LmQ*m=#zmN;ePdF_vu(aP{On$wnOW%L^DE6a#p!9SXhEJ?i)|7gFrjO`UtHOJM0 zUdUr7*;TDGya}W2^40=x(wFwi*6Eu)*4lGgOEweV+ACViHxp=fWhJ^cHrZ<`m3bZ$ z?9$4@JmNR@*_CB^glKzVWquxX@BSaQ-ZQMJv3RYCqys=>i2gj%&XXX8_bFTB_`?0R< zec$)9p1n8OWS3Pova<&aR;d!%=>y0&svW$ zAmp0;Vbrm05K3+p@@<_0l{YP+6QyAiI}~Wb0>TK;pMd@bG!E!1K&Kiejco!8{@s-W z9x6drX}f?B2GU*=FpEEosto@yItIfcw}a_FcMPI$gNuR%VDL!+SL?uTfV*#CyV)gX z-+c(T%qB@-GjEyo7{9^(G6FLLGkJr}-?3q>^>^E+8&Iim8MJ*EBZHQChlf!zXqmTt z7&^qG91jFThxmn#yMmEg@iNC_!RA`YK1W`#*)!rQMUK`k&fS+w)6R|aCeNkrY~Ojq z@y|wmSw5e!LlDIvX>r`f!7diRf%XrG#gc=LJE7<;sTz8Hk>dQUf?i9+7ozj}Hd*aa zrbHlZpupxno#}G22mIP%my;R=MbtU{zGnn>5L>Sgs)tZrA7fsa%&^}}3_NbY{= z0%MjLB$xBz|9e$%U3U7XB_tMwzWowB`>Ah;t<)}O-!;yOQh%G%pU*VX8Ks%Ej=2C zdn}odE|iZ;kM|pdh-#!~`_UJF$DuZovZ8`&NgFATqIQo>a*t7Qqb}>AkOO6uU_WOt z<0WE>mOmI7#$|H*EwI~L@TOK6rDm*AzZN<<9%R0zUl7Q?nN$Pa`mNGNx(vqUMPp*gdaK=I$s*&3lWa+mlIP3Euz zJJ%G#;|2S4ymp&lx2wE=SYdL@GV@#_LFp1;luO>HJjgQE#V2Ai zRDtZJiMWR0A{l)j2+ZIM^1TQGh*@H)c*G~C<`@fEY2Hh z51!DJW^RPHo*}xJc7x~Q{hHOo6=Qi|TfqdGaEH1{6AL8;XU*d_s$<5&R7f4Y2-_$2 z(QF$wt|B~9++gT5o4aOC;@3M&dX(||7W@?SE&M6S_+v^L3D(DQ(D_YT8l1jj!E>#3 z`L@GANHvE_%fDGJwL=W4QZ4iBPywn^%d`fAF4ZE-oCaj6YPDrX17cj2Wm(XGGE$X~ zHOmd2Sy7@Flp4*lIvC9wcj4qzVxHkM6qmG~oRnPDXUTgJR`@y}^j<0@?*d5;IqF4kV^M~Xi? zFZ8Gqe)y9^K9o1UZ23`jN-dre8GAlIZ1*gl&SkN=_F)`TNU1f)_tDqWduo>K)P-9` zYInyuiz1_qcfa%7vv|^Mu_(jwoDSCn#XY;Vk$aMRuy57VhwpSzjr*ZVs|`dbEux_g z(Kqg)s|>Q}iF=Y%$VYIMzEFjj4@vR~ftbJOwvgVADW``*dOPkr9mj~;h{n*}AiW(L zV^Sc!9U5Z>L3%qh#r4XR4`# zi@dQ%)mDRaZ%m$g_F$4X?y1^%aA7+-TgSV4+lS6&HrtJnNy6@&&qLdPd zSk5v<=BfOoZPupw(0wat+>4WCig~K`9}`=f=aZZjYgw~Konm+o)rDi*tx?Y)JR*l> z$H}R|eHI#N@&fQZ@EGBndifZy9vMOG08SARYM&R!%TUc1A0E%vuWJz1<&3Y|2 zC=RlGM_pd?p0Ofy@!kdnl5HB>F2f0YpP-i&pi7@b{1CZlLc53)lpBg~togd=c7sSb zE#08My<<9$r}Y~c3#RXEz zmCL9OoFxtB_Es2$K;|efAR@ns|D!2MMUQxh`A_JJ1{rnGPi{3Mr4DgHct+acjw>O) z;l5Y@rO7U}muELvbXZZi+1dq+g-Z}~D1bF;ov&3qtLaklTu7wv`miLwA zGHh_{J@hJ(7d$Ysts`d$_G)J^a&t|@;`dR%m4>^+<=7lr9{Zx)<|XL@cSH+?xbC4I z(f(IUqUFpK?t-A2zKoqag4iVvRXUaW1v`F1{ZvgccXJFrSXuCA${^y!9y2X$X71yd z7Fpg@gbdlW<9!qbvbnmnFhsg=9`jJ4$pN98k~L1ubbl=PuFdk#2!p$~H_F5zL?YxP ztb3o4t~igK(^*egH46M_DUgeatn}=K%$9u3!Xeupw;$Ziw1Tk%I&zQG51u_FdqUoB zJ~@(iP-QSkH%0qF&qKEWD4f;DU8Nq3s}AtKQ((G#g5n%y8(`H}P3I}KIIgK?J0|Bkg3M1;3~4`O~uHo=(iR>yhVFRW!veZy97{muhg3}`5H zkmJr~tpe2ct>3@&ACjrsUs?lqcXR%vmeJG~On&RP3uYw%TCgXt0iC7(Gj6)QB>wPt z4!w*GS@E&-WC)T+;`DD$8=!fhX=g$MqD(l+JmPL}UdU(eb2pwRWIp zcB>N#<5Y8R!YaOt*1I#a!Hg{408hVs>wSFDbJTPuJhW{VOO(V^M4}Z*$w|f3kd{Io zkcYL0FY1c=6_l*NVkKS*$5azjVg}S_SPJicY$qrg5McOKx?7H@^eI7KRwwEEX-^P0 zDO=!GPUZ+Ug7{VWQ-!w}+o;ngtc7G1keM5s3e&Kxu8*jqm~% zf14jlj~&e1h}@|%k@7hB5!Vnw4*W7?^q7`dJyn`%N$jH>Qm%78>Kyz+B=lg`z$NIW zadUZYkBKkoGtX1Im9ePO)DvE*mXCYL8I{;ult#e@dJ6jh^iLL@j+aHvaG^Oc z5+^X*=+z7c6m*9`f$ci{OF|?sjAhX8QH6MR_?jt%4D+4@{ z1vR@Alx2#l0P+XY3q?sl1hOCzkTmL;dL8p@z41KpYUbs7d!FCQSdC;pUdQsy^mxsC z#fr%EB-1n!HM3TS^0rJ+gmn=I@HZ6RU14_$+Qk!;YWU{#!S`>Ce{?(Ih3YYU4Fm2J z6ajMt&`73m7+HnSfyG}b|UBpt6T*SWoUMA zhO_{)`eu2x#9LEbOX~4B!+S_CgBHJL7bRiRBmE{9#g)>t!83atXGh^uEyLW5dH>4% z3*E%r7Fz0HIuUh>?lHKy4(mg+8BDChB+=)O34_@OGg zw6@i7hA>_;uhdAsbO|;{;wjzLYTQA-uhriv3_UN4%+#C?M3|@-YN*vYX`THA ztIew@K8o^R=N~Y;`tFb?osOYb{djT=kubUcLbhel+q>zViT5fRr*t2?#qsQiQPfBXVWUpcZrW_>UBsvN&%lBqv$dwux{!M zO`Y!IiXM}v4qRx|)bII&U!=|1lQ)5yuiEU`GHS72^f|g@9M$hk=J#kf!&MN6KS4;j z;50=GWp6KpFXEdh#1Mi=oEhyjWJDzU@u@Nhin+*T!F`hN`tB88aD%azN^y5tFStXs zaCiQ~KSOnJcT2{t5}UFQ1fv>!z6dtZ5bO?j!&`)HitjRic@z;r5z92xRMR?&TB(+y zTOH$}Yyh!!R=gmAX2Zy;!z`uEXDn?n@+Ga}-B3N!tS>chb-jhTPcLUI{D7US{<9^x zQhfKA>uDO94J~jxtTsdy2T*c-Uh~$%o%5+ogN}sTbLIKo$e^Yjb|Vmca0HU0c4Z>p ziBD)&cA1XAbNn2&F(aiNeF3f}w{{r^T2{kd*t)^EcI*YoTxnOP$tTPAileM>c|wuF zX30*CeV5UQ*F3>bRivh>3lrveo{v+{8>AKCEJQOkzjYD9sAas^y9DH6akwU+ixNoq z8MUFyq1zItc%gMH^f2e8YyAs-c5&TQse>_gsA7mfZVE;mvzq3&s22_ThQHJtn%Hx} znR0ib`7u(Kas$+OB0j^D zK2(5drF#s2x5|C2%Nib|E>pCyymg5+s7A>+TuDIy9RY5AtVUg21<&+%K$F;Bhz<{8 zL21BdxRS!^(gz@_$b0N)z1fcz2Ie_fWD{63*a-#(wYZhf^H`$14JIX?)Ep$9WU`H+ zOwl$^-P~=S)`u66OcVYv-VVc@L0Sv4R*-B2i7U`Y7LaOyz6vw}Xc5p&K(7bd1w8m{ z^Q;FCi6ChP$sCXz0!cea%0S`{?;4snB{>Jz&)cbC0B{9>U3%a!0GR;1 z03aCvT~P51<=@?_;a0W$whCua6$mAFOrp|Do%&sUk&o!*dlu%Jt`{3SLej!|=z+Og zj($nn5AD%04ZbJ&rllUi3v;nwBsZcFsWXYhxlvA~7@6sP_y|fM#*UcZBJEU`Ym?c%a+6%gPjD`8 zQPQb*v-p?PGd0I1GWlEXE;IT>*ev*YcaE`r+--LbV+41&2}cgA zv(JQU0n4zx$MVMtD=MPX#{D_o;n$^E6?M@YhKSv9x94)g!XHXc6q;v?$kKBhyD+D0 z{yZ6}&?{TurY2}SAc5BBF~3Z^Xsm`~+)YUltfGg)B?vam@3FvKn>Cmkh6@qBQ9|#R zG1;h5h{O-xnV4)OT~;PQy}_hPvwZS%?kAZahdzNiE&ipMJMJ~=w4MJD?v}P&n?EBu zD{@$~;NA$%=q|W7GVgJ_D)^>G!@ZGV1aY4tmNgp!b`g!j=Ws@Q>Jk&-jD8DeG@{pX z4xG{CQJ0l)Mw>%}1PEL$*VqO)OyEtT3c4&Sy~w;O?Pf^pF#REkgnJ_+U9>m!qF#{So^io81SxtKXLJJ^dSE4g9C07faSaadt2(j?)UexvzE;!Sa1as@!19tw4i_pjK z{6NJ>ZZZ?IYasF$Q$xs6a=M^b$`d863zcXUs$AY>l8C>gT*tDg_xzW?n&nXM`>()8 zYf$fD&d<_X*87+X=0k-QuU-6dt#!TME&)ahod5*b~?M!dVe1&l>p`G}bqLCVu>GFl!N6R0((2q-(+*Qt~g+hgM6d|<^?G%gxn`&7^ z+@^3%wJsvl6_GOcVJeg!lLZgE#&bW)W(_;V^Nz0GjV=?-W^R7$%nOI*f5m4N5vB8n z{cgcS-oG@`U<D|3Q4<^5__xtDcF_)?B(-l|xd$ML% zf4`aA&YS$F_*d2m>{{FD>JQbwLZ+u2rcNKLI6r;+`PJa6oR;Zt)d>;5=##MpZ%+S6 z?U?@A_wi`%q3PlmYo}HvTq!uYwRd{*#O&#m$>_@etoxgAn3WZ$Af-c1@EITr@vp@`@MR-?zGl#>c7>$VyhZ%Oy8M) zMX|rA?VG-JX4-E$Z8CHVwcxcw$$^Q@-y^5Lt@z&2(0K1^snhAkYgco85856M4%K-r z)0SE-dvSW!RrRCjn%!pw^_Ht}0RgwnS&JKHs$K?%3O!28Ec<{=+zBKZ$Z#NIfE)la z3&^uCw)(zS{mdQM8MM2evaTW^5(JxOaWe@HO74(M1wiwqJ$oa)zO+K-&SnpN<=d!omNR7du`5g$?)sTNeylSL%Pu z9LlNARQ(r3&Rz2)$3b+Bs#A^Y-gXD$Baf1&ucox9|1OLWr;Q(9gI_1QRX%;qRxar` zz9=rzxYW+v2o3CRP~Ap-*Yh%1zOeEd3S?n zc#QIr%#xEr#D0dBMNlZR63W5shN`Sx?uZBooNJL0p?eXwQ8HWF;%?Gw`ATt+8b9Z< zDoc7H*tXK;7Ce{SpbVm3pTAZ0U3yw>Q0e81o}x`u^+NI1>e2vR{u1bv-AbHt_vAII zTp;(&6e}+Dn({sgy_FV^K^+%Ua_a9hs3&;^^iBRt?ipO6ZEW8Tw{eE4CN*#ymr8w? zbDUo6A47Y!wp|W&lO96dq!9n;TnksRtzuTGbG>&7GL1GdP(|3JT!P=!y;@85&!ep^ z-rkB^DR#eb6N-lx;b+R{%be=HWS&7OBj&FqSD8Fv#nT0)Zke-W+rDk7Sdh7g(&C1m ztUYGgIqPx_b9IG{^323+{wuiDUZC1I7(Z^POM^z6o-<+Ruy1n3sf$+GoOpXb%>1 z?Lm(D2l8z}ICXo^zv5lYMqyUxlokVt0R6+!LpF9+0a<048!@poQ|V07Q$+xN!o z851c1e`hYJM8%7(Io>Wm_;;wUsW*gG(stqa-|JTfe zgBy$cPv!U=d6cT*%{DECT`Mdd{K7JnXR7WMVgiUuhyl6Ti%f9`h4s;=ykq#DEMluC ztK{qA0Bo)+tLN+b0CRZNh{?%IG?+^>z3~8ke@N7oYu1W9H1YW37cxYDO3&A0JIDbY zTXxnXI!FQcw;ZjqX`sp(olsr;>R&>d(?+LXTHZ z;Nrbk_}gnN3+fNCUjJ2_XxmybY#p%b3)Ukk}i4IVFev0LmT{Zsh;%Qe-!!NelmP5MC71excE~2ds7H2T&Vdd*Hh9KhLhH8r)NU9g!jyXgu)CzNSd7NRI|1kKqHv z&-3k2x5f3t)ya@iD6#(V*)f^Fi~4#xRHS2TFnEW)9_U4Oi`ttcmGqlm6x(TB@XwmX1)311)^JK%2k48r09~a9JTlAe;1}AD`#n+*hi30DBP&MJ71)Y8|V^M2g@pb55w!9-X||i z#{G4R!;lpsUBsSeG7nw6>f!cEC^WE+R(UDTVF)=v8h4rXTzo*s7q8dYgbu5ev~jr(I>Bfav^>yAVAlT_`c46Njh!V+IF^rdaoV7pl7 zdhj=hTfR%b+KLBL_%m?7L9yka<)nk9&w$)eA zl~#P7Wxw&!pbMC8)RQ3#fUgoVLrX&3EXWeK|sNd*TOo>D#Y}>J!id z^eBKKmm`=-6oDJ{o8bN-tdumPvDxqNH7I}IRJ1?{{*h-iv(8y*rf*!1CUu!rWl|2z$LtW zgqUt#g=qD+#_rj6y0vqA(j&&`5&}ydls4+%vVS4NtiTUFNt>C zt;4(|38Etc&<(y-7#o&*@Iq76cQu<4Rbzlr$1&n+klWOS3|b9>uFhn@O`KZIVJt{A za8c73@rlS2>g9}>M8pd9I%z|_@h#$AMHVY0IA_4%jqnis7cgmXTPgTQZO7)-oA?s{ zQP5ct?tWyhM7@9!?TsYC$+$Pu)E8gPz0NXfr5@m)WZB4 zp5>9~q;U)Rf+EB@RXY2`Ft)?$Npx`+D%YoszgFeTJ~eFC0V(xgS$^{952zsVl*YBx z;2s_qomXl&;%zR-QQ_Hb{|m{72RG2s*DVS)?pNrZ%#YC$<$0>xp|%p;q31KHUh=f% z+)FAOO-Zf8h_f+w3q6|M=#KtG*``Fv3^@!UCW%%)wnuIq=IzOirP0S)ThWKb35sR1 z*@dnrxvyoOg-*Vh-I5zo38nK3A>QDDEQGV*o&b&nc67VXm5 zj3W#ELf{IX0$1=xVSW*anKXyN)Jl^O(PxFp>RJQ8<`0;oY5(E-di)msu&z^cqOMb# z6Q4P@K0b3W+{K&Y0yY=S$HjZJ){5M73{3xd2y8#tQLuerN5Bq(HCmA?_kh)d)qypD z?FBmwb^r{HzA8EHJeLAG8@uu#$vNqDE4+= z=bl)-A93T3C+a}UQfzx%n`!1DAXfqDnQA)eB8EyUMxEJpP&3A(GrbP`o@UdTUx%@! z&F@TYFq$Vit5}(en}j-*K3a0;(tD^Us$%A)R*Nzrmf2DW*Y;18C8fAwXM5BZ`kc;` zKzOuf!j1|vHy0n!>?y>UOCD&19HbqoSP=l_$tfv(0z4%?&6&|a{HX94Pn=-S&Mk{3 zk3*l%5N?soxgSci*l96)(s~Tni*7ky7`0mAF+_Sv@`C^a+u*z&)7iAm?Cl)POUh|J zQahKyn1Cd0Vym&Fa4`v=`lqL-EN5vV?eCLv;rXRTY{d^#TzO_C%2OC2 zm5rKsiqOsKrU~XXOdF4uFEgxxQ7%`zixQo+)~+>bA7m8r=b}HnLg% z^2Mfz3{gRJaR4zFDhjMsvDuI_+Cgwueq|Bm(KXm0ae&4(fP4@CA}TMye#G0An*+fv zZT0APP@s8U0OlU0kB4P>7P>d{Vpt*aya9uq>O}UAddw%{S!Eu}Tn_KezX{7V`2h|i zZe{$RYTq&LFv_0zGm6j^=_!F01yFK-xA2N4wGPrXg!Qi>mSy)HPYR!c9n8stNH**2LK2LiS#An$8a zDyGNjBtJ-fLgP~k&(w0wpW*K-F2TQ#lzWijco;%RF*?RQq=U#y30Xg55Rw%^$`IUE z&DCru^%?c(KotnzD-UY1g9#&0Vd97)LuRhazK3}(JQD3tYBK6of_W~kkV4(_O1F1{ zUowO)KM)-$jM2oFhUyjt>a+KlQaNGL`x8>2da9w6T3CC3g0$PMnvBv&YfpQFD=Gm~ zUWkkl?tt=${?;z`h~w(xP>;g+s`#2Bc*wMda#M*OLM9S-3l>3?ly^4I175i$(Qwr# zArD@3o_UdDH4tZO<3Fc(xuU&$Gve&sGR(lDCnW!%;tWMkcOK%OyXF}0xKLuJe{sA* z)-4k}zbiH;w~%H|)~0XloONoHxjK7Dc?O7AtLZqeJ7V+nW*`p%>3Qmj=5%+7pzrQQ zv-Fltw(X1F&&xdWpt#fb)Dz~`>?Ua&C1 zPU-w=D2&~diAfgqv4UTjMye56kbnkHFdjhyT3$Om8n4)sR%Gy(DrQek5wcwMy8ci6 zG`Esv5)AFKZCJL!3yW~y)#i+-4`__qml5{?yG?D+pnbs5)e(%u4>+|N!B}92c2PSq z;_a{})ObdW9cG0(fRStmkHrZZ?{UB2oCfq8VVWivpXT{S!mEpCZbxzdFS=WQ}1f@51fZ^5IqNzLq3gIr%@{u-HOtFN(OhRm=P zUI2U10vHKIT!z?6rUdX%(J)l81Eocy4*dDWd8f`mnT>l&Sq9DZ_ZPH+V z59SzYo#2fsR8v0gJL>ohHB-D-%eVx2(ZS6q)0p*3g)FTx;PU?TsL) z7yB>rM(u-$XnMOrpJ+c5Dv~4;+7uhdDfP8w0_MucX8f$xL53?^o;ud=1E2(easXZf zkhuqd-vFQkP?d5ix-_7mz0JsJ~11QGUf$M#+oyjMjG6#OW+#FqYAwF9bur%qm`wwrEdE11g#F2A+u92QO@Zw_7Grh*Bqa zcVFZ-S~(*#7t&0PrLC=oVo^Li5l7cSM~FqKm^!Pa(W^^6ptn70h5D7Wp%r_Jcwd($O0k}iShGqT!P_4ojnT7| z0M$q)urp!hv););U@pNt&-L@KIhTCs10T*?1)P17ts3}5psp-?BCLN@?8RF7Z4t03qWVW$fhh@v zmD_s8511_dik`^_1_vuM!ATi7`No+kijDz*bHF(K>E=Y#;w3RpL|NMY3-%5 zXkVAY%4v~x>PIJ7*#s-=gx0BB(^SHDIn(WNAtYxHCUd)%uE!KYqZOR+GJp7_er3JOG6NEYkxd0FnXV06+jBS+7_Gz;Xc6 zXag3%Tv=7@lfv)H)zsIx7D>GWJWi@&Hv^Cbz$!i93_u3}_Bs5ey8`8i2v%X1-?D5x`cT`DE_yRn*FGaM(mol z8oK-|hxWAMoN)Ws8F;hz=T^I+ADkdrwx@VG4(~~i>I^t#%}mP7&B>WtVudj%o2`?{~JaQ{NukY|ff zw$v9-ZyTYC)5}5d1q81^5RwNZ2;P|jISC{NNMj&LR;k^d-m=1_G}_R~Ru~-s0xbw! zKoAdt{d$292x36c4ubh0m_sL?x&=f?4!E=fV1pje&r<*Z5&#nbeDy>9WQCi$U>F8K zG5FmNetq$&-6!>v(!u9Rq3r$%AP$P$v-4m-mL>ss5k{2uh53ln>EOs%0vk$%-2`X* zoxoCHZ+3%3zpHjI_7ONeBXrNEH-^l?&Y8XT;h})~+DEr;d514wen38_TC=zI(brp( zyo8vQE@A)Rd~FWh93OCyFJDd`_&?;r+y5i;!v9B}`uBh2f#?5+ti7FUJ7;#=#G$pz zVphJ0*ibys@BMmJ$4;9=Q&R)y0-VpjZv1QB%H_$Z<$7!(O0Roe6#e>feeHbyp8rvv z|D#Z5|D#40zTVB6J^PyT>}uk^+WGX_a_`&ycIW%U4y;;!Yx#2f8*^sI2F>36%H|Nk z{?N5AH3QLm29}k_yzYs6&8n-NpT1YGZ;1F?uQ&hmfBIEfplALLhteMoNdX))EF3d4 z_yf#vmlb~92ZRM2rzs$Qz;XUcO6~puf6#Ycpw0)P01n>$v71DC+hJJC(67PRi;F?x z36jTp5FF+?!(a0hINJokLjayS0&pCFBmg$SU*R2mWuC&Hc9R6aQviMiumrvtC*f~* zKMMY|f56|(8GJs0ZJD8W0e{d<2SNQ5)Zbv{r(OUw0x$)D1pwi&3-{ss`OXQ3?SNtS z@cpS+0>1tP)iO}sgh>vAuXC0_8dU7{yV_O7y=+qAy?ZUe>0B-96 z%FwBI96qKY&GO-q4Dq#a%JyD;Q_9DdVDc;m6;IQ`zA zSB0%lODlUPs)$00`MOIBmhhvOPSJ#g)D0L%uU z@ogO{{RB+s1uM_>D=lf}nfqXDF^t^=zykn=_W-aAfFuCs0ie^*eE^*0fU}|ma25{0 zE&xIS_z(|3C;-O**rEsYibw!>0N?1r54(LFcKaIb-k-Z9t!YOsQ(Jyy z&?s-4guZt?#tZVA`1bfHGCr@VohlB4|4QX&B<^2cLTj|YJ!Jj+5@@w<7lhOldp!ME z4+y@4AejOr2<9&YvJXfEK4~AHdf0UvEieh5q#P#VhXOr3Wvdq_((X3Nh0TkMK(H4C zCqNJnf;zpx3k1ouyDt-gJPX7v@=)OXSO9VX_(u;=h64YJ0$>3E5&*8l&|GgA77c!7 z;MWC+1Ry+tfSjwqKVj1Ke+rxbUICLG)Pn>7ePP5x7|{#_35atrqJs#&;{iMm;C%oe z3c)vQ()yzSd<7r~fDv~9_Q6CmVWNwA5PXhM0c-;>9Ka{w>m7iBmP3K7L479 zFig@7la%KIaRO%d0`&tRQ@}+xj93kRzcj&!G(D(S!@iftfci3kX92t|g30_~GX3E@ z4ldll1qFQP!@+n9$Mp^!2-vf~6F~h`Pr#q#EgZftVgTC!JPj@;^jnb!+iM2HgJ64~ zSpkp(fc_|L)&u&P3jja?U;#h`D86R`KmZ^`4}jvkeotD!F$EkKh`}+a-ul37`vH6i z;B(lu3D~u_`dvE(8ypG4Kf`wYfZeNvJ^3O4 z`oyFAOi4p-Hm^vY zK`^Nwy%fm9KyC!m2FN);t`v>#8z2w49dZUi1_+LUpb`Wa5SV~~41!5tS<0DATH{L# zeE4n`YK46QeIo3DXtdm$GW1WTlk82`V%qH&DL^g-vKoj<9{{syx0me{{(B_^|8d7x z>SGIATDQYIabaYU)lk*2w`^)G2f%RvcIg4|p`ig#eK(oZkQlm@?fG%Ziz@jppWfP?#K5f{$#vZaKd2&IUP^*T^b?WA^`>RSY&O?q_(EClJ*WKci%1a*a;PXhQWJvZlh z6fBtO7vf;y0tkD%z|m*DqoaCZ0>GQ~NntVx(DD;{qZ@jUjRqHFu?b@1Ahy~f2P7hhu)F?7(CUFI0M2<`h6hj zh5Ai(0bgh2D_wr4}kBNCG+dEH8ixSt(>GS~LH2~91 z7i@<2K3mCLTXBR}{I(c-zGbt~Fg&S0D)S$95p$nH)RnWCcNwCt+~T=YvRT27@jQVn zFnHE=?iHDLF!eg`xHggP{qebCyt^9Z2Gu7PL)|CZ3Hix$oeoDJs(E(5=Sg_=;@R)( zil5~sI$Xml^=pN67m|8Px|d^+OSMPurxO_TFvzvp z0WV$f>_Z%4uJ2*~8f^e0FAz1CwtZIB_n7VR@+mFtnsYoo1Y*tSIa2gfE5k`q2^ zNrRb1$SdlVkgS9_u1fdMF2XH?s+q|jkOWnUf9eO!K6QjY-OeCQ9qpfFhn=Is`={BV z&ZlgHMIs=;%k1;gwxizxglA`O3w$Cf?9`Lewc?TTZwiZJvsHNzCAmKsv2+30AH4k^bI_ix**~?S+QdML(mZo{<%1 zd{Ri39_H9Bp&V7*l-U-#=VN!m`>{(Ls69c+O{9rTl;K(``?R~oCjv$Ala3kVwi?D%!t8biLLUi)^)6*6?KfVDS8J)hwggY zg+8h}rP(%7!>6c=rDt0$E;hALHYTO`aTWz4pQ=7;Rt#Bhq-^G9sE%rumQpxLBW4xC zEsFQr{ITtFi=IQzA~ina2ArHQ!)J>=dykb=tm1uBUC~UziQxbzhK>=4aS&ZoT!U8P zv_M>A^95I(_vN|DH!_z|_!jul){dRvASa*(&$=OUrOPoWl-8mM(ykti?M7`?&(Y)z zK_l4P{LiWl(msxTrC$iwffhTq?E1{HeH?_Tr5~RMEu*qFA_TOIF$u@WG}!~Yy@`nG zYbK%=rir1=-BSPD9;j_)&urq%tg%|h9nvQG$Mj&XiZ3XG$IY$%o?!^8EztYJA<@c# z`?q$XKfMxNMR0&JI0XNsO54pXl}O1}57>s8)IR@ktUEB7dr zPj+$TJ!Q=vc5dcghU!=;iI|`2Kz39j4yQI{#|@x&sJ+>=0c^cEJi9j7__|~n z{711{V(At|ANOmWmCR32c`&jX(s|*3_9IV<+ck@8&2nAO2yW2L8A&hE_vyZjg)gyl zAuD8CzsVYSqaI%xB9C9reXKQQpX;~iz+)8WAbBvEh+qC5U?Tz1P#R7%rJRPo|3yz?I475l)_!0vi{kGfP>sW}A0 zw#G+{6@le~Re}pA7l+aMy%rl`czzsM;@`LsaFYivI$&r547~tDU%}8VFeDyq4Olh| zb%xo)!StVVz`Vdh!Q8-t!2G}>T^w|dVE!%z^naVc;WaQfuokdYU~gc8+c1Fy>;_Dd z0gl0m&J!#I%oVn8-(HJ}q`z^!U_Va0L_G(aO8dh54CVma=>VUN@QDC-3UKqL4qC^; zHYUVJ_y@ZXJ^zstcm(Q zvsR9s^zFb%)SEOGrBFVj#2`Vv6T+*EyrIUaaVn*q7$k^P`#^t6(xl5fUZ1*{d9Kys z0Yq1vDl~i`ieX-s&n$}bA`I83yLNh8MdjLM>|Px@*D(S^5#LtO zwHp}FqmLr-gWL$0R`&|%yci^>Rbo6Pr=v;ZX04t-xC!(Eh{J%~h#$N)P%1cY0ykIn zP1>wObV*oScSVFp(p$K@s?aP_fC z6)x?NQ%1Z$p)Lr2Do<$wuO7remQCu)IgTukw6ZVWUtN|DcLCn3M=1lYdJu z)g$xqeY_0ylCi_}*b3oW&87fUkJCyB$nYLJP;d5(yg?Dl^5tXrLXsw-%WA@^n*WSNf+QzQFJv{WQ>__{ zZAWZXXOErA#QhL`)r58-CMdS>UYDSYF2Y8Ns}(6M6Klu?18T zk|WKkNLv()E{ZAPr-I!Dh0t+|xKb#DzC%%13iTsn6`7?_2>qIZQwp6y+!VBNzk2w` z{uf;vgWBs_-lDkS| zErJXw4b)nPNnc~GTIQ%*NJJ*ke(PL9MBJdIc0vzjFIs6Q^iZxA?`7_H$NaK7p?oeg z&Gfm>pDVM=^ty$9ubMAC`MhXTMCRwyxd!uuYoQ?e%;8xbxFc#ajYFyPFtr3~EYIvr zx`%n9zRBDn$9y1O;OEKghkZ&=TWDK5gCGL4xBEBb&%4`=?BZ-)+5Q0h0l<#CU(9zk zy_#v4bR#tQz=6%|FrUvFT1XSO`mPhEA#n$h?KyL?uV{zT8QBkIzuL8=JxM*rXCx1! z%!lAQ^0XjVYqlq+$LNdjLR9|vyneT5h!)A_=y569HhBGW9{+}SR`p#|REh|W z%{9D5qVWEql|xFCb*`f)*Mv@oGjl}oiDjGSDH4ssB8t!gKn zwFG(cJ;X!FMGY1rFH%R4B~};sAu{_`uM$*{(J&JYmG*6WM~hMJ3SW51~ig?2+FjJCrCbeoV?C2I7;H z3$+1borPF?{7vO?t>561Ni%ywTlAbRY#?QAv`LqFnC}B9)`%*E29yt21P+umD27Vn zr-qToh&jqMmMsTv7(T+cx@Oquu_RBE)nyyz_AmF1I)4y?H)pD=1{c{G_z49Xda2|FL_==^Z2hzHwn)_hq>Xu4luX~PFfW?5V1WN}i14{-g z0m}!g0*eOw4J;L`6l@XLYOpM@^6Q?1b5@XaRIu4ANTd7D7*8Z;VS3}i^q-5eFh80& z$mh)*)~lz%0OagQb9Ri78B`*llcs*v;QvP0+ch3Dyl-Bhy9W=&Gb~ z^mZ721&00?q(YD`2bm{Gj%tl+Kynr67eJ2#{eOw33PUuD=P?f#nlww?l&0gvdXHn+ zv$RnEoG{dDC^Or^ArBC4Lr!sdXVgXm6MAfC+(u-dL|-J5s#~}bn?R#5=p-N!> zHHuAdI^~;r==?>9#kh}YyOU0t+z%_C^(%MNDea4L@;c`gZ-Q8i`7;kFuDtw#yNvk&n7Dz`) zJ?4z~k>ini)EUWNjyvjc>&4%p%bUS^==rug6a7W}JUXJwy21CCfel1uE%e5GSI=fd zdE+pUiyPOD-llGp3NtZc@j_{Ru&G$$Ed4#$I9|L=S{G~{FCj>4bd)-hTlDzFTAtrw;H=z#4XY)?mx6DcCWjEuO&vgO=c;NBVu3C@$4>lV^1MVDswmY6j^Dk zQmvMdPehSY&6bevD^JL<^2B@SgA%W(xv3^gC>i`2kPn%551S$UAw5uN&_Og)E@c_C z`e6BO>f4$b0fxCp;C?P7z&h7&JJ(iqS`!mM9GSJ97s3i|g+@z9)NyQyHKv4gJUTo8 zJK}nk|AS>$=y+AI9SWe%a^*jPIxo;eW3$jhlRR!KPe{aUmaNv;2h1GtTFLzhb-=2P zoyMZTn8r?nv$k1s+`)pu^vi+UEDH}WFbq4ez%Veu34OT566v$pkasQ3kaxi2_oz1F z0_i3gN`s-UAUy(7k6*|XQJ?ZIYi^<21MVtS_F#THq$+&X%k`OV{-LnT)Cd4goE z!x(=UnNQ4#Ue#r`5&tAQqYKqDtBha5qHwZ4V4p(OJMpkdKItdaXNeqjGUr0arLh?C zrm>Y^>0o7G$zUa5`CwIG(O`cBVC>^zAqUT>#7n(_W$rgt?l8 zTHA?u6O+-SWb)Y3>`cYO)xRO0H*LdAECTQdfW_IFQGMN=+|PIZgZ$C54O7_&V0A_3 zwLT{c|6SUI8wqXai+=unzWCC)Q`z~M{~pLG%Q;{CtLjV8vZQb;|U$;*qEU&~|{k6uJ-i?B&g+&WE-G z+)Y%MOuxlEGwNI@bIwho`V4#D;rUR*q0BkAnCd(XmGWj%=RlcrZXVTZ7%Jt3Q_&wt zkCeTR_nFmu*03Gp<_23g&9M7_82i(xrq1?_8_pcaK<0rtgelB}sHg}DBpMI|1O#P@ zal#oCH7aTb#SEyRh^VMBqM~&mii%1x(L}M@3f|mm)!H<*7F&m)R#Qv$Ilceyr*}Oc zp00It@6C1Xop!D4?0ucX@gqzld*P4cCaViIheao>X5N2+D&R3yo9<{Akf!SRjxGV= z2d&(3QsDIid{cV_p0V0#4wHZ!t4nm81?yw&a>oe)3-KStn3`HE{${WOO7yG+P2C=!~iLUJ(lmmL4V^5XG0WiwgTt%wT zhdFjt5h{Q|u(itTDKH53yOASNGu0oRlUd`q z)kb_$mYCw))8C`bl8w~KBt#8hlJ;{ky}+SN0U#{rDuC-Kjd>)j&KBQTLc(X-JQ@od zFt==i#xQ?&);AZ(A{m+FQKCt*Aus@jcgyJF9)c z`=%9nR=0;Iz5@=6mAox(-)GEoqSyrdGa!xxBv78Q-oXzM;u!~cf@TjU(;x~mW0>qI zBAS`RWZf1em{Ckll*rReXl8yRiZJ7v*^5NpX0K+}pCY(-nmN8Atl6`Wxla^o#ul8s2WrfCtO6VA&l^*YFeBmcYYf-O}D}^zb2py{LL5D(7I^5Epg7os;JY- zRnEw&xYJ;0GJZ&~R5=w$0?|uVmG&k5VDVjUFYgD7@1N}JUHcS>wc0JlV+zb#-A&^~ z1?vBBuuO;-2{#TyCvO~-BhpC#PnQk*kZ8*+Z=5mij2?7`{i>{0L8&)TWSiU`lk~Z= zW;bb)Ax_o+R)n*o(^Y1E5;y#sa+n|8%Dbl0ITyueZo==BVB#fWOo1c>I3T$HNSMF@ z!H%+zm9=OAsyJZ%`744zt{%r{w?@e|YxrKRaZWYXIiVBGPS`qy%&eufXfd+mQjZp$ zSawNDnyw9z9gz~I>*mPLOTlM`DLW%^-%i=QF>5l`)`1VbC~((1ft$SvXuu(v@^h6hF= z6LC{4sxD)q!o{~KvG>^2%Lbqa32CEpa||m-~_C!E*=C1}&$O`f#N)AI%+r52 zJlJ@3#B}x5nlbEAA4lI@bLYv5)4$78KR#Kpl>q-fKR7aZ(+$VvD%4W#QOD6L+)}9E zy-e{|q6fTEn6Cg!f>wl#S8tSr1I_^GB(Z=OAso;z1-yvY zfhq4c4k6{*1H7X{Sh?;g@4^t;sqN*R9>P0ypLxAp1YN7*9pYl>y4BWq@_1=?g zYZQ~vnfB_&>;}XwyRgdj*tX75l;GLU+9?{J zfNkd#h;Rwyp0J~u205-TWS;ttoZZKtr#UJ2>I-|Wekl*=3wo|;148?hXv7(KvA75? z7Fvq1!pwcf2vm>AJq5fLwHmw`Ls~S~+W-0nrM!U)@=$`N< zHQiX?5!63!6LpB54q(|JK(SL%KV^8R0)jRP@4|2~3{QaJV4thEu2XY_X#?PB_Pqr$ z;74M{Sa<{Uo~W5%vpSl~e*`wGG2F06V6!@r8#EqlR)e{r5EXBoRb8g{uqTBNltm5M$~+ z5S5!iu-%uAQGWkRkLt{|HR#GM?{F73f$Bk z81zrP%}SBSxbsh<(;!|je|Q|YI3ljriSW0S*sF~8fxsv&f6)o{iV=v-8tzZlT% zryM)}4?H^;y5wjRtVL#G8RLp|r5XQ(y3U$oraYnlV_h;#?hf`+UUBle!@VHLHmka_ zju@Z~b{tA3e5T#B1lRbDL`_xRbcVQd+fcc-)sExIbYoT-K2GQBm|MeWXI~Wh)cE%BUn`64<&D#ypkLU2=6%~uNz~%OuYx*< z`9+!Sr1eFA#?G+KZY&zXpVfu)t|$o0fjE0iaXN&NV}pCRm3L8#eXD)QJEKLv)phf( zYVicE+h~*`2)a$iPANvO{auvb#APz#lw-y8ys{ANGW{ImCaG7Jo@s1W(3-*F)w_w% z%!*Sjch1eLXa{_rPhNfxDpFT0n%l(g3B9L^69ze3C zbFj+{i;dg*pp9pou)K*e$hZWtvhh{C<(hgvr3z?)H~4{7{I{B;CPY;jLH*oBtqLM& zT1*~Qp?dXw6Spe(DPoDFrhjS=ERyL)jR4|U(~LU>A1M*x?g1HxyV#juywwiSrR-+b6!p=3BRHKOYY|`Yry;3FrE3?@S6V-ycp>ZL&7xQ ze{~Sb2EUO7GH8Fep!b&TYxTTfm?7KJN?xTOC)?dhTxD1z+ulm~p#NxvH?)@=B6tna zl6k2Jyj@-`t@H3QggQ#iOg}Ix%QyS^F`_IrW_lYnP_+|+u32@cUg(5aVD@RF9aNul zj&gllg5E$~WZe$tjhQEb3v|~SCr7)B7GtjJ;w=AT(2fBH?I?G79riP|Qe%)4-35!W zyKQ4!yB~VR8vGslhn}bP<&M43wQ88?sDDUYs$cJDc}V#mX0`_LgoU?GtnnBDmy&;+ zr7oc#l48$yZBNDp=zeun)}T_@;ns~#rh?y#&b2RaWw%9mkB<5Ii<0YHM=cmZ$aR6D z#lsA3@CICsJ&t$4&4Tw}73Ql6@(&uZ6Q!sa0nk92h3Mo<`JXYF_Rz-c5dd!8=56kV z1zWKwtA^Z8e*gg&oMOfk%yD~6NDE0%XGCyy%ZV{Ns#*`7Xsse+~he3jDwga>>zuF^)cVK zBlxUlwb(1K_!;IeaV+Guy?nG!jEDMwY}sQp_kmCHi1D}pdWzJ>O9CKUUNasM0NK)H zJTCyUl6Mr#@}+``X?2+JqVkic}vkfxA_t z=BYELe{vymy`-o=w-EiWWM#Z?5P_ED#7hTJZ}g93oeEzPZKWj-lA>XMsDCj9aD)EP zG?|dxP#^VxiN+1~(U?u1-0;2XVUu?&Z?8sY!YXpRFgwLj@iV$`1!CX$qAu)zAOck0 zg&!rx#~0LM&WXe0OKWi}#GLrzTI@UV*!ap?{2N1nOf}@m)>p`yhRCVZ^%nU6r%vz* zER)kpB5}&Oa$ZR+pq`!OozqCTKwXeyb^^1GU#}{XCzn7wQm$R<+QY@(*AB>_9qGQ# zEc=#=Pla|QTmo`2_!)DY6J1AIF=KSMz#I_OOE0iKko!F3AJv?a;~s|1Q@@h49|q0S z?3a5z41KQtNgnW!u{UqQck`;!9a2ygs05?{i9vHfg`g|_z?uc^0sRR2c*s)~n9e|@ z)rVm=5OO?5{Pt4ap-DlmMKJjyOt=L48?+hp1}3M$WKISnGUG>54uEFi4Ax$1H=NZ? z2l;^5pa2jJsJdC@;N<0f2f?MR7F7&B2=7_ zH!TTuL_9lhW)gC)xFBzO68bkNGO6f7u*6gH^1D#Gpul8C7j(j1FzT!DC-fhTdxsDw z4BL$LLzsp7AB-(SsD*~pjwJ)+LE2B&2_}3;)LIBib2{SIYH%h>mEeV{WBWS zh($IZM@p*iPO%I8C%IX-8e^i z0*AzYXQ3tpl2~svv*bu_EL%;J)3_0-h{HB{{LDI!8`@CW$r8d1-CS8uiC37GB{P+H zK4k=|G65zsqYiZxustVA$VYX#*4kn3)}Z^!J@S~=(EF+%_&F~zVg z&e(-|kPd?FSlEt*?M2vLgzakBu7>SL*lyesiG2+U*aWJN#D4ZH0_5eOmaD{-9NHwnLJFm_T)YLB3aOEg%M3}n7IgwhL4F=|OsKwdHVOt@!G8+ucYa8?K zAau5DS5GUJZurrGO~8lHR*0r0P(m2*M9YEI6U0_>?CFiuqEW|fc*hZ!BeK!+7prOz zlmsfira_;n#{)_hv>CJo4=7nYzJf^cnnMB+KwcnE5E=CK?;Hc1p@jqp}- z!Z6VYZzT)$>y7YMve2;1^4N*!2=-F11_o`hAMP*PMPqL=%13(`YB!*l_gARfK2B zI7#=mEFAW67tX;PgjmwnKGJ=Cv}}JL;l5$9V?~qyV(K`{q$WmP&~jCr{ZHA>KJpkt ziX*CtQy23VBEo48BMHhSCf>tXg32FC8zc0}awu(#(L*r z34Y8Y)}VZxAA7F#qR9`c95shcsN}Fn^{*y+a!{mZr!%HHs}T9K?ga2=aX$cI_GAaL zmowT*F|pnGgSa%^MeAKK4v_SqH?UTM6Lvr{xCOj3A-j1#>YpXSt}RuhSP1gw4lv8; z--D_4xbEng$|zH4cjQdfBGc&Z*hflVQ%rZnBUPzsVt34V*I;a>ctwny6 z6uX4AXo_UDYx@u~KzG1VIY3Nde{0=nq9`CAbj%c}2>Vpr@b(6!L_|uU+L#()^l+>; z2B%4uduXHT)d~R3#?@;ua*PxlM#XZbqM`w(vvXZ)E^&vphIgDx*`f2~U265121^8k z9JqL4nnF22k(rH+Gc4epZ}qyT^>(ll7(J2mR9*5h((vcX_wv|2-gDIhTU}#*4<%8D z<((^m#$O3kYa!+^45C$VF9x+J+vE{_p)Jtzy}Yp$?nPTxV=>%|y6vJ3a4&+tyBh9A zfK+d`&xec?JV4JITTAdyseg)UhQ}$O^*_`$DQ_Cwi@G?`0=O4x`=H!|B?#}u{bpz9 zi56p7_Az;xi}AbcUal6n7q#Wa6L2r;CK@lpy{KJpJPP-sZkcdN4WcK;OFiC6RZRB7 ztpxt;fn?MlhQme`+>82OjZMi&AHz($8{w!LpCrzFArbCHK-5l$dvPY*i`s+2 z(&15neMY{5)^m-UT+94j7+w=l%jO6cP(kTZZA`5AZJwm!Zr1rcn^h@Uoso}`;B z>vD4%XxptN!-3rJ1Il4%L@VzAgn2JzWg}={*_dFRLj%i3GdQ;4vl%tkS{mgJb=aES zgt$ZZv@UM)xI??Exx`Os4X;4Flh9qgQUY5$M|Mc+#nv$$=wW_I^fWa^64@#4!L;ai zTN9gnqB#pJgkeHSLb~$D@dDZdnX^Qyhl9&qgL4AW`RFmgP+8*o7ChSSZ4C`7* zBLT&Q%*Q_zELX>gW1&XUe=+@%bzT#`F7z#AB~m*j&+w%ZWM>W&^H>tpnZd+OkkC4( zFtJx837wOBkRS9;*+B*4B`4G}*7W~ZaqOPn46)%g)rEx+88f+dU--zZ-mJBwd(dNmO-C7w&qN>J61BGdP*1mq6A+( zxfZip5?-BKi`!_3b@T%GS-aG6Xvpg`ZK5T3fH4xaR&^5=?78oex!_e__#S;%{3R>j z=KhSgQPHC81P>bkXCBJqwZZkuZn;1kUaxY?lcl^#%1!bRX~-ni@Aj(3k_Kd)*38p! z@jLXxKyyayFz5iWL+KHOshZ`9($J$&dsFB-+lrfJc)o;s6+ukM=)KJ?kvbFsl(~Dqv7#L)B_KN47HTtl|I&vgU2JdX>g3qZhA9& zpU~S3&a{;f>sgdnu^7p+O^3%LdY5fg-i()sWxD-JbL-)81Q)X+^a2s$0Inxk1}3TAZBVe z3a8MnBr=2{*i(|k{z)PDB@zMzd(e*zmyMbZ{CNE*P=&dyzhT^0g}Q7w zYJ}3Nwfg7A-9Vc)v>3MoZB~EZco1l_h6^$!&}Q`?WQ{|Jr!0S~Y`~L5+i%Sqz>*l{ z*6IOr1NA4XbO7BzpJ=TbAOdaHS~7qK+N{+b|H!flWQ+gavTjzQDsvWBbqf>$Iu2R@ zdI9nV?E%dI{RkQdx&&GS`Wy7|dOV7F4Fp>QYy&sV!mzi@!laU}18(*mKAH?-{9HU2 zr4598Gq@yT0~p#=T5f_ftgaghb~_XJ1i1D0PT+8)U@EtWQKh!v&Y~3DV;iHhJOw+!Hmb8ArFg3+PpmWBJHr9$ls3CnSgLP+kw3Y`q9-YCP=dka}*@?Y7i3`Lt2b zD0lK>l0)xl-q;p7y819bXrmn``*6MB1WVy_-1*PoaoOXT4;4~;0wCIFx%NS_75qik z42N|?c;IJAglh|ATJ?#J+>U?><-JW!9Deq7>nXUuBlNwG4`y)hu zmNkl>;4|%jnM}dlf*{Uj1*U@eMcwR7kcNIn$LYcybBCGj?62hYvXvEfK2A|&!z_?Q zH%_(TUP$~JMeW~M&%^3=QWJ6x{fz1kKe&&ds5%KWtgy4nm;9){ptGtr{)E2J<;n+= zsg0%2!1U%%-kCn~TU`q8Y9EoHtuq??CsJ`6kf1SO-N^{rk9?88g23jRFxO*vZ;l8Rvb>l=-GqoY_u z%$RKU6f4tA%4XfRc2zf8YR&XJ9yjTCNFV};?Q8Kf)9$b;m1~@s*0`zakM@X8VI7{W z|BtLG8J$X<55$y-?z|C1%O*9lr5>~yv_*}a4#(5sIF*1!*ZC1}?hq!aGZHkONpdX^ za2@CJfIka*1iB3R1p58ZSN?4GnCG`B*I9M6`Y3lIskk!&G>%m4D&n4Y{25yOmZ3F!PIt_XaIskeK>IHpnT;|vZTNTLKSm(%tYjg_Gz2u#2KiY4W{QLkv2Fp^D zPiv#@vSj9aw-E+_SeN0~#xAn%p`L&@VXt1wul^&vh3dfT5Z2W_a{M0ew#LEh@aQgt zYhMTPhs{}tAE1~neF*PZJwP3yeTV86_-N8HRv>`(?ket}InGvjo!&GRc^YiqX2SpZ z>q1w5m93oC|w`oa^{BYcK{m#9(miGq-`>T7&o0spMV#K#N5maE4? z*tM(&jK(hkWftGeTmzI@N;CU!k#|0#nS~XN%lByJY!+ejp`Aw}3e6`KvX?0@ifi&D zJ+L^X8}|x4PZ*{d>jmV6`b1-kfVj}G+_5A-V35YLOfc~~q9Rpmp|&#aDT*v+_2(60 zw~9yimlWc2#N__MLCp8!nEtXsT#W6p@kj@Hrf#)xQ9h@O_Ls0UKd_5I7Ooqh)>5|$ zCl7FI={dsr1I$|5_rl@z=@}0r)Gf(1X+b#&Yoonv08vY8u zVo5gpHgND(OpQl2bBlGIvot=_54qEx4^33)0%)7cX+!+So*AFfh8ksG4Xj!6Ji{p2 zwq)XSeM!!m+l-^$t$|0q6=~U{I(eXYkRW@MOVm0~R?|AqaUGuOJ3#k9XB+EeYow{2 z{E)yB?;VsnCddy&-$6M^xP_m-K;HMTiwQ4)L|coj+zf(kY5Ha5DLCZk8eyr ziI3CY6=j)`?Q~zr!w_9(-Sl>NNA)p#gZ~)njQ)&hrWxDLC>5@SF%s*yWMii|d_-Tu*$GmI8M!$7D#7haXUo7q=^3tOBweFO(J z&&Hl)N~D%(G`al?>0sjOq3w3~)1YW+STwN- zik3V@=q4yyx~rMQPpFEnK;($0_ZQB=e-BlB>CZ4RlGy&N950^%pba+y*X3=R4LbqJ7awlW2}r)+xS>a|Wo{w5^Z~-D}yUA>?%J z0U2;-Qt96U(wgEHzsG(fPV1cc9)D1ebc{-%o}_6kGlyeK!f~3v`m)aGbIoXKEc5!D$4}O(C6Oy5%RfY&ih7vvi z!0BMC*9U_)U>X>H)N=r|^!1~!u*^!})I~j41zdDhbQyt07 zag_`9I%+}Lpv9nn@{+8SLsja)i~&sAW|QXzF9~CWt`BpMhRLNcp$t?9N(X&}$$>E0 z07`=scBc|JOX2u69KVL+*Kqt9KI92S!btG| zk?xkRczS!&@8lH1U0?Uulx2<@#Z$}1U!Ygy+B@8VdKe(yEqymMyY}E%YQ|zzg1wm6 z;`XtzOND-BmJN#RQp{)@6xpH7#1Q5>A>T|%iTj|jfl;W)50z<~)mZ3=PSvp5TA9XEbJ{pvKu}H%@7< zx>Z@&}iiJo*5RS>O*G-ysLT7R~?{*YHWoxALS8FfU};nR%Jfs9kovBgV`v zq}>)3nNfw%QTc}x-h)q~M%%~dMYSpNN<)@NwlHpi@AtS4UoUJ22NX9=jpMVRNfuya zw~b~gd9OCfcpQ3ViIYi5oCP9clUEmM3#E(hEnGD0Q^nX8S{0S3S_L5IK(FW_-dXS^ z_Z?zxOB{+`u8al9K;&}O(qC+v*FbX)dJA^paYL*MuJK|Y<&zd=JR|VZ+P_Z9wNIx#4qDywN z193yAm-TdD!nEH(3n{TD{Dd;o6xkYmLKR^e-x|44xxf_G8VeLI)0ozXr%)d6A79#o zey+VNJKceQuKOh0&==|It@2=NC&>;~k=VL02YP@R&Hmo9#Wb#jKNCRCp(SB6Rlfl+ zHt3OZmnp_A?Lr3{J~$Wy$dhz`>%sw8qK?Vgv zz8MZpTsjE0Ii|pekj*-CO#rY+mCV4!T&NF1UeH-(SGcHE+C#EqT-+)sd05?=DBI5U z{GiX5Rko(du5!`6wAof`+dP+`cB5P|%Xvp1lI6OIybBMBPVIW$>4y|2L^tbd5Fu2&D80rbgucc4 z4zOY+eh^JM%+n>~cIbcQ?MX)NFzn=QPR3R+e^xa+lcb?PKs0FvJR$?y*=fooC^go* zJ$oWI;1)pj!+tlbopV)1a{4K9+8~^SZOj}Az;0Narr!Qs-Ob%f8J?ds*LI|etGjC2 z6B;}Da88*n%-HQi&Z>#od(62uX_b9z)p*0c0V?OBX-3@A$-9J4bbB@3zAREr+#f;C zHyx+7|Kxu|qnO?X2TbzM96=}kQ#cVd!*-STje>Mm_eHpB81sypE1Eh?dPcu{{^(6T z7b=sW^{50YlVB;F43$Z+6jm6GT38BWpfU-T!jFs{Rb;(xs)Ib>&E%9@_5l|y=(1`D z@S{W5D({)X1;J}oXH8=Tz?6JzitB)vAiXKABLoLF>O9315~|2{#dv~CI-v_PUgi=O zLdVikuGd27Sh~RVe9As7^iS~V3BIAcERXI0F!3jON)<0mSuf{Tg*-)Xg^mJoHZBLq zvDw+!@9jzb>Dl-gI|-1nm{UNGopcAc#O~KW^$zwGkYh!6@c*+;ErD3oW+MdYE<=!R ztQq>(1Jwa?&mjoXRmi=EVp=q*a_mslboB;jaDQbT38&2k=nvs54E2h$ARdBr_1e)g z2-4N-R?50dD3i2ztx_|x0bYQrhOyk>Ud(L3qfT9n`_*17Q@ee#nWdI>@~GC33#wc4 z39bB9%HxL$lnX#FK;ED|pc$YaLE}J|Kx;sMgFa>)LlDzJur*PI`dg?{&=aSa)(Q!Ie40lof$i4ly17>5s6?hYOAdod>N1{RO%U7xuLU zLcGeOm%33MXAkYn9Knt;+;&9OVC!N|t7Du#4?`od&BdQ{IsBZAbRSBjkKRG3N#qGeh95l8_ z(F?W3!qLN#9sGOh@A&>5yhQbKSiS`(YNo;RE$FP;3ClP5MZe};nV0tr_qQaVvG^JG zk|edUfC+@KS&cW%*DRx zcQ=2g>MEEP9IHZqrY^B!2fW%CuV7(5%AHw@Ow*oroE*YUHN18-4z9+ zOE9C%W18VEy`PvoXw3!Gi=M_ zr=CR2wq?anI|;@Z3*!sx2skh?;F)nG>LO94IVcM-msuN!CC#6mQ~HY*qxRdM3;wm= z2VDSt0Kt3jOU(DS)3DnM`V1PI|H*(hZcFx9Xvl!w!=TxqUqK9`DcSQoLjmlb1HC!? zo|7hi5w`(jx8)kO?r~WgYhppEAo%QI2*Q0vjI-I{qQ8N5f&L2`-3YhhI=X{y;A}(O^i@48*dzC3jv%P>?kYc!(cJxSl%+*M_<|f}u5#m&D z;RkfbIW3z})bCJJP~7A*5`9k@C>~!u zy&Zo{f~(Hz!EG}%I6C?;Ub@8&Wgonf`pM4pd9E^)$&Nt^hVi$B-4s{{H843Qo;#h1 z@`WzcsXfU1TBoC6IIJWzOSxT=37x2@+hEQwZpIrV*iLC7hA0k=uPlT*sL}CL3ZXcP z9G^3YDG85J*0!lT8+ekie8FOKS;(uMm; zvaY|V7O@|2t@*X6SCaYt(`%8Z#FT!(c7HF9>leSrZ3Sq9@IBzSg?W=nsPpzK@LNkd zVF-dBU_zv!$!OwYzcUONPjb;&2D7o9i`N@I3KJ4MnY5jvpad+FS^)43@-y~1VQhl$ zGwKTAm;}xo+B;!bLf{;#0jlkgilS)T0^1hHTr;MfK1D<@(?g*0B*Zzpv7`<~*A?+p zC1j`mJnupYUatRZ$@&RC>#5iSH#)BL#A#?6%IV35D-sFlZDg z3gjEjS#6nCGZwy{JWxC+7j6$)Yt(1#Is310@pGURuN3PI|Cg}Wm&kgwcL&4LO6;4TiMmy#wab*9DcBH>O%J{8<)x}yMS_JR0 z%oifa`X$l!h=QB2Nz@sz7A5yUQQ#x^c`JB^`>!M*ujm<664n`a^ii^O*JM`%Z?KS| zBbha>t!WXSUfOBK)~nOK{N9JNAkXf}eEZ;p`ugW~09FoS{vJ6$-l0u9j^C#L7rpE-{UAIY3hJ*^AB=il=`I10OmalOQ`PlanYo*J9%zm#!4eCf4g zr=U&isj8b+WTBWM+zBJ6thumS9QPy^b9K{|YR7}>G~x*Rb&hJqF9&GJfvIXd=i0>L z>d+@Eu*KJ5CkA#NeBB9#ow3&^KG=rI@BW>zbj!Naj;XNox*m2aVCS>fM~FLC-({tE zz|M8p8DT74r<(lB1#q{0@&UE|`jR+TW%n!WflZGq91FhgY<^tff4KP2zX%(3iZI*E zw9#)17n}WTv?yVjnQntGqZ_`ALO;BxJ+o2T^(Ot3?m&5F+5oYK_emLI3Y0>L;T$J}L< zb$iX!`WlaPd(Pa!d+$9{ZDR zHst1^ye-^#OhH(?Im2u1mW-!{GSU{m_pAg_8jbj#W40hW+1?8({bb4F3wl!!W!FhUE}K{1!roA!b}Q zdl94%ys}w;T9eGcO82#r%$|3c`>fH!tk#%b+zJ~zzIZYAoo!5f8n$uq7Z5y()%nuV~3+hbV6zLX#l0hMxB1>55tdm}c2&rTc z@etwurabJTj1KimXb$-Vq55~wAd=xx)R_R&`5-v`Arqjfa7r%$1ltR6N-3PO1Wx$? z(A_)U??a3#O5SAq}U2Gb_PwAnCi7^a9} z3Vc{HT&0ea&T4~cKfsjvFy##>FdYQjgRniA(edH=<@!AA)vwx|hy5M?_zteK8LsmY zv>hb(D00n*YevBTMAW9pZohO^ndc$GX*lHpO#c(6Z-r^|V9M8F|8&+97=B%U&*Syx zdmf*?j=;+62rb0}L^?tnVPpj>m|4JeZJy*x22~2SIx;~EKzsV0O!(xmZVwZZnpigG zkHY9Ce;a$8(65PMV_g!a43F2wE!SZ96sh2?TFehrR6N7$BuJN9;ITuS&O0tZ?a-Nc zmlWuBs@-ZZVWbgR>OxbJBC{D8XIRcVFQD85YaUiUth}D07C?RJ)I#(N$;w92Am)s2 zChxGqcMg4}a9%z<+WrzwAAq_VvS`%+_ZhSoO&x%x(f8IrO`&};GgT=ja$nRVb)B5m z7dKslf&_kE8-BA5n^!X8rPrnj^M}I)VV_aUw8fvQ?UI?Uqf&g9_PudV@-kx~s20>9 zAbnSV9siw9ZtM|YBeiFZ@?@!T8t7~X;wj-6q0kcqDBJVZHsN0&az1O2^gDTw0Ai1} zJpRR{8V_16UXnMqO`I18s_a4gY?|%qQxDR8GS(y?HfDm3S7G*UMicjLK@(?cj~eGD ze`_oPov%VZ+H{!os2-%dZ(N`Jz?cC#Tm?mMAB^XQpy+Lz@tYycW!(?PD?>nlIc+>P zgj=h7ZM-;y{G>f#JTrv-qQpIEr)s5|5hNDT8gKR>aRQYz zc>>g_Vh-D;#m|h!e|-s^Ugvc~N0#+G^a#_&fd@#aB6l%rr)^&RjK#p zRebqN#3()*Kq52z2MeE zgYIiWi*~l`c(PXu(8Mn#drsFD$c{jnX~+afIo9X#8!g37x_dk+xKmPwE48ya zbIQOMWOQdn8GeGD+&Se5=88S0bMh11I=g>o?h|m}n&{f<_VuHFw2Foiesqd;)-c6A zJq0z{cGc0LAZ^oqvD6G>+NinK%wbX+{qA4C#p}3^F0JPe+7ib}ExDId1Bv`tcfJk! zs@#yshad?Z68U`Sat&x>=&WmoQQf>9%AY0ETwA2LSp73cZWF4E9;d!4&Z?f#jw-fI zahyH)^9FGW8b?MV2v^UUEJf(zn}-4A_6Pcp|WY>=-TNi`AUY0fk7$J+zL)MB{QX}DCG!RK&y01O5% zY;ewl%bkJ=kKuBwVZv*e@B}8fC&1ta7}UVv78v{@)+_lCnIxUkxZ*a*6Ukkty*lo;UW03qbKRRWzAarB!gSlAVu8JZ<4i1 zF*gjqTQN=CY-XJDqnI9_8G_v@NsO0-;0q*#_?#Y(qlRW#y%al7zuOW$oY)#OO*4*9 zZjGuyzO#KJ>y;9pa$ZuLwyLg?g8KvgG-_lRTRnlT@9gpVoBs#^<2JhNvvtv zx|2}onFWQOAYwKvz{TpkyN;jYkLpB-O~s5Rw&si0oYp&{TcTs)RSBi zpvGuTvcuZm8SgeL&~*`dO^!3CbB4I`(cf9k;MzB2NG%mF=qzeRf}2`rc{6%~cui+P zA>xWy(pf70IrdR-q~=@xC;B}=cE98dtlrg2`>zE_TYuz*u>Ti8xVz@KJ6Jj}lxjUwvJ}XwY z)DcHpa;JZ0#y@gCW_2LQhUrWqD;1l-1!n^(n)F-R~T>r%3 zSa+$|kp9sQ-m&6;#1wnHYrFRTwg4T?QAt}8K9POcvQeI-;G4D{1=PGE?DH3A0iRmK9hFUz%;#tw+kpiA*O%N?}ilLdF}lt_q0bGEZUOm z-$u4*y7)8qP6?k5IrK-TZu3%c;4F=eJ6wWI)cu!tPJ92uS?yinblQ@Sa~X?7t7tLE z=TIFwmG+A8obGG+lRq=!PE0Rijks$~Yw=>#-?n*;mEy;GtnC2=2U~oIx>LqZ?W#b7 z_LZ?iJ3Yjp+wUOLmP})Fu0X5Q%R^(rERWVls8ozb?`U) ztUQr;-yxQrmX|3ew(qhhxLULyvcJ(Xj3<&kPw1+~p1a_I$o2p0;@VL_W=K}Rj}6B^%)plEt2~eDpAl?H1B7hOEwk3! zL~f1Pv5)>gU!Ra;;m@^RveVMo!aI!pmbxatvn9OC%FU*zl8_z0atxByo#K$Oi7om7 z*&gZC1<{;|Rzg$Rlah$Fsv`clJLZ}1k&DF3ug*R-guk!*3^oT#H!P;2q2jy^_hSf7 z^*#R*@2rG`ER`&;nzN6KyI^=0aLX&f?|ulMPw+7nOV1j!w8y>5}HdTAfz(wmdOd@Ts3#_b@X@0gE#6d z^AiGHYv7Rf4p|9@#c;S14jJ!|Kf~c_I9z`RKSF(nOoPK*I9vgTaPCz&Tn2~h?%>S^-Lv|A_m2_K!FYY&o#q4cm=3&XQwqo+Y2Qoh5(P&-E?ax(q+!|1%H? zdi`C?>Lxg5Mn863ExAw-7PtBKn6FJ8f7;WlH6@s(VC0eYe{q+EW>P!twlznNl|mf5 zR?d>b3o1!Y?i1XH%)5VI^nJ*@qx&MqK<1s%7du9?&(5wkJcP#NCA?z~y_W0zc^4l- zC-Sa7gvkGTqY*kkS$NA5Cr=RK1)0e_qFu{}Sp&<}05)j+`vIt%X8 z_GHg2U4gMn0SUl2s@clEkc!)73pYX%q>z@TJmH+2motKSO-+Lg zAgB76oAo4g1A4XLXA!x^=SlDn%Dv9$?&3D|d2p>utqFJ%7puhEB;Y89T4_7z5Y>1O zvWhJk&bYkXGVEXWpN%RvJ_$+#CpmqpqCcZ^Y%7nucTR3cp}_4JMZzN@PCUzM5kzyDo3Wb1oiZttP1<@*JuAP&H4S#RUsKca zOX3p=#ENa#)WxPw$A1MtPlZDm84HKs!(kMG_!LI-Vd8iK@djM*AzYBN8T%_-FrCTH zZn&n-gu!tH;!zkJ6hybOH)H<;gOix-JIsUX8O~AhrF8%zjkA;uhqi|IqTbmb$W(4z zH1lV*1l&oIeJCyBU#<75QcBoc@wX2T%OptwCmU{PiUt&&<9#UiVekLPxepSjtIP)rZA4+wi<2_EfG$vHj&)6U5d;Sxx5m~pCXd2(O$BxSTbq%X1p z_oFQeOaoY8cMX=751`!&*B9{=bIDf9yQuJeMmsF5Nbq^am@V9p02aKz3PlM4Prx$r zu}z#O%?2RyoIG(h@}l9mv7;5I*S#=yw<5E&dyMU^*eu`iGn%xS+W#$19{SF@M>hkNG8zYzyemdx_m@OYJXt ziO;biU7g7ud-WL(S`9ZPa+~s|E#K9hj5|QRY2E2eQe?FuzqgHZbtO~w8i)>Wa02@J zysq(10Vkm8-~<$N@93fDeE+Jbdzw{zbX8oU+KUgiUWuBieBw~_S#>O={3Fk5mh$mK zvCGxJi(~u28ThUt#dxfbSV8?;7}pf|o{bd-=2PFZE<(m{On)U4%v!EN1r7?Qe++g6 zOcL8}q2~LMSfQ5qe0onv7FdWRaf7~7HkqOoV2E=@`_@sEkL-cwr3aG#hr2ibYwCL2 zMl**YK;|$HVVAlMDk@dPq$bs> zRbp*R)oPmBmRhT!wQX9ho-5CD&hvTS^LfudaDKQYS@*SfHj%a0+V^l>v98S>j7Qw* z=2@nsdNAS~wuZ^OJN!S@jG#Ta^au+1=gi!?h}NX%^e?Pr z`Na;u`PxzPqxH;N?A4YfL(JCbr>c)ljlbQTV<+4a_*qDHuN3Z9i_lI<;Rk49O^Fpr z;fPNimVaE&IK|wq`H$1Pb5gE%8F;dT?Tk~rDVo)&6-Ylo43YSD%DO50tY_tCYpCb7 zU&&9_kk9MR%P-Z?*MO1l*dSqzZkznVAmvZ(ZTXo&kk6i$Um2wNTZLr*Phqy_B>!s{ zN!zTjPhmFLwauhQIzMWg_6Vh|Nf6ei7mWFQ^;>ewpK}iVpBaDV=lmDr&&#qOOy`D* z*7~y5MQhggw`mnYV-P zyq&T5(eI6|bKkxw-0}9`7aKDFzO&i=_SNGVU92|FKJ$BBK@G|0mA;DPo`mzN$%@hL z;%9{2wq>=_XT)+_Rc+BT^4GRT*X0~SBa?2~?qs4=fH)S7uth^bJ+XQ83+Q=WFcjMp z-AB5jz3Mpa4t~g)U|wIx&1GIQ=YwJ-saW~gI>NEGj{Q2SSTz=u1_f=z4Z5?A<#qnK z{GTjiL4qKuBuueMU1xeIZ#a)t3!LxNr=3>5js5p+kBwf(9 z5i`@g4+IA(3RMaS4w9V8CBn4pX--wBFz9Vj=&T!Fc8Rs7JoVZ9vzsLSVwAB9pkow=_>=&=MBjYh! zoNxC7i@$iTot(uQBc6r=c+PUokERhdQU54+nbK=w|AA=v*qUgX^1LFlCZ4AH6Kiec zX5}_T!XQ`)Z!2;Jh2xc{6)}Tx<5kZUS%XoZDi1iv^iOUAKE2WD;L; zB9w0w!ukn?sBr&Gu5883KQ3=>rO^yO%eAd|JP)vBWO5jSvu3Z%kS6X*a{`1)(S{wE z8POZhS9U3)>gS}8zDNIc6%Fq~BJPouN6P-N?tEu&#%Y z#}ilwc{vWIm)(w&G8 z9tKN>jm8D6#D^8vXuSXS-IVS1d^61R6Gw$_gyDUoACBhZ$T2`q0QwF-{xEC~KK2Se zVms_Dzy~~r1KxNJ5ZsTf$3gYs_vN1qzU^De{D1Qzr2h{uf^%N8sF%dnmy3l%!38N* z^ob}bI9|_*;p`EwXrZJDr>Q-`+{$t~gR(g`*Z==3~a6-SyxVM6G z!eGNnPg0;j#oD`9}g0oO2JRbcfDPO7M6k#<ylpz=VBXvqnL$NneA`6v1G^8cnexU@)avo#x~YqL_D? zlQEcfTOIBU9ZbBfslwml`5wYLgTc7Hhw=|HTVv~jUJA<1qn%0h<&|Wzb#(>^^k}*W zvwSEzP`FHa$Qhqe_>gkdmYOl{AuZZ=N4~S3Hs0`)yvaRBcz2wYFjrdW>eEs`)eRs; zOO8XWFPRlk$Q`o8v=9pU-WG0)S0S3Ivsx&H;B{fNFd7neX!Z!Ht+7+p-w1iF(N%<% z(nT3l+KInk)%o)`a>7y=@uMoZ#j84V+6Ltla~4|e*4|am`wo58n?B&D1jMISu!?7P zzSGt%pPoasJgp_Ew_o-4`f4MGdCD(7vO-WS>db3X%Jbp;6wadWD3pBwoCjbDZ=N|@ zMqMJ9Zt<7-J%i|OnvDF6Kim>7^L@rUXUUVHNo+ORsRKfx%o1fMGzf#$Q>0T|dr+J! zSguKzEO#9lB=@n`Ti&$?G^GB6lz3QeX|L}#9nsMf2>Q+6bRWM(GNiqOQmnh-SmoxM z_qevu7hHWD+~&Jsac$n~_beB_OZQ3JI9Avb_-2`7M-8KoQ)HQE53K}M`gD76C11Ev~Cpe^yL;%*Ynlm)hPq@r~)ZZ*?po@~mw zo-j#SXo|s}k90nHu)z+K2@tt;R!KMHJ_NoFaNa;>oJ{?W$F8t+H=+= zNIn?+hE;TULSdHSfW)4=PA)YJe#}ojd~Hs*{t??gYTX{mzQO&5#fT|I-|%k))_&GjL#BiCtuF$MG_ z{iD8Vis*^?N8^jXjH+JW+o<5~#$SeB?AREH%tVw!8|dS2co${cp$%#OMXys^&;-(r zn$9Ur#P=bNvOCasjrK1~cBcOl*7ue;uP$X5v9Yr>E zR7K2uB^5F%Q*KeD4SVLROp5G;xF11Fn3fRrqiTmDFCmt%ysL;xh~}$4Qe-B??^0f{ zPA#cQA@i&g@LU2KV;-JMP`5uF&n0L%-!5L=MZ1U6rJ^qXd+cAtOS`a>2ocZj^1jE} zCtlx0%>u7)beCTi|ACm(Mb6@l77y$4%@SM!{Wrav`@T52E1;YIN*q#0=;qPIqwBo7 z1qYS>&T0L!2HGgy9r1!8>L7&FXWPn+`*Ii;p;-`6M|sJeAWpAi^rmhDaS~-Px(_t@ z6Z^qD_b;}D+q9d@Ug&FThKnTmCso2=XsX)#7jVb3vG8d3_Q!v_v(aY(;<^cPt2P?*C0Ni^MFdBo=IM1jQqsM_Q;@HnG+N?c4JwjhI zYxp$DgA+4^_sc%#V{{uvS4z7-+#7FN`eg%a=}Eq}X4bh9K%{`AdLTMLCId1v4v-0+ zQ2|CbVKmt@8jI0II1rkn=NE0o=wi>P=XC2Zn(aBA45ur?flECQ10b^ixgTd5`K3SW z^W$suHO1#9OIDtM3gfh2S@yO?XS6?-zLI1eyPpmS5fFbsRu=#=1*89DJzQa4@ZBzQ zUciM=m$c^xW%|`rdIN9SNJlN+oWYw=?+c-|9#~iHP2x2Sw0Qwq1n&npu6WZdf7Gjt zbQEIXECz0S1|l%<0C2%4sq{()j!(q#YvE1XGRwb*4euhr7h~Ww2Hbh@2H;Jj2O5nx zQ}AZlWGT5g>*>xnMj6g8!R<@X+2TXX$`5KvdZ=~tS#6=jri_zaq+FfxArQKN*<1xApqwjb|cVILKqo2i?j(m30bC3`( zPI_L%;RTWbdwZks0+Ic_)qMNi7y}NN;W+^AiO3xkt?+#0G<;+wAWuAy?SQ=Jfp|Wt z5fC3hrj`IQ4iGLN?OH&jfFyd(QU}N+55#lfQvuoOfiwX!&jZ0(KAYtk6<}12Q65H* z<9a8~!+|F}2TsR)O&GXA5!H~7b^Iu$z(W9O-crJ4x z&QOTUtoD3mxV0>N$MgR<1EaS*=iP=;+*-2scuv=V1959v_>t%8q=2M&AUZ&BYss47 zxw;9SQP1f--yLo(Su;GpwdW5Ix0burTQQ1TOV$j}={$dcxV23AXolqd9jU_mA1vY7 z4^;2d{u%Q?+8zH*lxfUoNJvdwqXT3XAY%Y|=7Agp%)j;x|)A$M`um1jnA6ynp|_ju?$uPYlMkm@4~+BFSRT`bX@&7e;Ree z#-l!O7)88XpCTUk;*!AsHr_y1g3E@qla(x<(dDxfts=9Wb4#jDfj?tr2?%TdV_gS&DZ+H?_!3k- ze`%dxGJ6SeIJh;YEkW{OP06fhgw@vKlJaMyKdj3T$Rzq%s}RT}Z?!h|SA-IZtm6LC zP}1k)HY%r(k?wD15PwC2^l}bq6zHf9=U{vBz42@g z@uGIQsJxE7igQe~wl4T3bAf0|9sec!CvbJ-4yN`|*ITLm<&~6wt+D7vpg&^mMjL`p zVB~4F${8FOb6R6@zMmm^P1vlDuw;Pg24#LMXIx<7GL_7k9hlmP4&E@=0XMaYx7P9s zq&M-;HA7BfYh<`Ozq!KgJmJ7c&p8$WP($IKg={)#1gKbInK^p!ryA<+XI*Iip<$|1Svi@ z``ZzuNHwR~+0XbNn#1kE&v;8AgXJH}{MBM{r75p|H=8hL%UWWn_X|=^@FQw%O0Tb@ zzFuI~!MXgCON8RhYl&?>aE8Gd2j>zvKZf&n9Qhc|O0O5BoQM_gS@Tej(I#zTKNM#51HM>-s9 zug)Sp*X?xl6;Q*q0&_lU$CK_NR%mdnZQ;L;x~m#1UFRyOCTsv@$75l1JxVV&pvHXS z;Bv=8*qjz}E@z}=WlQqnm)`$=L$Na76BV`ytn9xSVw}ZmN*O}Tpb0>YFgz7SNc-_@cirnA9 zxNAs?)O7e%K^}CxEUcP6+bf1`HL zORq0BwhltYB+a;S5Gp3ij63T?cJeQagY5L3y!GOd_JH2le>A@-2vW9iedPsfWHl}k* zE`e^%uPu2^%VS;9OtBqwtQ_)chUZ*gkBQTa$*&# zVZ!X3WT*OfVK_2Eq_?PJe7h->dMAI|e1kvtFvrTJic@5PR+bcBJ;N%niAgeQZ^8+6 zw`o*C`XW`6Df@cNUeyv*0w&H>Rhs;+N8iW89Va1X6lmA++x+hr{?3AUlZ4-2QB5t9 zWdB$Du*AQ=679oCNKAc#L}PgW%qK`R=Jl67q5t~HTA%w{UT{}2H;JyfsG8#Md};fo zvi{hH2gb$j^WqUQ(kel$I6&s}l6yp)j>k|)Sv@j^4n{vBt(U&nKc$rLuT<*V)4c2r-o5fQ^}{WpcIqwOY)h`)@0Q?Oo_@?leBX2RHBIzIHJX5;6;3fH{ zbVKL3UUHd!ttBawa0<=w*P_;%gEIq9@$$|8yZYtPW`%rZL1t%cd*DFuRK^m{3)QcR zlmTdAjke`(Dby`P1;31L0hM{c#7vxp}NFEXNcycVUB9o?w_o zpy0cw-ol^BNJnR@Zy(~htjvf|p07Exiy0~~n7z9K8=`k9KTss*fJ^NQXLRlO6#4?2 zPi^rj5Sb@I70oYAyB+Nav`Y43%UVTP57O$%3UUw9>WdYe9;DS@*!V8ZfKMa$f!VJs z>~+i<4@B!q} zHy}HE&^g&v0FGw#89sI{cA?Mkt@f}3eTE10|HZTXI=+v1$2!qf*>)rR-%*YiHzGIZ zkQMr~k-Ku}3d8S_+uVFBPaw*zV_5~eMWmsyf`l|Q_WKm1oL0v;gA35!RqcGgx$-q( zsy0GAsgB&v9;*^qi<)OXBrUWqMW!LBowpX52H%48=W2#CynfZiS?zJsFh|0Y^i{twaeoaSk-=__;#kh}E%P5br-;|Kb{ z$b;xIG*lRsT0b9sjw zZ!7SA#6B)U^I(yXu4P@y{qn zfrPodm-bZmwRrsyttRpj#ZNlFzo449Ra(|BsU{am*Yp=YA$%?^Mt?skRl2Mn#cv-< ztNO=3AupAV=`X%V|5ZxvkBW&H4BQd03S1PUz(p||92BRcAhX#FiOmAYYQhcLikEOd z^8bv!4v_VL^Z{}OkPQWSu434-g1n5#n211}i2*1LuzbJ{Mg48=1OY}p+#tXR#F?7l z9uN0ixc`E60rCpg16TuKxE?po)QU3|0vZSCG{BAn(hbPEg1)v@cbgj=SMg>(@X5f2 z?FhK#{Tcm7@M!!F?BBqu;eHpi8wsG@0Nq9k+`Hhu3HNr`4}jMfdI)dS;j<&qPFC=`ghk22g@pr53_;r?ogwi92+j#6LwzqLiQ|D!E3#~$`New}iGDZV~! z-49KlT$|G03#|NqMWOZxEAIs&n6sI47zA&tsJVne(plxr6%67;Ye{n{ zgZ!Dbwz;y2kZ3Jvp4tRb*BWq3lBXK}5(Q`SdlMef7us@~i<{^_+bGTA20|5^BOagW zUCsW$a#P_`FD;~=(Jyoi&kXBLo39*!rmdn(PBnVF_?aQqy#Ma)68tXm&y0A&^%bRc zF`w`aqHxHJ@Ft7$y1>VAQ$!qMB_!<9j8jk(Qp(gq5ZNV_X%yI2SDqrqVp~1+6#0nc z!^)Vm9ey{UDSoQM_l9nrBUKi$Q{X3}+L@)?t)f_aU@5;y#Ititd7q1h+e1qQsUkl+ z`yTg0QK~)o9)GDQ%+9~Z`&Bf?9)3>{CL-HeS={|#!V1db&lYj)ye!_gqG9&1EU;wx z+Bx0a%c7Ib3gha6%>KCcz?zV$jBZ~@a7G*$(I1t&+&H)Xk?5GKS9Kel3$wwwa1opf zs{j}b2Ot`NV-*4G&?~;Qi}eybCJ|jhFL|>>W4m}S1rH#sIl8|58G(#q^pa;J4T{k# zo)Pm=j9&VTd=-beY~S}yO+VZ?z+ zneOyzP1vTM=nQO4*``SZlNB0GzOYWrnB7JiW?hK#b@F`uSvhXt^9{etaRa}_6PR%W zza`jh#tpmzH*l%brvf+dSZ8nrZs7Br??d8~m?9Xf<~eB|!n%{zqE6hvBMcAZTirpY zcx%nLfmh%L?uV}3gy$&HB@U#7qrbPjbNXxAdEH~nnj!i?)EmlZTXg5-*VHwJE6~LY ze8zjLT<`QANI!ra;T&wV=>EC|4i5InNpE)V8zHq44jNh=zAWE{M1|&zB(%1|3XOw$ z2X5fo^b`kf;M)um2X5eftcm7{E!;NtXXb@1p>3>0^NbdD8|SzgH*j}ZJ53-7t_6=% z8aOaVxA<4`f41;ih?Tr_)nZ|E4}`{E*ls%LU~c;9?MGkkb4+-<@0J6^6OGvH77g)x zVsB_3m`Ls!rIfXjSeK!L@m!zeIM9Lk_acX?!#`Xf>?oIUUh{o8?lIgr*Mx*Z$DNW^ z^I_PWLm8?oSBLz=w}4fPvu&*_CiI14Va_AVmG5?t-uUk3x>72qnt!jI_*vK(MiooM z$PBh}=ZaE>!nLWNs&ky{ndMF7A!|K|m@!f5k%wBn*t&_4U9F5A+Qi7?tv)+IdiHUv z|5R;Iu9A5@;cOB&$|zi>R4kS;xNMvFT^WhXiWSRbK3vWbah;5|lQ~a3QRcssy&yfmHidngd)l0{?cyJ=aR+!mEFWt z$*lgV-Q*7?@AuDKMOZ4C&|g-Gr{n4URh8s0>*{`4L%=9qglNG~^dPc&r=9WrMGq<8 z+EV-TAJWFy?tpWd{D^-=`N)|z82wz;=gb?74_Cf%M%7Pv?emCtMMZS3%_wD|C}dZz>oQOa;J$@#ge=}`yFPnlC3-!rGki?s2b z*;Y=4!0CMokZeFg04W0GN4O`$J>1F};5)sSz`X|U(Qwa(`#IcG;2r@tj(!HWzqZ(6 z9Ax+-8H9UfL()an9m!e{fDrqbV=TK(0{5g|KYzm`$6`A@l(pU>vj>E7{BLA1F>Y(49H#=o9Qm%JH_*S27j33yvMU+W1(iP21r34|X+5DZHY^y% zhlQU=bLW-LcI9_mbX+T0ZP^N&a_FggA8Z!v0qkJ@m(;c6zNB8!23TfiavpNdn%8HB zEBz|Wo6{0^%u2Wc$n5HUqj&`Yg7*h>bM8QKN>Sej0>lhok zzn*m0a4b^e7WBrvAqUxpHBXGWe(m20F_be}38rgcZ`=+{*Qu_}1(>d%VY-s4S&5jg zKGmFbkWLQ29(7mQ1_kcP?O-Vw)jXk{{+=|dc?uWQ1*{Sgmr9jpmK1R5o21?)lARQ( zG_j=c9>pe2Eg5$Y9iTHyCSh^4tt%P7gfQ>wEAkCpks}qnu!314Y8S7R`#==i6;{e0 zE#h@?N_m$=!@ELC1@DXex&-&QuSBU`5g>yVh1D_d@wSM@40(5?=b=)5f$@+#G>bh) z`6+t;q0qfof7Lhx3R}4wnKi6&Ufql+%1j7weFy=rYzS~YfB@HQxbq;u#e_Z=+@s*$ z4|f{eU&B2M?lExV=$CM>(+Y7JI|6+-X#C@KLqyXQ3Obz0(lG~MjdLQr}@ zz6~)gG~FD~LQvW*7w1%%KdJ3hO23m64OcCsT^lvj6hFFe1>c|VlEL{KoIE&_;Vj#v zp`P-m`?_;NQ{+W(-iLEFoWH=C4Qal^_1;U^L6-R~-b+~Nnh%}m7VGsnZ}{5mKQw9} z@e$z?XwffRXZ#7>zBAW-{)BGdmFxcg+E9n_y0^cs(!ps7X-N7_c}G&^`uI9(asI}n zCAcSXDO;|}{QCSS49{V2TU47B`lX{H=Y(abwp7FUu7mb?tjJ1d5a>kff+J|l6#=PMp z$#p?oh>b7^q?TYK%=%YUiH$Jlh0^Vu-7LaJ*d}T&z(&|6hsYjrqOGL45F24zZSy#6 zglz@Qlc2SywWGY2d{^tCRZl5MenkJ-n$uj>L=Uo3P$EaDMw?Asrgv{joTl8$b)9JS zsp5QL{zWmWKK~YBxjsNV9~)uTSy34_!km?&HJO4Z%-==D*a)+IMa!@eW*PolZ*Q`w zv5U)P-bCLv>wneT!$vr+Oyk5xcpNsu|NC6EjV~!)LRzl-+}JyS^0*I;rU6=#?pNbS z1H=>B{l*jEZq|Kkyf^^9lgq}V;BMCaYdoJrc&gPHPv=mc>ZXX+4<*(_=8^quKN(xx zq%8g%b(}L9!Drg%h%SGeLz;=`@{t_cOhlK@9x;(3n-Hqt-`*p#q5M7>7$6v+% zNd$4tRjkMA(@xTV>lv^Iqx#5WZ1egjR}z;SP8u)t(Eic>Vm#vpZ{%34trJj&O?<=~ zi?uZ_FkzWWjOcPoBQ4(=mQnhev{`pa-qS-LuYDzNuP2PxZNcuB*TlMl-R}$Re#yP* zZ?OA~&Zv4#jniJi?l-eF;SKQvD<@+{E_Isqiu{B-pb(+gRYRe-m~WFsfuK@2s`Z|) zt3cq{??-J80?)obLMgLP8^DKB=Ai;FK9n-M3K+Yf4|2S~2Z3itbW3Q8Akpm8!al`4 zZcb_mKE+=M%4TWj_;#>gjp{6J2m2MRQ`}3*);GyNxK7D7?6&ZSd+PgmW~wFM?(;fnrslCE z1RU(XZ{WP%H&zTt-3jtDz7mo?im4b|((7d3As0j|QAlsi}db45mU z@@b)s+ERdMk{6;$8$}neguag@G#X225SCD%D9R&$ETdbPr1gOG0W#KpiV~5ZMSr2? zJ7(M24CaSskv*8fUTT)x`DiLMm)OG@oG^2(oz(>5*8+P`6MMF~#*WGPt@(Y^=)mN4 z&CwAPfZk>3U3LoGyWqY#ZpqFk zZ_A;1nQNt0uoUD|jETguO}T`V`Qgg#S1d_!91(rYZ0jqZKaP93#K<$yas;) z%2?grF`Ny23CJxm`>CP2Yo9Fe+KyMkUlQ^&5@N1r#5H)u=5X>Gkc11=I9~Cx`_T zC+T-07EGOF5Qu_Wf_L&3ibf8B(6ycVgLG7hxSh_E(n==wQj!c!M*Vfl3H@&I@FAa$ zv?}Uw>tf^4dPvtUSKbGI<%DY5YAL^DN;UNlX?DM;n(im{?=N^l*$P@$$rDgUot#DeLmQer==9|=;;Id*oF;6pRT z9^4RlU#T;tw#M97eP$ZdDjcOeZi;S=8>RZ$G^{o1qB1~Q4$(dGS;^XrSuY7IB~voW zUy^>eK9Khf`th}S@~m*0IGNbz{)oPgW{lbVxQrk=f}Q>&)7!Q{>0` zKOE`-LYjW7W5)ockF(D*uZvm6SCjWEW}y8wGPTW34RLu^gXp z-CRdH`a@DZ60{)@!1O+#o#udu#v9%O^9Co{yl<<1ayA_ASoq#ss2@<7(<&)l)>!7O z2D(#wGxBr~$*DUD^#dAP`%B~@cX$kYtkUY70NDe=MB9|eJw4t#46`CP_4rNI9~39m z(R(8siN_&1JN_X#UH>Zb!+L1JZ;8C@=2bJVsCHXlL>_jNtJxdWyKT{+nDp(1(9IcS zLst(4)aU0Cm+Oy3o^^xXe#R@yQm$(QkY#3Hp-VCg% zynBLgu%?D(aYtfJ(bQ8XpOwOq4CUGQ-U8)-Nc%7H;sG-Lh{*>zn2}kwYlhKf}Su=`%sDm+Y7*r*5VL~WM7C}w28s-tbTm>tHErC_S8ek8gGU?H@w84(R)=ld- z+X9<|GhEq(GX&shh+?{NnXUv_ISzh-13iM5V*!kZ9fNJa0dH}@0gr8oE6q+ClO4s( zucl;5p?o5Y zFMtr*PT`1x*x!@_NmcXAC**~aMwcmpa96L6+@Cu3V~9S~0PW#QF49z-x%)q?+s{AEE;xYHqm$$P^8QWP!= zd%_zo%9C-P2+oO!vJftJwJ1s^;PU?vv1Acko}Vbw&g2TVioETCJGn)oL_2pU|8r50 zJ#;58RW#Dh?v0(P`pq=F18aodl-hwc;)ZEV2iAxarsxi=5l>CSI1N0~Tm3d`N6I@|LB0B( zyr&h^t5kV=h1YnURNh^|fbykdOc%F_chmd|;swPi^zUsx8Di{@wbSLM3conrc1tz5 z!B~qerQinR{9;+vMZCofv20OrTG6I5L*d(sHkFZzkXE#*yr-bIVu_$C1QlpgktzZz zQvOuODk3V9{zR^Y;4TQI+_Xh?j%y&5>8DtdhJq?m^XL(Vbo67zRwVb4ezCsWS=Hc| zt&Nr+&hg3C&6S_c@jtKS$WOXyOE~eCHFZAEn8z%|b+l*f1(s!X{?AxHS*lt(LN_Q{ z0-p&cnu#skQ0`~usFu(M;Z)@m=_J>l0Ugy4uoe z!Zk=xUd!K4iO0{!8%KE;Z-#AxLDTX!-rvUi+jxH)@B92#vn#z|44BVqc7xz)Oiw5b z;+Z|rzU<+{AfD-l_9f!CA0U1kkN9mo;|spk?_ST9(f@ z!TuL*%SJ!wTJoW3IR<)`6FR0M>v9BHmm|o!Oj2EeAm(=1TO54AV;(U~&jA7mVerMd7H?K4|w^SE2oL|bn2q+9fKEj997h1XrJ)M0ad=MlfWs#*nm31h%^f3k%f zbae}P4}PX^37}VbNBH*!=Y`g%HsrY0xoaI;UEi7a0eB0R0z0M1ydJkp`Am@r(LGh0 zGrD>5|Dy1f)I8-B?Qh#Pqq2hR1Bt!n3c8Qs52JQ~px19TwhmDAhR=-~2S}6j9~yTK z&?XsvH8u?pZ|L_M+XkqQ*q6lbbxbJNb&TtDPXJ9zmmBN7XyDP34}}B@ z8|nGB2zjd;9hBS6UPFSw#5c6V*0~uoUz0z@)4`Y_Oxd^Uzib;2#ifDh2&Bn#q{UfK z+v8?hVpzU~{4NWZMK9#lS+ZCGg@PdqqlM6b?Z_S>t2LIb`9|p78ofjPu`sALeuw67 zA+;iMs(O=y52US7h6&>b5?82Z3r7s3 z{-zuwOdm-8O|@P)b|BqHNj631B>1TEQJNs(5)bN4j$txJLz*6)SWvo6@a|(DF~2Jd zZb-eMj5ej@B;8QWh0bN=PI^4b^tN`O?Pii=X9uI$kmP9U@VTvDgzikM1%#v{` zndi(>Sx6;&wRtUqKq*gE$)>!Xq+sP@Q&dk{uQ*{j)SqV~kj zMAZV%4GHeG2m%>ue4p#rplTuDxxwF|8uSX+7dy)BL9gR3qH3YswPg@2xZhf$?c~?7 z>rl0j?yBhZd&9bH;n?Z9y!DnVrU(e`(eGGCJ3g+zpx8O$jTwc+s>q{(6ot+bc|MTj z)EJW7zQuzBJwQo7+A$YIiZlYUfxZOwIE zYz=tC^fm8OL|sqet5Pr*L3F$Xb1`j~Dim{Z8s?(a2LhOs_pC|H<(P}sYmrwl7q#b% zM$ARsAI471MeSB&2j(IIvOSoK2*|c$E+Qb?jk$<`?5P3j4c)iMtrqQ%AWcm>?!&E^@DlqcIox zkCkzli%G?*`Iw7ox0QU%#l+jHGR#Gk`!2Ookqo8$igMqo2Kp%NP2=Gn(kR_YN8<>tI(-DE=+5UbE4muTwZW6a2S9)4U@Af_zgQJ&5sY-$AI?w^+9Vq27So zS|7&*8LN%Q!epl0Hr#NiJ4k8z6G*A}y-qoSB#NKwWQTVn=bZV4DZ3};sq!YKxA3WI ztYkg1Cxkcb|0Wtw3f62uzNILO`n7aeEh2s+q`tM2yD1l>$+aNdTPqEzozhMHOFG&m z>ZS)s>8^rRlsqipgMcgk_>2Ip1v-Os7b zj6CgTSUGdW4MQW{q9(Gpwcd58mB7~P9MPHH4M?&`6eu)2s-9xaYL*nzC)yazg$JyzN#RcfAtGWIi_6_7is}mDLNtZd#pCiGh%&pv zxPs9l?=H?x?j=!T7b=n87X?AmHW`w()~b@KXXq~+VKfZ{2(84DiJ_GLfMQqg_Af<- za*>l>GCPzs-1=_Gv{2$XtE^;JC}?x*O3K>^e^@7$%xNR}Sr_(~v=O&jXY^OJfpmz| zUz!V6p?fQ0lv?skZIAJYmOfMGHlh^%zILYZ!w$dux>3f<9loQq>x_px0`jndkGF$1 zcdJNX4_w775{f>FLZ(!O*6!nG#CSKIYO!UCib?VHh`-phnHxD$=cl_R5D6jO2&dA%y zYGr=nRmgak61ORX^g%u}G^3i#_n715GvOWsH|rCx29E`O;<K`GB1TY$RY00jmOR z>_%1v&XU6To6O(znrN_X(#I%!ymsjin%@~3R*}|7O@^|-5fE#$H)y_eCRIeAR-JQ> zs)%2v{KFYH5V=gX)tL=>Oy%d!v;pCB)rZc!fw*wxug<7}sBq1GXW{@ajXjWhKr_Y} zi$Vp`9K+Z0Gxg*@br*yM1eW(RL5qdRqJ}aSFdLFhsuz+~uFG0tBQw(y#qtfsuA!xN zg2fK&g4MMU+L+#!i9?w=*fV?~^v;Ri0YNUG+Ol@iPpCYqY9|XMv|1TT{&Xqe*z|i1 z3g$WB4%&B}+$i|l94jMK@;8}zGRo^Hy?U%P)3rOn{|!IZJYB|q9X(04*p$_SN4gMG zN>Abqh)U=7q$Vk$^wyIsG>?&aKNS4OLY7f;xzjDtGQV8@mllqUoXh*ka<+E1d1ak< zFIW~P*HK@G`1{nyzM-7Cx|+EBlhr!+232obBzQd}$eDBN!X&&AqO3YjZ|XADpfkIE z!b60UW@FO@I`voBNfE4I7k%YqX;Z^BIZkhF@&R?dGf10$Koel~1!n;zLcc*gZpf!0 zrV1peA3WkfRvXD~lYVpR7fF#{!<3}}&6JW4CQR9C?x626S!bRHi#-lZmoZeT|IwvFM z7MH>M*V36G&!}WjqCe@j6l4ZA`5dMnwv}YWJtMjco5-0B`-dVgwh~M_U8KI1BGi56 zD9Rd}Y);PP^>V*3ugnZ+`eQ_EK%T(YoYTc@LY+FL3$&n<&F!59@{cNLM>n3MOuIT$ zz3|;sJl+P~V$L!P6v^(Xs4BEA%$VFpJZsx7|1U+pmGT2Q0Y4gi<*FVpK2$OJn_y7M z{QpwTEQ7xc{;!~-`4#YA;r&;5pN;p~c%O~;*;{Itn!n#t3r6c6Fj_+klX==P_QcA` zG5<$CpzM*E_4j}Mc6Ikx^DeyD@bik6IHAd;){>HhN!jNWYolTl)VuvI4SuAzLY zJ#48!V2^W6IpnO!5Qoy^^l|bXIpKx;cx9qfk}{#YswA%YGYePz#4vtNCojcK?pXx-lg1q z;@B=~Dc^SH;lg7{CdvQ;SeNuuNJ~<$ zm&BIjm(pJ8ljLK&d8ovd zjuII;H11F8AJ#@=-#|nbbGYR@C!uy@4l^{-pX4V^t=-w-7p&7*>?Z%36o2A1RHjta zCFB%`()McCIqGE*ZIQuBj_o@~OdTa>MyPi&%g=J$nb2RFMLMQCZ28%gnUfz%zRkU+ zK5MOWEU{DCqPNk1(sRu3IVJstZIs)Bc#W?$(J`(rsiz=|DlmLuj&-i8J)T3ZjJr+Q zZ8&S@IhWKP$)Q)q-lmotem4(?=u25!z-f*EG>n^!ANP1Yj7)=UXRPr^54W4W8&B9P z`o~uimvPJ0=bcG-%J#c&SS+H~r4A;XChxcY;fS+`uHuhSrkSXNNi)^^u$wbLi>>t zFqhJE=S&mjFN4fM%w*+4$9#*m+SS=g3P-5-up+p=u#mnTQhMItkxiVhI)*rJx0{w5 z{iAY$tDm|(>Sa{7P&-K3F5{&5XazA+UWg<*5e8hp=B^`(fXnYLLsz@?2H0Mwl4(ZkfO(gVn(%!WNbZ zG7?Vux>I1eCw&9kzN9ifdrIoN4!Z@r@szZA{%YdYk1i_DoV}?0ZpLi0_Eeg@8iyqT zzW+;V6X2f$@;x9!aBqZL0e9j_-&UNh5bmRJe*yQ;a5up{AMRwhadg86Us7+e_9FyO zy~UYrX{Z}k5xI=$Yx~eKt1hG>W*PmlZZy>HL)_wr-hAlyOsEr72-hi(IG1&zSmLH_ zjC|7|wM_rMCCMIgivO2txU;ddESJ#84p6UlMs-%@GP1Q3kW`X&qIxh}cg-??h%z8N zK)IqlW-c4baw98xUbn!q+&Qvyc5Xl;VtiwfKbbPbb|WnP22CqrraRN^Ti%46Q45{J zOGGvu(%ZBQm|7rR9;|*WR%lP-Ju@ZB|~k3ZNCiewNxt`qEa?xjhh1 zwP!4SikyKdO@vpFh&dWa^=BTos1%Hxf+p%YYfj0mCOX~VZQR!C<e zH_7NI3d~qgQDZDHibyPoih5t>TkHPyt^4P7t!E|sd7r({Iq@9MIeWjw+RpPh?+tt)sUdW z*v_vD=`MP&66-J}d(zG0$q|BNwN*(=x@o;+nKr21uEBQ^FHk+N>5m(1gT1ulNB*{(GMg-)q1(5 zBFE0KUV24~<}wPMEs;JD+cWPj<_yI4#MoID6JvQ7b#waSgJ4!HNhT~bnkhLFWq=1XUJ-qltHgM@X!!g(!_Ke^z${qip*3(9c^s4f*R)Si>QLz z!x7!Iu{woam~X2=g2)RpB6^P1C~U%fS`oc)e>kt3Io6s6L8h<-}B1dogMT1dcF?lNlR2q!#&OPNUdWFS;O(FXPt%6&%>LbjhjYtXC< zcMXz*g^%r1IGU5Nyx(+DV;9OG+do!_*WvvahMC5QuPY1nOe($6V2Nfg%~qiSRF0LAQ@)p>dQ>#Q~ZS1I+B0#C&c-4{YK}{_ZvN1dc@@Q6!HPf z00l=($j~N3yX$rg!TV+mp}cmN+eEnSg0bk}`aEuMz4m%hkZKR$Z@@Xg2Y>`{6VL*9 z1E>c)0wg>v6s!k)23P=^V57ExgRlb!;WG<9yJ3SDVS^R0!J=DDkEb^*`!IyQIMiz~ z*%%Qr7W;Eh+4OZSa_cJ~4n>5t(YF>qP%m4zwOA&inoA^pkpX&FhHsalt2!}pVY$UT z@pD-R6(*RfWv8i7zNI~{Ai$C+GLd!G8F`BSlF92#Jt0@;e@=l9&K{e7?r|Mz#l*fD zdAWL@frN7GIOS9nWW2F+3Kk&2(Pa04=?d{G*~I}%OY&CUO!>|AlWdKz*7Vo?&4Mcx z$84AopJGY)&flanNX)$p@2k$*k|eC1bEQG7Bt~|aiMDgCgh+P1wvbCJ^o~bghf}Xz z9>|PehgiT$E9q2NH#ij|-N9YcrJvB?J&HOZ+5z{~fWDMZh!f&8)xWywCELr84Um_# zKzvE{5ON7smQfnK!VQOBNierrnz#QxXStRfZZ`M5$wv6z0pPC+;xxtDY3-qk>=8sO zZnMCPom#SQz%2VvoOw2V9Inzg+AG=R+D%EwAj)@v?V1coC$_b3;a#IMYgB^!x=6T8 zjf#7YnLm;|k{x1FJ1FZTcVz3~ecEiMI9jzp=ifKu8C522#$J)2wfS78!u45%b`jS_ zu^`CklJtnu{%bJuiMn4K53k(4Ax?@oJF`tMui^)N>UoV{?;Cv)5hBl)HwiXt=E_RD zmaDeFMcvILg*nv=Fq-9BXYS0h^ag|jzCaMG$t@46Y8>Y0i}n-6DWw^wlyPuXpHtX%(pn9+sQ1BDN-A5BZIMHH!0Xaw_9bLP z=J2;FTUb#}9yCCMUzZOo;Q3@ik&l&Qt%XRm#8$Og?gPusNJr5)T&0L|mzjbp2r&~Y zOv>v~j^;N7*zj9`UI7*@%~ImHUK6xShGWMR_T{dl2GMKx8vLO?1RnqP=9E%C9wrGq zM$t#s)FO_+jcn&I>d)6dk$;Mx=5;Et^KoBe*d@t{^F2g?|3|y&)REB!PbViQRGfAzIrk^$u-)Y#@ z`^0*3_tn7khO2=S0imI7P&_z}9Rasd%&oPt7_T(|jX~SIPSm2I9PIs7r$#m0*s1!pE^!9 zUW=HZZ{9jqF#c2P7hpbl2!m*&V+|i%j~|UPAmZs!NdXWBb0mrz0AVmoqUHub7|e^P zW5)@>nG9I^jCRoA24}gb9i}bxJ943*V*7kSE(NMuL8OZhCCCD9&8*BFy zy=vbgBDLQV?Q6Fdr?wY}@3mhLPj5#`F0>~}nm7@px17hMw@XUNwj2T_;(Cr>a7>(2 zFnh=;I9BLyCM5N}`??P`sgw7Fe1K%TW{!s70y*bDY{P8Ar8BtjXbOGt!Jt`!i< zrQ%?k=^IwWJ9~=cr^pU7Z^c#dyfjC&o_eYxGt9CTpTJwG*{hwYCn|EnEb65NN()^^-|R3< zrer9}OK(`{lVh|B3Z2C0rxilsi+51$E~nFUrszRrtbXRO%QwM6RzzRMC(L5Ct?X18 zVbB}R%Ti})7wLl)3p$a-l=p&Ab!6XyPRuCry>L{AEUBHqeZo2Nufh`Ei3y`YdN}Hu z+NtF1g!w0OlkmGbucVu5=zz=RVKlW`XFaJb-5gaV`c0dppI$cK98*=0fM~qYXc%^2 z7OKp1v+3BnaiaFXh==n0!(`sjxf2GxAjknhXB`MUK(Gb``$jw>n?Q65L=Ql87DV6j z0m}gJ{pnN(7?HXgI(b2-4bbTjbhru~_<%3a={*R;L0AqWPcT5l1<_s*o#0qgUG@um z)uv;Y(@oIQ)I3qTMHglgnyJUS{T{^alY^yBM2U4Ab1=Sn^)iqey&oQIY zD-cUG_sa^FCE!;pM?7>}>SwV#4{65B9!Q@ZSt#m0A`{ssm8#=I5*u0glD!PmnG%ld z5Cc^VNeWgbp*x7nR2FhOMb3zME1m?;VpfXTDd<|Ur`D?7OfhG~^bTdS;3GujRt}go zxVG>E)laoSN%jr2aiqKWhss3mR!FlnxGq7$f+mhR?=B)%60LkL_igsGMC}m&5xE#{ zXx^0u7u40-$bQ^iVl4j$i=G&5XE9E`&cDVYw4Go&jQGYIT$K=_NL;ICM!n#JE~xE1 z)4qmO!24S_KXG9LN+SLkiSIWbVZ6Q}<pI}n z3d{TV@^&d4PFvkpnR1a(hM5P|EYiI*VHPrL>`WnKM;Xs+95#3@s?38qseDmuUcJJ4 zKK>GKu5J=#ws@o_eB52f_aj_HGgHS^0yUJaM7^^PBl{+~Y zz8CLMh3dFt`^yYFJsOREXp&`T%WOK`8WCqj6u6tP#{^|2VeUETURcIVmSfAZKcT85 z724nW9m5z;4ZeV9)#?C)LHbivsg$qv)jKGXJF%lUN8V%bzsuJzPuUv4Xz8Bt27uRw zFe)p+rKOu{b1&Ci`o^!T@{Qki;Yy8h$pxzQz1*F<-uV5={!Dps zi?VMwze~Oa!`*{1>Y>xBl&yL~v+sTW3eJ|4t?eEy-2-;_a*M>!39(PTC^2dhc5TuD zfCs=nO`;@_Y9Ohd-m*36;2v`MDVUhWxhgj#SrrkEDHkQ#6p=%PyW|E%xL2x~Nr=-V zl4?bg$!XkG!7@$=q}a72OJ^y+a1z?)q@c`L>-`f(kgr8Hc^3yLJ-F}u<(#eluq5Cn z%FJtMhN_N26(b3=6Ssr+o7`an-WYO4=)5+jUAbGOL6d~8iq#c@@0a;gm_jPmv_rU) z9o4BG;wN1C(i+Tj2q$>qx|FeVg{C>g6Z|1{hSnOM9OnI>Cx`3mjaoSS!Wh1gMqL`U znrkxb>WhMy4A~Ba-JnM+x>U3hrUf!fqzcP*qzA$~@)^T08#FGTUg-6R7p#lvo7aKz zVTG1l8#Zbsh44?Y3rda+8~RcftB&dNOR5$2@E6zMHY=p0RY7R*xgreIEY=2bvCPy@ zhVIhMDzQ9-nKOu~BzzJ6sr#*OPMD#MBvyro=e)=;%Qs^8$i;f|W_ly)0;ITi(uXl4 zI}OGuegYdgcFMK(9=O)FKm>*JH^CEDL?YYVV4pfmCMdHdib^AAKoxj8TChhKotRy2 zB#~5QmD%kwOVYlljr(d70vM)UR4Y_&IUZngQFK=67C@Zz-p*E0=ts2Dq@*!TVcWu; zh+CTUwv?Sxfu9>#_JYMD?{J;zYw7a5);b4NZVx(86OwnO4tZR1IqzH@%-wy3Q%c_a zQ+E~c9e|l~C522$aJIbylLXGTWpIj_mU}M}V*fk&rp`ELxDaN*SqHC52O}fe;p@b7 zXp-Sf0~BF;rO)8qs|q^Df;u_w=`*=;8ANYVM+p&tw5o{}Qy6P27 zeVt_Dvb`-0DUwXt0j5!d*Bilk&0+0)E|t0XE~Kj1(#Nth`Ez4Ht26Wd*&y_bA2+#q zf?|yh(FFa6j%(V`D#p)}vviw6M%8<`qVpiulcAqh;+v`ZUARl~rZ;^jOQ1ODx z_yQhE=h^1}>%KOtAMJg!%1l-I)x&NgJfhby;$=Z{9=9UjsG=usEN^bbYt#EL3tID5 z!Iz4gz1gZOlRc!A_x<>1s8SNPIB)n(;Wm)oGc2wf)k zJ8tH```S*Km=!T@xb@}r9BuF9fZ60epu0aV@oS?;jISWPpZy(~2v3!4c0?jPRcbW0 zvfs;K-47Y*p(itZo}!nj%@Y^(7`|ei+K>{#P2SwnG;DrT+A0$$P$|Sq{CT4-4h3G$NO!HS@*lz6XWIbsE`GBh8sUO= zNo`qxMT66EAz|%e;a>Ipk~O|-N+fj_@g#8e;aD;k^q@{iH)_oT92-2yyz}bWB^M{i z4RkVpt)^5Prk_>lR$(wC)o2a%bVZ^!f+9Yq<+Ph;Lo{t1B)K_|ZsJQhr6h5tD;Azc zq=_$RSLg|fnA6Ay;95Pi9g*GSWfTN;H7z)VLg!%NevVn8YX#zrW>H_vq-in4pJwT8 z3n8X`Tvyr`HHnxhiHLI7QxtP2EoKhUFpJkzmmc?hO~Zsp*>|9*!v+RrT0Vn0^iyy+~3*`@B3^qc<{5ur#s)!qqhLP z-_Q;>06hShune1;uw<1RIFK9#*VVCFu0Eh_cDZRIQK(Gj_?Kmx!}N(CyqU#~HB6X> z`E3_a)c%m<25NzC7uITw$0SsfZ=xh^Y5?41|KvT;r1wF9{}1q2gaH55A~9sjFfqR& zH+^~&a0l=g;I`Zpou7ny4|(q27bKw^mja;e2yI7bH$l4z+QM_qg5D{dZx*OBQdGOW zTeMQZEx;-7mb^l8s=v3?b7H2{liJ88?S#$5K(`~%r61&VASnWgFPNLyr1@ZW1M^-m z{{`k6Fs}f!kJPgcdiQ`iAI#^${1D6`fCi8hgTxOcFoHKo$RK$Jke+Ma@O(WSNLR1i zv|`EtJ01asIOu;2`ksTn8_B6{G^uC$0XFG09RDJatOWA~Fed=6fMg3uoZ%Q|NIeJY z*rXzm1sD8dd~xYN#ua}Z#~0l`j-RYwKp)t@fIi`KFs5zR!I-hl!Mn6oMK-FYhe3kw zbIXmkLWd2a`o+5c32WUV@mwc{r=_8W<&&5V;m>N7>}WG`o;W&kc@oqZ+95cn^G}2_ z*`d;JvdAEVSDNQC0n;#rXaSx&#AfeGbSi5}o0Lf&@vKB%6~9o8>WtcUFil20;?b#^ zlX-sq#1z5_;Wdr5>}R2Zg>bksuz~8X4x$_PDq+)OxgP5DWs#B2jW&z~9kuH~=t+V_lww86* znLNdv2M4lXs#6zYwRi^jAyYc(ulc2#a{okcgZJWX+AVsYiAZllN6C9-EF@TIpFot; z$ijrRw-CZLw-8OQAVYDl55}B)OSOA&E3CA-PD~4-kL^ph*e;n4uTqd!EQ0@>V^4Ly zFRbPusje01Bbpfhm?Fa^ntcEGBE$=gU!GvV)J>ABa%s1iPwwV>a6;=mD=`0n}nK$L6bo(F7!2T21v8={$<#^Z@-m{ON z5I=AHgP90~x6Cwn%Y0u%S5}VL6<0p{`woJLPvgsVD-!2tn@OZ^!8zTo2E183xPA2Up0^};Xw9#$tGyciTe#07-VLC2GGw-$6SJr*CnRYtr@?{?5V_+xU@@425VIaM!yRsH<(KJ8`q&jgcS z9u;p-Of;VO`q{iYCg^8G`QfcEetq*I|El_>n-)s?N%QT?YMkCtCF>B z?D&A!p1F`6GVbm!gS~~2q2YS$ow*H`Xvs&qrE9Cfd#Nog(Cbm`@AC$&`ZN3Kdltd_ zs<>>LVMYd4DJu_+E%thLLi@2iklpD;nL(xf8EZPf#~@dN!js5xv>9&qW8~>YV%~u% zuVB~A4;S&TRCC%xsje${{qkTc-G=1L+v}}%buMm#zb>nl z!~oKK(s@-!cKOufe!l2(-tjt2zW8h2hMzbH40EodKk9f9f?uWT%qBvBn=$!i7Qvgi zSb0g8-!^B^w2N>FJorM!gu|BPp-spLNn_sJuD7a%{kGol?(<3))iyiKd=b3+cd_ZM74m6hb%un&!O>{-BJ|f5P1@ z{@o0JkvuOV$!^4No}gYH#Eh&#VPF+MN*>ni>M3|6pTqIBk96Vp;qJHQ#) zKgs?s)b82R?pWxwLhy%%uQg7BRXt0zg~nOSfMqn7H~b^8eg^poD`DZiU5;nQ2cZ|J z+qGq|((V**;4ay#oD1{l@cJ8%KOs!k9FU!4+BUe|fhW~`tvMILguu8vR<*qInq_cj zLow5`QFjOrc=@`SC0&J=M|n-6gnqIVS2V$hLsQ`2l0NZY6OYNQwb7LAH3!u9(yA4+_OnE+Mi$UNq=>|9&36~D4G0jb33Be`R8SGeeW{SR^RB!wbr_( zTex4@+b4#mssBGrzs`bc3GSt??ELhfTg?PJzxF!Kx%6v>@xo(sp4a}mYH(xVmpPC4 zb1%N~*W@#1*SkBvz9u|;)%`Wu!6$X%#Li#c-;>?ORz3MO6tV2VFXIbgi{Etr`p4~O zX!GCggTLGdtRmhN#F747==S4!?(o*CQ+GdL4?U^B*pv3r*57rrkAG?p>YsCZ!++qO zO~2~zpX_aP{+uiFJVcEy7%zatX%0QN^DTM^(ifJfhnF?>rs_S4=%|Za?heCt@6p8F zvlb5?jyx?2B`y_GN*TCQI*`4alGRViD)Jy4+WS^G&YSWU)(=fp5D%Tc&z_d<6Rf$7 zJ-2x0K}V4f_oHyc{>5tyXXW6elkH!MulxaY#hq5GBI0A!@n7$JE`QCv)$-z3XL#u^ z$JuGEy*|INhfm%9b)xUy^w?j|wzWRm=T)BY!)x8=-oJkqEWg?z?aX*`O3mGM?lk80 z@V#=+XWHRalQ(Ot(}=n3BlPWmmPn}N#Z?nCwU!AJ$|GDtga);&-S-N! zJskF7d*Q$8-lS0VpTqCf$3bv#NfRkcXAUb9cM^(r!6sIA@HtuLCq&QW?!?D03{OwY zvSI0C2Y<3At)IvzynJ^{yN|3VhU#({w3gq#ocon@^*sd5Vd1UNM{b4ecIHnZ{^BuhG~;t+v)NP94oJf7Kh`LE{M$9Z zH$p79l^tfYs}UohWi+hMwh+=TYC zYJFZa6Jbu!^Z$LIbMen^Fpm)>E9Y=Msjj-1{3Dv>c{@el5AA|EYA&r<8l2OBauX>w zKVoq$>s)khEB9(P=1D}G+ZT|Agv)h?{)^Q=9_NFP8B8^AJh;#6_ne{)t+=rTtvG-8 z+!+55fFB??8$}i=eten@9%hTwi(4q-*V<5g$oZc+9R?91#n5LCWDa5I?hnMVY}--> zjrWTchsM|RTb{;oAH3TqN2a^#UJssPySK%tXLUXR_i}gqrEfXzR|KDFqsCoPgN7C4 zOM+drQCipBL6|jHJe(l+gF~&Z@nsgfbnKJ}?&~nAvYIwp(zW=LQMXiq|K+XL*=`s>?)b_((qM;}@KBVRBe`#R{d%GlM)+03C#V=PS;dvo? z&w<72GatU-&I)I-2a~#6hB4z-+!sGq=q%bUiN1eKqac;xwBi@J(VZ6KB<`hOKh#(A zwg$k`g8p|NQvF>UiN{(o=ad_-5JOJMRp3{gu3lpDMwFm(rZwgyrj?^DN&4NwKh$}N z3Fe5;>@ezK{)CmCukNc!tmv?I4!$a*kkN&X6_?pW(*q3cd~}B`c4-(TJ4FSdc3yw- zUZ~UiSZYY#OL?I(p*h%c<#opKR{C|~y)XkL5$#B9@H{R!PHRl%*4J6aQ}lDBfiMYl zybkD2=hRGx`(zD(KhXDeATdGj<+F2TDIr9t8R12 z$q7WZo9MxnAuK9b|n`O|Y(@yU>GTzU&KCAtI8H)cUTMomEPKdP3rN>8?P;yG-etppGC;F1KOxa^kYc*R>;Ho~yCv}uP zXCDT!B94fe#WE?mB#Iq`#MjDMw8r26fqA$ulCr$`)k&6v?z+n}zeo+oc%tj?!x)~t z9jvfpL%$`NgwZ^OvFxyiLjmn(W!Y*ZuMuWm-PvL8!yfIJvP|`h-y89%s`E65FP|C| zR$+d+lZk$!Qz|LV!Qt~B1-a9^6>5BzX;U2g?K(Ymms8G)$=db!s#x?hH zJ0Q(P8TI}_xewJzcOYZ6cpThn5S?`0jI8&P_&k~DI`^9UOyL)H*oC)9$im0aJ%4p0M*0$u`Y0Cxc$MRm%ZfF8hU zKvDZvli+|PLJuATi}z0c33m-Ye%yyN`|ufI_VF{~+3UAR@~gMVu3HZ<j4V@tGdFqC(5@duK>OQwijJg^*$^T?gA_T z+y?jna?d?PXPtkD?#@4NadFvsi;7$Mwne@9wv&x3F_YDR1Au*iL$`wms&8))Z{2G6 z?QFg+5x*K9McAkeNYCXSjt-S3fNLwUh9Zna z>8+a?;h24JZDyDwQq`0^ir#e~wH)SpqhTeVIsiEX$BBPLr3M&|Ko0s99O6LMPV_wu z6>y_ z2~)CvsO=IHdZ0*k7`Vh?c1Te$H3V+0`-R&fkHx&xusQ})L+KVa(&<`iJK4^w!tlCy zy-b{rt)$p0P2kFs55VPrQ27@pkjXAWoRH*3CbyFs+$!MNd6m{&Z_7+B!W2Lf*M~Z6 zN#z8g!Rx2tkhmMlT_GF%Xt7+rDB+tQk*#9O4ZuTA7{@}DoNPAsCG1naf^5jMQxIt| zFObSfE4k2Y(Tc|iSF-I(4l#|g{oV*(>k>c@2uVqp;qKkRfxP^lyL%^fr?wz|Z>qubEOUWZ)FE-g8)FdL+eqAy7bRIB8Eip8HW zrJ|6iOM@;j$-6n~%jq_l>%{3guXrg{%yt)=hWltOYbp zcPQTtfOdHepaHN4P(R(l{H6`K;Q)GVu;_wanCNqV3jL;V{!igq_&l8PLe+L!uYG%3 zpI3Ij%%29s9+>X16S~EKdd zcit5SyaAufgG2)P;zO#D!DY&j%eQzl0G9z90bcy_99y*aTf~Ww` zD~;T9B54G*U$i3cKS>5m{7yx zwi3+^pUB8I4wl#|-TGk-^y+Ky!HMKg&gD=LX=%Wx}(wHkY<7J;58361jTx33|WvRMm187$L0 zku?mPY#@K(8TOmiP`(IE;PqEqpdfN>nNQRP+7<1tf5Y2<$(aGuR>EWDXI)5N z_M~MoZdqLNZAeSBaKt=QY}f}CLRP^af+Nkwk0kSChnmeFNj+u40n|F;)v3yyC3^=5 z>&U55ly=InXAA$J<|!=J*bjP+BQA+;v>V#}6wxD?E8v}RNbWZ5YiUp_MnZW!oFeP4 z;Vky5k}4+k6Fe?o)8YEE?xMbcSKbeJTIMxl93YisG?bc1x3hG>$MRw|)mlhk5$0QI zm>`PL&gDYg?;vynJSqq3(#K9SEyLXFc|#h#7R5CzOKLFAv2y3v!t@u3YdTDiho|=A z+UZ=IGVrtgCJBs;;JOX_-7#D(I#J|K29IIqHc6#|5AT`^xv$*)O z+-&o3TrCf)Ii{t9e@A+@WjH>Km!R2~w_9Oa?$(NKlCD(daeb(?R%EW|t*TZ&V`APQ z6xt!@)fJj_k>Vw z7r+B>60rQ?Vcx$0>xVVG1DB5oGXM_(tHJ$k?G(Tj?jwADgika0G=tA)uPZIduevO{ zZf&tGZ~7iQ;rwM)8}rMmu_dFA^X3AU12O;`0c^lBKq_E8U;$v&=wt1P!Aq(ufNy~9 zfZofig}VR?I5P*l^LaiTw+VKSVV0(7?7u7(Yn7`xz5~9HgI7~NwgYnT63tY(P?Ysj zy3q#FdtLa=SJxzjkTHA&PY%Tz-71VU8mq+F-Y8eiw8VMdm}45##F*1NGiJ5_S8(YL z$ASTs8aIM-htqw&gfpwzC75?pSCGgqGP){SkeAkOJ>qte_XMWIvF)T0j|x<(CK#r# zEK(q2(^Fk(;^IlfIDV_ZM(5XdcEWbVeFN_Y+njNa$W|i2pDmGy#DG8Beg>ox+M~@& zGQEpGrgD~>H$#|KG!qPe3%PBf^Qe&zyR>iK zASzSpAls#|9b{~Rs1k?1_)muKG}mOpVT+lP?~(4@2*unmgPBrH6h)64_H;mpNMm6Z zI;rGTnfaib1Ll&{U1_hI+qWPLHA+kXUpMQL+A{JdTrc>#eUzOjLk!Y$jIMzzU5_j^d&kA?2a>v?+F%I}2;Gb?WR#!#{^F{OA!Iy5X9(-9kk&Wc{ zf&z#iK3#?lbAJl%5?Cll6b%ck#t_Yq_LC+ky-vrzN{8ilM9dQ_XCqVD@fX@I6Kog8)3pjWV0H0a#*$pFK%!iR-#3C4Ra{m*j zfyO6L1{-!U7Ivi<2Fiub-OwTQobAqsO{N=!kdOpPByAROrU) zQZ8d4+73NV{2ZB@eBOrL52uwsjYpuaGQJB6R5` zGNz@SOFb`>w!Lnpl*$QDSL4>&YH5#N?N`Sij zcWBVr<^dr@Xw*O>1}s(N0QDdY@-bklK>|wySlp%>Q+))`AV8lc7Z`de41EH&6P^ki zO`dANcET4!XKT>+P7S*s^yjDabkJvmWC$e1ZqO))1_e5IC4!y}dOz55xft|upwFF> ztN}^dlw=`DQbBTdO0o+iE2kuIKwme3C46MV4t1ZoT5#~J{9u6`MB_O#~^+5E;Ka_7EKr?Sw} z$g%iQp7sf>vnT`-9qGUY%kdU=Av%-yY_rqHJ zk^MsU&P+>uz>2TiL`k>&pi$w?IFm%e zcX+zd3RAOLeJ#I>WT|uUQKuHt1dEQbjn3Qz!M-VhJFW1-c`)(;8$np4Fpn=>|QGvt^)ba7l<7|Gy;(d%5f`D!FDcOf+5z!5L;MAXI8-Y@4SF)0_9Io zP6wqW4&N~|k=6Ic2~?RtUYH`MHbSQrt`|Y~#bAh@BH_s&D(e=e=EAR1@l z-h)W>{_9B3RfLdo=bZ^oBvFgcl@u4V`_SxZWYFr0-_Xt!>90{U<1G1=k4&j zMF9B#$Q(F7HnBnF3d9ju6z*%OhC%w_C*1|+N<92;E&?+X%x*wlml|gtfftOqMorcR24NAQ+0_c(0_weg*^a7>H3go!`KT zzy1WM;Pv&?RoMAWQ)Bx;_he8y0GR{hevueweul%mk^p1{kQh)p5aH*Y1H^AY#7+@Y zzxR9~xInlA(MYU5vni8x@r^b9VM_sf>8VBn5II1gfS>@8V+BM85F{YNY3EYUN^UH= z=0?lH7vDq! zQ3XUe83+~-$v{NWbSX_zQL+UYf5L`Fi~qb$#JpDvWUd%U5|C~{UI4NL&uzhjfzDQ+ zjCtjO*?A|~lDhYVoquq`jJ)i|HOdK?W;WS)6G8Y`gLDJId=S*_0l{<-WP;!m2&_R6 z2!ebN^nhT(4UF+%90cP=Fn$Ch`K1TOy9bO@Lnnc;6b#RXJTQ~HPuR6F6J}_ygW46y zI8g70p}c#OEz_&PI1PHFLXS5fm=1!KAgH(r##%6jgE1P6y|MVpgayr1Sm0*IrVlfwB-xqfV>E#3dr@)-4Zr((u=knZnj7N`VGj>K)#XA zsSkpU&B$i`{n8l5q{EmtQ$x7Ymj68m$U-3h1od?hkW<|oVCw`RUw|@xs%sLcT!7d+ zMZiX8z>yq12^(1s-A=#;8l0iq)c$1vQ7}c&foKI{BM`S?H||iXgDywHIJ03KDG#V>y7mVk@NC9Ij7(<}fAPt5)1^c-Qh)Yuh9Le7cV59M% z8U?acJg5E>{0Nt`p!*3>&I0lpj5|uI4*J&zx;Fv&14u0VeqjvS@(;U#_ydTlDZ&$o zIv`#EkuXJg08s%Yk3jeV(K$879w2T3aTbVAaA+IhCx1B|_UQrq zAAEyD`UI!NzkZ8%i)604}H=I)KZtYD=n8so?zBB{UkNt9PmlcqnK+XqpC6LddI~~+9k{f$> zP8of`7{h8@dK!jefc6*2}Qh(i@C(&$Nkbd5vOt073m(dA8nqYscmk6;Ly~uDAPkfSo!^7FawO%&KX-0!Xo2dP z^COe+2Y4LXG=zq|6cXFrFsdO|n=o8ygv3nsjuaFv{HUj&j2P_O^& zNozgzo~FCn&*7rb~Zl;xOl2CAF$*9jPzz6HL#fMbY&(%*;+p8)=u8+K;vK`X+n< zfqddJf}J0lM}Wx(mOhv{w-Xr;lMl)2$dZ;aY!K9m_e3=z%gP>wJy~{l-}(eeMWC);M&o(i@$%F)gyga_vzXf zPtC^n*S^p`mf+fbpsSVrZm$lkn6!`t8u{M zjdYfbKVW7f*#hAJSQ{x)CT1GfOQK~5nCA6Tx@;TMG*hxfwvTC^W4r^Afa>H~_Pr)*| zRl2XIkR&%v_h=EM%5BnpT7=W(=yb0Yg7tE8y59<+h1_xg3V1G%I}CW+@OVnRLw&e$-h^WObq_>aALN8OB%qWzXK`v3kB2R0dCjlHsjTGCUi5 z)>?tj2`mYOvf+!MZ1_76g#N#!!^W524&EZo15tZE0Am38FO zYCH>nATp)ennlQnTvts};~px%(?UfaYj{{zJTC4f_%#n|>D8EW?+=0jnxlyRL72co zjGbf{>m;+afl&XNz*Y{)86rOk-$>4!m|X)NoO_%OGa#ks-&(IEG-NUrtY*ys?_;c$ z)D*mr@h|f(g^(J#CW&+ucQ0~YlKoMu>5(gv2uJZ3A~Tb0j}q2LZc3soh2_MU zB*&$&oS5H_TZ-Qmxu~DIl&~OjLqG8)?sjB+zuikKpUC2VtC#q9kvaViFA4UM75#W3 z?oeb@zctb7Nn}#LJ&`aglG{(H!Ci}-+izO~Wuun&LrB1n$c%o+8UiwMV*oA%CyZos z?N5_Jlryh@^H(OmbN;SI(N2xWEN-k8PS5ebi_=+cPolDEb21CNcIX zYCY7uNH~i5%tk}?3L}d@N=ECn)z}Wg*Qm`&2J3LBsMsXqbynM=3X+W0;n`7XN#^U!s$7R19OC+-Ym8?7 z5oo$e{I~291NrUu6O>OD34X)wH-$gthEyj{0bg!Kb@3FceMup1jc9P3)Gp28xE)jI9uy zl+UPxaN2+6u653t`~&job*_(ij;t*ItPbQ_)@J{-bp{`ye9@6%qu0_qdHi8RvZOVy zdKg^+sq}jfhiWPP1aI;+r4=~ylC~(P^;5hlKa`ThfVOEV=BG_b$Wzf8<^Q#~xSM{~ zfOm`_SHoyy??h+8V*i4jsK-!OJbEW4RAbZ@H{YlmmfcDNtVhVnN{0Z_2!Ze-1M4Fw^S&j2pj2(Kl20zxsG<_;w;_>UtS>(^F(?m}3Jdw^tFL&|fS80~vva%6ebt+__K!=ka zL}hc4_k>MS|TZv)lJwDDf%drYJ(bLE^nbOE-}-^Xc>!^xTqWw0H1|bD~o2Q zE)Jne4w}KxG<$`75&d=H`MJQUf%B-r&bNF8^~Ap_ z??TfDn%Gy!KxpkXTT*!F?n z1e71BxD3a1tD8_;`~!a(G&e#Me-pC^nyJuS4^6^NC@KDdzaE-f7>)z5gnN&@&BYahIs~sC~DCOKFT!Ym%tSNFp{6G)hOf z@g>(7Y!&a(b}~>u$;VWvBy=`pGjL6UBE_#%q$EVPMXgD;830>rG6-Rx3zA5KR`-;v zV67ZKUAZ_3s+wLPN7w?4y?Uo3QYQqEh-% zEW+Zbb^R7<+!NJzIY#8MNq}Yf;o?4_9VOvfdOxMy`x}2i9wDNmc?qyIcamzPlc=p~464bfL4IXz+?|_ZfMT%|6H^Sg zK?&mY6a*UrW>Zp-8rFIU|1)r9El6B=*YFsWAkMstSOz7C7u`j^f)d0zK?WpPU`h&t zrKu%}89|6tR&ru$5b_>tZQ|k%gXyfe#N-ac3#^riSsjS=tgOV46!W`gB;@C4O{6d} zpwA!5eVa*dv&q!wDSrsX%Q}ll#(&P(Rec zeGp2HR9iwRaU-GH*FSv{RxF>X65DOf37eHA?UbFk?~s)sg6d((OVT+}eJuF_I)AEH zC6B9PQ2i?TCOT#vBOVe;xYT*a^F4Gyb)I*4%XQv$zIXW6I;?;4q)EQGTZz?$)%pBH zuT`V`)3S{|s$Iv9D9m5~KkU6}RMS`cHkvt*gpi3OGKMhEL=dAQB>Ib>s3bDaF;1vd zK~YgrGpJ-h1x2Mw6(cGtB2iRqsU|g1+S&?AE$w49O{rqF8Z6bcMSSl*|Fh0IAKou# zz3Y5CAFl4-oxKUHwmUofzOVbb3{jx_Nn-0)%Nu*B+Zj_qoepaMk1z(?M_tGx5X>*> zn*Rv4i=AQHx^iu?W{d zpQMO|--HM%^H{`pz$q% zA6s4kjyi+zy&kEH%sYNgBh_%Wx3#^0a?75Hqlqavx{XJhOCdDcXfz20FSEtd7Ep)- zHaBo2_I%6<63-WqYI$=s`LM~P_4oi?m3@i8-^yl+d`*;E=)=ymk!X1xxZ}DU-m!d- z6wYcdjWlhQ(cQ*qTOw!4e7xP@2n2Gfh{ux+kF~YwNd+3R{k{C!Zy@gWL`sd z8|F)7RYP$bIsz$dSk#6+i)?8qT#8zW%xTD7ig}JKZzx@go`mE!$d+P1Mm9DS45JE= zg$+5wm>-Ze4JE_qX-H{9)-d)uvb~{*h1!gW8}e9~zmUZZWh^uvkx7Adu?wk^<~N}v z$Xsc56ZGh=lomIkLy!XLq9*JqWV5s|8(g6z(%fw5x&J?N6t`~fmyUw~D7n?t<90-w z(R#LrazqyeEvuwL?P`$Rq!#LYTCeng%yv=hg&vUEp3(Yw56En9Z9Uloj^f1D%QbGF zYSTdfhw`Z|to5@R(iZIwQRXORjB!uAb`j}w=TlE#=H)Dw;=T;5})>O{`->*5nR z^2%~AE~5~?dv~sCF@!Y`(hKo7JTG8^W}i2=_eaK0?S9Luw(|)HREIxJEJ=$$EhCKTi zn1_csv-+5>X~XX0j5j!TU9u`9FjKy=kD1SYgF6JF7lg|o^m>EKg>VXlA3?}@gL?>} z2ZT%dm_w{LxFiV6;4Kd|gmvX4;{Ssrbd@9$XCUORtZv+Az~4Js?y;Tok$KGk`z26R z;M(;PbYe1XLex33o3=VrKlwJ^4s;r7)+e{Y7{ zR|`3dGfqDp>q?kk;>>IQ)LsjC9lyb{3t$7B0{jX%2>1?g1@I?e58xZX1;FnBKLP!b z*8%fP!K6o=EHhT%l?+12K?1*Ic7mBHU?zKA1_wl<6Z~H8*?gVg_Y!AIcFr4joACO> zvf6~|rIRg1Cb#jh?U>ha)_hTR;_Le#UcbATiWA{Xo(R}E1XrODuybHlxglP4;>0Q( z0*(!oDoStMUQM$D=MuGmTU!LQc6g*PR+#U=SK^p&RYHfSQ|DsVMowU=Z0nsL*PtD` z!}j%~BrE5G8t0hen$?Rg(_iDAaCrtZ1e2Zrr1gTZk^qE)vCa!xJj38=*#s^XNG)Z* zeu($67P}wl$)wSU^azJK+mX;vXvMCyKI2``dfwOJc%S!SCTlx*C;JGKbuW3B`_PxP zExhx6_)EG+ywCcu>$H=3XTr(rbnAH6@+oh$A-s$Eq&K={yd5<=L}(L+#flOKnn*16 zc`@BYV0o<;3rtiN=Y`nagl=N_h$BqyP3)uMNuyEWQCF4g6j9-ES5+j%jP~%2%6W>g z_UMhONXJxZ&NxVJ|KIM!%eAz2FFtNnottWU;H=g)5OVmq-ykGFxL8XoXYp~~5Qajy z5<)f~cLYKG% zrYh_hZK^o4iaf@+CSF@b9HVa%msNR=G2e-IfWrmN(;^ymqcHY?=?soS&$LJ(Clz{3 z^>g40Inp=Xm9o^Yo>D+OIqRWiq+vsRD1;sb#9tt+8KusryuLYsIbm*v| zoYsfSk7!A!4dwFPTI%xMw=m0h-NI~ltHa-=0^9)}0CF9EoJ%D)2T;lRGypv3v#4ZO zgfH8RK2~cwyTl z*Q8^T^lkD3>4YT1usyF4Q$dfgfOC8W^Q>iKBjF`&rDb6w^(EuEWi21;Dp`vAOcDxK z#e}W5&JzCl0stcsMk0)LFxJ7C24fnGcaYM`;$dtUvb}N<3v~t&R~E7`E0D#Nxh(WE zMCPmu2MZXRrOb#ELTBhrNkpZ%iNLw_BkJ%M02uR-&6PRXsP7R;Wl1(>DzdCHD;s?c z$*C;L#%@A3ROSt$-XRMrL1xku`N%09MDIgNocV*;Ok|rgI}!CkyW9S8IqsO@wtZJQ zrWB}~2g?Z)Uf+Nh1*VrCV=02hqp(8FCgXzJA9h)HkWqAG22IvYY($8(f@+)$38Upy z69y0tt)v<+K&Coxj8GMNe>--7X=QwFt}{+`rH`Ql3^#aJN5EWh0;plA+DL-BM?rHz zrgzjzb6)3?9EQa_6_~F#idu51IOq5~H*W&-LHt7GxKKxee z4I#!G9Ku2L(;FPZO+xe$?E&b*#UIfRI8zf^r?Pz>(L(Srv@L8sB+(;F9I_fXwsX}y03@)w|;Bu z@gC2=4Me#T+@SPn@`f7de+!dqYe>8GJIwK;6bk2_#cdQ*8&|4^Og}ed0)NuBI(|+s z2+bA6FB~V{Hf)lsYvPy!Hr*Udr_X0MnpyNo^Sxx|M7q~}&VZRh_q)pyn4?EiTw*Kk zvW+O;tHnRnds-ls?2^^O*W4kwN{eOdGtGQDXB-l%sfHRmXLMSAv_liZN9RZ0Kz(gJ zEWe)ama9d;se;tODARoB@adXUOMVF6GM~7p9h|w~AH%9(eQ6GD^sNAInT!08A^sxO z1^$$w(EG~Y`7uL*_f?#}z)j{XFp~#Zy{vD{Q3Jl? zQH9FyjUhEbg{o`DDXz?J^y@D&kInx;)}?M1;RgH>mY*nifR3;~5wQj)Azme-!~rja z^OJ}&;MW_sMcHf&bBV?&4&@MM+`K79|T< zwXwTZE`>0iH%A#~eP_=QFoMA^kT3AA4Y{qnXv=r*;Sz6n{UTNf(6wQq>R<&UJu+0Y zR6$CQJ*{Rbywf92YqAxD^qA%9L}N&?{c%}C9r-w zpYL`_C*ghOav!FpYFZo|aBCx6w=o*hqVbz;lN&N0;s27T?=}_GqDlHVabP2j#ny@F z;1UyggJ1)Dm>w6qiKY|J9rX(j*{{6Mj|c|`kxTq(;bDuEZ}=hYyhSQKe@c7sedSmD zn0Ees)j9sm_Rz`7-}pi8fu))w{4MOSq8}5l*uq*Df)n_s-~|5Lu2iq~0B`~qyIv@F z2d?}fVtu-Ix$l0$k)3U-(}62n3n27|b8@Gf>JXfhIdE+H@o_&wcoo7D2m|=I=@9OP z@Id>=hJAsnRI$dSk;vbaYmBiYvA?POjVU7$-pW#A;7E+OD#a)mi8`X(Zj2a-JEB4x zr*Xpzm11KE7o-aVjZ?UhKPngh{FU-&03Go9)eKmHX43h3e}k z4#`XV=B$}mv8zJ)SD=qv+(*dg&I1Puf93I6p5}W$|A%lBe88ir-~-M8AMix*0spw1 ze5fu5o4XUR8?Xzf{4NkJUyzQ<_hFzOLii_yv%%r}Hr&n^!1etoT;I2Q-18Y?fH(LQ z@CGk}$+s}+2a_{qOU<1$mb1u>%bniZmBG& zK;>EIROVD*zPB!~ECIPJZH)c&5NzC!>>%O%O6zCu9DB!fku3>fZ+qd^&P!1{#uDVT$64fbtS?pD@e3(-N+hIFbuZBv|( z7D!=F6;)I*t@LZ=Fh`Or`w_azR^t4)hv?A#1K)H}R*t6_GwN0gUOjO(W`i^tud}Aw zqedyUVA-?QN_JkTac4kYV8&=%I^+e~93JVA7Z?T`@L9ds2;0`pJ>`5%dJG@jWf^x}ln$*Z` z@_M3L$9E5p+pi|^p&f9)W*#5k9=!-GioMz+7im`UJ=$aMtG)St?Gg7ig?wy#%w+X+ zurH39tl7dRx5r&l6Zk&m;g>XX_{8$)b?Q()r#y0LeqrJc^{*Xml%&)2pdQ?nt;^v(SheiJqs9HL^w`=YdEdWhC}1wLdt@{EtKNNKB+U z#mE?miqvd3l1AdrsnJkc2|uS1Lun;?6?i_exsj_hi;Yxn>~G-tn1vNM^*(?j|Nki18WK zxK!;z`PC81Q*ErgbAV1ucwZLz#sRWfn}B^`5=Wg>PXPEGtd87J7!IzyztHuPp%zyeq2%w?mg> z@6Gp^V6QggP3&UU3v-CczZj%$y-f6CucPKErb)$|n`$2gxhLW(lv#XwVy5s@A|fiBanu&*BVtqm$wuC-+}v1#U$=YFMXTuaHhQC|kUpu6DHCm>gQ56xpVSxQP$oN=P-THzXAkeDi^8IfLX~A&c(6nB1s@&Gzk!n2 z4!1U^yXg%`>rNL5sw|pC4j*XIC4Z&0wsyFvP0YEX&5f*V+Lt0pqi;4NLbR-rkxf4< z%4zh@X08-%Xk-sUaeG0d{~#2%KWd~8LUFsK5t@1mMB5s@5@|n(W;gmJGNy@^G%^$E z*F{<27|z@*THna&ru`+#0LO3!UbI?9>!$A#70I~WOo?c#j8#puiPB`g)r=6)3K^rC zeoB-l^R8wt6K#?~OU$pLOqu@}!%MVQMjwOHcA0F_7?iem$h;`D@5~~ZAB8c+EC;u6 z`W3TO2ASIp=1Li4ZvO`x5^m7g=5zpN}O=)&V>>u9J>)v z;)G*&r7@;C_D9tuB<6QkV{;Rxhz=`pH_`tFUgC~tOlW?SDHYr#yY zQT{m>pQIg-pX6ebzQ7Ykc}uJB@7k_7G*oC6jrc!RUDES+6D-w2X!F)(#s z0ANgmF%8B$Fy4VN48|}R&%k&F#uYHGfbkiOJ9dWPCMX$h<6eho!hl0G*No`E%0Pes z5CND52mwq1#6+JMum7fNr+E+H-|+(Acfcorp8%f&-UBp%TY!^*UjPRH4*;o)-Bl|9 z&j1|2VL%>W2mCb`0c+teS_|WcT_L!~yF!{i>^ONR1jpCz~Z}Vud@%8 z!ki>dZgj7Wovd;3$?3dH>Nq6Hd8&`f(EIWl``m9p)#-cwRM(tg^b>tA&(ue}0o8Yg z3>EB!7f+VC1#@?6Q*gTvxRMR0lC92HfOI1+waxlj>35`9}0 zt4JP+3{|dCfMQ^%%3m>gB;vHPR1rB6b6S<6m_8DWhMK_MmA z5CpB%q=)(?&_+#tXkf}ULiZ$nmi&OwBgxQ=cQK(^j!h?5cm)72C8( zoj;p7;N=|$#^3G$9hiTY?eZkvpaxKDnJK=mq*`Hv5DdUeT$Q}JfR%s(!1ZR2McQeQ z3?eVmZRTBZ!NK{5xX^@ZW0J*NOm0hQsj7O%3MhSICL3%}`ovt)pMugS;gaE3UR^$V zo&F&2a6W#W;XB^GeC!+j72ffD;v4V>R}P^_;1Av~gd-WgY1O*Gz_dstFnO^!{}H*H z{F+!ZL=h%t6Zq;;$MSFm2sk8;$UjkZ9E^;FMnK)~7YI$g^@~MMg z9vG`Sz?I)iH-)B;=U#u_Iz6Xac>>me3ETAn$GDcyOV_+M?pq$H@e+^VHU0ck8don_Zq1 z&TF&VXemARh!!p;GB!h?(pfG*hh;e0PLoz7Dc@4~TAmL+dC&fa-=TbYh6B0h>+TA-L;qz&` z#~MiZoNgztfrQW1cF$Lk@aZgfd!?IWzfn%{*M{0pl#~2*%k8Fe>M?MbIa}^NLB9aW zAn#6F?3(rqXhWcnA7UD)t+a;!Su_bMWfjC(B)t!PE8Mds%}#Fr-y9Eowe0A%!x*=w9RT*7>#*u%j9WXla{h> zD(8boc=RD``KQ@C4{blmW}v^N1c4T&mEC9FCY=<=)LYERL$eceC@vK2;vwz_glCh3)1{ z6%(t-)vRmcuqt9Tdy|+`ZV4q*8b=V;&Q*P#(y{2~N*5($nkL`e?jtdw?Ou~C>_&Gm_qHT8 z;=$b8LT&T_b8ky*BX&G=o%)F+wyS8EuodwKb8q}VNJ>}PFp&t{04WPs2i$;s79kC| z0og449pDBOvxs594OrBKJA*`27B&%9Ad@O{oAA$o@LCt{Il=NWml^rOxJcDa$+Aju zHku>JshpdQJuKN!DH%lNNfuNt7{q)p`KWUCAbP5#q%va=drh*fGA$9cNiw@KGZFJn zvcxG$M0-lIoau?c`Cacsx>1>u4ClUb{4w1_`}J~csrIVrJ!$__P`;`5@Ofmi*`~UC7|MR^FdJ$*DC8NHB%sBBj`gJZxR7>&J54ARGvEERc zWy;(Wy$*^KWcWl*j+iX-=w^KrZjm0IHhp z0ixJ$)n0qVQwMO>oCRXf0m>Nb8*$tKW{e#p4j3Sfd0h~v4iLsTA6ZZXR0`{N3vU2T zf&a|30e1@gXYQ)}(d-0hE59+n`+2ge@n^0|1{eSc046{cU=-jE&;jNGz63-7&H`2f zo&zQUJ_Zy30=^JnF5ePh*2B2|)_dqreUDDnUkC0(-~VWWT`G%p!--ZmSUL73IHC0z@X(Jz1?Bu>Wq*vMTG zEcXibMPOP_8R9=yUjRxFcrE?Mw)IpGijNtJ;Hyjc3|Guj+IXx{`4iuJC`h6FoF6(A zrck|i1Xc2?S-uoMeBG=x%VIjmmp*Ei(F1&$Wy)Xpfx^HWm@p8zYf7gq1nx_HI^kPA z%ifZXpP;QYALskIGM1vANkX01`Y^HD3cIln9jhz0U+TklYJ2VH`iPynar>v?s5M%( z{d72PjqVHk)o{!!t>z7-Yt&i?TN}Ja(+61_ z{wsC615V&qnh^(D8|bfoXA7cbB@+U)VZ1{%q!iW%+q zGFo0Q;W#j1kJXSZ?2)_vtH0T$FX$Lfas zTI>zx6Lm0OkREvh`z69?C=SL)Ad?#w1ryIAq=v#a+)5;}A-9e29Pw@_ZNpDOrZ>pi zh#w<_hJvNI0wlB{XDQ(agxyfG6h93~YRFnjypB*CiiUBUk=Ta3VZvXCe?!?Y9*?8| z7YMZraR)9CMuJQNE)Wp*@Y3RJ(3J3!F3JWjkcYHz5VH*Nlm3^mhXukO#tUIeWr^5> z2w7UtjmbfLq&eL{*dt0ysxea#jx?(pdj;{77L8#xAOX_6G3=jK@Gzu+has(BN`bGf zZvFYu_yyL<{n^pPZ>*&L;tpJlHL`!vOUwnU`qDU;7IXg1Gtv&EKPkC(ii^A}jbi=d z!`W&y4R}l@iHw%T!hZ68t<<;L-7{bWD!{15skNm_qUZ9(gq5z3ZbYpG-G67g~8 z6!i_`j1kU_tp2hwaQ5a<2>Qozsq3mmKER>4m(Aox{;gU3^EA{gTRQF5&2PhL3+f3n zE0;F69#6Llow0gw@h%d;M>x|GHHzi_{DE-U@=ihJMm$x^6#iWBYF=WkjZaEWm$&4* z-LAXCnD4ePq?zlV5kI$saKPprFX_N%*#z+me2EWi?(wr*a0#}Ec(9*N)h5WV3gOG{ zdifeIS6<5H+V8~2o5ulaz!v}`Aak)?dHj|cb#tc*e7;rS^9|Sy*ad(%7vfxqb0N;H z`=#(e>UJTRwg5^1+W@lxO8{Ab^}s%F@>!TPARuJ3(0347WibmIHfy@&%Sx*S{8m~Z zv#|nMS(((bsd8Zxe#g!tICp=wl>O|~{9)v~W^|z7;01m{FzPT+5T?DvR!XvodAIU` zpRk~EW;79wUc%H{^{8t|N#%?RQf1m8aTEMIc!$icOzrT1!o3=i#+<|sIS$)!M~^r=GkdT}f}9E_T<*zd=mjK6)x)$%%Fb9-xp zJ7IGZwEQ)&x!Ky#HL$s<+Q3(^xo6U{9uWhyMA+PJDXe{9BOq|)C!K?wgYd%68MJUeeH33$R04ghJ*DNchOz$b4HA+th<(x9zkbO0sSR0fA86@D$ z(>b+aDcHG4azl14b-&KVyPl3(q>U5J8x2VhVWdyaY*-W-le$5UR9z7#D)XN*4_iP9gr%!eRU}_!!6?CjN?`rKK#K7ZNCy zu?Pndy0n0W&p`yz92W6A_=qTJ!c9RUq*+abEAUBC)P&!FOq1p{5&wh_jIwN;2NKdR z%_i(Yy!!LA@e7bC{n^=IBkIv#Jcx@yV)_>i5-uQq{m?)25i+wscaZoyg6%I&#Q7mX z{jx;DCkV5@AQ4}JB=qMb5`RL-{UzPFnMhQBRyW~u#HYWg8@~;i(Vy2%d~Z$eFB^9w zX#bM8=Hm!Do4jl2&CN>5A0M8QcDs(hM9sD>jGzA!d&{;xewHuli9sW86XNFRZppia zkV-l!?+}8M+b{B7Av#ohKyDP`Lv;`2mxS2U+Vm@beaY;c)XWC>1L%NB0I$wTuE64i z_!)pOz*In7ae@=ej5!pN6sCEI#T?Qm~;N6e=0+k+!?gn7C?}x3E2vmBY=YKuB z1X@}+U6Tj#9i$ED^AH|^FbP8P2J{OEcS9%`#8<%VQ3x-dh?QrwlZU*2!(83TOTMuW7GPc`KVv^JKl<|;r7ZXBo3ABXxUhX$;G0DXu( z$i%9RKBO8_Ow$JC0%v}n^K3req5saFU?NzVtAR7`r;U3EoO!HkRt2t1zkqjQh{Dj7 z0cW14}DPY-pT)~B_t$9LLS+{=#}34WvcmY+JpCn-CPypd3n>Xk8mBv7wBW(*$*(W@RCg(E@p zlsAmQBVqGY6UIa??<=Ls7|jj-N@X!7bNP|V6GlE4%+!81PUZ%lQ@)nu#4pOmo&?*z z!a>v$WI=rHAm$h3qxjN6G!rR_mknYMAlu>#5>Z*m?D(8S%mZXed`TiY0m+KbO2l49 z);l%j=;ek(^1bE29C|1}R*v1y`p8l{K#ivTZkaWJj%N5-GL1}EK{eqfltoP=q(j;@ z^0Onh+jec3SxhV{UP>;*dOAF zi^P8@Pp<2+@y8kuew7{)@k8Umn63Me^rRgLTcOeU@xT8_4=!j7_Bgw9|I*$4@3&SR zKYaYf=0A#`O@H>!=f|Jrx-F=ju`{%XIg9zo5BEOp(<~4kiSxWrDc9d6_ zPF|;{@DBG;9(%o3KH`V<1-()B8c}^=kFkGA=u#02iogPwVm z|8Cz=LOCloKucdKS~AKmXLN>?N8VR0=g%znkBu%5oUG17Li;BdFYBMz>rrTkZr#&I z`f;}pP;)C*)v0`J*Y^ut?3Q0~-%%6((%)xwXK{T_xAIQ%~!?WAxK z#8_4hkUHoWEQJGv4(3OeEd$gF*z|J-&=n+XKm`@x4)6dlODr1)JYKq;N4;dtG{+7w zUs5wLFX^9KN(YE9ncFPe2Rwag?=5E*f34IgctACUlIaAx4ziv=H{A=iz+{21FKqn7 zieMr8>Lg+891T-J5l(vRD-5}EzDd(vCDHgi z>*UHQFSk_2yxihU8~PInNu*-Vc}p2|l?Fak-?UAyOt4~HX%(nzz(y*nz-$6G(o|MT zPuNxUKY{NoHx)B#Xg>xdQ-1gK-}RRG0kF^J?+hNNp)zlIs+!9W{4*P6g%l^~DQJVJ z>K;b|Xtm-Tx?8*(F5h5UnrPN2K0SD^`kD1G&m<%q;T;*A&!ZOX<(Rl&Gj-Q48^+?zJcqzH!IiAfB~(+C4^tYO=<0_kpVvGn$Yd z6|1Ut94@!t`7_Q{9tYMEe+I^;70nsmqn`_bO%$Rpi4$)i2z<~%Yu(jM*eo;yyyzct%a zL>Q$lW$hD%jt2Ba`)jTN%Sn=qFR&%-d7e)vFJ+p<8|lPhT9tU=XmnqQL$yg#+fWn? zZ8EbO@`5pcAvq0Yi3B|Kok_bfyO7mRI9y1EtF78{qF(>DwRr@kH|zz;W86Ibx2;Vh zn0a7BSwBMfO8*KJkI`Qljs@R9n*t@}r?k2%}K%LY7ad4Fpn6{vQgG<({3(ghSy zC8tSeQ=ollaqDR=aJGU(F#^UQ`=rQE;63QIL^M;t9_0KY!V3HoSxixofNs^!Z8bGh zj%XuV&o+~e=vKB~Z>AQ)!2-<`-K@)^D1lEmd%egh$dP!%ODCf zfxA_Ph+|?^dwngMYVxh-M2S32j4{@EQJl$pjJ;YEU}BGXy%43E{Kr7K9A%e*Y(T3SER|(j9}svTLv^6qf=NBVy{te;H!aS=?1B|jqoeT zp>(H{=Rx0bPr9dGPigJygKvZLc{lq=Z}gi)YZ^U<89#`rjo78kX<~LGaVdMVcuu2d z8|N=^p$yeV$BS11{Q#_2=E*3%e7%Nc#HGj1QwJNPYs5`hon&)EdN#@(k zFE_(DsVDr1CIE@56n7JzNqj07cH>t_W>n7UCZ0i7RL-h~G(cWub~QE)*;JW7h9XJ= zDn(rtz1>0O2Om?*2TYkobjU~paO^KoQr zaD3)`!ev`^eAZpm1KWJC3c?()Rm98gqJObs;^#!;PFnf#v!V&Ntc-Xh8jrQj>o4oT zY_qNE2g2q|TVa1m2e!m|y8Lg$Hv?mwBIEP8tLh|$PkG4Iv$lsDDl1R1T4P=%a6kvU-WlCLOdt*Ua+Hze`Lb36z0#u{6*2ED(@!73V6qF zB@fDKePBKX=4VJn#i+lm@8HKdC!fb{hhNb%mikP=PWa!d(kxz@_^&DrzW-3pXKzOj z#|F?EsIqLt8|`HyG+iaP`7}udX7j68)QUEwfzjsE*!1evtJgcW-FFmw+*JK= zx$d7&_ipI?C*@)3?;qaXjQnBZ-OUfne!cnPKObK2IA|qEWfdSbe!-40d9=h`)vQwx z!+{NVU%?3ve5+C@Qp5RFv~w@v8&*okOTtWD2=4VY3N~$2X|spwOiy3J>IoJoy0PokD23`F0W;x*SckV35`!nAG zJ9A1+em8oXqzFd&qc=Z6NZ+vdqX7uby8^dqX z)qx%0e>IuAY5O$G9q#?e7;%pk)h|uP{sunngwg1pg~`ND`aR7S2VR=j3r5}-)zckQ zq#3=`Rr;x-4Wps${Kxo%)~&6^nt*ItfRb#hYwdNpH8GE?E;-f%w+=lInCw;mLt)&mSi0T(9FRr+@unu}~N>2La=4x=T@w zyWwK`(v|=P6FLHa;X7?sw^~6225y{5hA-tOn=ua!XJOrP+>K^0RorsTuL^2Dh+o(g zrMMgUZfxxiOR~xBF5O^>HsS8lGJ?Q%JAH>~^8K<7{TO^5sWB1iy;j4kx8c=@`(+h- zVXK*?Ca>|(tCGJBC5;n`O>MB#p2xjaueGH(uM7n|kE5pjZ3t?daFn;*6cSQscPv{S z2t2}~iSHZV6)i{JNFr~CSE#>&NTGe=fd|?&I;8X31K9Rq^^?$_UEw( zpWCL{#WLI&@UEu*4*@Vt=3Px&CIThkk3*|#wN;41w z3n#A3kssP|pLLi0jcCJ%A1}!F?pQ}`j(UYV_}_2!AWQSoJuJY(Npyn-cu@35Jcn+|kk=Z*`W`NPM1@9TQ#MHYtaT0&LO8p78|MpyFtGLH#niJ3Iu z)xmmijvU40gU9M>>sC2*DLsTd)lnh(s^NgVPKe*Ae;_|B#BMZv*bt~i*j;%`;S)Bg zt86LML#dV0VW@}3R^|^wJ=DK4yVh;5Zl4)kl!bvQ=rAy6ZGt9j_Hj*u!w@^lo*n>K zqbMM0dtB136>Wsx>%be>X*OO%My=;MLx9L%5HRfZL0N0e+H^m2>^Je>y2remBV>}c z&hmpXAUxb3zstI$wW|ix67;u*uRw)I9>dv?y~pYo@x#Ic*QxgNr-p~Tfrg8?@Sr#F zKX!B_u6HeF4DycH!&*n{{%~}pVSlSW z9Dh!Kzx7Bs_MG8T>uw=ukoBwilp|T1(c-zv@TPTdJ6NG?HUH|ktEot_eb~~N$0zqJ zss$6I%kTxtr#R-Ra<#R%@W2zWIjFc?eeRC_-CEjT`V#AB-QF+rMSWrw_ZRqL zO00|fb9~W1S!MktzSx=8s{X7Na0@+P7gv$S7-z(<#~L&#&jVjCh&^5Bn;d)z{+~~B zYWt{vpQ^>~I}P}EJX4F!Y^%k-&$^*PM?5hf0Av9k01^P^rnUAT0M`M30d@gwfKz~9 zyFsnu{whMLm&UT%F%^W|F^_4pEk_l?nv8nXT0^RNhJsa-S5J7YON9$8#U+A1cYT#T zNZ?-^9ST{sGJBSQUJC^9d$uQVMVeF_aawuNnhm!g!VUTZxc(4a3-97Ii`vz%v)a`G zFb2SQ9LD1?mcdvCqa`BSvKG+50t0Ol7-;VT^Xyq(_30-_+cQs)=A4$|ceF|ICt>*j zEISTphNTs-G!~W~pXD_lmQKt&K?;SX2VmJvc-JpsSraT<1H_ngW^k3IH$Z*R*eEMUTdFj%k< z7PS1kASiZ(S(fbj4%f$z#S}>J4GW4XmkfGdT@P-Ubx;&5n>5VM5&6r!hP}QMrO5nR zz^auZV-9l;t1(8luxJpCw=HXJ=)thq8!Xctky2z3E3r*!ZSG;SINxg+4uW*iAn_D} zl@>n2eWg7rE*Rjp03~{zags1Ik@(C|B-&gR)gyXDjb&|88muL)>K>os=vR0z+crC6 zfRxR6r}@h0(a!%-ea;AdRnwKfIl`o~$3a29%zR1_(;o6r^`l~Ddr*?{Yinrb^&w0r z?bP3Ibl?~`PsT0|`4<1@{XYnu3-9^#gw9-R2OYBF!~Q9ipZEAa;&l?H>R*W7kMUH5 z=VI8(d+evjvQ@wsluIY0X^EfSxHcYwbw` zm`BHsA(d%c7?w{QNtJn9qAfo?H{srCXIMUeJ_-Ns)CyKN>zy+9xeEX843XUpmH(q- zW9qBVpW}Z=R`;jO{Hk^KmL_r90JVDFy(uFt$5w-J60SrN*1w>d@RNko583pYlBrJR z2-Tl8QxrGA9AkSbQyk*{yfKWY?y-IG05O^Ww|cuH(Ai$YO%C-(?}PSorX#4otQJE6 zN4f)WH*a$JCdb@B-P6WFqx6)T+*OO zK4ClkYs*DPYJ7eN>L&1UwfXqn`tRh;`PkiOLWuS08C2KIm}&B*h3m`#iXiU6hXL`0 z6@(q9ZEp2&3g(+U>cJ(X#)O?un*k{fPILH1&0K}2tLP>EfGs6n@{*WkLqqKp_rMky z5B>xRHhO%9Fa9zVRMUKk>!F~U*@FAS7SSbYA$)*>>Iv7A*~#NxH5+cQHX!nqG9}bAQ2U|;2&x!T~POWfuOreTRf7qYWc}pS-|&&tH#Q_QCBe^7Veg_d-9Ve&UDd-jnC^Yg?x%7m5cYQiV-rcYBw8$44@Z+;Te0=y^=UMmV z28n!cKIN%CM1Cxv^wh9SuF9uQ&_AelDe$hm4xGUn*_Gcx$gm!9{hHOWr!2+x>Aw&A z;9)mBRMf-6>3<*g!o#6|AO2l)_1_2L3mdgd{1WG5i|v~Gl5o`K-z9m8FS6Zm$#P!q z3&^pl;K8>Z9!~uGuooWaU1`4ftu{f|#aAEh@2E{l8UD-F*-`e*?Frt+GV|>jhrV_X zO(IWxdE8V$TcWtkyM24PxZd!Mnj3HwF9=K`J1!1IYjrSr0468)exq*og^7?PvSL*Q z+u9A2&tWnFCYRqf#4NV~?kpGI)m6R$euE`(E~$e;=lw+v}J=o)qKo8;QH5MDwF+7A?hQ>R#Gc zHe!>5)~Qu|PEGDG;hrwpzPXXp%X_2Z*b3|+jp$PLUzR8%swSt!qm+rayfD&gX0r%u z_3O<=MzZAdJx%wRB21>R{cGVlxp9w}hY{8|#?)M%*#`-~*l+-!WRVU?Xj|Ff-3 z;t`)e2qJkI78?DVGbiBY75bamfJMjanDxo>jcsgl8BH@9g`-kP) z)AG~W`~Qg^@V(0(*mE>!4SK!pg8Y~^<)_-J8TmZp#&?-pX5}yAoDRc{A3a9v3g1>Q zulk#7**_*wpE8EzhZbsnHF`jEGd>EL(Y2_Xcpf2k6;|U`BVk>+)r1!ar>nFY?}JS3 zl2sFrBA#6ZW4IzKR(`&QR>Az-ym7$iC2gB|;Q;3)!&CK>V{unnGW2?HmmjZTz2xju zVI5VK(quGMH(~GMqV{UTEV5CSF!UJVjxE8ylk2t+jPN2xeT6Z{h%2mQ!DqkzliKTc zNqbn7J36^9v=se=?L4op#$y=Fc8VPy4Mn}~Z?xA$rK3quQ9!TIZ4&RU@f_y7)?h#{ z>8gvyVg;yXIhIKCYcb!_kE{8P1St>#^tw1v)o4gK@T5X)-?uio2udBB~$o*IR z_O*B#h!tjzyM4<^RlNa!L6r+%9KIdT(OFv6E|22q-AX+aV-X5a$}6P>^*||q-FlAe zeomJv+8`he(oTvOjE41O*Q2jk^QA@g*bR`w{An&t7 zoMm!LWE#ZlO}K7ah9yQZsV66zcun`g{5$9*&hEf%(&yM?P2P`yxVshlYcJ*#Uol^Z zGfe1ihL7dFf>{niiAf4_dDK<)M#$Sh27{^~j)ZSiFH~?wqBm+{6`muJZ`ErQ0VA<* zHU19NNCZ_)v<5m`g_JG2pw??b(%;%8trvwT8I=c{LpTjzY|z8G~ywACVt(-l;s14Cje*dBg6HM;i zx3fIql-1Z*43Nk9TQp^ofcX3|{7uL}&_?6Ak^bmgNNRj>GHH(Xj`_8tDt=KidIE|W z;Q}y6%2cP?vgGwO-t}JhlvN5IH|`joX9Yn~)hKdT-sHh1UofHdLQ4uo{6+4bNW-OTeCK(xJR4gOo zpyrK@nX!On29?oo<$eG7p6_|SKlXFaU3;B#Z|c4GoW0l1{?Vb#LB%kbJrIoK)O)gLXV~zhmi+1doomHK;2J=Y2Sr{qdIg*X`$| zXb@^Z=-UoLEC^FU_!Wc&Ap8!(au8lv2$m5h*#9ofars{VFseqjW^Nz#)9ns>hqkX= z-7|{HCx|w$Fqbq=qf=KJ7I~g>wtA!Z))W#+pDF*3=VfQ2Eri~F z6Zr%Ld?u_n1mu=(q&}A?CNm=;%`+o8G7{1}kCSa8A1<1XHuUl z1Jy0fw%46*>pqC$0>WoY>`?Ug+h$4_>r65wl2#G&tU)Pq*QWHKxT#fc*$C;Ve7*YU zFt5-_m9*@%^Bu<2q^#5I3dFyci(=bDqZ{I&Cz@G9-K7dO*`DUy!R-7F(FASA3&MLt zcJ0|d%1P!0{aKNtHv0wTo@$MWdfKBuzyb9SJ*zz(q#Mi;+9__t@u~yrCJ2hC9wTO* zxJS7S%G8uSs@v-IF{FjeFV}wFnKlz81CN`QHxXYkKIr#p2->MF_OG}U{X`8zi%FP& z6iZNBl-$YU=>IjdZk88XEx-gzaUt;~CRi#9NuyJi-ORf~*q4%Zv#i4Qyu4g}tlj>+ zA`UXv^mmM1vQ|yFI4Pd)&fX%a)OZYpx>ME|9;;6cIJ&b)_~8Z)%EeF$s*R`cD@!%5JRM`Bh;)s}8@x!6B;+?mSai?dE za@=rmBkjk6pJdFy$NJZ>?(IXSE!&5Lg`mcPIu_JzW861_`V**+LA3#`3T6N;AET}S zHFj2fGe*qJ8ns__H~~AYW88Zn9-Y}Vx`!33t7$^5;2(4qO|CsoD|Pivyb#6*NN|O8 zM4T97denJUBAB~_sJb0Q+Syh_4aqu2FWp%@sv{oG%W!fu0i}UTX^|$TG&DmpPUBM= zoFQGNi7E}hDzVpu^aWg}>`jU7K*Ywhl*t`M{q*k@KM5~XTP?>$|8O;Rxq>S^r=`4L zo|9hEOziWIll-gkj`56>$~0qRe9lXL)C9-y&r1(zCdBxyl04S<$9TCD{Eafx_5mc+ zJTz?_aGKac-!&Zzc}U4x>$z9Vo{3Ago4KyBAfC8b@!9j7GvSTgKe=*<-R<8>ouinO zw87c>jcQIZ5tr;~@s74iUXs@kKOm&i>bZPZGIPi_AgB^)A&9gQV=;e`WUDzVH{&8D zLv;>{S`Goh4=K-;|0Kmi<1nWlGgclAZxGuo9d@n=NFeeQImxI-tSzl~2vUaYd?7qL zrJfR`I)&98`}UwN%3Z?>^?7IVJp9LG4Ux3SZJejrpR{0TY`gbb;x5D+Jw@cyq!H?$ zN-tAJB)h_QgLD<5r^+`H?%_}7tjI^3JwiOmJD{@{MQQU!Z2nY5s-?~lldm)RMY{K6 z7A6&<@THmLEQ8O@!V&vDil4C_XjLvhV&X>H_Xi!4{f@=w3(YpK7-w|*MA6!*J;W8N zA58A2?fQe4%RXURD*pxTgn?LlImWtNHCeqW#_GQEfEwwI_f;2vn6jh^(eQJm*?b7l ze@N!#5tgEi>_Wu;gxN~n5&IK(o#===)8st2cSylrl*f996zr-zmw2D6l5YfMgYp>O zJEy0*1LpX_<`|Fou&XkE14VsV%b+uOQhxzuaJ$wy9!d#w48B5jJGqs!L%&30E3Pc0 zgv;ld1bL$``plE;!g_#G%f1*iPkJw9!QlCJ(nH2B>3HK)Q)nGUjN+uP<-z(3=AgmW zc4QNl;JJ1j)Qv-j(<^8R+}L(FnZK&xh?y7>YClG}%4Mc*W7Gx7?zt7jGqvk%u%dZs+KZQkq0jtI{R z5UzE}&^2^wWb1Az9k_=$qeu1@FYO38F(9lf@6~UGik>h?SDPA%=P%xzoJX`|GJ>V3xpXU90K7N5T=3fJqR@*^tFQE4Z<7{ zPJ-|Ngw-JY4Z;hjx4N~F9&QXfNPjxU_;4G3G*{K8gh2XJRGS$>ykg9+ofJayH!iNt z7@=jWIHrxIqn?+)`4sBV%`b+omVLM1uh7*R-RZ03i%t_;QVBd#J56P7|TcY$1j51Umc; zwg)Sv!GgNl9Rb8Y6Lb;}J&I|`OL~c&jM4f~jguDoXy+7Rrschyey{V=34#^1 zy9S7_xD)jgv3PJw%;@)DCEckRU+w!*@<~v2vpk-BU%pm-Ld@=T+9LhiTz4}$o*1Y6 ztls8~8lwKmydlVwv{D}!#;PwkTd$JONzNH^!^PIoogFTzGz)G{yGZ_s|3Tm3lJCE112$g_qxVnMxqCFhakp5dHn8Lr!t=~j!Bul3WQ_xSxV z^P)F29TB#<+RPj58s^k{TJj7RVRo5ZAF`n##}F}i1Q8KV-n!?=%wF$o)5Ttg+2w%2 zv(ENiC?vZG0j2w#?Who5T^;E@;^`;xFjkrTBbg&1e$pz#BU4Evq818J1UJZ;e@ObR zD9+h$w&Xt|KWDGmD2ZDWk>5jHC;vgXy_uG(`hfTv!bav7$!Sb*`G2N7Hu58qL#XQ% zzQ{1Q^;66;EjsO%$^9Y?6j7RkiW}z6bs^ur9c4YcZ7!oH^R{R6jzcIWnuS85d#L*U zDEo$$AiM>E3&O~@L&+TxSu)cjI0SI0;MfUANY-u7(w%U|!+9A_4xGVo79X6;IGzos zrDrsTosMBYf?yAVD+tjb)PT^p6@+mhOxr_!`zCxl_Pnt-2lSJmKLCC8ttjii56xw~ zm$CIG7NGZ$bDGgftK~gWv{2Oe+X{5N3jK1ccil%()fiJZGJ4jD16hCZ;Zldp3*Ny&AQ z0X{(u|7T{^Zf++l|SxQR~kfffNh zrid|AOgDHvbKyHg-ecFvKjZ^NAsa)*G@x-ntNhH2w@)n%FLas?_bCf62#vqMt3Qh| z@5#|PVQE;9OK6O@AP3NRkHanWM9<0Su!x18v(az`50y(T#Y!C_$8&IMyTSd%!o^YE zh5~&QgvJ6(BMgnvmPQ~N(=ClqG$vXa!Dy^O;~Y-uhaDK=9~A~J$H37R)H3ispf54- zE6Yiw;n))_$1XGMeh|jL{$e?&ZuYso^05~l2wG4i)ZDW$hdF1t2cvHGKgnpUR>Yk7 zeHyq4;QkHnKVB9$7Y!yFpWyz~j^0}=4J{fua&)Ld2O>Ho1e$wZ6<8XfXpH4=Zg*hU zrMxd=rWNjYLSrQwRSYx)mIe!rS(XM9jUr3K!dZsKBMT?X(y(wASQ-}2bW6j+S%XHR zg)`aGuyAHr8dHMfhNDgln9kt3cjLCwp(`E0m=m3*W1Y7ePTkJ1>zWcAIvfq)0)XVX z2PuyZa~LCy#YuxR%8B}%xfF7u1=tE;J^)jvRl}oOw$>ZkI1GALu&49R;-rWQL(_F8 ze{=cgFmwCUbY@!U7e?Kk#oOfvb{-J8ogFDKf#G zwoVmesW19LAIQJ%n9ZqMTe}D7Z9$7iivg1JV*@3)o2!Yg4kNEKZdyt&%3*1Wyx{yl^nNaf zgPGSE6r`yx%7jx3rwyE2;XH_*8R)sgLI~DWFFOfmESzuQxXuF@4p1uBpqQkxn5PIR zFTyd-mtq(VKwp46zzo6=MHu3!P0y9qs?D#+(t76 z%?|LA!LtHy6zqfLmEeT``%=B|QZZPN3Z!>O#?FZFKC^t^DA}mpFJJWBnw&aYG2tz3 z*T$ZcE1o%qjoQ6qu*aif%$U88cPDCR&gAxtU03^a_ls}md^T-uDl54$qWASabGP+_ zY-;N44-@~}adZ8%`^`;d2X9okjveFr&+hp%QfEgdE?Lmi{o?hUlbc5E9`~i^Y^3+w zs3Z$-Wwocp6+f-fzPT8K24G53Dj*t5iOccO9TO!qC_BY}S2Z`p!p z-^Y8u?fBm&GxfiF1OMB6o%Y{7&-DLpE~Y;dZEsq6+-J$O)~1yo|F=o^{qNpRY#dVY z9&W-r@8YMa9)~w>9^SaS;dsLm@sa%N2{1jS_s?l~Cr=63C%V{qogXUz6ad%)Anffd zrRMHa@bQH&zstc#m=AXl+^Z}gKECPR_@GZ<)MWtf0eFB>mmR=czXmKSKzj_d5TE1u zGJJ4NaLj~1;6-Gp&1FJn2JFX4>C`7XoD`O^mk%YiLlh7u4Kg75%@RUo_vVO0`dkmajX z3CATkK3W`jrKl6yi#nl#V`=zqeZ;XQ;!FCz87J@<%p3~~=k0=5`@Riefd#~APQ+=B z%SG2RbbXGltzfN61|R^i3qU0o0Dh}~76G_t0W6Hk0I~p_OIdpCA+z_ys*;p*s1^I* z=s$v`#}XL5CqCu^@CLBO0)X+c5WrXfHvn{582JE#0i3b`7Dh3E2>_D$=ZhYx28!{C zPkVnrzVL1ifJ6(x2XG945UfWy^alAru|22v1imoS##N-8oAzrLF6ad~j&-+wB(d^?PmnSH@6!uRE3 z-JQ;B#M`$3(N00`Rv5z!;_^05Sj$0AetPDILH8y!HuAhh_xX(3dlG#!@6`8R&!@A0DuqOxoi)qB|7-l*8Ll-q4``JpL!%&cu9IE`>- z$gB5kXZJ=0`fBFpmxHhogfbA8zu^c_{RbS1K5vlQ_-#10;;O_bXvyr#+bzzb03_m z;dFp=G!7bvgDwT(56eM|K*0D@R)F9R!bA|<8NE>}ahh#7&Xh%PB*3x8;=n6iS&H*# z0$dAl3tm{fpJwhGEzZaZz`tNoaaQp-O_U8igtDP~P&TxB4;^pkN}SD;Saf|3R;A@2 zc>sI??6Ls(L{K*LHp+%(p=@YW)1Lz&`*I!1!Q!KfM8Lu>fFv z!29@QEPxvTx-5)*0KouGSpW;eavl=^B;({B;q4m1=kn$N&Nc@?q6NTP^X3=;A#=^v zZ}}g6eoT2cWrJ#9+eSvO|A*-*`)9Vv6Mpu9;{Y6~aA57yQeAXEs*5I|y675I7yXFp zqVJ}BX7&2-4uEq?%Kj&d;P@Gi0yqvS2exH8!5IeUWb{0Qo*^Lo2Eq~$o`KL~A%tsk zzDq)H4>;Gu`9(RfGKAIpwlqZZ{B%mnjTuMetyjh{CvJJlU*-NqF|hJD1I|D=GvGW6 zrwjAtH_Q0<9sd$^dPK{s6KmMLYx{gO|8|t6eEMqYoQV((WGd&TKsB4ay2aW5N1|N-+mWCf1 zuPhBOG+NPEmxPfWarmxXIN)l_OW1UCw$v7tmS>6rz?Qe~tp!d79BvuJ7lT~3j9p^sdlaOqsk^N!c_6w5 zdg}LD$iWAF#S>tb7ytUu0Qt2-oB4SSbJx%7Jk4W1I0`EKzBI|NJxS!&?H=*xhi&^( zXqfe8zlF5KLh=GB+(Oy`(q(Slx{-7IS6jYh8~z=VrBs~w_mceB*>#M%vwv^lpZxXn z6vN&7i7IXRCmyq8{+A&0yq9_loCSC?*b@uU_|ej+K*QV8{{s4_Dbd3bJ+`ngP_?CN zAi75K7v6SDa)y?@s8C8HAh(m;9w=#*vD(#u2o8%Lw z;=38Nbo#1abRXaCk=p+;j^uiKJ~jH_J$g;{sZo7fE5~+>yEI!k4TQfz82v{mae~0B zV=9|^!5Ayt5`aJljc|VeMD5KcNdQFcx0)IQAZmXLI%W{H|IXAL!*k1d>l)MaYmIK+OfQZIn}v?3sDg%}I3fIr$v@36azA^ct$Su{a{5hIGK_5Rv+V zJj1v!0`h)NhJ=W`7qo4L_Zw`Ue`mHm$v-U?1_?;v_Fczu5X{W7H9@CS|E`w?<_Z{n= z^r3mx&9r{PN2RNNvL-=X8b#P5-=MBSz~uo1Ty9aMnugwOZ19KvLsb-obnCWVYi3Tk zI0K?us%g5h=HiIlerhY{oa{MrIab#BiPT+TNPB39`bG73`&S>3gjkh@Q(jJrS-Mj`z0dKHr0J?S$bpDzN z!-ek%`xUJwh@%frins1!U(%JJB1!o>;v;#NY1$A2MK0-7MHGubF{R{{+?k?t>8PP&Cdygme!UJ|kT{6AAa8E4ieWfEx! z6-v(}ePdi&i+IvBV`gn;kJS}pP3@!}dY7~T!%Z!(y zt%CauOwV3-YcKcdl440S(}uVHy^{tLoELw0WY3#(a%g}KEqh|iUm)Gd9q>rS8a zAIyY+aEAsvgIDdhG4wE2S`wv>T@kWbsx-%FQ(6c+ps`ujVpRl<&7u}$fqe;z+@=gs zZ|Jt2r>rsMH;ul-iiL)?(;cj%&*G2QRy-k=VD0E6bg8gddMt(7?*B%1OhByN6ysVE zY}@cxidXHW0Qy44bBQZ5%B$EE`;^F=xop~a1pJP2{H^{3GFY-I2n%_mF+h--U78eQ;4njQEd>G}40_0)`no8x>v26k?BT$=MU zZ57-T;il!ou&o5`HE21Yjra8s2f$)rKIpP*t7H5g7`n!{!kV@^zQYhy43TpPb`W;> z3F!v*Nf>Gl>^X*gjx7&c9=5x%-Gyxlwk6o!!}cDwnA za^7|Ib>m7sPZGb#=j$icjUFzkaO5gnb)q`&QvRQ^gBoI~pG(72v)|x;?Wp67O}dO; z=i{s_-Mn7*apo_&@?N*&PNBM0b#{G?#eN-;Co+Wv<-2vW>u7!aSLA8N=}3Nct>OJ6 z8EvlYfS%EE#ZKY5YRcd8Pso3FdEvA`x3-t-#(1eq?sa!#jn+Zqz>T?22aN+aq$Ab! za#|P#;Lu)=M!`Ge8Z2tedPn?CP}Mj&p1fH3O>)?fb$94T zq^IRImc&!vD6Z(s%r=c)AF-NC2=Htr&ruzQwtQ58Pb+b~F{yU5n9F9~kZQ~;b2Bd@ zqnej&GsFrAtfU?^1gks6obAk0$QoK!ds0l=?zE`ktznv}ekeL1{2`GiU!h-bo><%T zzw|j3(&se8))o8&>JEdy`nzFvA#=aWN2H;mP(xoc6#j>MrG?q|l+z_RuZ_ zJ$lye9(Jz?TT3XBdn8XEa?<9H&`+{1OIB&hI9to2X!jtmT3%W% zKts|_UrJsf&o*WEj_UV4FS#HnsogR_eZ_fzq(WLXYQ7d@g?C*>77}D z)cXgY+jr*Na2;qw>Ov$^7YbHAOnUzAqBQJ}m89vn-%`(mu+&0m0-<2U)}0~K%gp0% zPNfqs8FO!@(MfBJwS$e$M0f6GS(+jJX3hxFopV4pLlh;h7_n((%t%@n$))38eVZs= zoRNuZ?Pc9q5#Kq$PdW!#J+YCa;=>O~c*yF}G*c^CxAoUVRI#9kd`ekn8W-t$G3=RS zyrE+7P&4fz>#hEG5mB5rLVC!t!?JGGU{@(QfuYiWBeEGz521LdW|^E$yVVDMChbS4 z)DnbBc@;~;5h}H#l+?wYuOBZOJzRX8_DEqbZ0xh{;_cNripD~%o0y0!);Xu`NBG~% zs&Hdf`T}9f2*v2L-=Lf*Z0@t$pjspRy3cVfkETnnV~lt?KrlSQIdrom(U=#J>PDJ@ zTdnLC!eirYE|EtD%>AUu`&RuIR`{zS{7Y-~OD`@i6fR>l((rhE3+Ujwuz6(JEIR zx6ZpS=ug=K4Y4oGrD3$$55HCVaz!eBtMtDifpMzZ_V0sIn-@7GrHw6xoA95x?(I@&Gg+Ju;6}75eA|!GGG*`fqeBr|0in#0 z)L0a6_nqQ-((i2jgC~L~Pd* z`jAR7PEa>G(`{9cbg?4q0RDysjR@b94KlNc9N@K<=4(vP&B&x2G)Cp7X3}N}KFqrq zZMMqIuCTuD#FM@iP;x6P=wS>FezmOHrgn0W{HjTmM=4|%>3uaW?LJ45B1CQH&uchp z&d-JT>RrKtT!^oZGtM&Q*TuH`o+O+VOwUcLunBW2kzNx_tX;2#M#4Qwu<@)ZsBUz- z|4CX2-p6s~)Y=p6jwhY$b?-G^+T?!nLiTvsN96x_y2v(YY_wCNDC1SL)lJn7E-J2C zp`}FOVmTWZ%Zh#|DNN9XnzM0JY}fDiih549T8Dql+QVAMe&6zj3xeRD~C@QBzYWa@#i($>`JgH9`E=RIQOPb?+Dv; zUbqH?4Ipd+VJ`^LAk=`+w+Dn!5H5&$FBq?-`^;-Prq&adBUZ+Jh}jpMK>cL!7hWD_ zyD|1lW#%Qd>GebhRk$8y%3@Ha?22K$31!M!7>}geM2r~UF4|#er)(S^Rk)NFr(N}8 z-2-dLUsJn-W;fJ`xZOz7auYdpLxHkFU2Vm?nEr$!~5il8vsSp!G?eY@SCh|`;84yyg3opzFul{~$dUJSeZGH~rQ#s`pN^?wsmHzJHiuH5!!7pd5yK3EaNx83TJJ zSuchAJlqrEZVmieM-uspbB|kHGW=I`VvV8vX324qqvE~ktJB1IessfHk(0QvhPp)& zYN|WUiTCkzX-<|q5r?9^W`-`x@2>XjvV@;!nF7KiH@$JMpGbyiCH6~Ki==y-gk}Z(0 zKi!RbLqF&b&;5$H|KNH`eJhtcC@3%0?E+9`0rmmN0&ot%BLMUaJG zj|2uuBF&kAM4izWwaB zgDyRpQ^z&$*f}p^Lg-y#cKK0#e`ld=<=i9Gw;*uk$MlJ2myX;DyU(0^Qh|9@M`=G{ zr`%PapXU*RfBnV1j1m8Z5ES`Ec(9sWi~!`b)mFc@%_SYrx=k(Jb;!P>vXkIy2o)aC za%x!Tq`#P#cL@54-zz8Rqw9EmVXvqY3=@Tiwa5?pNBThH+vi;_y<}e7k<&^21jUo2 zP6`c*C+VHEZv?d3l%?b}fq!l0QtA~Q@fVD*%~(o1EO4w%eRq6RvUvs3Qzd51 zd8S+G@CVK)6P$z6dC8J z_YAug@~R{*2xBg&r-X5;WaTLGG_4*#+}FBUB8Il2-ti6G#EuaF+(c8BMkL>ih<9gy09$pq8vNZTmZf#eyeOv?XB za!3?X?e~-PnJB*6%TF>5$>hb6%zh-3|Bl;EhsaU=NG88vD6Bo#Onrr9@=HiO%IP78 z8bfYo^icm%#j4LX+ufJ1RG(;e{9JY8{iqG^ohiZ!C3k)L{nf7z9;`mXwoh68`j$n+ zZpHnnvr5?OsR!qxdX9H~)Xl}8YWHusob&p9!i^tZJs+7SpNz7p^l~H(osF@*kEEd! zh<`>^q18j<20XinqmAd(+hT}us%>i3u){^aF4}Zs;LWTS>I37bo0CTftCSwe;dR`1 z+~-Lib?)ytyrje-r-AUbR1#)d)WaM)i>DV3xjOUL(k2^yBQi&b8&ubXUu&&Wm5KU* zA)a&S$%aU?i*xWvS)G~c9R8W=WJnZhhIyH+@%ou{cA2OdR#->NbXuWbT9*?k3Smih zBmQ`*5BZFMACcBid#3!Pk8g7BL9tV-CUyvlo${O9LXc+cYfkPcY$IGt$rwB@whrMr z$~b0bM}8Y>7teqmzx}WBEBf*#$fxB<-lGz#=Pl_b{zUQQnS{M5sU2lAt)`{S9z4`- z^^jGj|DEqDPMb-4t#mU@Y;w97z8FrSLcq@Sx!#F8V$QIJVSOvFlumpfSrSUu}Lkqtw-Iw$r`Je zkXCA%VXUdW9kLY}CT%;ti&dn%rwJNPjkoLK+|}9Sjc#F&)2%Sa4qj@v$ySt`I>qiS z%y5YZV#5!#qu|DQQ%gJTx{9Z#46%l%T%@n%zSoDFIfKWzRZjr`oczTr8u9O*r z-&9+LsScTx)hL_%3+^l^TFf8M*Ko99-7kYj>;J{M2n-Y4R`omXA4Vv-k{Ew10O?bNBN9hwyqBx08 za8yb?*E^H*m3os`Bsm^2u4#sd#tb@b3(~hEBUx?S1G>kesC4h2B>sldMiepr!ys;~ z%p{C5q%`JbTJ1K>Y%I$pmKh2gi!w>S8J0Fq?;*q*G8+qetbQ=mG*0Uwt~69Mmh_N5 z80s6VLI@PYq}<#PtMvv^ZfOWnV93uc3?Ug2-K#ByrYJ|gC;M%nXIo^*CyoO)%8Lp5_suwb|MTy>F;edp#jX>?Q6 z&FHPv`+~2NKFJva45rm+?@8){6zip%t)*d1ufcLOH(5&;GQDn2v{(GQH8td#?4qZ}TXPun7!T%gir&nCIm6gIy5ALwEU->5oL3Ri%w12p?R`|3n!@SXSl&>U zH#K6yJey}Jq56JQPUW2?zdf*1n0$v70;eEvYDdgGo1JjpYWFSW{uXd$ksw<424z&4 zzY&5Du!TkM2*)?OOUBjxE?m$bUCKLW|Lc_nGJEVE7_fRMKS8Zg!ZyPcWXX7MqUl6B zK|Fy)c!=E<*uB&|esFD%YoE(o>i5Qr(wC8oOfo=U0b;(TF8}GGbc^Vr?h2FL5!_(4 zJS|t}&twnIB~$9TsH4gc5H6}2C#-_4s~Kl{+c!_gJAGF|g2`avGma6z5y7xc!!`}u zE7)H7a%COY)_TkTuh!N088PDfFCMJ_z3A!ZlWYFi$zn7%rluriB%ddfQM7RK`Du9> zDda$AVPT;Q@sC%pQu7Ow&bzifTJqxT_0?Y<{?m8m6Ys?`H|_9XyxsoTD-%z>UUnn$ zN@?W&mCttXUKIbf=i8+tetwtNV%|9f^Ul;Xquby&&32m=D@{3(9wWY7$z|iD+KLb> ze}kwtKLqn^2Tj{csoAQhNKGJKSMD&ilv1v%?wT4(NnsFq*;+~qQ@uAS`v@nMn@oH9 z$R}05m^SoTEyPnBR7)4CUYa)d5nn0ynOgfOpE(!wYfjVR8LRb^Pdmo5KIvybivikN zhjAb0_wTwoYXctLGV90&R$6k+5KZpAS*kVXFmgsHX4U0nt2#Fywto`8j^)GsBJnY^ z#l^Q@MBOCF5n4^A8BUQ%4$=r-PX+%Woe zqoC79b96TfI`x>t#CiRsGQ(xhV_L`W6g1BZV(Z27G|$6g`eKE@=Q*)$CF{m^@w46W ze5$z2Kwc>b8C1&c-zZ`{FUz6qBQ_N=J=koUB(J9bRZ(toW^<W4#%jWxThj~yH8;zNC_7A!X{P7S!wR)|HSAQ?YLe)zBCo>0sAf)#x zYcXd|us0l2e;Z)!qL`#U9RLADzWPW21Q74(Xy#=%Av_bA@iVaGeLc>-R7fw1*A~z-Z{O-|3>t1;TSb+6k-b|@B+e4TyEk>EQ&qP&whBW2p)rfSs1eu+F^ zl5=oe!)t**@_gxQ+0%6~y_^xB^V0nS)S??8{-kOZHViW|ks&@s!)p#npcdfy@#uj4 zAw`0zq?eb;`xlS99zE>+NKGO{Os%KRR!N1MhuwNOGF|)-r8%4`qlm0a1G=CDk=s#9 zCrvffb`)+TTr;G1RMb<7<#~`&bve#Dpr02>J&tvwv#8yZT~GT}by_GJcCTS4O0vvp z9c3Fy(+oLS1sYZ3bXoFJ6yJwCwtcAm>gy+cAR5!>eMoXi6x8Q`2z5V7Q2W)vK|ac) zZnkkyJkos?Y3If~qtA<^jiAWW43YbAK@V-6f`Wt!h8s_%vpMbD!k(&26a~ik?v&mZ z#l}Fe`;5pp#{a+7m$jb1N>rjyt)gezt(@bbe(ZwZPg2+qoHyz_XTN-VeMe)2r6ZfOh;l$`SZ$OtxKZUIUCq% zsL;wbaMwv<%+B)Q&*ULP6~s?neHd4gC)HW`u>6xnLyqbEY)pjCZ7ggd{%j~~tY{$x zLa{r)f^b8j^4y^%=c}%HZqiz{@=B7+>+IW{?m>Q-bP-iU=w@y=lq56F4&5QI8hFNu zje-%o@06dAvq<<(h5D;vOqXB5#GBP()nSwGQ0A136LJVaL?%}!OR6y8P+4vOO+(~U@UpyXcPe=CR z9rea^(pBa2Pl9x5vUkv?D z=EA3m)1HvW3Bm?XcH7TW?9#2~JGO^bQkSJv4t9wtcQ_xCCN_E8;ZbmBA5fj92P^MRhUquaNw}F7G zvTTjjKu8d3D6R6F(Al=>0ge zc$`^0&MY2h7LPNF$C<_3XSFXaTvap4b3->lq}=GavzshZ^`LGJ)4(Z9if`f=c)ulC zHI4RR$0qTcoP4-HB(a)Y zhH8@Mi2(X71>f^hfNdq?l$4J7Y{<&(PN{1wyGX2L`zCSoSTi{XlcMunX7XkwIplGl zu%ALI%l!$LqnoPXcY6m(cR?WhR6D(rSp?NA&J*4usg=e>TvSM-7=Kqcwi9htE0UOb zPHfKWhI=WYc*b&MJDp9g%cEWNdPwt4nVy?}hjcJyO>TJG0 zeznG~J@kA-oW@mLwUo9@;Fz29j{F{LU(#XgDE6f!@1e-*kOW8@F1lIxj`&MT)u42M zwg)o`>xZFZMM?@D8dV)iZHP86xd|namryvpUE4>RBK9z#9X zKO$*_o`9=_R1`m4kx7t26nlL$nF_Hau&N-4-@BIuJ^!3P~$M5mKHDE_PxyYbrMP!HI_c#k{L2e`bV#$!mx7o8; zst}E9_W4!vvnaHg|Eu(fXkxQpw4}kdE#=?SO6JY+Z@vv}M z;+-jjtJ}Akl3+quF02-o4y%T3$@SNthVB0r)m$g-gYtiz&1P8k*RTz7HlJ;lv07|l z4lqmG9%ct~T*j)fhS7^`D{Ns7FdLXX%ns&QWZQ2IgTEjCe)#+0?}xu1{t?%sY^}#p zwwM8PhOuF8FxG|`vCFgNyQ^d4&Lz_$ap9bt6f)#158coZBf^DqgG?+U%fnL}$k36@ z-DssS-19u`Y`;|=X(}7CPe&H~&xRGAKRDavBMUxbh$PM)!L4ka=hn-7}?TMbKt&4KNLWC!;$l1{~qX_Gr5wvEjb~Q@`P8AOpLU@7_^o`F)qzb?;)%=X6B}hP?i7h`lUz|77+GKI^Iy9 zJ1KU=P7@1xuKM3aPVHXNvK0nyZb1#@wQ_-aXP?7ErwK_5P9s?4g6@-Oe0%WUl2xMc z_VB-@R8eAez*?xr5^t8eA%oxRW}zGP7Xx!p8e_W$nat~lojRc*Qfyvyv)qlgz+iK; zxP|-@0y~#tC~@-J>H{&PIK>$?M9R*~->Q#k3Fj3mNNV8G_nI1kVF~OKeVW;2!1ttV zi_v~ip|x41nx@{Owg0G`px&>w`>0xNDi{iP_Iw4oYf?mRJbAw=P1q==cQJBw!XY-D zd81IMQ8)|Rr9!RTK2;tgY?DJ2Zb%ov^r#PINqYHS&fYBPq7>2KiFB(jryC8Y z`GLcgKBPqX6H{7~)kdd4eGaB-&ZMJO>k+-UiJHl{Aye=}n|*Fcf96kY=2sF51k{ME zndHmLOyT)%`fNE*pV>qi@%yf!jPKfvdy-f_6jm2EtmN~WF}Xl7`*uJPR%N&*Jl$=# zQ(mIWZ*mNA`k-H&M>x(ng;iJ2QeRu?T}?!(w^DLe6Hw}3N&3t9mrxc!jaHh4+r*?A zuCES%{xzI~I{f+9@Mh`o=l=qlqWJTFfj@uuJmw2Tz$Fa%^@Rt?9tk+LNre=eahj>I zo$8?a($yjw(gbRK_DHWm07?)=D-qb$W_6P9VQq18Cv`k#n5TA95U^I8 z)=67|8Rnd&SZU5Sbq%2OYnbWV0hE4SXgWQB8(MeMk%2O=tFDiPlt@FG=b-_L2ot(B zLpE~nRWhx{QI1H)VU0&RROi1o7c{2R2@2yJPldBJQnqDnB1XDj7vX24uyGQd7--yu zwSIOR>&K*dk#22FZ{1swPkL~d)gOj{#!94dLotdPi5ljQB$<%-FRQ0jvS%c7hbDFh zttI?s*y4G?nYLKIKPh`?Y`5=P;txjg;MQg+aVSi)B5j^No>; zaC095w-%VDpGM%;1l?+pe|zY1X`E=h7!L`{jFq`LFG%l=Yi}lvIJiJnpee@M1*!sy z82TQl3ha)tO<=4;J!R)=ug{o%u2Sz9hQj`pWY;>>Kle|bFyvSro-K7SM-2pAmt>n! z|NOc%%#8ZyVUmSr)ISfCx|>n|{G`OuSTxwArLI!^Wtve(szw~vSUK`E`kEh#q@qX4h@?(ed+6a#^6TD6A zFaqWIs+4&Vm2HSkeJj+Y+xaP$3cpKtJS68K5Q3QLG)jMp@6;SNMm7ooiv=@r1wAg@ z*^Mjc3*qK&TtQoet=+hS_6wzAh(N8>@rTBghP-L;HFG)&pOCJltnH{^6M_xLga-qx zixrcEX9MWJ%K5?*0k*&5k$u%rT%V^>x=9n$=kt)TS76g2*iN1%2<*t(PW@dls$=qY z$^=1d$JFh#3j#_AqyIrU)F2e&p4sa>ZSIx7U&oBI`lJN>ZkV-`w>EX86m{s zaWb05PK>4KG~A1YoR|4)XyTw%#T)rQ{p%?AO0bF)gfphr(?zPBB)48y17}TAd@s+y z`!~s|*TV;o>?tBbdI&1O8IlH%qvQrhmNW(>H^Qhgw#Yg?u#0>{@vY|$XKKFcKc1VM ziLE^EAm*xID_n8?117!~-TDmq+SBBMX;DEI5t9<&pN(Xp74unPUvX z%cJ8%mTS_u)67Cd^ZYIv+m2`U6^PO-s3E;pzQpB`h|6R0g41@mJVImG5tqk?RU!{u z9%WQBp*p~!A=MmK9r77<MVywoWxc?D{?LTtTN6FZ#SN$^nYRPTZ~ z8~23XUt>QIdcPq-GkPHSK2+gJ1L1KEIRf0!E=B3iFrgZ8!n_AM&)(7X%v4urHyG>C zsNpf0ei2c0?}!jsJd6(;L-!sma!wT%I;WccirAk-BV=5QoBg`racIy?t49K@loK1*z13Ue*TPZDG0r#c{14wEuD>l)^oJqC}( zAfj-ra6^pUY~@?i_&Q<_7MW`5Ykh*2T&z!X09(V%1^cCh?&lrz8X6uawM=N`sZ_BznUpzC)jnS^5i~ z*vDsKxyPo@Z)?L#uQdJU#sk7R-FY2T+pI1KTsqR)D64VTn=_N}N#HklLCkbxly31VhA>>fCa+WcpJ`DZ+l_Zh=A?mKeTHOR%9PrS?N)~c zb8Az#6XyuZYO}YKo(opiCKnQT0zvJRLMuFrnUwAHlgc2|o_5=ls&hK~Jo|q3U47_K zv^I2}WCAY7C3ndGKml-3IlP*6{*U}|eB| z#{|&j|A(zNk80{_+r~48Foetra|i*#Jcx*hm`K7P2t-k4h!GWMP^wfBNFtg65tJ&< zF;buRtyQQ#mewgos&S}-QjJRWyYsy7`qpoK>-Wdy*=OJP**UT@>~jy- z#s0R>^&r)iA3R2CXKd%YkFgRW4%YWtf>Hwyeyz#vfj|x^N`M2E8Cs7ZfDCFKZr@jS z3Im!U=#mfRR{I7}KWaMJTL*A!)GtAM3b{tJ-|XMwGy>WBUo8G0&5G;-R+hvT<_NQ^ z9>wvgo;Ly^AY?Xhv^Z+yS|tyoR$nwv2I9eRwOk6a0!950k~Q%OV$W6xy3EmGco1}n z*3oz&*MOxtnip|g?g&LzP+9&BRF=;k3-5r+@_C@(PE(9 z@+dr4X4D`PNlE;*V;*TqngsEn&t^?GQ7?(2aYz4f z!&y|1*i9^?RMo=XVslK`_V^Skfl;d13iMhLwWy>CLM^UTU8>KH@^+?D^%YU%M$%6G zKzFk~SL(>3@A9|Bho(o`k$fHhP>BVRKvGTMJ9&@P$5!$ZKLbd+wp$RaK%)E*ybVvr zIoFa?^tS9s{bqm>&<+>@v;uAedI4RBCH2n$Zh$?2hcgX`lXfH-5NqMM7LIS>`1Vr8 z4_9{jYzfI@0cZeE00rOr`tbr+J`e=P2pw05!W=k~(GP=tdTF4RwH~WHxpy6yjE} zUYnI2#HKCzuAfPh^*xa`!y#ROEu-Xs<_t9q& z`rtp)2mhHq_;%iS_(Xl3C{$gXJjl9 zcvcdP^rwP^N~Dp=gmepmOWQ5rRARY|TmhxhB>}ST?G{{Wz$*EOg^}tj{e8)De@p#S zVNvreBl;6AaZ|II{X;6|H_d>7zporkI)3${6>44zFJ*IkAil$k9YL5W1GAi7KF4}ViHwJZXiR_tnJE@WF=TWKEzL1IKJqYFOTY+i_? zY>h6G2N_jI9j}8|0^y_==GkKB9EJ%9=x`lC)pJ^ymOZ-_N-38DH7R7e9_3ln-lw#BtTbq0QX@qa2mYJVt4{0W5X=gKkh%FEg#<*0boQSHfc+!>`S zKX=HpiW6bqG1m@DhMp#yI_eU`7;joJlnt zlTujhqw6CP)UgTS>w}3e(kkx!B$;zAP9PmTPFk{V*TQef8EP(>7lVNn#Oh+HcF z9yo>rwm>c>uFp3aaxvUK|MRHRCSFBKjms>2PWmVNp(8rVc$0n9hBZ3@-W41}CgUm~%3K#WSW7M8VMLkz75o~Hf z$B=&J`?Yw)Fd}3D9M|&T65Owlp^;T%W0)WKPAxvYG%Un=gUiDlA@xEk4{?Oli$i&Y zT28b~9u)Tz-wfZ;$TDlcjkAV6KokoJgY#M;0TVP>+=`nnbQ_%83Q`|y%zk3;aSC03 zMaQuTb5Q3@`?T9ToIk3AwTC+37x%ROn9iNWJ`VAtyFlNFOk}>U7r+m|%zh`Fra%I$ ztu;Z*6o++0R=@-NB~fwY%-d)`(I!a1Ad*#EAOYjh$k=9J4Pk2h_R3d_CXF7jAsXqw z8s6)$HbF0DhI+naf4NI7Wu^Y6F3cwCML!m8j1*KMsG^j}v?|n2(UM3}6>_d9H*$6r z`hxnEJNJO0!Mno3YI6qNl>5HH!n7T<{GsFxf{i~lj zJWe7y-Nf(Cs3Gps2qXM}0SW*c z0Lg&Gfcb!RfK0$DKuPrj_nzGZ5YzBE2s*6^tIZ3lALx&a_RFq3`Wl^e@h$G)mZv|6 z$79dj^icXT6m8NVB_wD6S53K3N>>KXSJ-5p@d zX~m&0zM$0vT$9TliJTIde;L?fWQ}jI+@PbdI1fPW`kDmT_qM2xOZuvv8cF}rr;5F5 zAkBJ2=ra0KHNKHC*}xhLw`(8l$;?{1_V*Mbo4f;rE}A{v<3Yse<++5ncj;(DZ)pl zRAJV_vvpP#?roA;f%P3VTqM~fP&e2OqJinctnQdb6nrY-IkiM0Vo{(>0Tf@)8I}#< zJIR|s@zu4{X)dmlc}VUeMGUi^xDIo1p!T@7TjY!?G%+RnSk9+3zOb2HEIGzLu+vYmx|r*4rPvN^s4O5N9%qbDIr05mbw* zYk21TnRv_1)Ag3yeurO)`)Q92Go+|E{{Z=|1vN!PJcObHd~*YT%KsEWXtnu^>|)ccwD@n1l&yw>{JEC4J3 zhyguNo40uzqS`$cHTy`~t7L?cv|NzULo`CNVMP!8NO}m0df-QLpP;4(ek2P8Gkf4i z@~%MIgXWT_8BR)>sln?MWm4DF@ON^W)F(CQ9rR7VW`7fhn4?j!VKa1)xfLoFFzwV7 z!GwZId8Bo9GA$*GJ|r?_s31F2U;zA>$N-UJm}yW*jI`xAMb*EZM6fW6U{6)}y* zpUW4k-VT*hnK}Y5JXtZPNd4ryKF@8%mHvBgSeC^YO+S_2(Yz4*lcwmNuvaBxlkTZE zDEqwrL$3^6HrcW&r`C9`!%tNwh&^=t6I3W6)+o2Kk3mg@>IzgSp-L!O0`IYmNgFzq z$T#XXGo9=-0)nlzWIT;jY51Pw&Er`VGdW0JC`HcE;dsHI_9yfhJyY(aR;|^=o*-M`R z(gvR8@Z{+QO%O+H*eciqEr~)_!5%0#ickf6p!HEupfp#I73ER``VKy-XWHFu$VA2@ zLm+hd6<$YeGOifRy^j3XSTtCA9eqgsK~kTGNTfO$_&p9a;S7a9O0dlg#VSnEk-|`r z1))ni@*tfvPtup?lDs(+nY<+vdEW5=su57*6^~`vL5;`S0;(KGS6XT2vx7nUVZeKM zQ1h923^4;PxZIG5tc43EJi*bw$}ut#;0uTX1OcW3?DLZWVX)A0D+s>=k^zfh(bKSC zC*UPuKj0pqA5c^27)m+@AuC)cWeJ3=h@s@ChB=kUP%6`~rjpP}+HIIsiS4B18kSYM zbdrBJ6jY)+sZ$Kl`?`X3+K^lsxM5L4Cixs9>6o(Q*x|Xth$WRXHvC6}7tc`!inYpP z;#bNgiR^Z|g{>^{2BOFF34FaMVQ$`g*>co8@v;^-aBs7<_VwQ@6nv+{`-wEBC=d@z4 z3Pq!x9T{)Un$ME&Y&6(1K{XkvE$}>I ztx*yQ9j22YX#|A=8FW8G*%-Zzmn<8D(DX@`OD*l8?6oNm_H*7fezk}rz&+5)!Y3=o zByDYo^E9eK5=C&P>@@JBurfh5)7k0s+h%(kG~HhIK1>fH(jw zSV`*-_>2%4dwBnv#sDh7Rlp4P_BiYs%`Ndq&0ByIKm$l;AL(;0A?51EnN#dIGR`~= z$J2r}m3S_FJ)FA2sVf|}!_l6L!x(8-C2pSV~h zl^o>}k7}mqW5lQovcEwnW;8PYg3WaT2)0B0ya-x#P!E;ICB3jOp!|1V;LKbN8+aTm zbeT+GnI4&a8;6Dm|I&Wfk5Fb$9U_fWw&}M*dA0A}`c+U~&Dkq!()pK16v$5LCYOi( zS-(pcRv!K*sIO7WL*t?Q(y1fjjQk7)5&h0UbBSN}+;P-o;}z{08>ZiXgCbbx+QCi4 z(v67h%o^M^rA!ODXRRa!KWfasdbTrGWQ@#Cb;ft8$)LkQc%vR-Z)c&`XjbzxLANse zJUZW$*9^sqZl)|V7Iek~YmqlKW9*;W5OdT)@U-Z-L%o!(veCJeTLM%R+DJbM51e=- zZSB6ZUfUihQCZUAV!dAv+p(sH3y1+Y-=q9uaOr`JP{p11GlkD0GE<&K+<@baWPt2vOF~;%IGmoa1Ex-h0E#pVt_^-lpGCZ~1ExN2`>pgI z{-GK#X{=^_d!gDLa1`6Mnv8a)M;SM^eIu2eEDb`QQtmKMzVJin z@blc@`jt8kZ{+kmB^`)*eZW~0!r`Iptp zaqm8U!;l;*C;a$fkAFO;!b*{U$DXt0*dan z%b=mdrK#aogZX9!gE&FIu|#&^($7H^3Hv9Z0xuShn#!F~I{2?iG)^)mZ>GB?WbFsNq1AjLlL zgh8Nv;GVhhr>2sIcW6>lBRC zvOzQwQ9>dJa4imHG?gHr1yjbjDQAl2G>f?Ce9@Zb+3kowAU2tlfL{Z#$=#_gYcw%X zY??6O_nUmTD84zj9p9y7!s8Wkgy{y4SA_oHXoVaa%Tmjb4WjuFjl_WtBt#?sRQ-Ca z`sk#u{iXF52;OWNh87YpZTm1`ma16WJM408^H&h!IHxVj8`1U;y9PsGL{y2$q2LYs zEToQ5q9WMh&=K4uKW+5U?v2A8*UT{7g}_MC1Oz;;2xeEJ`a?411(4V}7>8Y^xutE2 zLqA|H5foPvZ&RNNHdP{HNK8ReB_W2gTd=Sa8$-?&-0GgmpH%5p!(IjH`G9iYRkB?c z$ThsGzhi-1!zYIhyUAz22y;Cm&tE1Edh7HL>=@GT{Ad2^iBAP6mIsclCVLU zr#+^0Np${zOLY8z+t5&h_Sss4KD4<8eJ<&|EYbHN|6%iLZRx<2!5JeSwwMtQ7U_*k z4dacAv;7j+4>%lLQVQ-0aQB56Vg$qwlT4wJ8P{=_OrDW5uVek7qT!o7hsR zNH?&0oNN4cDOQ^tq0o(R8Pu>g_PB#o4Di?&L}qrPXFwn6k{;v)^pTE9scr07g-Ytw z#yus!D)nfifaa8 zxV;4zEVx_*v)Ex?ciiUSJXj?Z%)7w+3z+F(z6R!bV2%efT-^!gJ79hRW*0DD2J>7n zPu(0m3|FrJ^C>Xj1+y2JZ-6-$%<$U7aP>mCtNcF@Eu%N1=j_@bsjV6`<)Iu-T)USxM;xq zEtuDU*?)6zpZiRD5tvVac?y{K9gdL~0`3B)0nP$80_u{MV%`H>X9D239gf@Km<7iy z(Ww(d9g^d%D$}T|?MDPh7!K*~bW?{eM zK{{42N9@3*iuh~9w02ULqE*ML&g@6dQBl}G@vy%se=~nAX0+4);>U}T?M%AC$uhfH zkb`p*&1+8U$3{bWkqD&XI1iBdqS-+6h5Ey^k-fD8y+-|B5H5BdfnK(Bo$r93yKJ|J z+MFAM?Sk-n;*cj~@}bZT-4D&-atnsX%>=T2pKMS(6Pesb_r~E%n9t5u8b!G5qB6{C zWrkLmw^~~U$N}{7oUd&*;jgKG=T8+=TA>)Wp}U!H0{Dx)``zZ#J3IlLVA=5nD%t6a z6!d?D^z7-KQ%2Xp#alXX4+t99+s+!-{*Yz$1q=M;?=Q~6{A4<-&CJ_n{ukmO#bS@! zPRnVxU6<2hNM8vqK^)`=U?Het5WZ)|xB#fz4EO~>iYEYu&aK?-&g61BAgrK17WNY% z1+sOppNROgo~R46^8S=%>ZV&m;s&d&CqUnG8%NN*8ls54k2VR@MVdB_+UA zqWr;}@;`E>SyH?$ADBv%#ghJEmjLB-iEbFmY&J=L9ELKRhtSaplwNp4mxa_8PJ}WW zhqj<5=;QE_?23ajn;8brF=kuHXWUz3W#o)TU>^oV0(gMD{kZgt6UcN^emB$|bQkT% zhZwQlbBZwE8~wWrig3$~^zQjZ*cZmh-6chM52H)B_#W_ig>~oO!xb96y64@)-Zf6| zE}n2&r~D*2R*hJv+A29+jd`cMBI&9|y;B{Nz(!)4Ce4Vz3o|ORji{}{6%~0#WQwq; zBFBiXgS~58D&jMZU?}B~ZG~KDex_8+OmNCnx0`)n8%w!rSlWWxPYyEVgX~h^9NAmW z05Q_~ohaui{qB>qneuXo4E@Cd?}3b@S0`@;yqveq{^&9`Lc;@BV~% zfAJN1!egC}xpsI{J$}J^r1zHl_-a}_wi=#1t0~Jc)$V(f-dnqRr`EG{NM(?_JWm&; z4BJ~Dr*l(=>{V1-CXq_VUlI;}c=(0jbK@^pYO+)O$4k~PXFD=;-mRJbwr(QJV)f&h zST|IkpB@Sb*>rZeuJ---vs<+{$J53SU6`J1+w$cfdCSrdv&SF3jj%-RvrXPyTKZ-= z@4_4AqRV3s*Q@`1TK6DmsP4<&FB5(r$1aclg@G>k_oZ%+>k@WZZ&E+M|M}mWpBjIN z>o<8mGM7SQGjpyU9Ys%|-O~F(=}qWSMW7T{9lZFII+C=_<4jI{#K zC|4u>tstQXVPrZ9P(2P@nnu9s!EiyVoYLc*5V8v@`LL-0^W`H_daCbyMXS`MI{Eni;$weP#?-jeu#zjWOk|FUos z@$;9?n+wK1pG=&@{PIxq`ob6GeUXPlF^nrmmWE~uZ{_W8JlbOm1kbrc<&0xThC*+^rIoRJZ&`|9 z(Wgfa!+shjqQD~^UaqL=-I!$-SaRXz`WTD0_IbmC+u$WvC4*at`x(nRu&&pE)dMW& z!18(92H;bK6Gg{n<<_A+M_Q6uM#-{))1+z zmJ@4@u+(RXiX!<&pgpolw5fbW8xsuFCATu`X3tgiKviL{N8$sR@j*p!$6_rF5_oEgoYa~Er zJk_?3hghu2HLMVmu9JthQl7$A{vZ$aM5B|)l!>qVvipe)cz*2R5qBxAM_SXNVlPAJ zbD;=iVCcG-JdlBzrwh#syC$2gOUMfeuK$Yj@%qyZV!{g2P)gDih&b<5CJN5v=U@wk z^=mn2n#MqvD_m(^b48f`FZ=R{~$nbpehgZ;r9 z>X+*R`@(fj;j5L~^|Q&vyT67)zEv9Qn_s8tru2pAzE60qI&Ur|7dvk#9ml&0tA4d6 zMIjQP;pYnH%#Cpsh;`GyyjXKvShCAWs95liF4P=mHz`lZw%M!ZIcIWW z&$9>=Wfy-YxwvFsYK;p;V-3E?l{#0?7cyT2&>zanIA@Md`ETvK^|yzuAe^*cd4iPb ze_3|h5(q7{x%3$kwaBHSsUX-SQJ_)qk*eE5m=i5%xsBTUdo%?;YjSAF6XY_ZIpt=;UJ8 zgIS@t4^ZpJ7yjOS0dyzjoHMukoAaRY#i}0XNa?&_ozw57)aCjYoHOUowdLW}8f&y| ziV>GRa|OmyMnLD#a*Q!E=?Cz<=6T5c=QM9zW)-U zIj2<*JSYGZsuopzc%9ZRVGH9Oum6Gm|RaV<daziy{-)K}TXv9h=IZ6G7T`J)zPDKYb?+Mz z8AD;y63J15)#Uqs9NDgf()&2=A>}LFZ>8{Mptc_MMGH}*dz7!jqO-ZELmDfCs}NE~ z8)lfseKX0kbie;#`8#v_qs--Wo{ z<6Su3h2u0hPJ`pwnF~-G?MPaH`UJ;MqC0WUQ7tZ>q(7kWkm^bKS^ubFMBh?TqaOnJ zJhIg<1kAWJhOBFNjQ&i#g7xfEI1}SR-XVK)dWo<4L^;C9Cn-fLzHCxr@dXVnK zpVLF)f+*~o9#%Ukojy{X^;<05a&D&VvL&V*Uhk*{sAF!(o>+i7CcJ)^ zg;VYyF1uriF84c8&#k0$HOsX>^Z|j3hxS|_Azo?xTaeOX%c=`(p;R&U2$(I{d;UKH zms@Pb_5tE6`c(ZUPM|e-jcgTXN?&O!&Q%$$Jb2C)j&7BK{X3n z!ks@VgSA~y{-9b0EvQ7C(j7`42sqVYNq03WfwKivYAYgzjfm~47D)#S>&-l3ph}%t z0RjK3J*NN~+{ox;R092P>=9kJq>9E!@M^#=)ODNp@ItF&9i1*GFEI4MFx=7U;P#7h zCRj{x8sz9y(sWUt3#b2q(=a#%>l8Tsl^4qLX~3=)Eil{_=Z$947#Yfoa+NqQ60QkI zSztH|vn4RAx+wn+hP5!%T$DcpholwQNv7Dy^cDD%K&+VZ60_D6J}Mh_Nu+Jmr${5( z0^i9#3{`it-CY^Uj}2Fbsz=jeyldRI;SY%(8+7Ffd7dy#d2C>U6W85!7dY*PVJHk? zRt*kr+wi5}`#W4ZJI1?HeOd7za0qaBJ-_X;;wKn}ySv^2-!0`e@wNZJc@&tK-$BE| zWN27G?h_Vt0|Chl)wp>{rISrmhPa?^G*4+EOn8T&KP$gM)?L0wa9 z)m5Z9BX$FmDWslrO_GY)38)jA6oX3?<{oXk|Er4VX=m9DP{yx*1l9b=IiSht4qPwv zELoM5mKu>9n8`KWVk=naX!R0)z*y)&=w*4R)N>&CAW*!#g~TXwgrvIr^L|dHaCbWQ z#IrRTV}7xBOJCP7A(XA_J{VuHFQo2&NGGk9%cTiCF3dHEES3{W+n3n~Q;T*os8m5^ z6yaWQfP!KvuGm)%HH33PfjyY#{{X*Uxm4TRM!cqU({{EIuBr01{cSG6%Bfmi+rBs* z-KTyQl=v>qoV zl=F5$(SG`1UMPCDam`>(C*oJ*tU;g~C{T6@(#KrNfy?=hbaJ`hoqFzASSmE`6!s8% zLHd1T53&ll@0z53R_=NH0eEQF*vR+%V`XPeiz~L<2=_voK(Q7omG-0V8{I&$c3=BGY z7#^87g59pHF^gM#oXJ@ILLHkG>Bo#KC9OO{ zvgWSgmX5~qdxf|Oft-9Xu93dT5GN)k1YT1-6=6r^9S%TA4qGYz(I5ZYHmv_%7hF9% z2i*m69TzdWKWLeJOvk7O&ivJyc&No>W|02T3&lPe6c>Hj5UD@JUG`qMFj5o)WleKO z&zIwls-8&BltWq5F3Hhy>|)g&$*FSm6D2n?m#c6EDv878_(aOzhJ+#Hb*7gAH3ZE# z2Mkx>ms)ran@C>|rCHM@Eq%;T#(UXKQSNAi6}Jm`f9C+j`J5{JFGhvr=W2&e(sZB{ zrq=j-<4QFpW{)9{PRb@=7iM-sDd1_{jJyzB{Z~?UUIb3&B8{|#dq+zaCY0&lkF7(_ zFs{W;ux`@3gARsB)+XfYv&Lp3XIyc@PEfW}Mwf@i+rk)L6W$u)XQc>VcUh;nARRJ1 z?6JZ}wQ6*AX#6lmLp%l3D|_xrHolA40r*$u5Z4v7{ zJeBux_=TqphlfGv9ZpsEaVc=x1E&e=JobY%5Kg~?(}D@-8_H6B{#Zy{U@iKo>9tvI zLl@ENU?1qD5>?bJ_DD&f;7@=UFH2o-;g7SaHh2(=Op1Dqy#r-d~T(4=^2!3_9j%J*An z1OAzcdlu&bzZ>#?i|0V#4aI~7#RF0$xrM^xhAS>w9C@4*a-GGU7jQyhvEcgV-NX0` zE$DCW0++*_cFbu_4sbcRydo{-FKuD8lAl4Q*}Ii`y8aCZ-NBBQt2xxZxn;O-fKUY< z`sN8^v*(rJ|05)37XyKVFg$zi2=1ry(_de{ zjBP(h5Vx#*w`+FlJ3IT@`vA@)w?D33yY}EnudrMU4+l?FC5p=I|2$6&vh;xzJJR1>byGq|5W^`LwESa zLk^MJ5f~4&u1@9bGx9Auk8&kHq1CQI7UPf#~F_W zK2gYVIu+`Y3FFK|a-=kx#Y=>UAuTd51KRRpB6BkEmw<=AuMHmE_n7^noEm8-4ZJ9P zP3RN(kkp&y_e7CpWJ)@1lt!wPzPg8$LDJ}jJ**5$I`qtkMCONL52+SO)Op0CP@u6l zk8o7eWq2y3w?RB-kJP2j|Bn0*saKod9mUU5bX#Do{DYKgo4Ep76~dr{-I1YQ2_5W2 zhQ>>x8YY~lJgol~cw91GqJA;0hnhz3PTGw6F37=uVTA_ACai>Fe`0n zPx=%?RHf5Iz$(RK3o*|3m3*fqYxHa%K2!C{+-q|Ja&h>?lJ-;U6mKo$KDM_)WAUj- zOF-^6&8>ikt`q7n`pIL?Ma-K9m$3_*Ymzv)z96Z*ki+N;lPachh7bHg^$|z{T;wXnQvO+LF3W`UP1nZ)l zN1)m$GYU0A@sZt=atHj9W$%qw*j+53af)JJuwiJVg#tkokp=}t3!;!TGF2dsBJ@Wj zVok=f?4lanEM<@xqL8f+h1@EQ=lLf>nWS@e@^#E2Q)qVPb=+Sj&uqbUEZvllopv4n zjftsM+kl|L33~ZRIZO@TrH6H!mJUqn^p3Dy@_4CR2Sg$_NUK3$kvu5zq4 z4dzck-@s$-_i>P--l;un^BN}|<7ZX6k5iWLS64E|$xr#EmEPl2Ccmzd>`dCN=U2Kp zQ*!l^O1d-ocYSuHmoxRIzGBR?k@O{9SfFG<-#W0qC6X2QUEM05ZTWfNhJ< z;CBZD?8gAWd>ZcAr{2X9oT+}@;2YFXGue&%0Jx%yk_uSiv zhswR?LI{~r4(QkGAO}$eIfxVHlpz-&l2Y8)K@MUZa_SM?x#PGC%0$T_tJ6Ep4%N?J zlyg7;$c3nmHfP)D`bVQCh2NvIxRgulA%otO=)A97X#)4)}^kg z2ox4jR!#No)Bj5jgC}-dvI!e`X7rOWDJh zwzzT0`TRL8bT0J|y%T3zYWSLZ8hjdq*2tKmRn43>RC|YBQ*WBpwaMaXAAb@ZupTCT zb!17(ZH;%7c*X{bNMV)HU5-30h*5jj4aLR#>(Z*)gtx5MO_Om)js)#Z3C!zN63>*o z{Us}>o3@mDeXA*_HZ+$zy;83;pDK443aOGfLxqew3q3jKE2>y&|IJryHxgQ!@}w|HJ$8M3rUmjB>jU zkx@6Poerk0JX}8pVT-jyIN&Y(Xe|F-2#mg~At;W45RhGtcz9c5fc`I1sOI$wMzw z{iHpWhkvg8M|)xz@m#e{dwv+>uKZPdco^lb`a#<>jN7aHTl?KGakL%5MD? zOEhr60$FmfO<06pX!_WS+Rf%M% z+9W+n>@MZ7IfRT4rB@oF$*!TyF@s|Zq7(9YzjMfW{uIT3ID|aE9rB+zZh3(_6fPX> zFmH~0wgtY1+Tm+xS@*nt*dy#VtQ&J2_WiB?04K}_N=E1D&-5oMenCELC?whv@2%0h zKH7z@bw2GmI=Any`up}1;%U$BK(IUjw+ovKiNnlQQzdm^Exi?f?(n= zn8>wH*ge8v;vblp0uvKtSa2~cSO^QQ+FD5Lqr${0n3w^tf6ocrXMlStSiRwPt65-u z4cBgmYZDma1J8ZP|M~8qvLo}K9N|~T>qI%nK4uAv+m~>jbEY%DbZJ`eg`kway6`y} zWct@qRmT9eP$)VW#|eI8q>Ebu4=U9OW1^gqG`5bDH4|JY;IcChT)5!EwY%&Amk4FY z(j7&@**j^RWqaFc;#(sk^5ofbR4`irv%7`2W4_LZK`so^{B%dt3cy@K7vJI@Q0@)r z0>3wBV6YmNd2`f0u+N-=!E5`>2^c&R-Hy4+hAWfd%4qw-_Vq&H<;v{K>;soS>@L3G zk_j$r>@J1ik^nCKfiPPFvv2IPr7(+vSsL7Ddox_v02c;vz(ou$512692D2vnEG%~w z55BGT|5Fl}XTb^}6gzuow0#y{x_v+R{sq3@+3(K&-ww9B*zYbFTypF#(47cMu;609 zyV>Bf%YV|UpDF2CAc?5m`MOM%_R zelM}$(rb6I|26*xmuL1>QotqN?qa`}aB!)zyV(Cy_w6qBRkFZkgWbh`FNxqXZg)8Z zF8_jy8?17b4=y-xiMHR1FSs~^OPT!-bjj{w{{yAKY$N=E%35L8M+3h0n~H+j8u+VT z&4U##+gB)oSpv*v*nM|^?;$nJ+VAcryf60HeRII~sNJ{NJ_|3f$NmBtFuT}3YroB@ zu)=lwJ=p(bZ^7lmOqh*;LB9QdXM!&Se1EjxufP2s{9$$u%FW&r+t}jm`xGhej698J9s6E{7*tHRebYtpK^nDpnWz7W?yrjf4!dqv%N68#6BAW zvs1}G`GhMw{;d!T|K6I;SvI4HA^!A8t^Ct9S9H6!j}bes!f(ZK^Y-rV%{gm6`U=zpQXWBDPw5kKe@v3lIh!& z557M}x1WjN>;Rly2++NM-legg`{)5neLkvu(0krrH|2-b8td#A(>NP`-diG!$epCL z{kg6_y&09P}(Rox)bnb`SJo7G7Ibge0SBm8gsSn zd5(#+U-&^io~?`!;I5{$0$cqW$VW!ZS2#*tI(T>Fv!q@fp?4I)QglaftbCc2+7TYB zaF;rD1f7x>NIg2jPATH0_>Pcu@(sXpKcmc{oD_|TadtzwH#j4=C2gq>@J-_1YWCIU z50D0nCY%eD)3x6YI~Ax(`OAA;d&!>!g<~P*fyDZE7Pgg5lr>o*t=y*i8y23G(897(-Er6rP}sOV4*gMmQhO*452fXj`aT3st&ueM0Y%;~5@jE1 zi~4&>TOV$V<^>SMBNHig^(~eFmj5c*cGJqpf_un?#^Oa-on=Ed_5ubOf zdP1c23JxPKRI2dfgvSL~WDkY0qpKa}jg}6GM-xWMkCtm$2iQleU5%6ve9smiBh+Em zKpiG&ks)2@S)HGQSSno9oSB2{Qhj9aEXVw)zRccN?zl#|n0>6=<&8R)eaH$0kcYKu z7VfzEk#;W&G~>qisCX^N+hjLEaSP!#b&p_E3pR$7FGy-}iJ|-dqO5oC2b|$Qcu2WOvVT zhJ1prWL|1icYHf5k2=S>(sq-)=5m^XNkh2@ncTLsZ zNg2>JAspS-2AmCt)xNJV7=0epKNu9o?WA*ctn zpAlkk8=D*#GGDeL^sW1Fgy@xc!mb3yr z#k8&>{UzeGDHGTZ(67|14Tq%6zQFV7_onye2%x!S*J50u5oL9cM*?Mr;)>MOmhuvt z1$rsp4dXx4KN;$PD?7szanoeDK6DrPFhs$!L@I-t>hml-Wmpr0Gp3MoJ@I2k0;zOA zh5)^rhvTpm)h%{U9D0ZHB>THK{0`MyGqw`R1zHowNl5DDWctEdHj9bV9 z5Oi&{_%uV%b&qLo^M6^Zzwl$noXeOu1;nunp)cDxxB-8u{2qrf;3rkQ7kW158nGXQ z3C*QOJXVOx&IX=pAtyTzc&dez>>Mu05|wG&s*yD2RRN&|Rl}Ym-(eAr9tNIj)opEW zHKCOpq4*J6Niy4!e~AjR1^te}>MHGyYL^^nbPl$-WY6m-99Ny@e>E1{;rjp-;m&Gz zrMfQDIBS~*9G`@b@*>Xji5t4u*4m%6)dYRykb7$AkF*m~Vi2H<*`$IgIOL_1@t+4(1JD zJ_qIpU_J!q)nJYQGhFQqW*nFmU^V~`NHfrdlmlHzDA$JtS2uz=(Gm1R!Q}|JYzNAa zA#iJJt}*Wf*A?Ij_rZddGQc$e+;)OnR??eAivoe0C(-FD{wPq5%!TWg!gb?t-CMXW z8Lpee^=YH;aJ^2vihlwwYr*AfaG3xX4d54W6Qm|~M{<4gXggd(!EG0~l{So^)4_ES zxV`}w5x7KieQfdI2CH>~+Z}LQ4lZAT%SUiY0+%SR&oHdA0?entTnOfsV15VY6fncR z*a85t;0CMIFaf~W=_B+WJyPvD!F>Y-em=JR5&T9WvOAXsrO`7bhj`BKG#qoT7(~%t zD-=3b99ONlq{GB<5)mn)w2F)xhg#~l`bx3;e$pa{L^3AAuR$a-AucSqev*z87ZMDS z$mqC;{eK=G1Y#%7kbZ zI%CpI?B)z-T!hjW=x`n9C>OB5Wf4ngen2BeP7O6#Af3&gsImwC`sdH*bBC<=} z!#=>mmrx%YUPw{ZNg0^c>NIoMSWtCg2JW@;rv9wdF*V|-+*#^Az&i?L^J|;)uS45* z22}c?{xGfpi5SocFKV899sRShrn#^N`9>LG*eC^|IEK7NN*;({C{R)Y4~Q)qjB~Of zWiK+W$&b!q{;j=F|~%bOg2Xs%L{v6AFT`Lg**rTp+sJU zJMa(rcJSO~vvg4%p?m9tbwM4_kiASdwIjTs-d*S45mX>6_&>D0c~n#9*EXCvkOWA` z$Q;5HW}*m)2#F?uG7ka*LK?NAq7p@oN;QK+22^mQijYQBR8&x^QBjkcR9bBXwMs2& znp&l5l~mNUQhm3-=lP!Xee3SfysvG&dB?U zwT7vkvB`3rA*hC%tVlOZtnn=*#k+>%_HCx2f0(9KJOL((Xkel!-wX<5vVHOLVzCxBtt;GXC!|?k7qrlT6I!F9|(aP%;I*c#GnMr)8>v@-dODzm{Z^&1m&VQTMYL;G;?qf(RO%KpO^V5; zXPD!qq-^FD#Z5>9PH#m_gfw8*xcldj2Hck9_5{*^ZAru@x)nB})W0D-Mvk>}%D6EK zksUZRPl9MugmY#k@RF?T&K^cwhcw_Oqicz_!nRlnh56N{MN%ltZ%}@1_b&^3sd{6N z?G~gUe5}y9JMNYM6uvqc>8y5xDZzoMW#*aP9kD~l_d-s0bubk1f^G6lDB{htHOY{^jPJ~p zW|S{I+{|-uoH-q+YobMU)A}&E+P&sic6!~+4&)J0c^%{ef7I67T4ipnK=DVkM>k#n zc;=^zHp7OnsYaOJ*9{mW%ab!xXw{P61^+ zJVLSVv(HfPu6~30Kp;|OWJc&{TvWF0Rd<{s~OSiTwzas9yZg)(rt+=uv0 zLSB9u4KEV9<`*|09x_7&JVziGkl3Dvcqe}sGDI|c^eZlYrsgkwZztk=wN?+!JSWDVw- z=3D*c8kD#Cu>PwWkZO9O@2NrVQ}59qt-2dsVH=H&@40O~u-3Alcfg#cJ%yB?h?SDEVY$A6asFTj4v%F3d8VR$p zsqV9}>07!`bElOk^1ylmkHhYGmbjrmA}(+qy@hp}ko_slZ8kO(!rC`=p^6~vD1`Mw z*xLSx(KQen1fg)p8xZK!_J8q59cfyCAFt!WKiw*AQ|6LcV~IKnryU?otcx1aMb?`vkbp zf_ojffd+bt$OlwnK=ABOQ_P@$Ls&9k{WCx%2D2XEJ$VM=5NH6jixRst>hbGD9v~Lu z`c_i~^&7YK^e;@vL8dS5UsK>Lzquu)XVk zrY!pGC+v}{$A~{}oW*Q)J06q71ZOHZr9RI?Oy{s+J_k*iYnJBr(p48|dxOV^!9G{6MKz zhDngJ2!?Wll{4C2MrzZ9*v^;XCafXO`Eufgk83F~Ux5>B2rbcEVxI+SFetiI_5@g< z=;E{^GTH9RjdpS-U~epTmrTIkbl6#$q3hAH>fhMslaPh#oiJbh`nb7@V*9eD@)T68 z=9+E3!$T%YK`yiuHI2T^RKR;2I!d zRVeY}M&DIUkf6Dd3CiUXDmOL(l3Bz~?pa7?`E*8|g=7}K6MD=SLBeWI196dhj{dOI z{f_4&)qOiYKVux$!tMO2JwA6l0x{kj$tbt!E{gQPjr`xp)ATc30tezZl4}^#T;jo9 z4(@1hmw@{@xO2dr0B$CTpn^LY+HrK??Elzk3>#1@f~Yu-qHE|#cQ#)Vswoy95moz{=b&$K`hU#oUK ztSuAoQv>;Jl5GWrd4udQWm5uf5LDP3?qIH9ZeT<(4;8k7f+IR7kQm);usAS~>+Vhh z3nnpuqBlys5NtNs8ZZ&qp-kdsU{{>Q@hgk2LNB&F7oU&;!^kq_8+&xOpwBHqyV?}$ zh#88yrHbaDhax5@mvE>6IZWDdc;_ZCC{dMF5#5Cy9C1tuD3J$2M&!!6Yi6R|0v%`~ts9 z$|TdQ0%|3&Hm)r2878kZ1sGzC;m;HmhE!v;w|t5r&EwXG&0V8S)gmwWyo+c5{B4!j_5F+{4N zDPYJj1(@gMMnjl7Y^}m+NCf72`9?!*X7E1>iy zH)@ZPBk|%!>`}dg(sFhe#tNn7|7-JhBvEA zx%z(-CUv^?Qm+ZtNm2FWFhPc6$_T*Xf3(Ms04)9_kk11w{-qs2>oM{+J7)x7@el12 zMgSIn*&Z8vPQ=VhjG)besS5u*sIodNI3(V^qn~qG)Y7J z<=f#sTt4phEu`hB4pt-mqg-ltWyQ8BUG3Fz1>^Wo%>rAI!#A7p@%nU@?*A}5JzY(; z&Cpn;F;zCRZct~K8k%VhfjbnjR-#yu>CVv7#Lbzm3|+BUlj(NZGgfiPKEFG=54A@Z zFW!_%EYX%iUD~fKqzZi;gdDcQjHEA9u7nv0>b426+DeBR8GK9i1I$Ry1my{sk)ab* zf5MCmJgq#y@o}cl1Ck71piFVSOg#@v-$bY2F)|Iv&GI<0L(zYM-rD-^3>sn`U_NwQ z%v;Sj;wxO#db-Gr1m0S%Rxuq&s-tGggFvvMco*iHWeZ3k6JSD`T?=q9AGjbLw|F7*xJxbeB3YuiUBYDD7a#20H zN4T0?F@}%|^T?%RD2H$vxq1xgDy(kGA46+|8=A^U2w8n)BX#m zZWHlP9i9P-J1`e#G(r}7JE%AON0+qMP8~hQBHn_zI0@!r49rEqc^rnh2y}|)tn&aA zL+Xn>46K_G{p`bvMoDsia4P;wE2&9z12Y?nJUKUj^v|~msL&=`Q<{JZ?Yx!A-tP45 z3uDOpB!T^548=GUdDwg8N`QG}MqxJr%ma9Xy<2^ibDI!{PI5J_v%E!YzKoI_7Ko(Q}jw1vzYdWAf)*dSCfF=>{?9!QZT6*Tg;p- zaBFtEL;D%@O3`;1lLdaw#5?r!z2s#j{bHt*e0+o0jzA7w73@5 zdU0I%xXE_Asap)p2&1!s6C$J|q{aJ|XEt%6>)|cEn0By<)5?`!21c8^n)ZlSLHQ^Q zLVRv{-hhxN;I7^{#Qo+*%X z3UuGLCD1)reabwehcF&fqAHTOB}Lx_(p$fz$h)c)5_D2*f|4kq8o3ET=|?n1omECk ze2fuiRf{FSPQ6O$WA_=|;$#fdE}Ehpe#Yp__|+B<+es(vTGylASwMtvob60x1O>END(O%ytzo~G9Kx!`Usjo*}mAD)*jB_u_x=Y$kTlR*yc*k zY6a4mSL|&`SiAN%yDbTA*PUT2lJK6|*X(8^!c(VXYmAs3+Ux8ujX+9W3M^D^9prn0 znNoBg_XFanC8w!;7#6?3*yc;|eVmudcza$`$uRm9s1P1-68l2^L2qUH=w>H0VEQZ0 zS>}$Ob>jPi+T^Pt?^`uaoS^>5Plvp(=S{)qjw^=jcnW$RohL}35a%&_1nw04W13VD zLjh6>hrquX(HG{a?Bh`S!#q{v99J%Thf>M$;s#d%t@ZMJVFyAanh6qu6dLs?U)t=w ziyW))uw2)7$gmCETk=9qG#Bb@^M%RzC4Hdra!;@Z7_8Y3K~$nbzeDCz%y=b_u+Pb# z)d3K9T>hMS0C5k^2l)HfLPmbYJP;dB$S<7-e4Ot2)sF$<9y5^t7$ENc17&^qed<2_ z(SH0s&A9$N7g4TO>JM=-<(h9mClqyGZP5QmHp0qZSKwPu4&i4wkR!n}l|S024~TZ5 z=7<&!G8BQA+V0~y7rFGTYP@`{oFie|;}i_vJ&%DBus2$d)& zPIw13U9==F`wsHIS#JY0|A;$k{=<4Zckq8$Z#nyvJq9mU*gloRfNf!yD>Xou8CWq7HSVPshwxxO4PrK53!J!83Bq}lHjuF zbj2k5-0qS_+;a=7yQ%?|pzaYLWZ@Gu4)K{5K!j_=$6EmHm1kbX^LjyUR~(QKoax!v zN7{wry)EvSsqLy)R??`d#dSUHj44l&Fcken-fpez&bWbI2dpYTKTU8>6{gX z!CN?W`BAtnP=_gNz;1&&OlKKxo91_0Vh_rf8EJNfI!t(p;*oVx-OMiJ5i2BTw&I`mnsKZQeKz{&|#r;r+0VLl!sKab? zB8MS6lLmE|wjsBdx^{E5#6!k@tK4E|j-DIB`)mHS^*HI{LGD0F&6Y*DD>hg^8*NWQ zwP_C8&L@?`-|y}FC}-J|)Zw{Mm4#?v5+CkoP z82^W*+BV67=!@}(!ptLEj>Dxd#9wvJnr8EJVEQ840B2g=BpAm-mlzxLdnV{UUbf zayMW`xT%N(!Wjdz8$h?W5Gtl_7eLb&8Z_5}rtcB1$6C@X5aq2HH1JqK`{a4T zqPP;^eiyEYtLjBig;{Y0y{MhS#iK`S0DgJaHgV8BoAM`6+k!ljpSga}wIL>1{V4?5o@=Q1$qn7p?pl1YX^T_5|7HX#fKE^aAuZC7ALhpufF>sk2Q)PGn}x zX$1{H;Ni9RYx3HrhUB!3>=aUZIcRI`eF#6uS?3vIBHXYF4s4oEoF4_geO|zSFcAsZD6eC}0j~7(dd}z~}CB)~? zs>l2!49lNWkDDZ9<`>mtzZNFuSAbTi&?CPTv_gfk`PE}MH(|g4XrycvrVW&h;d3mA zfnpNo2Mc?kl7x%4kOvA$*b|nxfpQWE54sGLG(bYPS$~OzO@^I$2G6@5q(B!qTt_(H zDo;v$M?$}aiVJEaFcc~-o+Hp1ddWI(Afo~Ik2YN2-U3wd3&rvlcbxX7nax9!fW4N? zBa-N6%yB$CiMhh;!t-bdexho&6XRw!xTZ3QrkWmdBSmKllkixPdtloc8CMmBrCKJ$ z6-428S_q>@%UnaDM`{7|NO4M3N1;b5^se#`iJ!Bw3qR3c0uZHqZnim7E}m>E)1EnPEnl&-UgFJ?m9 zREPLTCbUh(%9n|jgGv&#P3?lVDUMUn0Tq`!0CMph0!`&KU8%{x8TW#|&>Z{O0nGbv zl3m|!S?M|MeRoD3y9?w&%fifGb>}Ltf-?i0+cvNC^d&wIc1D9!22Kq)cY^Z@jA|u3 z4^Hxfk)hzM1?PEiz6K`~M#jL%N(eCeLO=)vEP{ZqA>cU#BtpOkm*X^}S4ntXWLKeW zRoNlaf$o`#i=XXAZP@%t9u%AbCE=r`;81~M%O}T{S%ehAanJ|_M;y|{OLLP+sH7EXQ_b#46?@(1_c=Csgkl!vOMe>SN7!=7f+xp`N~NP_S`9u zIJ`1BhapWAkr{dz(nR%5l@0ENYA^Po7S}4;84!8!>0qodW&L{fi_FlC9{4KP0QZhh z<`&@I=bZ{7qN%>J=!T@xwaz-**3n;0`+vQvIQPvK^s+4;_=gbK=L10jslNSWFzyp& zw#2_b>WM1KPHdXh;CfnvWnV0FS*{kbkCc&?YeLxPoP@`eEGU_J^+)beHAt}i(BOo! zFV2Ssr%jec`Ox4bvXtjTgVQVB8-2?VLaW=V-#COxCGUs4E-Y=&LSEO03t+0S)E+wUQFD;jq>2D#fwJwC;d6Y`dLbcVlGmfM;66)(^$E$j13 z#|h6MCv-W<#T#-$UnP;eH9`6w&V6ha}OIaYO zkV0$qQ^*%VYc*4lA$6?>{=@}RXk#c9luF&|L9cwZ6g@`X0QsWO5r7#!v?q)Ny@Y&G z%t+V=%)i#Dar|LC+FA{j7$8U6B33(nNc8QdI0uWw{3xf`f$by(8)UsA-sSXYh-y;| z+9$JuK9p)Tp%QryCzKT?Q8+jWEo{47!-;7DHL*NV19Vp*+BF-++Dy!0twp>q6LnbE zVO!BmxhDtnG@0yR0SmQ5pj7PxYyP!8__YrS=fhSsN=<7WfB=cRwQW# ze&|z*Q;?-SDnmy>miBkZ2~F#BD}gNSJ^P&QnH~7!B3yU+Jj`NIXm{>B+;b75TQCpn zBTDF=F%Q3AT=?7_e9jX>G9YN>d$S5YuuM=7`C%Dt}oRkwv#n(A81QVQwJHtkRhlx zOqH>dU*9sj*qcX) z6772So)Hg;4$0oea^2t3t_x%_PqWYbEstlT_cC)WIy=mm*d_j*l&2usTvmOSG@|<7L_neTNgWMQO?i zdszOg7r39G_2)^CR7An?oCbh&?p{mt;&3i%Zliz_Pg7P&8(=l}QVvWqL0aj#LRv+<~GHMhE zrqk_^66!-=X1s!`%G9#x3Gzvtpt8sbij|y+WwEE_Zk)&=?rB91XUb62a(Of-YzU}W zmT(e*xkK(}pW0pY82bo_8Y-FtUU*`m#gXl-qM_2%-`Fw=Fyp~mo#_}kJ3sVT5~Uom*Gp2mB)f#3M?`5!Tcvt8fB5wgbXSFc_j#Ch=Gz^$*!I_tIS zdX~OJd`p5?K9KKn&0IZ0Bmc#`e)GMJ*0nc(eevEWFdYC4@tK@&;r$tvN&byLf#)C0 zr1A+oN(XHxygR)*7)5+<9v$dMl=Twt%-A-R7rbH%QrsqJyx3=CxOvcySHdICqn?2t zWBg_Qx}%*-$ELd}OPPgj}S_nJxiJ{h(cG@7*_SU984Jwlhrr#nJBBklq` z->);~uIi!{mp{E0^G=WX6fH^2p2DsSm>dUqQX#gwyAH_A{ zhEXF6(MCoCGXSK3RAv?r(@39gPU4XonODsiX<7@{AF{;Fa>p3 zlVL8B1h@DvMCWDPH#K&8$wI%!e4gHD>QYl${CKDpoOJP0i^~liOYt`)sGBXpFu-Y0 zQ)CGeOdDK%8kBC^q^6Yl@=#fvbek1C;S$Uq2s#Z{{duTJLz;O07{1H%{k|eZPe2#r zihL5FQ?*b;kNAK(r3tdFl+yYFwuH7ZAnCF)8?y6RtkHxFIpcT8{GP!$pD}I@V zDApN)u5GVrihY8tv=TNui*5hPnn5xS=gc1ljBpby=nRM!cO%c&oLGK?Ub6pyru?69l;YBM?%!*h%^Ta>4B|zuAlADwyBF zixgLcOX|w*0G;Way5c+NYr-$;D&rAh!s&H|@u(xhxpn37$OXcpI>-h*6+%-%FM=t| zs)KC6Ug6@p*}cdOkPXn*;7@Ab+V%|*PhNYDTB=4vQkOhT+hV%n(90|AaYnyWL?iW7 zmhNM0(Av%CFjmr3)wL7wp3C7Gm>f7h`4#L-J=8<37>-&MC&O1}xy_PWP9T)vA3^%_<#+ zM%#V*vqt6}%Gdl)9KY0+{4WYT;>kborw@V-$_f75L0mlLPkzxLGM;*XzhV&EOMbx5 z8btScUg9qv#P?F(Db5sYYwuL-u$Jp~5sPC+`O@Ms~mc(QRKT%9^{m_LtXF=5!MPU*xg>hG}m?w-j zmbrhfrHl8JxqhyjCEh0Uy0KMEVOT2_c>W;zT$^y{nS{RxDL-)hbA1L=;HjJwyhdia&Wf_&`DU{ODr51W0 zQ;K~BAMx<Ism`dp8FbR7lRYx+h2U8b>=z5r zU^{dOuQabNC?CDl>9L;dX4-BDbk2xJO%cxRE{aE9wEuxg%dnW24*I^(WYAyGqs^E5 zrwqlGtEvreY^8&YamKIsE1(v+y$lIrCKO-w41~M(M_c|2^yuCZg8Q{HM22v6UHl$& zQs`RcZ@eIr>!43-V-7MG$U5suq`LGm^n$rd;Vu91UQbuH zE)#cJ`+f&am|9Ex|0VH}Oq2kL&x6&@o4>#RMB=lSx`T3me(tTbOT_#5-?;NR&gOlSjAmOo7J_jEH~wI_^%3ROH~wLH|GJK9+T zdOsKW6QzkYF)xwPmP3t%&BUx z#T&q;L;#zbj!UM>a%vH$bX#q1j!CkjTGTSlGT2B>lx5T+UujR+rZ%5&eEg6ooQIz- zav#0i@0vL_3TBHg$p>ISTy*r8{r&?C=KhdZ93E@%FP&CUVK`U%_cqf;i|Gvs>|#HGNVKj#Sxkm@euVz?c z1Mwx@XSPO``-jzzkH1cD5;Z{E*>*t!4>gY&Bye}Q_J^k{D(yv06^*zx7FJVfBld60 z0$UW%eV*FEzS4pqsBfBomTe4FPRLA8`P66P)cU@sZ%t#QGre=$w8uZqhbMz+ycD+LCMEO!`-(_J*b zw);b^kJ-n4iH_C0H($3SN3}9?UxYubo41&s+d&SthV+n@W2&$hjGnC_Jf!?!x@edz z%j-o^VT+Z5ZOrfGiO4XiQ|ty2)Uf2BdB!U zNpa%{?pw&^OdLcvQm2|_4onL?=r={n;^0BwY1t`0EhA)8zA!Tl0WA^#s2186jvitm z4(k?}NIdcl+D+wb3ArV@4gE>Ot2D*qiH2!vaB#}lRVbqMy0}?}jRO2*h<#Fbai9Ah zO`>VJBh)#w4_gAy`Amm;08K5^Efc=O#mHOgh+xSX{$)R{VIpKS|VSaNg_lXf_rI=;(0XLJQby@iX_ zg$G^N=Rj06M7@Ixeh@VUhOUBpjyJ>Lz}eZk=rV?Bj8rityo3u(7b!BSNd=he*RaqrR6Px6o~i3S5gRv-qyjn<~IhsQ80!|)P@QCc!=TPHf*A9FMp0B zqBCr>>L2^`I-S!c9$0)%*oy}88?om!H%)&^e2vqyajOqU`p0`NNIbHIiZugWsxfg6 z&33uIK>l7t8I>n7Q@C2?79nr6o9pgR3E|I``q%7;;f;23vHP^Q^s_HG`75Nzn!p&$ z_Cwb_dudEniQRJ`c}_RXQBp5`uWHpFg}6TfPa)Wa_5(ac_#B5#T7ax)lqzEkoRNJ? zNdq~>xCho*`YlH9vFvvqvT4QGOTtM56~*{3ZY{yJ(eBBQ2y+G!AISCXM)$G2)j=}o zMMvK{;o3$?lq>#7#op8=i}NomG_SCK9#?h;dsDa8hAjx_<1R(UYH$FCiIhQV^_2D( z(_;Io(F;a&U*Jn&_0{1Ed<1FNQY7n&hP`iUpjEEPM51M0m-s6Ga${#E| zfnRs4+~Y&`;;GK8M*KP}raP~}{jE-8TgG$s&HMqC1f=xS?Zi6ZP0X;w*Tt`N+5&if zzFB{!I!kY0t`)uV$nAI-(3*WIEot}K4WsQX_}n8~5lK4}P(REKSsjEp4TYKGWu((k zn7LR6-P`k_Oyj;>bBe#T2lbc|3Hd3)W9o79Y>8Vx=%udjai@`=!z&ccuUW*r+;hMdPImdA%Et$%S>In>2LdjI>nHC3;?%u zmQyx~qk=a6B2~G4rQn77_vtShXQjW;S~JHfi}wuqW$hoLM(Ujt88aQFT}LF#qAp6R zyMB~xh_c&Xl|8pAYFsVm5nsz!HF1_-N2XhvYf3GHBa19)gX8MCgCK%x4q0D(&3wp? zF=n@7_Uej2uGnK2{fJ<)6t|1{aj_sE^0b`5i8L0@L(@g8@@G6o>=xzL?e52(WSj?N zBfglvMlfm6rI;DbcN=uC47v{+bhNRg9&=BVtKTE@y~8*FzXwF4pdOp3U8>(U;=a^# zs_6$q7)Tf+{<|Q2PMxY($UKK5+E8x5ZM=U3zm)l}+0VYP?g$Ivtyy52BVijWQiv}p zD$^zVDa_F?=Pv8oJ9q`pT+kB72MhV{7~$};g#mU&{29)Plp zKlPP-kA2R-%sza%E>ORfMYs>DMpGQfn%K<>ci8%KQ!xiD88)edHxiXDkC6nAM5H1g zShxdo8VLWD0YJ9_uVJjiYb5$xMXDr4R+x>*117O*9%YQWlfQxIl@fhejs$>rV+#SN zU1*!_2w?>u2I*T;T-goGP2Fr8mgm#QeF809m7}LypoQzT`M3lri+)41y}45)Pb|zs zKFpqql|{mZKjFgaPZx6FLhKuwIP+P!X#g(1E6PKrW=_Sj_N*nur9k9WxVRl6Q*+^B zGhCbi7k9$Nf}=+e?T6b?jh`Gl!I1!tGvJVd<2SfXXh$2Wp$!~7xPKws|1mhj!C4KC zZ2A?|LJ8hk_yTj=8WT5t9QnESfq2gl`hWC+-YVBXCn9JKV(rVi^L-IIi=eyA7nNoC zth?A3dEGK-JX4rQNfbW|x2NVz6%GGrmkqzM3yTTTWP&z{x-w(@74Q3<{iqA-E}OL3 zt)6_IKc(5Hm$HT*+00A{{Y&x09yQvT1UmCr^Hl>`2E4KFbSq4k3@*kj8h*9b)3yqJ z{<$-iI0LOScBX2-Rixi$WDT=pkY8I5*^;GRo#8R~pG0f&XH_CkGU)vI(vVJWgpy$J z>}G*R^%JX?4#91yVGZvlO!l>SAX*@qg+5u|-LV z{gK}CVS7naQ9NYA4zP7Km>=i8Ug+=48+Oapt}{ucvCfPXmu-+f4RpjMvHg+nMRU7L z!7lBdQIGQxuI|nqLo5b{ zgPAGF5_OgM{3k7g%i`t{JVX6K+$QtB0W5h@4pK`@8~QfDr#H#`vl+2+ja?Kc=z})U zQwpAak|9)|8;YLF>X0yygi0B{~O zi5=lAAVgSTNrFwH$3GfZTexHLNKmM<3Sbq1htuCMZyWy6#UA`e_lN#q3-Z41%YuKc z!<`=_RmB^4X~(XVA@*rE={04vpQ@vD&tmsvykS<0-Y_Fg4EnoFnk8`gEqvSyb`LBuziJ$I4SsO>B@E6h-r!gO z#^gr7Rql{f7jKZp9lMeQ-|V~XS?o3daC5or51MDOxxzQhF!+m$VBiM!#U!`W+KC1K zp1HrP_i?-Sg{`9>#A#b?E&bSSum@;LBKnfO#8>(~-fB^{JSl()3CaTo7w4Q-;wM1< zssa)eeN;;f7-#M-T!r;-@fjnc)|07pvvaz0D@i4q>t;d$E{ZwdTv*^9#rWMkO^R&^ zi%?89OlS!?iJEBn$(B`s&8E*X&y%{fa2F|E?Vz?-iT_qxF7EAgOQozdxk#zb%wc%X zU$CJIJV^96z^oDo>jK8-t>&=)NDc0S?uq_*4bq=^LXa_t>t!wxR1CWJGX4}~K~9u;Ku|OYQigNPK?WaL z=6?zZwyHs&;pl(l>Gm0QTV#Y`;4!WcrR7(rpr2_Ku&s(R7LZW)bWi!wJRo3=Rd|EW za84iUn7Y(F>%Xfi>T`gdb>~Jtgst8?(;Fd%x7@n*XHAM4b>z&@MR}2=oYugbNVwcW z$5!9KZL#{+<=?<=(5)#LwHmliiImpRgL&bZWp?i&jfd{ZFf)%EY`UMo>pgm@3>q1q zn;1Q*1R!Ee&@MBr?eXfOSC|&{(7R}l;Hmxvp2`4tM%v-nZf@xDyFtGKkIfYLcmN)o zlkoU?#ED+uc3Rrt(Sv8L2Of6k6nNeMQ>zkpe0uE0sqpB*L;qnbJbtQo7NF=%^7^T| z6&}G4_q6XT<{@KsT{cm(TT1L{m4K7T;{GK^a$-kf|AO31)M!UP;*wA>P((vL5Pdc< zs}-HBF|QKR;`8}I zQmhdmU*|ND<`V{vQB;h2Bb=XKQH;I*1*_Dp@@e%V<*D&3fGFI-O&VXY(lqm9A+yW# z4WIt;=M&<(wQ5NdvxUEqES^1 z@$8{{n{3y{Kp1t`!v%`=+ZV&Tr(T+fy3&y`YP&b~BmKpUSC!`WKMq7XBQLGMuDd;@ zv^y3~-rt^b?<~y#0lt|KVE%M66Hb2pbnm5(p%KE+lyoCIB*j7 z=_CS9J|4PPWvgHNC-%pVdsRz*i|I{6%(l2R&1!@n@i6v97L+scpw#I77+_^fd5p&t zAAXf%Qh!+aYW+W&7{8I zr}Y<{K6l6qlF$52`}lm?CD5+>TEa5scHzFZc;{DiVejcy+HgJo!!0Kl=V#Pn5)bKo zhoce{7XSj3SBraNWz^}02;S;t<`bX`pWooIl$s|0#hOsJ1#}OfgGsjf z3MANq!Y|P1qA$=l5C4P19r_2?mi5Msl>5dlrTv+YED#1u%6~9r!PX=eb_R4FXwHVJ z?g2*RLJQ#``L=1l1SiY7fmsHNH9uIaMLva| zSO?f+uw7uxqn*m5U<<)wiwdx}!6p`h!SM_n&%kj799O{cH5^~VkqAd39CdJnHykz# zj#+TL4#(?otOokDk`A!LV4u#97zlUa^IiCS7e3#G&k6830X|>O713*Fis%hHwGl(D zU^~F}X(ODU{((90N56+Z(gXguB}Y3~{kASgL?7P;-pTY?-BTeV3nK18#4?C@1YbP` z{)8!Tya>k)@D;1ztKP!#LpBWD4Y%G8wim1&ZZd^FtBws82gaSW)mFF2+uVF7UU~57 zLd?gG!xZ1FoFHc+IAq|^fMX{(juhT@`2dcj1N+kJ(kpL8`=>Z-0K2nS(Pane;a$j| z0SOCT6O#c6n^lQit|PFw8=>!#E=VnKZ3S*Ql9b*bdlH8g(qV;%BS50R$%vydCYdMO zlk=g8;;Ht(R)L|SKv)-@Z6l~FHo zd*P*g$evpFr3_IS=4%Ud;H-JWGNy zmXE_Lv|2FB;nNwq9{-ay7uGHGTz@9V9R#FfUpS_o5jGiNbrE;V( z2!{Sxe@T&UUsYFn!D)K%haLS+oo;(rAEJDJYq!fw<~uq5)_hn0?7bnf%wZ4z+H)#(LF^cLjv#N)EhX@Rs>*;L ziCzoH2iMUK7OKs2x}X}GVZt^mK1h;etaKST!`45mOn@O)fqDjWi#a*Fmh1%eZ!Jj=0x}YozQq zm-S#9LeDCt_KLWw27IXcmHDY1A6HO|-f7t`KJ9ePrlhH2Evw=tMNbx&moGQ%UHBdH z_U#?$&((h7&&xNMqI!roa&?rJpjDu%;L!qT$JYRJR16Ur+Aly(!Goc@V)m3GqUd<@ z1gT3DbBnpu?ot-GO}X11&^^-^T2Zfvw!}M=k3@p*=`_?7(P!ORt*vwZV1l zH<>oQ5#bB#;H!2{9V||^X|qge3*RBP9eRLwCjOafa`e7Ogxz|HZq2^vQ5ON5&?~Ud zynS>lVnN~4fuIl7_b1Dv47~n`eezmEct2FPd=1$C@N#9PeRfktiUZ@Ue)93Oa6Gmu z@$%j&uhW8Ge^u#|s@r#RwXNC_o)nX=0+Fkv@Nbnx_KBmgj?C3LY>h1gp@y2nwE}2a zm`C5qPv8M*LJ{BH0XIIZtOUxU=*y5yt#2y5f%w~!UALzNJHb-hRDA=9wN%!fZNU?? zf9spd5Cq*On{ST`4N4g2fvYHDk5VG>;>PTOmX*l5wld^Q?QZ?9GVILi_gBW!PHhjY z3~1l;mZ|0`@>a6aYlz)3Cm!}6WXFFM!yyv9-8 zw~cWm=o$A%%f*G1cl_#R>=<>nU<0gjqfe`l9K0<3F$OJ)8SUzK!)a1%lbe|&#^0vb zu;5kpBVwTl7*c@fi~13FO0Bfbf>wu60&H<6+uRB;ec?Z1muU>PCBS(fq*bjJxsJ9a zd5|a}=KKOeAMEu#gdOuXYlx;gX`nN|5(9kZs_miBuI95>ISe0L<=5aFCwj4py!x*TEW zvn<%6#Vk^K!4|DZShaXhC-!q)zYOpRs`GYST;8zD6J4@cVFb;iM3u-8Qx?T3J1e|{ z&k{YpxkrN&pI5isFEs>~1#*5kTR7}qqH(!&g0WgTiE~=I*g8ji!06M(Y(DY}`y~K^ zEiW(Umbx5&akzeyeAz8JD&fc}O6`p5prL)2(??I#kS1n-YEVT zdWEhC8>{}LKQO0KsO9fPc~DzK`I31*t;`?8Z4r_-_%ZU7L7dMm+q9Q$0hELDJ^{y0 zsWoSQ1K2{KB<{}+mP@W?fq4UG^Ut}urzS7Q#|01nn*1JrTFu&eJ)#QpvoL#LJ~LM3 z%fbD*Tw4kany+Q&t1~;Yz%|^N^wR+a%a${3j!&x zH*K=wZN8MbYLTU`?xd4Uqw_A5WjBztx|X`Az;xwnA!*tk+ChFe<@Jt3)|$G@>Yne3 zma@99)PG#pS(55{SROGHUeB7NPT$Hib0HY%<6OW*t6y6e67=lyu= z_3FJ&)&BNARjE*>>YRPP?>FTMjVoUi)zozX)7%B^yWTNL~c)RSi2f2g?3A5HUm^QME}#n(Mul~CfelpfCc-WFv&N?CW{ z9oNJZoZpqAd%b!^iN!~UaP^Z(yDie>bx+?xKI$=-{Ozm!`^?!w#=r#(bT+9@$oJXz z`JI$~!=0V0OS7}V{QfX*`HlirCt)vZfIyaE_H?)W$w;T5p_&iea=SlBxW9RZ9L6-r z%iO>So=_JW+#YZXM5spoy5Bm^>1}D3A7W_}`7wRqgWVz}9XhKqmj{lQ65IU@IiuFe zeG3}p9v@2cBS;?dSp{`+53?a)b+Z=^rgkP^Bu%8dT~+OHOq)o%JnDT_5p`UZvE)b4 z<%Q+y7S&bL+_WOhVEP(Xq+P4JRnRWqm#~9#9!e^Mik}7DZprk48G)OHX<|8&#;m%@>X^Dm#)O^!@7*}2pio-;^caCiK9=@bt&fMpkllN{)pB>&o$UivCt|{>sSJ4iD0RWc)+zIeB zz`rJ5C61_HXEi_BmSG$j1x{0N4wFJ9V_a@ceSk;iYdR-|w6zq&CcQ***T3F+DaGc2i@l8m2Q@0y%s@@E~hcpJ*><%dycK(@xs_`7%%k2gyaC-&t9&5|zB z%}*EHG=HHur)sRDC~zmXiOiDZmHOxw%PmYL-@k>p#c_i6vv^2bY!HQw_b#EB)Fx^o zZkK22C$>1}`Ti=oftwI(cV~9|kdC#x&#-p4(&(J&uuHQQufG}d$y;@f>67P^a;g?- z?;A#^3(M?}DBM&#d#sKqr>fRLstpfjJH_^G*@ITc8Ea4o9NLJR>aULSVwusUmuDv# zW#F`pceRLAUR52BvUpW>Ky}GT>BSvIHslu{jk0rAF!Uoz=)EDS($T1fPc>-)V;aM- zX5{bh0h4^PoTRzjwUVcg|Q*%lanHKiSD|%XqT~Uxi;;RlS&D=sr!8B!Z zp7~CtwW_j>9_<@|p&)t@USNJT1aytdvwfflQ0-~7et-f=S)<)3g|ljNpPf5<41e|j zv5)(M^td{*&v!3Mgz~%MKN7}t+|^qR*!B60lRP$Hu&~T}Z?ckD8gPGFS7er`D-;%! zCF);#q`4sW2vk8cr)xE^Q-`lq@L?||R+40sDoM8+tf|uyS&r>>0T2g8!eRt(Mc`2c z{f3|u2zYD?*nxmR1T06u9t2!Kz$XO6n+!d@L*Q5h+Dw3@u^h|LqmhS%79(m99%>Q? zyo`cD;<&1P+6M7xCk#i-fLd6S(Y6flN`Fq=K>2gh1_}f1z6NJ|-$Ss2Fl-;Hhn8pJ zaQEaC*o5KkWjp3Z*X@PX!c5y+VS8YkVY^`4Vf*Gri)vtXur09NupePNVcTGP=az*W z|B?xHQCL!~Z4>=>?c7>I6K$k6x0c$(IH&!gmNMUArFLAceHn&+cHIdeTpEAWre}Bd zkY=A_Lks5R&eWX6?CFz(S>&>P*+cr{;TTa8VgxsHOqEzaJHvH8+qAML8qecaCic;H z>WCiCTa?iqq=M~@ly44yqeju}HO*GL59MUr+uUBWR$$cutta%Y#Tw-*jro966fd_T zUd@UM$_0&+Z4@31)(A!yC%2;J-%j7wXPGKb)z_E0?xye2u2EB?z~(kgJ>_Zf(7fe* z#{ptzzz@>->d4NJFA`V7_?k)4q{UU2IA{AXJo}AvqSW~*gP@sg7*gX&Mk)T}^jI5< z*%%S#hdVLpx1lRYD&7d11zMH+4D36ad2sR6T!Y}wSIbw zM>N+?a?RjS#I=(pbkMpoT}i*_!n^VYskw57KD;(E@_rtufOV5qr#sCS2+F&%nPzWv zzpF0tEJw-XR0nuAqpFr@{#5h&f)vsabyQzKl4PMFx@+nd;uwK{*Q71vH2DWC&oS={ z4k51A2_X|2UYe9=@kKF#A5$``}V08Rj@MEc2vZH;4zrhnTSx(8UO_DjN zZR7O`)aEAYa-+MgZ?cbRExO4;%mrF*H)dMiXzAVN zK`b}z&~B`t-6T6P(Tk8>KaSj#e32^J`he9u>U3a1%G?~ygL}s%%MQnz|21j7#fbVt z#QDjAql}|aNiYeO1d(%ulwEffc4z8YZu3(Bj5yY(ztF0dy@RtnF>qARwqF96hzaE@&Qh>|sF;B0Ug!;qH0cf9jXH z4DLX>$@v)3s{wok@GbSP5m7b2E+|fKqO8}Ei$(K^3A*3(jXlI~v?r1oSUr|A-~2l0 zCgRGdsfu?R|A8^av_Vw&EznmR!=LBA|H`BkVzi-2Ji61j-2C^B6P)!%+o#OSvOF=R zGkAGLw3yu)_zf?)3yU#%J5;w1tJbJYhgJC1_2BXTk;NGnrHfq6UdUxCc@*&$Ydt@s zgvewh@Jj~ld02MwL3deIA7z(R#Za|IS>0kcXh?>b;{8#!A^1V-FsxbGClojqAMUgc zVf?On#vRS`S}Zxq_2c<1mcHjkw#7X)X9=biAMB(AC{D$K5Gg=8s1L|6%L~3m&Jys` zvzQk51=G`~?#4gKS-La#XyOee{mp%tQkfb%qZ`!&k2D9=ql*H5kjzrEi$Z>o zIjZeTlcQ1Z*AN@n&2Dx4H`b-wqm`8=TP`*$3f*h&ri<;$h^CIJ;-h%LwJ(S)3o)$h z%4#<|U&ZFH7{J`=H1e2^K>X|m^npd}B1#kRIuz~yn3x7y@%(`ieSVM4|3wYVoB?+y z&N|6#U3yr0JCxQvBz&<`X{rrHh6SE02WX}IG8-^9|4*Je%-PZofS_(t|4t{{Y3vzT z-57+`jd+s&6Ggevr3uMq@37>3m6+?q^_A`y4=v44HusQ+YQn- z<1me7I0KrY808w_aLbs&@^ ziK!wiN)}@EyQqw^4Z~&=2M8kHGty^hZZ`NZ9mWNH$~gS@eo~=CnM5- znN0rzQE%Y9T))s^a3`XsAnF|)qYE$DLCrkME!s0at%MYnlW8XG*b4G&ONBBCd27&+1(4Rh0zGR+SPvx+Y@ zl0zKsYb&vYCNYl?g3)dtLvHcmHoH9ExzZcL1?hO%T{4*#fAg8ZCVV?PTvt{p_k3>6 zT~~L48LrFA0O|vDtI#Gq-$yL}JQq7z2+d&uO#!+Lj^S|Rdx_=e4k34*Dbx=;+pzP% z)RC!I8FpNN{6@phX6)=T?U*`ALno`z$yvFRcp(Re$i|K>&?mmwS&p3*KrT%}n3rj% z3OiGD4j;y#>v!m&Y#)02iuf2)G9MxK2q_mK#0w!VN_5Kwk^v+IVUdh*U2h(Gqr$NX zp$q+SvRl!~uLyrAuls#Lpm^5VJ@Oro{^8DAJ{pcUJUBMPab0-x^>WAXQxAo@n+tPj z!!A4$z`=$i9*#6P@G|}T8y|$9Mfh0c?_-9a`nm->m$37-3TUW!)~7iLB_MaKmsqe& zC8yn=Ev#;|qlc@%?8c6kJ1%n{8#@))VFS73Ef)Melje2ggxo1(b(T*4Y92!JO(E+L z5@`zYLC6AxyfcO5AY>In)?>#l0LW?}9{8EFnGiV2X>az@{^|JrOTh1+N5fXf>cqfc zrybe3{o`}zXd7GaRHWTaqK-2G;{!QPyRu{ZC+C`L+gdFu2Ii26j62qrW77gTb#>XX zVgAP?1&aZ00T>G4kizNZZeQ`e_Y-Ibw%rw0=iYJ%pF47ruJKtA9H&eU8XP%rM8oj` zju1qgONWCChf;XcG^7*LmZ=-m52byO-GHOqM_gW9DgTT?oaZPNuk*+YhGPR9r{Vam zN?vh-UHk1Zhx_lv48fPLe|-#=q`Z_-p48uVo}apJc+Zbxr!@~>t=2#M=vndZ&I@RJ z(7M0z1p%ve$vOXQ;-361rb<5Ldk}Z}#i@cTU$)<~lIGvFwk)3z$T5z==S#>9*W79q zOQz20Fk4g4BD_uwB=>C2w&|Y@ARo?EcNOOU989wNr{TwK+7LfhNHGr<1@neQutNIN z0`l}C*ptjQ%>b+l_7m(dY|Y_f>D@Vj7Psn;CAPmE`S2JNzPUwPvjklMyX2~@%I#Pq|>BwFp zARbegfItA50O|mg0H7o51-jhIq_qb40L&G1y($AhMMemm=M&)&!!g0+V8M|L$6`2! zB6TJX__HaU4ImEvR^V*50$>3M0FZ@KJ)eY(xyT3rkOklf0M_5v@8WO*u*P~9^%ql!|YFNDekR6_HZ?Z#%?3n!S zzoxeTzvicb{~GfT|1~2&{@0ZJ{af#;J=58{ZFb?U!or0&h7C=K9D4TIkR1&79S`4E-{jZa zT(EN7^Y+B&;w`l!vwt+j?;iV;Dcen14!3A{ zs-SCb6Tl*X8MuO8xWHp^)xY5TpNp^g{#Q8fU)+I<9gpw98DTr&`AuP&JJk=)3HS!i zAnb2ABXQLq@!(8Aq%R_Op|e+ryw9Mu9tq_ZEi-)$1OQV2I0Ja(3+Dx-yCHoA9K-RY zokyRq79wgDPWCNQ&*#Ho4hIEk?uht71P2X{Ye-8$lso>i<_2(!?&GiP$T=K@3h+9B zT=aPw8FTR`eSaLlV*p13{0EtC2pENcWu`9k0G;Cb6+YN0IAx}2d=BRzbkhze70yr` z_@Xx)C*cT$+!egyK>>4%vw~{nSC*sJLT_<^7;2K!0`?aTUzZg=Kwe_!AXJh zs-W=bI%Q|}Y95@ma9)Sg3(j#0IJw*@!;=JOUQMF?exw_~3;@?mfG>bl!I`=3$RGl| zEi63h3m}DFdu(SAKq0cI01hMTu0VJAkWxPB7YACyPJeFd@Ckz5bI-`5?z_@Tj-2Bf zGCv^TIRb(akjvwyo=!wS$%AJSpE=tJ+YU{&6Kz{QvS1|~C+>t$ni4O#HV=KXSaYQH zhWXLEaEymz1RUq#_zRBtrigGjenb9KcM@7eoMkm zBz7(#?<>w^a1T0(MW_s@9nK_bHynv@9599B#EXLvei;|xx=?pGRW6^jhe>M~<-<+= zd!Ib$&K%n0`eBCevumx-3(Y1A3Tsa)EZ-M0Xit9%;dXA07u5JQ$T?{tH2&URZbkG; z0deJf1UyB+$O!;V0hbYgzy7_agx)FEio~5vdToS%5O>bvjq>{OG}`#hO8_WL00Te> zch1B(!JVhk0Dk2D=zCD$osy(X+_^gx8B%%uPnB@8s}gtSnYyTg;~*T{O%7A)IKiFz zCiFWJ>F)vL$P;&FI@Cs-n+QM+zz4w3Ccu;tj0_=wBLId2cm-fM4tSha8*$hl{U1aB z%iuf>=Ukjcp6M)PaBhHe8Jr98Va`oP7st`X7u8+joe2QX0`vgrhhy%=Cw*z^G7Z3Ge5kv~ARuEejx~hGo%0^o{U;8hU%*MmNkrpf zy{~{%iO5h~?{*yYy#kJ-aGWqXaQ^St!LbXDMJ5Nnk@xt!_~`;1@8Ga8bshlcB{(T? zUPb5Y(0Q5Zh_!HDhtmtraX9fZE_cq*BwUY4IE`)qGXPvO0lolmEehL_K?Hakp9ue6 zY)+=t`ayDaZ{ZPn^iL7Al|P0GpN>DI?A(0Q0b;=Z+_a$+0G_L9EI2lnF z`djLrfT)^LC`4LzM4t}u4}e|(6HMTEfZLF@2*3aU1^_<*sRz;Bc4Uo0R`RRN zKNrmQ9Gz7o6!>zE%9CS9&~`{(bBCIb6ck!4R&)}d(rclAXz#p9vA&kxo_7XFuX6r7U+but~cqMJhvp~V? zje+tWhkSo=Jl^Zpwf!hxkTsL`@W@fY$Avk{sD?`l`I1*h71-Em+Aw+6VdDg?Bz1KP z?Zv(PK*R1Ye+hO^%AcSc`S)qz?n!Gd0$=1%lKSrk?ybWoynyclzUCC%f0;VkYuZ3Z z-yD%2X$m}sz(qU+J~Rbh0RGP8-eYom!+pWzK5KI0u>W3!`*IqN_Q}+VIXa0k<*rB2 z_u?a-`r6F+*gs*LGvhS}4zH6Og3W?GhdCm5O)_$Wai&j_uyM?^F%BCGOdF}#cw^e& zW5dm~F&-P6Ods2H{ukufIBMFc!iMQYSD8Ew*w}|NULA^)`Q(TV57SxsF_cf^?N(;eA6{hn%t&y2sM4!t(gq%QIjW_ zmDqnCF;5cj)7y6(@hLT3{Y4FTtRB-!>IN@x?KZDT(@lRLFL3pZ$;8GxWmNJv0&V?I z_6*>~*jU3egnixw{CkW1>eB>yRC0N}{KKCKRZ%hDCedD8-{e@*`i~PWVcsSdHfD1- z{_5^$D0(x1jlCRf+!neX8U`AmVI!Qc~j~q3(@Acq~;Nis0CBc zvo&83V2c131Y82xv*j(RKLx;Z0KovJn1GZ|7RHcARQ*>tJp{WapEs?kxsEEMeOMS% z?pQMG<#O8WZQrqAX=m2GP~wMTJbwgG`+CsyZr)n^pVIF0r)3bHGJcbs5~S349w&Y7Sf-LRl5RPk=JQL&@VpnARd#I9yJk?Bv`EaY z`~tR4ssaUxZH@Lx@+q2?YIC0dLeO-^r|0&PZ?VtHs5&=&T!~*>VuS@-c|qf2Scq{R zN}lgqROWw0$#D!X(B15rP6l2i%REnaGE3*BPwIBcWTwRW4DcYOR&i7?toU@1-4VH? z>IjM!8NvKz-PZRo-9B7x+vxuQqqL)o_Y~RBt-7T;(`RvhFU65{ zpuU`J2n~Z^<&uu_u>3(=N4cz^Hj3z|^eQ+UWxcIxhCXm0ROFc|$ufXJ`WqG*&S_2^ zJP~x`c&Eh(#r%TJopzTnA?H|2ozG(7of9mEFqG1^_()lRc6fQ|0-%8FBx}>LkG(Y=) zIrEaqBa^nYxkht-mQbL6Rl}pWW9f&N!MaP7X_lhfisj?92-eovVHrcPd|c}Iax`hv z!FSA7W)}bGN0RKx<*gkrrOlTir#TNqkl`A?MKYJl)rpM`uFaA z>R!hU8Z)dc9oGv;h`&N)f*fu!pXr72Nk%hdC!WaDw6nsU=hJ3rvcnzA0>=`b zRF&vgg}V=Od?gDEOZpGgS(bxx=eBrgPskxjzK*TW31m758C?X7&r7OO(m6Ult>uuf>h zx{3ok{V$Qu=~i&g8r`4LVxVf_(&<-DoL#jqcAas^Q}#o?`2aO)%AmD1s1ZB|M)QJ} zgViB5UGN&!&M~nWEiO#Ps@ReNKc43|ix*WFRrNiTq^f_hcE@FRsGWqW<73{37(!pK z?J!uj30z6rA@w)YfXUiH>d*2&RZUUmKUZy5wMUVTJA9W~8zQiZ+?>_%{pY)z6+x<# zoz}ld8OO9~;8hsZ_UvVQFJ>N^_38tk_dP?|BaZ0m{OSnh>3`!QWywF?Z zNR$SS%doshtHivIYw;Sw;H-_DY5Eh*T~ zv7}i`)Axs2G8B^H{XDsYLYlZgN={Fb6z%tx(~_j3{Sk78MXbwyo1(xiq=C4RHJmM! zsyI@O_u7g=Pn&wnKREZF5#HpD?Rxx-Fek(#amDA@bvGzOr;e?8_Fg)FyZzI)GR|+$ z2yTezen!}X=J#iWsc4Y)2+gBsgfVFDJ|kR2^Y9sAH5w%Ri{{BQ0wHf~Sl2Ux3{B57 zLOhy#&j?r1^gbhap}F&nZ~)DNXM`DOeg%aJ8k`CP4UV@t?~>W$`Vfyg!+YsrG|%5l zU!wWry>tf}oZ;_i{(LVzhvvn5>E{p+k^a5363x^1(hfApJBS8(&(I)mD;nh8M}xf6 zXpr}Jh({0dHlRV?FKCc=3=Q($ph4aqG{}2|26-3JAn)H0k0|8H&>-(B8g3>rp)yHd zmp;UmeMG{D%POAONKUo7ubs1>9OVV-(#l-@?sS*kY;YxBfxcrpJCFWKdLwRiv9QSO zxV5cz_!tm+mkiOZ6#c`exqF!=WLvsNLgb!M4#r#81yNWUap+qzo~}s zjkTEYZPTXma!q_(LVt6YRWx@gd6V^2&5XF5{#u4IV=)l?Ad zr$cSE$!z%At*S%K*7xYyvIke3(-SO%;&)VX(sL}yf3I~&&$lGmt_|x-x1?-XYuP1| z6I0gmx-#YDU)DNx<;h9GYood*77=o-7VzhJx)ZIfIEjfwZ5-W__9MTs#^TD_OoxzG z0xfQpgMYo0=jkS(4&jXJB(}sk${8p5E}oQD)?$8pAeo*QUel+Ldgs`9uUf?ESVcJe z*rn*jMd?3}T@pV$jy?a0JhVNs=H*3c&^y~gL?no)iz}C@WXjFFP0bh`O{Gf`I_`^<>~@Svxg1SPQt&! z4--WZ*IBODrvG8-6YnFlKW*tgJ}#$*c4U-&=%W2e*- ze=dJ_W7KN4bB7v}1m@R;nf<5btlV+DHk3QWm}L@o_K-diE-n_< zo8@#|*Y5-o+PLp)>Pc&i<3|-w-C`Cg7+*YTi^W;NoZ=~4%vT5|7w2ptd=jiI&d)Tn z2fbr@CU}l#_KPyj(*#-lnVE#!f@S@A_srHPcIqn|Nz)V$^jrJL-{_CD^STLxSlkk+ zW*ZYelD{j)>SMau?W})K3VUt7Z>r_|=tSZwyEzIcf*PvJJDn(M#-7hdF!e$d74vAstKbb{NKw3#YaRj*tq#!j(4Pys9I+Mlc^_;BWMV7J@fx zG=FFdwT!n>wn_IJ=Ra}Ed%v%oFL17zSWns~U&`5 zmGJObAy(D!?5ve^m7Hg#P)tLK$Oqqvg+vB-QRlo1Y={ zT+s-@^|`7a8$rqHtWq>u9Z}?}b~f4`QH}!RBXzFg2i3Ml=&h4g8;hVZIZm~=2zu+j zDENTh`Ycs#5%ks_RaHgMThCVQD6-wD9HFW#qUI{{`GEs2MG#F{pksAq=aJs%hIdUH zG#@4Z3#zc@qaf#Xs*QAB{!n$ajc~r|g6cvWU^ik{xB>Gi)%Oc>WC@G>^$PSG#zTLzJ)9& z7wE%MCzGzNZ?a%O&9w?@uJurJT@2W#kX7dlU0A?}01pGa18@`I<$(PFBl{-c=YXkz zD*>wkmvja+dl#~d5rr%fdMI-|X&pp`(CgY#i@^A3X_n_+%_}ImrpW!8N9Y*FXdOeu zh8C_?j4)T5(3e~>H^q!my62s+b6{m1$Usme?G z>ORUX#W($KC$n2hslLLA7^2YXM~4&h=<(W_;WlNV>tr4}%l;X4=k*O?%e1$rzpBW; za*uR*-C=4ubrO5f{ZL379p(&kJQQN|N`?|F%+wBp1;R$d{9uu=w43Bl9j^VcH%ay# z(fx@xDVsVh`UOG6v<_~6GC1x!==}{wW;;szz}H*qvrT$gx41vI$!rbC?>2}?-)Kj* zm&LZmv?kJ`jvs2e&vvDZ`{8zYysP-Asr4GJCq*BQ|cpt2AejNJk~>Dg(Pl)DFd-!2U}{Y8hvm ztR7;q$?fJIc%V)&weXW@lrpZNB9rT$82s3Lj-ae2+uQ6V^mV4S zLnTYVfxLYoy^24pmRLx8Cu=egYEryOzYB-f#6KmC6ppQ#@RV{+XkL@>e^-aiN>9ur zR0@}+3!0#EM@~8~;@L<13)!su2kUvtXdk6t| zIXG#w$b>d-R*Od_gA%)}#NnR9`q-2br+f5-*aaobd$j9deDZ1ZyJYb>-pk-GxZ(ONhi)LnQW4DRoxW_h;fdd1Apvxy^G0uVAm-`nj^GKq|+ps8d0$+{$si z*nC1PuoegQj=kkttBWKw&a z6r}ldkBVO)(IfPxOc7c)r8QEn+%ZzR5E9@d1^I=^2EeE43f|JsXw1`gygK-IiIlm#ngEQ`a3K zH^gPh7R6`D?(RRL-I0D@KfiHqaY*Lys8EHJs*Y;oC4t{?d@6T(&fXZy?^%Qxyhx~&ESN6Eem*!|f{e__$Qzd4$5tKM=>_L-~YdpSq? zNb0J`ob!DIvHTL}P#;BH^$jv0W(K*GbKHq&sM2DkH&Mrq=Uar^cr&kRFNleW-s7-7 zlvg9FCXd%S^InL@=5&7@GBBt}!tg6uX5raVV)%9_AdZBag*zazHqt-fjFqPN`=v;giGd3z;AIzAa{jj#Rv{TNbjE{1Gk0LiWB2SFwMm|5(B~-B3`w zQC8}#YjT>1pLGG?bR(0nia))HRH=KRY8Fux^1oG$(D$g?pla(OCdq&K|Lg{>HIgG7 z{rHR$>j>79idlw;qL5n>M?+AN|1D{@A-X6yL^8s_Edl}K8=X(rv^=t#?vv_((R?XA z2C{X2UBW?|^NRe~$brDVkaEHp-8)r7o5c@RM^$Ir$Y10!P-}Mk$cT(xQ^K%uIID>* zaj~JV&=i!gZD^k~=_MXE40}!SfJ3#zCRF}ARnyZnMFY%g+HH+!z_psOreeSVvASs$ zRR)OFeY4oyF)vIwXnRNzS+LVcNoIeq7-EPCo77G^rTe~^ag9r_^Ww_Rajon8)L5|N zEaIqTm0YsOTU^n>brf;MP`h(&&eTEeuC!nW28-AOTI*V~CZ?4(U?4;kOqUMlhKmA! zl-%z4TCm3m@tyHna;?pLrbk5z?#9Wu8wJ+QS-2akwiH~z-RN*wlZv~MK1Z_DjyRXU0aLZ#1hY+)GTgY6gi+Tj~m?cx7 zGZ6erI$Avzdd!kl&>0AImQvK=rM#Vz1T~1McS^x8R~nEjnXUFI4atRM^Su7JR`XEd zl>Vev!Wz&;p6)aI=IEw%!HwuOO11_pv-|q2AFs>V+e_mc0`2AAKS(;E%Z$Y+jp|6b z?-xm*I#llYMOvqhk^7J(Jz|dBi!5yuhspicNml669rA4mR=VPv^lH?w)^ci{NRJr@ z_~LM~H*JRYtJu{TTTL0?L99tmCVD8w>%GEl*$iq1)}))E1kk^cxTy z_}>WKz~n||g3ygWFp}r}tMrO^439ek^le@|-w{%SVJy${$7h~9I@YVUV5x%RPy8XZ z7F$>zvc+5{)RVUgoYN=Qld=S({@;j5wwrGd+-&zgCAZxv7pUe$WvM2^ zR>Fi)qg69uS@Kp3?kcD-F?(0VUXg1(Sa|wPleek2{j2}%Z zel#HqI|lGxz$XBoEy}FB>ac;I-%b3;Na3&Pwy|;eMH|~~VM7nr7Ia(N(2i--yU8|; z1=`|nyK09w+C;E}(%rO0-B#7KJy>E#s%A{p8oP!T#1#z*3vaclW9E{R?Scu1)^0PO zbd^NDdj*De7}{a+S1KlZW@=wM94SbJ;%j8RPaXRX{igjKE6261L~49)g%E95*n|PoIv}hXS(J$Sd{}$ zzpHt!<|o);*xBj#C3j)NV5eY9U>{&qm=gBx$`SMJCX26@G+8Y!d5l#W4{>N)$R)E#-PqzuuPJAB=EYN7i7RxT#W}9z zPdZw0zAMRI7v7&25S6u*=rMDRjwkrekRk|t zELjTif&Wtx*0GTOJuvA&RU$_zw>hccadyeAPb!Nz>*aQf6;7P(a;wEk5oeR!_PrvC zvrkTauPjwbN-0d{0N=CLjOl2_rwxSg0_ak)VN80SH`zx(PoLOspHyWZJExYph4xeI zrYj z#COTCY-LsQM`sXQ*+M=g!)7V7gYTDNvDDFr&(5%3$~wr8%pfmi&%~UM-ACp#erSgI zM@JUlBg5(=Yb!q{gY=P|r8Ud2wPD`Zax$QAH(cwG0e!pE+OQ1h+bz>tX4qFV|JL%l z&8i)3wNBlZ)vOKLsBU64J4H+Ewn=9GqV?{!NOla?GP|vlS;w>y-Q;BU0&s!a-DJMe z26da?#J}yX-BveQd$9I~bdx<5Yj13Wn2)gbh7ja925WDqWdT3P4yx^q$sb8K1ToEd zA1Q%4v*w95#G^V+bFK|}p3b2;+XlKm(;+Nr_p6dtAak-B0UD3ZPPQYI;RQ-3>KR2z z!7eBJGfFE=pja+fjK>6u&2puG!KON>Ys}G8!`)sphH1*f8LpUB;fK4p(wAtahqGO2 zA2b=^9v=t6PdJ{ce%% zE#+{1g@_u0RT3-2Zf)Mb%5I1W#%c43G4d3BLd%dr?=z5+&F)wBkjq&QwTr-oIQ2C# zM;B5Ib*A5R?)~c_zo87(*tXdBGQVnrOa2#Azhy6Ly>?6qv6r2oC6w4iGxIAF41xV3 zS4tWd_8g8f-&?gvv!nzMwW(6JVM;$(`%mbG^ly)%PE#(?FDh|wr?E9L2CgxujPi}K zT{ETRT#@e1`+q3{dW@vgkl-L3B&rqr_z?qCc}O`aT(^jG$mph{=fuu0Vd-eU#pVrA zbjl2FJ^Pj06ulseVgM2jS_a{3RL^MZe@7|I>O3mi*)rb|$@C)x$bnJTr> zDUK(QBymJa<^5-$}_AZeS7T zq;ws3bdmRB$u+KD5qGil5I3^O_r2sfH?+v}y>uHlrpU)y@~fItkgy^@h2$SB zzvC#R8?pS(J4td&?cK*s!b;kRKHr}uZ`DD4oHsIs5vf!?%E^1KM61Re>0If4H3%4AN&ZlWIC;L3)~d%k`8Z3u)t*jX&e9fjxRc*b z$$;9wjP4%?`Jl&-hcjJly=X14Ek{ZuOeJ zS-UWt^qMwFJ1yL{%=3_JwV2!!I;(;pc8uc9lEsVdqJo}Rc!}Mk0-noeOt)gqp1;nA zn7ZDFcxx>T+qWuV*bl*e2=*^gCs^iL!|WzlmU(P*FnR`g_RkQ-=^L8YYHm+Q)%_K^ z#bpGRBXH1tn?oBN<_vR0uvd_0@fes_(0+RLFUU9sdjs18djz`(`xhpIU4V3bZAWa5Fg`IzggkvE;wQ+PHzN`MmuobhsdpZ$~l>c z7tBrk%v!pDu}{*+Wyw7!%Idhba-TaDJzO`r*Bx0Kmmr6J(+YvFLw+IIO5j+NUPyX} z;nmtsyT^=?vEo{WH|?C}D>u>@`_z2Aa9T|=R1(09;+0|M%_i}!Gc3!3tYuq3LMdR9 z4hzC-a+#Dl0;`(rEyR}s|C(uA$U_7}YBIKvb_hn+Ox{A7EFhuC1Uwu*HB&RmBL%FQ zNtvW`g5fnFuwE&!t;xAZ{45Br$-f8UE4TFYd!$Oim~_!SicmmE&x{~;2)xqsBJiWg zNT2xsujp$v@r{nxHLV)&mrh+7)ucVTsIJM?l&LymS5`9dkOGgizSY|!0 z>L3W6Y^rHDG@=%~UIuDPTjT>L=VbakO<{{;GHo9eUfrPZDtjp=)On7m*db=r z`HYbLE~eIbovAn{cB%6_Bl|3-h&anDD#dJ(_i|Z>*j~i_2Rv}>g2O$Ov5c=EaWV^n za;tTTWfPQJ14@WZP;PZDv1wxESKRK%0f`fS6i;Z$C8$DpB1tiL_XMR%gRx95Yd85J zl&+@kCXI&D)ui2&3p!Hslsw`p-HL(}ZNy6s+qGk94c-kR9f=}{E>3SJ*i{+z&533k z=bMX>;sGn zQ^MX|0V}l0uI?s2SwGUM+;Rzh(CN9i(f{W7{v~yr_1nYzv?mbw1VNV(aC|Ln9jtOB zlwFt5^X!+*M5?9v?a_2W(~80S;1^!z@vc=4mrdurNK-s z>k!iznC#y_3bvwdqCN$-FYKV^^7JI>cRWX*-G6q%xZaKbjF3YRvQx~JWBp^Jc&Oa> zkfcu>Aon~ZtrL%u`^>_7r?1>=7T!BY$o-zgYZ^_pGVkggzsV#l`7p0anvnzsyLGN;6Pwy>Cv4`XR9ZcNtb*zgtxlYJr9s>Njsb5*Q= z3ww)WzGNsC^#=YUTWm1nh1ykE8yp&WcCsXcWn<7ra7Q{d23#WE*X5|rN7>DlpWvsI zSlpvOkvuSXH+rRl8gfc{+HO!o4(W>BO|tD6*_F7PvY~?n!ck&M#|qVsHsVg@4OOMl zy_Fdw$u=Z(mX|FD!S^_L@8r^beG3#&-+`#f0(ONeon&+$q9fPY_q;kHMY*b;TU6 zb6tp9HiS#A^A}f4<~r5|i)ACZc6EVeNckN;5FR4%nA_+-Txu1ingNvN{hHK#o#eieok z$37)fgzm+OPf6>AV~Yh(DG5UJ;$$W8x;AaY6)Tg^*gD z)=K;&4D63ZnFN%D5?e`|groZft&}t&r9XKo@wU*fzoE}&nmj~*u+Ms0)k1w}w_Cg8 z7|j#4U#Zs*(lwa##pVL(tTpS;IWYqDEB7gp5(~6WZaWsa`003iFtC*b+{*^ zGP|!S3IWEYXnNEygL0xNC(Bkc$_nm z3Xu1EpTStrXddX8)M7uL?{cX@9Le+iNAjaMl;?BF>|n>(^l`5#Gds-FQ(cMAIy}?) zu4GmREq%NzX=_I~C}%Lyc_MariEAc1U*>EeHu_&OKdl>|K4rJXGTm9Q%GkcD8m77w zMRk@hf#|Zma}`z9(q_3+{w{V>iB$w+JAcW*m?F<|v*3v6G2m`w-d(iqp z)sxs&1EWz!DA{NT=<_}cg6Q&QMy~#gkH>KB?vQ`Q|pO;b@&y?5=kQz_X;*A5=JOn3zUhJGm4J|yE@IzD60$B zcM_K?ZWe6sv{u#ox#y^8z7MLWxtB#2B`dM;H9TesFv-E>Hx{VVc zQL4Gd)J*6#&Mcms2}z41dP;`vAS8kI32ggMHCmO^PHRr6M;KG?nO_Fax1teZj$iaU zi_E?`*lL$`TlP9^(57?~d+90K1>H8iv|qGSyDfSd!P?c`*3l@xjqfH$(-&xGblXMK z-e@6k7|n3gF2Ufd|0o$-O)Uk@TaMbrIAJN}gwP(dBvy~*(=bcoG8p(p@?DVMpZzhA zO$)#DU6Lp^lLwJ2cwz^609jTfwv>mgt8fxK$^F;KL}H>mcw9x4m?;k&Co2`(lqOV@ z20Ef@F!pNILF_*c>GZ1c`Y{-Lg{~_-oYfwBNNNv4#mt*#Kk1VD_t%le(ogXhV;00= ziT1kKJ<pRMWc%8H}{`iYdH+ zhUeUJP`;MO(m9?4BGrYqwfWsiW+ zH93MfRp8Z?6+wOkzV@jRq%neFU6Udx7X{R=DXqlSg21kvR`S0Bm#+L)5u0$+CK#zVPi90>Ku;PCfh6^ z`&Q1f*c?AZ>c78Qb1!{dK`zfLY|Iu~9qnh!n>I6vvnq#LoB})&a4+C#fMd4ML=Hb& z1_5>itOV=>xB+%2eVqOj!Y225G)I557kQR93J7u!OqVc3!Q~j{IZ<%TNGJa6 zYGn!vIhI43W~M}DR#s|*R8|aD3|3lZR;Eak&3c=xETN#Ryrta+ZzEB+ywxr3c8kjD zUHtxE-w#XAde*b|;cyOc&faUU$7ns|-zu49bQ%h7l}<9EhXRYlp$QD}8soU3(5E64 zl+wx^!`jQw8ahqIbDh~-WD>8WBAtsU-axY~mX{g2Wr$0ZE{!$Jkb4=$+Zb^%p(;`yA--QskrW6EPpgWk=N_ZXd}pX4~@F?LXoa!ic1 zWa+&e(_%4QdZJ@eEV@!3;g}JN{UD3y)Qlp%9YZ+@qqv^HpGdiG;Yh2=#hbE(O&E0$ z7LUOM<}dL+V{o$X&%E^q^SMY5c_00p44EzKFwDW*?IZagt~#c>M&F)noee{s&JDPI zFw{Ar0kaQ=Ix!9QB{0kq_{-!pk3z<#~)PAb)5<3aTH9IX% z3k!VQ#9z@JtaUYO7);c}b`krjueHcZL=cIpWsJJz2Ze}zjNzu78iyu@hi0BJsWS*< zSYyE$VBuf^U=zT6!J=$!M^=JV>nyM}V2NOJz@~vM1xo{42sRUJHS@vbma)v^{CUj0 z&cMdNA-5akch-O5K5wW(7uQyyzbBlN{Ae%KiZhQJ_{_WtW@BKC>kYCiP&NbQ8iYd= z&q+?e{}-OmG!K9(2kP$n8bb-tQyVRwZ8(5F$n&q5+=!XSbE=ryhREfib}G{jeud&m!* zdHqX@ud%%=-MEx!HmQ=xC7)$SR=RMh)oj~Js*d!99aQO|qd2f#M;&$KE$rA)T8~$& z$Yk_Srnib~jpK!R+(rFIw#zV2iGv&g9_5W^X}>clCbkZf!gH-p*P%TWLKwoePYy9k zNeqN3-`mn3stOSsto9K;i0?93$zEGUr;KahH`n5havxoqj0p!FbKg;XU(i-*5Ch#8 z5Qq7bn_54s23aM`p&v}PtCC03&nDY^gj~TB$qpaow)EaUE1WD{rRuZA$%9m#eK>`z zT-DKMuaLW{y8EnCWreDJeRiqxSkeq-Ve z%LJ;!O#8!7;^{oodVwrkb%1HNKpw6-!?bxLt5O|jI=qqNRF^ueoMox1_6}QTd4Q^` z1Gh`IKy|3YewW-?b*{s@KvtmI-(goEpP)M3Ve?S7N_DKm;h`L(x>yF))F!L;mO(W& zU)9Mn+<93kh@se@ms3<1%B)w(rh`I?-70w$%zxT^ldVvl0Krtby{dN@3XM|lYA=Q;i?RU*OIUFE=AAz@zRRsw;7&D zUBWmmmPEaOSW1nJuksJRA_jFpagx6)275sHFMmU~MX5r`Z!+2UgH*X|rBgronRF#1 zl~}YgVYe9F{=4S#Kq)_~ETS{!lv6(8p>2)xL)##`MVL67MVO}bQK*}VyTxSBubLb6 zHT>MCgfQ_A`W=KXS^bUt43zIcK>OH5%+X&~uWhBa5KP1ZV=iwm_3xKz$7~8=&Zcash1Xuyu&C$gmo2 zO6lK39=zg_ZNu2LlpiqjX<^E>wd{prTGcFCAwE%)3v~gBS77EdraNS^$VK4Y4a%i6 z1(DssS49&ALEV8@#j6Fe-J!lBtbic|xzZ#-xDez@{R9ERfCJ)rf(gQqQc=7XWF4dhw&mMCRrpL{tmueeFHRQt%Yx!iS-Nn? z+#u|r4(B-Qs3l7m;5h9lrc3AS2nrjOy4UpM`B);M=%_9nWS6x!X*XmLf#&)d z$RLWU&o9TO=s*p32-I*du(P{dykQo1qX5)!YY0U z-YkkVGLr*0iWga7;j$O>y(Z@pf*-qd)UJ;)RdUow>I3b#KlStL6I&4D^)u?B zQu{gm%6h04wNlTk&!_>#sx+0T+ZLzz!0{YKF<}aqr^`@vn4Cy3g=;kCWhRVaQeg_$ zzY^UDQ@Bo*c8xHFTVW~@NmhhK`pXF|gCu=gZ@V3P5nu;u^I>%g1au&Ic za8FZ~j>|RNENVL8KTS!NM>^h4lQZa;PTZtfHc0DtoT5n| zB=r-1*OU*s_Tz&!g@c5C;xUjtbPjS{q)8a01QGtx2nOAQ@NTe>%_|=iyKZymb*3*v z+~nnTCM-h*@~S&iKUo~%Wpqydgj~p5GIDMhbswf+E)HX(K)7o!%_2%J=AWclo{?$! zM`*}1@^=0O8fJy;IsYIHu|nR!KTAV@mJRYx&`_5g=V;?t_V1`KvpbSQI%V0!z07i7dt_;A4Zaa+VCKAVJ8+=XvwxEYf+XW-KkuaiL1|zxy zFrwQIBf4N4z}C0Jh;AaW&>@ld82&%D1;c^agIR;wf!TmTJQm`y5RZj;EW~3W9t-hU zh{r;F%xQzK5D#YlPrfxX;_2MWD^Vw|tVFHb_%G&Z?Z23x*01fxTyD9TLR+FoqFT`|;#vW41f7`aOqefYMA=zSAMsS~hxTug}(-&MNie)(T z1>!_C>af00g#@XNVS+GSf;y5J^hU~1`!S)asz4phgsQ3pbv)A_BhD6BGJ_{e!vzFp z-~maMz#7tp&}3b9X4X;5?Ye->8As8%y4R}1`PjqqVAZPb7m#tF@^P8!4Ns*PR8zbC z*-t8_iB>UK9idxA7~>ky@ByjBeef~F?2#7~rSY>x()Pjbu5N>FuSzjXU)#SCW(^RrBHNjUUM1iYmavj!BZ&{I= zZux9O6zZV9q+)V9VqW5H_(VF#gdULE2r%8uQppEhP(?~VW(TCJUu?En>-eu`ivX6Y z1X;v`_yo1fYAk>veU>m$`YdsxG_ZY-_Fm^Z&A2YxG0!IHTc~`EcXoShyUBhr)So|- zk9uEw99y0EK)Sm9trkkq$2z)b61(uRgx#7sT~4vqa}crQnc9dhyIATYZJPiq#8cvg zu<$x>_Ir>WcORKhzHX#?=%--{SX<{0ZLiV76xw4YI{Tcbn#9^n>HddHdXd&0} z;L+6Ho3evVsC4QHy#$MgJ=oc!Udg^|ksgXRZ4xBM7mnewWzRI%jMeqog@`uU5&HIS zOtvzffs8*KuTABeVy7)!Y~Wi#<}y%#4fYw9LuEix|Vq7fAF{vbtvp-X(OAJoS-jUPg%IDhKzCC%>Q%%m_a3Dtzc{qY z?hX{rpD+rKzQ07akc^)-h7FgWrJpnv2d+3O10D4~NIF9PrtE;EBTSWI7?O@aU#J<9 zj?fBU6>CR+_^brL{`jY~`#-Q8hH%IxGEE@@CvF#eCJyX8T=AC)N69C|^25wY}M{ zKsjEusoCbCVx?+Nv%^CrS|!c5nxNpRw&mMSQ2MBpCeku;8Ydc5PD7f+?t<~d{?kQ8 z0-xdF>7aQ!ZaDD1XssZ4I5ZB6(oG11nzd3LCJbuUChB~{a>_ARbd<2da{|fw9;J*U@75EhJDd1DUr-0uCew^KIWDr;lB?_HyHg_;Qm=hQo%nghP z<`RWA#g^kbL%`y|Ji%CC{$S(5e89$m1%u5h#7@-NbxwP1c|jN43Hpnxb#9$`kI}`l z)%><@htEo^K_s-YS0owgg|_xeKZ8t&+pL&pXcpREBCV60&?#kpf#nJrHVpo}j)Xtg zX7p?pzR^F!r+EHUT9HPh7}dI81;h*n6o_R=7c+3fK?2cchHqJ@KzxP~RTgX%H8DcU zdETkqmjpIcke!f1Knc40C0Y zP$|61kxNVjLAjOQz(f;dRR*^#cO99`F3-a2NZZ-VvYfb3tin4B1}KatRzlSan;!gW z?ZPZ<56Mo`ruG#2Izz%ROX$5zgjM@@2Np;ksma~`1=6=_yKdiyF!$tU%3X$xSKcxt z4Z>8_c}W^njbNUaE>%azczqKs0RiCbPbfd`x{5+ui)%W^3a%}Bqb{t1ZHxV`v+B&N zgHw{46WfO)cOvwmmV-C0}hpkie0xPuNz$s z4pG%)!rXQA^ST2{kjd*RqZmK*=VYI#uQ@X+5sefo;58o5ej{M4!+aZ3oYUZ4$x67a7TlH`!6(JC#f}} zWuZmpJ|_aU8?ax{&_v~ue~J5yi@4vCRy3Y^euNPq^iqo-FeV6n1R^TKSLkb$_%Ymt z-cJz@+?t9EZ;NVfLd7(1%mW^wVoHz0ahZc=3B%vS^G3xhNQTv;tZwgYiPA`{n7R)+ zkGr5Edmq-1i>W9qfqBeyLq{KcHi8VIKE!8v3;#qPdWG^a|6m{LjIxKXWLibZ6Zlf5 z?R`0ee~gKnt`zXsGto`*JN!dTRFmvCeghLUZ$HAXzip{90B#9z2b2roKEd8s>CBgO zz^%l2eq9H4viuvrw#;IKd;`C;41||URlQ~Q-xL&hvbSCZYXWzdVb9AxgDQf>1leZQ zo?)1>zNOkf3}1}yszbxp&dMTH`>@>`_#)m+vsfS(!?&#EVYwE*dXWM0c2y6}`UZUG zo}$^Mf?8=e%|-#=x%JJKIN5c2TQl+_$XM)X##G7vrXOjx3s;V(?`^iZqg+Wp)(nd) z(e(ZKFvgmpO%nJg`^KR>Ks8*RZ%>flqqpayjLOsWR+Fm^|CSxp<<6z<=Hzstxs;iY zOcR_(6G^7ft&d%<$gy-fY%S$m%c#|;)=u5O2#z+&V1+hc_Cs3&{67Cig7$W||7#`zu3Hwau0hier z0WPNpaEbKt;1Y3jCFN<>R*r+qW)oo1aBV$Sz;)K9N3%-!oXl0b4~=8BW9WI7kReQf*4MFOZWU2LZ-* z4{_!+U?NHLIcp#lm;5(p5v1Ze&R~ygAm`x!gi_;fIgaDm;~R)1;!17hy1JWKg(<~z z%qfWNh!I#m9F!|bH%&~`=;Hv z%++fs7g$U@0$q~gGP83c9)Xz1;hewF@c#Y#qGx%+_>bS+ZhtuOtTp0hPifQ6)zz)v z&pn&hIzIEh$3mOZYt0@0mBbH_U}RL6>NdgsuW}WiQ$8-Axl77pg;*-*;IK`)Seu|t zf0FVk5ni3KPbJtu!7E&puAf|9w=-~v6p`0CzVtXht-nxvzxiC0pA4dBuJx1O$sp&jhSOiXfkUe`elF4XA@OXZi>HE$I{C%oKMuuIZ<9JR=gH0ph9A|!m z{S8^H>S&C0BXK2XWrIVG<5$o`wa5WEA%C@F2V}+tsI58z6p{sMT1UuK%t3|G5SfK+ z2}zYiK*4zPh@vdq5R^q}3BDm-3JbT7cUasalC@ve&K>?|#5Ua0g}X8ue55@De^t{k zE`+j8<0A;~&Phk?kRMW=X~u+XIgiPcIT>y+t17lPgXH}>5SA#fIM$3hPF%`yV?k~D zS5g-pvSM$()nbBD^Sgl6%?m=DRqQuJb=f`!ow$iQT*bkB>-U5snkRyB9dQ4&T31kU zA|Lyn_*VNvfa>szl6(^o%ji+k4Fa1o7&gMH6WDXQ++vw4M7{z)Ve&iV6wr7|e~0*8 zUo_G=gmuS%=h#$QoFZX4ft6OV0rt}SMyo!@B+hPT)j*V@$L<`BXa7)n6oy$a6JVOV zt1o&)_|iql_-GgF^cB;ps6k~Uflw;r8T%lF${`d2A&;+^B3TV8bn+$f4#qRh&4wk0 z?S0V$<3HLhlh>e5T>aCwhcYi>~C9Po&%d&>C0rKF&Mt0Kd&nxhdb4pl7+^eAqB8Nk+irfW3!~R#rp?cv! zTDrwWJ$Jwl#_!4PxJ#Qe(a*RYk|ka_+G_k^!*{FPk;K{n6Dxr{Mry~cg>Wx~i@kC< z&#ZC>LII`#yd@L;16qiHom3k@qqXBOybg&Lf0&;Pu5&wX1Gvw@<$~+fj++ebAh_w^ zy0qi2f;$RsLTx}ZwDkq|I=HFeLfZr2{tfPAaG`A}xbd*FcUHM!@T&VaWupIOpN zay#h>A6{ZpQip^L?p$!;IH!X<4%|iHQrmI&!LkLpiyEPT6GO z1EC!VSAdWNgv~%GQKT-~7VTcM5V=owgMOp~`J4PO{Xz#Oo3|Xs5OH^O^XUg;++Gsq zi5?r%>q8cZVvRu+TVq^al3$BzjD_{ZEe<%@E|sd=kwl=1FB=7!+(M*6ag)u;a%l-V zZlQ;&^R?a1jid*nY|u|FxQm#pvr`@I#y1k9r5}xyOwMCWfZ`Fyr_wJ5CN%?;=T(Ys zY!3O0c!6T?a}I|4HO4&w?#kE5fxa>dX!hWXu5 z@uR&ajhk_L#k?FwcVGbiaqxSK<$Hs)ld_r&MU~Orp$kZlJ;veQ$X9cIF!KlKI7%ex zKla{^BA5yeEemp%VD~n1wlU|9)R)=rnmkKdn)N_+PG}VimDQ49!apGr8?3*g+Ag$= zg|&6})joZW$Jj2T%(B1&=?Vr43n~|Pj`y$6lTBVvQO!)+ryo$Af>d@h!Z{iSR^vGe8TaWKI5LdJq zft#DBB&l|$z)CpN7X3js+c1me+5{0%R2!Qys}z45(pacZgb2_sE$oAMr?-Leh_ z`h#gSKd-Q4c(&AI!np8ksrL;_hi6MYB`g7+E%i}hsqk#62Vog_w$z7&O@(Jmy?Yo3 zo-OrpVJYvh+w_Pqo~z|Fy=T}ISIi%Jd|09@I)dlh?DN~HgUwuAGbH4VXSg&&Lf%RS zxt}^-gKWT16fOLQJ~RdHQ02+kG@g5BdW&Tf+^DYa!%kO>@wYQArYj}n`&v=*n`^OW-E4OY@73!{!|Dl`t z?}L!yw?n7<`}^meT$URDdDwpxwaz2#H`~0e4sF#_Up&_Rl^b%Ra^;@1O}koNm`)}f zo3n0`*ALSb*Y8c`PqB~p1g@O2C4TM=>+f41x4ug|obm9O(~0Wf+n)@iLAM;rBsO`F zm~-sEw(qn4`S8zm)3b@w-`Bdm{a8Hl^W=wJZb#N$p6>Ch>CNev?awCtOj{ZMyWfu; z6P*i9x0~kv+VQ0NW&v>7UtgfYjqwkk;9vNP=8U|`+KlMR#*FI9x(tWP zmW+&1kG3MCW!qDucUzp1)ON`j*|yeb+xF8K)P^v+wuz0gZ5$)A%}>XRlj?oq5_NOq z67}S`E4tjc48_8lR>Fg?w$x}-on!RZI?`EF9pUWPI!d*v4*x6dWACp`RE3sPonzzcV+FC?%(xx$p z!Wku)6SCEyKT|Zas}EU3ouPGMxCp0}V3){U4T~CxJziDfYTn|oSuYVE@EW4wGd)ftAs2YEF%Z0i` z{;>}0`|^$2RarLWj;BFA84~z9;EQRw_N*GdnC`+C6UKlYgfAv%7z}2aQX&z%xzjpl zM55xAulUL`#2H1CfjWpQCvK7aV`Pmi9NXai{n@V-x+PXaPQ9M|yqY?Gbxob&tcj*| zA4H6WOpwNNshxSt(BHYyoyE(r>lE&g4hw75EZh=?f{9x*8Mfr`1?i$+vJ8k6QP6y@LGMkUqax;_teH zhP9ym2zSL;9Ws+$V~ua;1=O;D71B~;^g!V| zL@<;l*l$9eBCOW#6?h2K-+@ATJZIr3wam+2bjG-3VCop^p;D@nnyAZ28zDJ}wv3Vl z8SOAWdJQt#U6)aRiQSEs!~RnxMMlza@KkA>(RMiSmSnBbbvX2v6k$Ztm?095kswSc z#7OnzFm53_Q9mv$y%3wBa52E+oh|jO#;Ve#j#Lf#w7oA|${5x(udR|Uh7-+it8|nB zr4F(sat68CJ6n34Vb{#KBRRx?wJ7hA8A{8Mx^9=)z&p~{T+B#MpH-3LPK}ZgAxwFU zDx!Rm3vpvm=?F;STK(qf5Xg#ogrdV(j2s<}xc$A>Ksz!2OdSkUP>OZ#2z z((Hd;>HwL9X`d`l@b5?!Gt(ATEc1f|BT|0Q2C0w0GCyFlWUhdeA2L}=7TD&4GIy@PH9z>OG*oZdIXT^O zq29Z5YC7hvo&*DT=wkVNSeFuM^0i-Tcofqw{$LElUfOcS)#M4lH2^kMHlyP8v+c_j zS6qi&H;x}!>iSC41c76feB>je#`4THvGtfU*0(e9Wro(%*WKa*7tuMBgzS}H=4aGY z8NQfmG#;a0MHj)=fc*fAfAKG3o&Gh-UiTXHbMtNN7_rSEhSug_ijMQBV1mVfd4olQ z1%buJd5jd-#2L6?C15#V%UsWBcgB=UPgV|LUK=~@Ze5%0QYgC;)lJ*DsKVd=k)a9* z+ktQd2oHd8{MrZmZhY@VL+RLi(ag~csKwCYvHZ#kMMXByyagf20k6a*#%cA1HJEJK zR~SCB$d=#cEa-CFhc6bL65x~lD4;?}sHZncF6hJSi-NFDI$S->8SaF-Av2C*zJQ+U zMFFG<+?O1Mj9FN>^bRs+Jue~0>mR`45~z3kOcHCHSf5t|`8*z)HO8ch-XW`x$~I6j zBr@SCRAQW$nOu%oub-aD9fMo^MXKf@n6xP7Sa+csNfSB#T{exB3mm6W7g%Tl83Was zP*f{GmZ(z4KyHth3g(6m%jT#K$3Sk+FZS|EBn*IRrACr4xdk&$?=;dGW3xcM)U4~~ zj;FHSD)D#8JJ^#do$gZe*r-Z!B8nsL@SMSQx0T;DxDl&U8}>|2(C(R&$%jH&w8Pyf~lKF`IY^`PVds#eCG)FAfPn? zEf8qiA$%CZ%lm~RbAjRu6qgA1qd>V26c?bJFdOu?1pY69{~zH02l($HxO&hy(<8#Y zyw1sCE#bPxw*l^z{WZ54K0~mE_ZVZGiMJ2&h+EJ(YagmtaY3_Lctf;jv=MQ^=#N@) zZ5n2blHt_p*BWm40)cn{KVO}Oc}JX~S=&G!gE@^}?h05-19iJv3?7ilPdcaVWjMrm zf0SHdKn>A1lD%s87++`63pL)95sC5R5-VV3<3aAyih@%X^SFT()0bgia~&#*K3P(^ zp%q!5Fgv&&6*E4e^SJR9xu38PbQTq}Y%Qa8^onV*h{Z6fafXI`FKa(^`Rw&MRB!wh zPBshaP4t3HcicY5y_{4QW*=b=X93H8AN~cWfQ8scBx+{~2+5Ayv`+-MA>saUaF>1wMt^ zKr;<-qfy<(J*bb$YAs4Ifk}UgLhEfalY1O+%AG2i$$~^a$!4)!NK_9A!RVElU1OUn z&tT7IVH@#FIWt%ejl?#|M`J`Lryon!BQxOoC7cGaH#(9cludGa*hn#!H$6US&ROky{i~3@n&{4q1yirl$-{E=MoY`wvWQ!Th72F~DiT zy6MpaDTS7M^gaW;Lc}b6?!c5n>=WL@yZg>1V^=9IKn8-vD&+-^M}zw(vJ*SL0so1* zQ)AKKWJ~%Y;xGb*2_+~gZ$%jQr9~odYFPS9WCkR1`!_%dgq2#W1}K5>1wOKC!wOzv z=jc$7h+uJ5E`dY@OJCV#zM6^jm8a+$CPA9&gGy z1-gq({7YqMiY!U{+Q{n6jJ3Z6D?b>6m>|j`Edex7$^U4>2N6M3H!W_^`Y36SHee8a zlrjrethnTRotK_gWApu1N&Zt)hv=)MC%7IXr-yAW!ARX%b-Zb+t;I8?*nnkOjnS}9 zoh$zj-tqK%~bqE`(1jtg|k}jMu?B>q02K4eP86p^z7>vwjR8 z*5Hb^ZV(8rX0ILXjqGPIteNz!5(!i_@ZKuD%s?|4*%CEO+WKZoVceVPc}KDpCT)G* zBlq)=Ot1HtxjaxWFR}QGH#w72f+X`wGvVegK)ISjZb0XdXFwqmyBzADkQRiBWmZWx zazmhmHpW32t7_`AS)i;j;43{kyxxe;t3x{c-blZxJMUmIlLw~MA)n~W1`_KKll1umX>}lSDjWa>(ls!on~{$Ep)Uzzrz24M zoUr6{REF%JmOpAsW3TG~2bpcOO-k zWZ=PQSqccHQkH501tG%Re$)whhW4#7Vq`YY?A-ZKWB0}>Nr!A+C@G}=!Giu zE+)v5_}`+LA;f+89{$B4bd>Cg0qT~T3f>`}$)0J?8XZRV4B1?we%Ck%;!UinQvRG4 zj5edv*>blr(kklOe8(}@gPcwu2rPs^CQCM?C{ zo0^r1PF-PotGfO6AJnF^GTK*=*x)Iiw|l;h@J z$Ur$_rc43K8=yP@3MiH~HG2?%djPl&N(iSx_#uSj8PLcL!f(xuG64MsXtcTCcHnM; zeixhjB?4tPP#&9K-7BD+HB7gybl4215j3*Ddt!Al=1G}XZ-AWXHQs7yzqlN1fr&wZ@ss`uULUq zbe^1;T)XFqAE0hQfI0%Y(Tt{>QQpv>Yf@q3IrOmEarV6GebB>RGdCExG~iw{bEg7j z6ev^86g5z`ar3kG1YK6VA>pUz98`3wCrqg&-#f_5&ssAXHs+Z(rozT+^9BbtsOF6n z*w|s-;K4?odBfc5fq5elHlocNX|QqDypaSO)v&QA1a`A}l#7jkAG)Vo{3bLz!4Dk= ze&~)0?@0a}-G}zLx{Xpp$|xAAjGeFb17N8!#pcyjqGP|(k8X-=6&X$nk&>^YmXl>N9pUDmE)2eW z_^%yi6c6YzK;N3tP(U&G>A}5(+4nv!G;iQ%uN#?(|BUS=!p3UYSmy{ENw5*E=!`dQ zv-&RG%^mW19CZ1o;Wp!)!(wPqaRjEzin(R$mJr*2T%1+=`OAq>f05g$hx@y_U-$WW zV`niJUc`r*f`2L{lSV!8WA4A6XgnA>r@kl#Zano%z_0GLdh#}&*D>>#Pr{XNA}hPM zy)V2if6D#fn*$DW2^?m-P$0T8sc*7KTM2!hV4jkEK4JY;5G;z6l|6L z!Ma1}{!3>-zMfMdwqbQ^^t3`lwrxnocnr0hAhjvnq(vXs8;}nm>;mE6Av_(z6N`A7 zJwmeey7$)&xlpd5y=;3I=~e0Js8BWd?izFt?8d;G-Gv zOBj3;2fcq$f)ff30vt4#SwJSWm*pnIzGnl#GXrD*(gCOhzz2ZsW=1Li^8uJ^2F#49 z0IURn3_vb0$mh?>wcG3S2d4y0^7f8eNt_ns*)_5|e^qkwJG9^Af&{^un66Lt^+VxP z`_If)9QY<<1&A1BTU^X%HQ z$J|d)rQc7jk7w80JtpjqT3xEp=ut=@?0z9%SCNt&1PLDI;KtVf1<$YgUvTC>{}=52 zzo1u}Fv{0^`rpLcS8H`w*BJk7uFvn!fJb1$_wVv~a}or<$B&k$CqKp{o3R}jf|>V3 zqC3g*tVDbMFO>7Y5Y_pAp^F=J@p^CX<4N8>{e3+vm5kAX*81-924QeU^5g5tJxzYz z#}|7S-6RsCV+kkzvX(39@&_Mlb!!@QSD2oa$Grc?U$fQBFU(Fc^WXmld@T;%*_-gr z2E(a74QJm zVJ=)_xkLbF0bmD!18`TFPx=(I1DC{MxL^*Sfrd%2k9)Aw+&qX*g6MzHb{t%e_c8(S z0$`IF0LDG@B^?34?*N=OGt8HCAOKt73SLzR&O&e&L5HW|g1KkDU{(X*2EcN-VD6;= zFb{xPX28st0zfqYlK}Y7%t!-Z2>@%%fSHj2z&Zd>0N4RzocXOG!og$U7@Qe!@Evdr zvjJ#@=zopiJO^hoe1e?)A!-H&0k~=g0s&|NU=#pf0CvG=Y{6Clt^;tu3SV{WqpC>P-cyvfC%&pn|WIJPRWcJaO4jAcij6540} zcgE_;;cD*i^oxNBXW;}rIs65j-yI=x37nlkivwp|8nh{g4m=bC$G#K%Q6oZ1SafRu*Yio;r{@L0^nOJL?3{2Nq!cjPi zZQY>lS%|KNwqx$#Y%x1PeWyI@+8GaR+kpC0an`kh4$d>P1Fxha44htY?4gqwS7?_E zz3v5Ivl%dV)C(Q?L9Z=!Ko0==-vG=r1DgN{0pKeDQ~(Oi41WOr0wB)}klUv&p8^NI z9NwpBZpXAIBmmNCL+&iXpHGI=*td+ipA;5bWdpp_fCNyVwBohCWRO;7%Q9c4_ye#V zfV%+PF#~}B>;d2cm-*2ffO9iz85yhaidZLZ*{%{&`~0mbwFgp4@w`>e1e-wd(WJH31>p-Nxcfue0 zIssq+pfCfz0CWRD03g*2_yBMUfN%hAm;pZkdH}!y5CFg-b05C}5CFhoGhpuHJOIuB zxWnuJSP#xFvqNd0pPdG;ZV|k?EpRZ0ynuQfqN^bKMmZ2UpAJAf0Fwc5HUkU*4gpXK zz%Dc33BY~;rkgt?L+{PtT!W)K1-;*y486~U-v5F3?i3vE9S#6V0PF!^nVG=@AP;~i zX28ry1Rw)|ivWBwGm-!(2H=Mo07f{w_P}*E08#*AVgDuOx6BjvzX1-{2@dyV3PdyH z;DkW57NQLhooohz0jL9@3xKO;AQS)z0CE6)0oWM?fC7L+02}~dWdZCi0-RR!(X~M2 zH5^?JyfZI(u$xdbWd=|Jfl_3q>;lRIGX-AS%PBxvVy3`VaD5_Bo&qHtu954j!6}6| zePuB?=|J-UXD6KBk~7eO19VUWr}E_-h_sm_aBfP>=Vm3ei!<+MCpcfhp+NL&A#{>} z|K_da4Q~s=w`cs8QyX_Z9Dthu9CZXB8-M@+jsQ^OpU_sa$!g8k(~M6k_vHhimGZ1}C(=bOGu!#em<}snG5V(AGjHANK-fli49ak0}r-1zNmv!0%56bZ`Ws#n3@H z5u&podIG2`WDxaFxIJfw)ub&?7@uO-65C&QCDpcG`vA^QM{x4MiNmjQ|IQm;IR?}h zq2OS-W$|NP3E!Wqtp3@!j#n1%N@)L4kzf1y3LgK^A?d|F+~#X3VJK=1^D00{ema1#i5KsXMBLLhXz1HlUjr9e0a zghxQAHtuu&`Ecj;6f)cZ66`#bI+Gy2#qqA5%QL6cSRGbwVcWqNM`jJuov@TWg++~pqDKYBX_+%?Fz)z&Ic{AR zcgbziRRYhB&`TC}I>ta|5N4B(FpwXFo}vpM$O*#!uEPx!9<>bC1q@^##TshZaBI557{YM2mVxondY@;pe) zRd-o`a@@_y7>yYAIU{;12pslWi&&$N8ptTe{?MP`i-r&w#U6hB5W3az0*nB;&={XZ z?Z)b`^f5#$X|;Bmz=Ia@S&BDigk_JRC(BWM(4B*P1yPrgPV@VTegWw`P(z6b={!(F zX`7w}IX>8F`gO3j8qrGe(?-A?)ASb1ZFz)YWhJEtRvc01_)2!@&?8m0$s>wx%c4#5 zt&5ak)I6;VYl3z+BgONoLj$QNc&oUYTQzb#8F4__XqeQ1>vuc^YwPWr{qIN|xD&#l zNKz?GxV9(5T3siN9|J0IIzt6cRx?!Y%-~HM*;$5p1z7{)GDI9TSer12tMPP4D|K`D zT|(C&{4s4d4BHYHX{!e9k3#wE)Ir2if}3{1pmhxt>=~yH%=bGl{tuR*IX**ovM$*e z4w^zlQ$}Z5?0#{I=*627C_QgWX&rK(sVo1di z6)~4e4aqgXYX(ib#B(5c%^Xxh5dVx&kzIm3$StiXc#OIwTdkeZfNmkq(5`H-D|Gx* z%WFUt62?PK6`Ml*Ic;tOwh%I||BLAryHu`4Me|q{GfCKLuKe=zb?x!HMc!&sazLuI zL~WZKazjE=yC(bJfJG?CNLO=%D^7IS{U%FdPtU@Z5SMdSWI4Qa{Estr(BdV*jLq>?r)bdfCCFH= zQ9E@PM`FM7Y(_+7);L)DuM(0-ge{Q9SeeN^h2ep&XZk6$o;NWw;S|<~hs{h~X1O1- zk|!^NF<5Hm)Meoolu& zkol|j$JjP0z4+^6Fw^BP_@`qK_hsAo$71a6Diy1OOOiokzth<;*>KtFjA0VR*n0}*ljfk3dCIhZxZKQL=v`~gLe#tjtbU{PGV zu*_I1jC`Yp2sLzr+%bvV;IN##m@6=ml9Gju^?4(Cu6C#4dz<(rV+E2dwI|K3cQ3!L z%=RiQ2Q3`?EEyerWaBG)#aEXhd|@u-w=(nrm`lkSr8WDyV>6WZ4NilY*uaO9*T(Ot zR_Z@m8#PMEx-`SE-&88z!J9Xd3Lk_c@F6$>)jXC%SvwFlisogEOs^TIeKdN{@`EhS zFl&&SPW_=-t&VSo^`T$%?67H&_bl_%1hHuSltftO&om|5qCAv-T0f(ISduMvsop9q zqt3GJ>SL(RJIKF8gCXNCNQ$-(BEcN20GUskW*fBGAJe2Gq0;6k#B&7!`5_0yWaIqK z>{!%v`8@4{QCCxTtiyd7RZ}p^$oDHkzSZC4ONHnfpCWXz@_{DWxP0W85LM&%)bg3~ ztj58(Xk@PtTTc2QY11w1OzFW!DV+@SM&pJUrIKSt)QGYfeMbH#|ANVJEFca!QFo1h z&}8|JuvD{{MIG~fC7xuQHgdrPi%nliFA5MtesNf{cIiPAa?FP!MhRG^nL&tOvi$~C zKISL+rq;6y=}HOI;=6FJ)v^2c!L$z~_523YK9JP&k%8`jq#gx>+yO~FHyCyukkoUS;no33J@)3C)m#8&!K8n|} zzOsKc*YMftabaP;9yHQ6hgkeJ2V0#HJS z+trt-CD6eV^M5_qwU?+5;C}%B59suZ;G@_H$lt;Gn`;bG*#C6c|3qI86C90+2^It9 z4X=l|&7ptOb?htXrVX06!T*1u3p6x+4R#rtE1`K-dR!+o$tP@c2m*Q&&~5^a43rxX z&V}#<=n>w4eCY8gQ0@ceEl})$k_YwxEE?=AShYIHRMd!ymwhxOWg*H*WNn6;FytK| z{auYS&B#H|wGwCoweQ%=fk!3&c2_W;4)91{oMC; zU)OKSr-GUG?d_ibXmR4B)h_?&GOT$+jES%Va^TKPA zkK{lXE770Us9Io{oE-Nfhy|9_%<4u*2sYPbuSJ{^WY%P?MJ*95smTRJ7ePr4Z!Owe zu%Tx5IAWh5uSPJADiN%z0f+2I(88HM4l93s%^V`)l7(B7NsJ2o&&{ejE}6p!rKNKx zaj2o**SQ2oXjbGA)R*R(rqTw)=jN=Y;s(^O=IWY#3^ds+s=36#-v=W^RV!i=Xn*c* z#Z1!OvIcUnPe3st23QnPM{qv?i{h$`{>6_2AooXC;{G*9179Kv z_!783b&pJFo4b(P>q^jGf>O>DcFYJ;V7{tvZw2+DH(V(P-Qx9HwM!Dt4oe03&KP0F z0B(_GyZ#XPx_Aev2sVl^e*h!{%Z2j?Kr-+Ya1y~3{V(1+c*ypeJEv*D;3LaQ!cT_B zjLvG;rJ7>>MHw}P6^LGE9@U?%cK;2;hWe^O&U3x~ST)Fbdg!~xK+ZE4nh=QxG;#XN zV}w%m%1@n%rJ6u%{tzW4e3Rm)VAWvhIC2HQYOr`5{Rh8(u#$)fFmngP}n z!rj*@(J#y4493_kaUXAZI(0A_F1&^dTRvUb0T<%o!biA(h6_Z{ROCs5 zWwUCLIGsSO77@2;!^Ev3!V&5nW1(akBd%CZl7ulLixv5j$&A=O=;QWHDDJ?HLgRO3 z2c80r-}$xJaLqZ>LI-dY`>=(U4dMgNz?9fJ#68di{8|zs%bma+@jhsDlO)RWCy15g zGUFXdv`jF8s-!(OE|biVRZI{@yk-~=LZj21m#9^`pR556KUv93r4l}HTWOp z8({T=+ewf*%@pJCZjJSjds?1aLmZw?kw4gl)SLXJE(5gfrW7frHfpExo|%(S<&SrR z3jP`?DuxRi3cIP_g#jMv1h%fcvC&FK0Hv>QOYCqwF z*AK?ZW-zvx26QIMMC59qGpz(V6S7{{YYmd7u}42HIfJt5KkidntMV!SWKY#~13j6w zRCU}&s>ykXyeX)#A8p6ys^41Msu8)GZPxB;%w6>jYezNeu0`Q`s1Z%+@xq7Ka6EFX z1^%tZUy>6P(GD5DWYpnt)-oDU-gt9H52=VttmR&#JvC@#C zq}@Pp!iG``f#Brc=9Q;vvH3J*r??g9UT}SZSsY!7_|>el#yNoD6tB8!iDrHyqb>A0 zr)aRPYnmN{*>CZ-26nkTiKeS!B(D8YdzH&2KK;-b=P$wbN7f-On;V&zfPko;I3ZhM zMuVFu;uQ$5zBhRF#}ia|K1s->VzB~_FpG-+Lf|Uow_pT0{2qb3P>|ws8a5D<^C?B%o+@A9