]> git.sur5r.net Git - freertos/commitdiff
commit 9f316c246baafa15c542a5aea81a94f26e3d6507 master
authorlundinc <lundinc@1d2547de-c912-0410-9cb9-b8ca96c0e9e2>
Tue, 24 Mar 2020 21:54:22 +0000 (21:54 +0000)
committerlundinc <lundinc@1d2547de-c912-0410-9cb9-b8ca96c0e9e2>
Tue, 24 Mar 2020 21:54:22 +0000 (21:54 +0000)
Author: David Vrabel <david.vrabel@cambridgeconsultants.com>
Date:   Mon Mar 16 11:21:46 2020 +0000

    Demo/Posix_GCC: add demo application for Posix port using GCC

    This is largely a copy of the Windows demo application with a few key
    changes:

    - heap_3 (use malloc()/free()) so tools like valgrind "just work".

    - printf() wrapped in a mutex to prevent deadlocks on the internal
      pthread mutexes inside printf().

    SCons (https://scons.org/) is used as the build system.

    This will be built as a 64-bit application, but note that the memory
    allocation trace points only record the lower 32-bits of the address.

commit f78f919b3e2f0d707531a301a8ca07cd02bc4778
Author: Markus Rinne <markus.ka.rinne@gmail.com>
Date:   Thu Mar 19 21:00:24 2020 +0200

    Fix function comments

commit 1cd2d38d960a3576addb224582c88489bade5141
Author: David Chalco <david@chalco.io>
Date:   Fri Mar 20 10:29:05 2020 -0700

    unix separators for path and remove .exe suffix from risc compiler (works on windows/mac)

commit 938b19419eded12817737ab0644e94ed2ba7e95d
Author: Yuhui Zheng <10982575+yuhui-zheng@users.noreply.github.com>
Date:   Thu Mar 19 18:23:09 2020 -0700

    Removing ./FreeRTOS-Labs directory, since:
    - IoT libraries are now in LTS branch.
    - FAT/POSIX/Light-weight MQTT are in https://github.com/FreeRTOS/FreeRTOS-Labs.

commit 1a4abbc9e91b13fd6394464ade59d5e048320c7c
Author: Yuhui Zheng <10982575+yuhui-zheng@users.noreply.github.com>
Date:   Tue Mar 17 19:30:02 2020 -0700

    Maintenance -- clean up readme.txt and add url to GitHub. (#38)

    * Removing readme.txt, as now we have README.md in place.

    The only information missing from README.md is about FAQ.

    * Adding FAQ information in README.md.

    * Adding a .url to root to redict user to FreeRTOS github home page.

commit 47bb466aa19395b7785bcb830e2e4dd35f6bafc5
Author: Yuhui Zheng <10982575+yuhui-zheng@users.noreply.github.com>
Date:   Tue Mar 17 13:07:44 2020 -0700

    Update issue templates

    Template maintenance.

    - adding title prefix.
    - adding examples to "additional context" section.

commit f506290041f56867765f8efa70ed2862125bdb7c
Author: Yuhui Zheng <10982575+yuhui-zheng@users.noreply.github.com>
Date:   Tue Mar 17 10:15:07 2020 -0700

    Create SECURITY.md

    Apply the recommended SECURITY.md from AWS to our repo.

commit 8982a2f80a80a2a0a47cf82de07b52101bd9d606
Author: Yuhui Zheng <10982575+yuhui-zheng@users.noreply.github.com>
Date:   Fri Mar 13 12:50:10 2020 -0700

    Add ./lib directory to make sure Zynq project compiles.

commit ecf0f12aa14ad6fdafe1ef37257cbb4e03e2abd5
Author: AniruddhaKanhere <60444055+AniruddhaKanhere@users.noreply.github.com>
Date:   Wed Mar 11 10:19:48 2020 -0700

    Sync up with Amazon-freertos repo (10th March 2020) (#34)

    * Sync up with amazon-freertos

    * Sync up with amazon-freertos

    * Sync up with amazon-freertos

commit 0acffef047973e2e61c2201fd69cd9bbd317f674
Author: Yuhui Zheng <10982575+yuhui-zheng@users.noreply.github.com>
Date:   Tue Mar 10 10:20:48 2020 -0700

    GitHub PR template. (#29)

commit c40a6da2e4cb8042b56d1b174051cbbe9813781a
Author: AniruddhaKanhere <60444055+AniruddhaKanhere@users.noreply.github.com>
Date:   Mon Mar 9 11:18:48 2020 -0700

    pass payload length when calling UDP callback (#30)

    * pass payload length when calling UDP callback

commit 12d580e93d4d9074b9a867632f0681a511b4ad12
Author: Yuhui Zheng <10982575+yuhui-zheng@users.noreply.github.com>
Date:   Fri Mar 6 18:16:51 2020 -0800

    Update issue templates

    Initial issue template. Created following https://help.github.com/en/github/building-a-strong-community/configuring-issue-templates-for-your-repository#configuring-the-template-chooser.

    If change is needed, we could go another round.

commit 9debffb5e0e42ff716f58b2270b3af09652294af
Author: Yuhui Zheng <10982575+yuhui-zheng@users.noreply.github.com>
Date:   Fri Mar 6 17:27:46 2020 -0800

    Update README.md to remove dead link.

    See the conversation https://github.com/FreeRTOS/FreeRTOS/commit/42c627b2b88cb3b487fea983d8b566a8bbae54fa#comments .

    Linkage for both ```./FreeRTOS/Source``` and ```./FreeRTOS/Demo``` are removed, since it looks weird to only provide linkage to Demo.

commit 7e1a4bf563240501fc45167aee9d929c533939dd
Author: AniruddhaKanhere <60444055+AniruddhaKanhere@users.noreply.github.com>
Date:   Fri Mar 6 15:18:09 2020 -0800

    Fix DHCP option Client-identifier (#28)

commit 42c627b2b88cb3b487fea983d8b566a8bbae54fa
Author: Yuhui.Zheng <10982575+yuhui-zheng@users.noreply.github.com>
Date:   Fri Mar 6 09:15:11 2020 -0800

    Update readme and revert relative URL.  (#27)

    * Reordering: bumping cloning instruction up.

    * Rewording readme.md to be clear kernel code is a submodule of this repository.

    * Reverting relative URL, since user cannot click through on GitHub page.

    (With URL, user could still download the correct version of the code. Reverting simply due to UI issue.)

commit 5751ae9b60e248ebd0b4dd7c58df54364d2bb9d5
Author: Gaurav-Aggarwal-AWS <33462878+aggarg@users.noreply.github.com>
Date:   Fri Mar 6 09:11:42 2020 -0800

    Update CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso project (#26)

    This commit updates the project for LPC55S69 so that it works with the
    latest version of MCUXpresso and SDK.

Signed-off-by: Gaurav Aggarwal <aggarg@amazon.com>
commit a9ffffe1f01f45f79e127c15727784984077932f
Author: Carl Lundin <53273776+lundinc2@users.noreply.github.com>
Date:   Thu Mar 5 17:16:13 2020 -0800

    Using Relative URL For Submoduling. (#24)

commit 52c82076b38fe73d1dc46c97abf74ae9b803696c
Author: Carl Lundin <53273776+lundinc2@users.noreply.github.com>
Date:   Thu Mar 5 09:16:31 2020 -0800

    use relative path to point to bundled toolchain instead (#25)

commit b877e4ec478de2c24d07ab46241070d7c66f375c
Author: lundinc2 <53273776+lundinc2@users.noreply.github.com>
Date:   Tue Feb 25 13:18:38 2020 -0800

    Moved vulnerability reporting and code of conduct to top of CONTRIBUTING.md (#20)

commit bef165d46799fb8faa58aaa224f80c16b6538e69
Author: Yuhui.Zheng <10982575+yuhui-zheng@users.noreply.github.com>
Date:   Tue Feb 18 22:06:38 2020 -0800

    Linking test source file from relative path. (#19)

commit 89e7bbe292afd3912d1f0b2402cc506878bad869
Author: Yuhui.Zheng <10982575+yuhui-zheng@users.noreply.github.com>
Date:   Tue Feb 18 17:47:55 2020 -0800

    A preliminary .gitignore file, to prevent us checking in files unnecessary. (#18)

    https://github.com/github/gitignore.

commit c2a98127acb48c4562233230e66ca5c282688579
Author: RichardBarry <3073890+RichardBarry@users.noreply.github.com>
Date:   Sun Feb 16 13:19:53 2020 -0800

    Minor wording changes in the 'previous releases' section of the readme.me file. (#17)

commit 24c772d1439e5c291c0a29fce0a46996ca8afaa9
Author: Yuhui.Zheng <10982575+yuhui-zheng@users.noreply.github.com>
Date:   Fri Feb 14 12:47:01 2020 -0800

    Submodule kernel directory. (#16)

    * Removing FreeRTOS/Source in readiness for submoduling.

    * Submoduling kernel.

    * README.md update due to submoduling.

    When releasing, please follow these steps:
    1. in local directory, clean directory and check "git status" shows "nothing to commit, working tree clean" for ALL subdirectories.
    2. copy source code and instructions only to an empty folder. Git related should not be in this folder -- this covers .git, .gitignore, .github, .gitmodules, gitmessages, ......
    3. zip the folder from step 2. (create both .zip and .7z)
    4. attach .zip and .7z to the release. (e.g. attach these two in new release -- https://github.com/FreeRTOS/FreeRTOS/releases/new)
    5. PLEASE download both, unzip, diff with your local git repo. (should not see any difference other than git related.) And, sanity check a couple of projects.

commit c3f8b91652392dc55e0d7067b90a40de5f5f0837
Author: Rashed Talukder <9218468+rashedtalukder@users.noreply.github.com>
Date:   Thu Feb 13 17:47:14 2020 -0800

    Update readme. Fixed typos and cli commands (#14)

commit 4723b825f2989213c1cdb2ebf4d6793e0292e363
Author: Julian Poidevin <julian-poidevin@users.noreply.github.com>
Date:   Fri Feb 14 02:43:36 2020 +0100

    Fixed wrong git clone SSH command (#13)

    Replaced bad https URL with proper SSH URL

commit fc819b821715c42602819e58499846147a6394f5
Author: RichardBarry <3073890+RichardBarry@users.noreply.github.com>
Date:   Thu Feb 13 17:42:22 2020 -0800

    Correct the xTimerCreate() documentation which said NULL was returned if the timer period was passed into the function as 0, whereas that is not the case. (#15)

    Add a note to the documentation for both the xTimerCreate() and xTimerCreateStatic() functions that the timer period must be greater than 0.

commit 1c711ab530b5f0dbd811d7d62e0a3763706ffff4
Author: Rashed Talukder <9218468+rashedtalukder@users.noreply.github.com>
Date:   Wed Feb 12 23:00:18 2020 -0800

    Updated contributions guidelines (#12)

commit 84fcc0d5317d96c6b086034093c8c1c83e050819
Author: Cobus van Eeden <35851496+cobusve@users.noreply.github.com>
Date:   Wed Feb 12 15:05:06 2020 -0800

    Updates to Markdown files and readme.txt (#11)

commit 4b53196b71e02708ef1010a639d90236fbbd4032
Author: Cobus van Eeden <35851496+cobusve@users.noreply.github.com>
Date:   Tue Feb 11 18:23:08 2020 -0800

    Adding Contributions.md (#8)

git-svn-id: https://svn.code.sf.net/p/freertos/code/trunk@2825 1d2547de-c912-0410-9cb9-b8ca96c0e9e2

118 files changed:
CONTRIBUTING.md [new file with mode: 0644]
FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/FreeRTOS_ARP.c
FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/FreeRTOS_DHCP.c
FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/FreeRTOS_DNS.c
FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/FreeRTOS_IP.c
FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/FreeRTOS_Sockets.c
FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/FreeRTOS_Stream_Buffer.c
FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/FreeRTOS_TCP_IP.c
FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/FreeRTOS_TCP_WIN.c
FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/FreeRTOS_UDP_IP.c
FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/portable/NetworkInterface/RX/NetworkInterface.c
FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/portable/NetworkInterface/STM32Fxx/NetworkInterface.c
FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/portable/NetworkInterface/STM32Fxx/readme.txt [new file with mode: 0644]
FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/portable/NetworkInterface/Zynq/NetworkInterface.c
FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/portable/NetworkInterface/Zynq/README.txt
FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/portable/NetworkInterface/Zynq/uncached_memory.c
FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/portable/NetworkInterface/Zynq/x_emacpsif.h
FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/portable/NetworkInterface/Zynq/x_emacpsif_dma.c
FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/portable/NetworkInterface/Zynq/x_emacpsif_hw.c
FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/portable/NetworkInterface/Zynq/x_emacpsif_physpeed.c
FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/portable/NetworkInterface/esp32/NetworkInterface.c
FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/test/iot_freertos_tcp_test_access_declare.h [new file with mode: 0644]
FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/test/iot_freertos_tcp_test_access_dns_define.h [new file with mode: 0644]
FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/test/iot_freertos_tcp_test_access_tcp_define.h [new file with mode: 0644]
FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/test/iot_test_freertos_tcp.c [new file with mode: 0644]
FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/uncrustify.cfg [new file with mode: 0644]
FreeRTOS/Demo/CORTEX_A9_Zynq_ZC702/RTOSDemo_bsp/ps7_cortexa9_0/lib/README.md [new file with mode: 0644]
FreeRTOS/Demo/CORTEX_M0+_LPC51U68_GCC_IAR_KEIL/.project
FreeRTOS/Demo/CORTEX_M4F_STM32F407ZG-SK/Libraries/STM32F4xx_StdPeriph_Driver/src/stm32f4xx_rcc.c
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/CMSIS/arm_math.h [new file with mode: 0644]
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/CMSIS/cmsis_armcc.h
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/CMSIS/cmsis_armclang.h
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/CMSIS/cmsis_armclang_ltm.h [new file with mode: 0644]
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/CMSIS/cmsis_compiler.h
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/CMSIS/cmsis_gcc.h
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/CMSIS/cmsis_iccarm.h
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/CMSIS/core_armv81mml.h [new file with mode: 0644]
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/CMSIS/core_armv8mbl.h
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/CMSIS/core_armv8mml.h
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/CMSIS/core_cm33.h
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/CMSIS/mpu_armv7.h
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/CMSIS/mpu_armv8.h
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/board/board.c
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/board/board.h
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/board/clock_config.c
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/board/clock_config.h
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/board/pin_mux.c
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/board/pin_mux.h
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/component/lists/generic_list.c
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/component/lists/generic_list.h
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/component/serial_manager/serial_manager.c
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/component/serial_manager/serial_manager.h
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/component/serial_manager/serial_port_internal.h [new file with mode: 0644]
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/component/serial_manager/serial_port_uart.c
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/component/serial_manager/serial_port_uart.h
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/component/uart/uart.h
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/component/uart/usart_adapter.c
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/device/LPC55S69_cm33_core0.h
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/device/LPC55S69_cm33_core0_features.h
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/device/fsl_device_registers.h
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/device/system_LPC55S69_cm33_core0.c
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/device/system_LPC55S69_cm33_core0.h
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/drivers/fsl_clock.c
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/drivers/fsl_clock.h
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/drivers/fsl_common.c
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/drivers/fsl_common.h
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/drivers/fsl_flexcomm.c
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/drivers/fsl_flexcomm.h
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/drivers/fsl_gpio.c
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/drivers/fsl_gpio.h
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/drivers/fsl_iocon.h
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/drivers/fsl_power.c
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/drivers/fsl_power.h
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/drivers/fsl_reset.c
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/drivers/fsl_reset.h
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/drivers/fsl_usart.c
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/drivers/fsl_usart.h
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/libs/libpower_hardabi.a
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/libs/libpower_softabi.a
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/source/semihost_hardfault.c
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/startup/boot_multicore_slave.c
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/startup/boot_multicore_slave.h
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/startup/startup_lpc55s69_cm33_core0.c
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/utilities/fsl_assert.c
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/utilities/fsl_debug_console.c
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/utilities/fsl_debug_console.h
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/utilities/fsl_debug_console_conf.h
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/utilities/fsl_str.c
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/Projects/MCUXpresso/NonSecure/.cproject
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/Projects/MCUXpresso/NonSecure/.project
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/Projects/MCUXpresso/NonSecure/FreeRTOSDemo_ns.ld
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/Projects/MCUXpresso/NonSecure/main_ns.c
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/Projects/MCUXpresso/Secure/.cproject
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/Projects/MCUXpresso/Secure/FreeRTOSDemo_s.ld
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/Projects/MCUXpresso/Secure/tzm_config.c
FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/Projects/MCUXpresso/Secure/tzm_config.h
FreeRTOS/Demo/Common/ARMv8M/mpu_demo/mpu_demo.h
FreeRTOS/Demo/Posix_GCC/SConstruct [new file with mode: 0644]
FreeRTOS/Demo/Posix_GCC/src/FreeRTOSConfig.h [new file with mode: 0644]
FreeRTOS/Demo/Posix_GCC/src/SConscript [new file with mode: 0644]
FreeRTOS/Demo/Posix_GCC/src/code_coverage_additions.c [new file with mode: 0644]
FreeRTOS/Demo/Posix_GCC/src/console.c [new file with mode: 0644]
FreeRTOS/Demo/Posix_GCC/src/console.h [new file with mode: 0644]
FreeRTOS/Demo/Posix_GCC/src/main.c [new file with mode: 0644]
FreeRTOS/Demo/Posix_GCC/src/main_blinky.c [new file with mode: 0644]
FreeRTOS/Demo/Posix_GCC/src/main_full.c [new file with mode: 0644]
FreeRTOS/Demo/Posix_GCC/src/run-time-stats-utils.c [new file with mode: 0644]
FreeRTOS/Demo/Posix_GCC/src/trcConfig.h [new file with mode: 0644]
FreeRTOS/Demo/Posix_GCC/src/trcSnapshotConfig.h [new file with mode: 0644]
FreeRTOS/Demo/RISC-V_RV32_SiFive_HiFive1-RevB_FreedomStudio/.cproject
FreeRTOS/Source/History.txt [new file with mode: 0644]
FreeRTOS/Source/License/license.txt [new file with mode: 0644]
FreeRTOS/Source/Quick_Start_Guide.url [new file with mode: 0644]
FreeRTOS/Source/include/timers.h
GitHub-FreeRTOS-Home.url [new file with mode: 0644]
LICENSE.md [new file with mode: 0644]
README.md [new file with mode: 0644]
SECURITY.md [new file with mode: 0644]

diff --git a/CONTRIBUTING.md b/CONTRIBUTING.md
new file mode 100644 (file)
index 0000000..f2cca89
--- /dev/null
@@ -0,0 +1,70 @@
+# Contribution guidelines
+
+Thank you for your interest in contributing to our project. Whether it's a bug report, new feature, code, or
+documentation, we welcome our community to be involved in this project.
+
+Please read through this document before submitting any issues or pull requests to ensure we are able to help you and all members of the community as effectively as possible.
+
+## Code of conduct
+This project has adopted the [Amazon Open Source Code of Conduct](https://aws.github.io/code-of-conduct).
+For more information see the [Code of Conduct FAQ](https://aws.github.io/code-of-conduct-faq) or contact
+opensource-codeofconduct@amazon.com with any additional questions or comments.
+
+
+## Security issue notifications
+If you discover a potential security issue in this project we ask that you notify AWS/Amazon Security via our [vulnerability reporting page](http://aws.amazon.com/security/vulnerability-reporting/). Please do **not** create a public github issue.
+
+
+## Submitting a bugs/feature request
+Have a bug to report or feature to request? Follow these steps:
+1. Search on the [FreeRTOS Community Support Forums](https://forums.freertos.org/) and [GitHub issue tracker](https://github.com/FreeRTOS/FreeRTOS/issues?utf8=%E2%9C%93&q=is%3Aissue) to be sure this hasn't been already reported or discussed. 
+2. If your search turns up empty, create a new topic in the [forums](https://forums.freertos.org/) and work with the community to help clarify issues or refine the idea. Include as many of the details listed below.
+3. Once the community has had time to discuss and digest, we welcome you to create an [issue](https://github.com/FreeRTOS/FreeRTOS/issues) to report bugs or suggest features.
+
+When creating a new topic on the forums or filing an issue, please include as many relevant details as possible. Examples include:
+
+* A clear description of the situation â€” what you observe, what you expect, and your view on how the two differ.
+* A reproducible test case or sequence of steps.
+* The version of our code being used.
+* Any modifications you've made relevant to the bug.
+* Details of your environment or deployment. Highlight anything unusual.
+
+
+## Contributing via pull request
+Contributions via pull requests are much appreciated. Before sending us a pull request, please ensure that:
+
+1. You are working against the latest source on the *master* branch.
+2. You check existing open, and recently merged, pull requests to make sure someone else hasn't addressed the problem already.
+3. You open an issue to discuss any significant work - we would hate for your time to be wasted.
+
+To send us a pull request, please:
+
+1. Fork the repository.
+2. Modify the source; focus on the specific change you are contributing. If you also reformat all the code, it will be hard for us to focus on your change.
+3. Follow the [coding style guide](https://www.freertos.org/FreeRTOS-Coding-Standard-and-Style-Guide.html).
+4. Commit to your fork using clear commit messages.
+5. Send us a pull request, answering any default questions in the pull request interface.    
+   NOTE: Please make sure the default option (Allow edits from maintainers) is left checked.    
+6. Pay attention to any automated CI failures reported in the pull request, and stay involved in the conversation.
+
+GitHub provides additional document on [forking a repository](https://help.github.com/articles/fork-a-repo/) and
+[creating a pull request](https://help.github.com/articles/creating-a-pull-request/).
+
+## Coding style
+* Please ensure that your code complies to the [FreeRTOS coding style guidelines](https://www.freertos.org/FreeRTOS-Coding-Standard-and-Style-Guide.html).
+
+
+## Getting your pull request merged
+All pull requests must be approved by our review team before it can be merged in. We appreciate your patience while pull requests are reviewed. The time it takes to review will depend on complexity and consideration of wider implications.
+
+
+## Finding contributions to work on
+Looking at the existing issues is a great way to find something to contribute on. As our projects, by default, use the default GitHub issue labels (enhancement/bug/duplicate/help wanted/invalid/question/wontfix), tackling open 'help wanted' issues is a great place to start.
+
+
+## Licensing
+The FreeRTOS kernel is released under the MIT open source license, the text of which can be found [here](https://github.com/FreeRTOS/FreeRTOS/blob/master/FreeRTOS/License/license.txt)
+
+Additional license files can be found in the folders containing any supplementary libraries licensed by their respective copyright owners where applicable.
+
+We may ask you to sign a [Contributor License Agreement (CLA)](http://en.wikipedia.org/wiki/Contributor_License_Agreement) for larger changes.
index 7d8ed18c692fbb19447a874be2e54062708ace59..decd173387d11622429da06c41cffd6c5b48fba1 100644 (file)
@@ -1,5 +1,5 @@
 /*
- * FreeRTOS+TCP V2.2.0
+ * FreeRTOS+TCP V2.2.1
  * Copyright (C) 2017 Amazon.com, Inc. or its affiliates.  All Rights Reserved.
  *
  * Permission is hereby granted, free of charge, to any person obtaining a copy of
index 930768055483ea21405ab38afbca01fc6aab9168..59d55dea4b8bfd582fd235ce64dc6c5c4decba13 100644 (file)
@@ -1,5 +1,5 @@
 /*
- * FreeRTOS+TCP V2.2.0
+ * FreeRTOS+TCP V2.2.1
  * Copyright (C) 2017 Amazon.com, Inc. or its affiliates.  All Rights Reserved.
  *
  * Permission is hereby granted, free of charge, to any person obtaining a copy of
@@ -84,9 +84,9 @@
 
 /* Offsets into the transmitted DHCP options fields at which various parameters
 are located. */
-#define dhcpCLIENT_IDENTIFIER_OFFSET                   ( 5 )
-#define dhcpREQUESTED_IP_ADDRESS_OFFSET                        ( 13 )
-#define dhcpDHCP_SERVER_IP_ADDRESS_OFFSET              ( 19 )
+#define dhcpCLIENT_IDENTIFIER_OFFSET                   ( 6 )
+#define dhcpREQUESTED_IP_ADDRESS_OFFSET                        ( 14 )
+#define dhcpDHCP_SERVER_IP_ADDRESS_OFFSET              ( 20 )
 
 /* Values used in the DHCP packets. */
 #define dhcpREQUEST_OPCODE                                             ( 1 )
@@ -905,7 +905,7 @@ static const uint8_t ucDHCPRequestOptions[] =
        dhcpCLIENT_IDENTIFIER_OFFSET, dhcpREQUESTED_IP_ADDRESS_OFFSET and
        dhcpDHCP_SERVER_IP_ADDRESS_OFFSET. */
        dhcpMESSAGE_TYPE_OPTION_CODE, 1, dhcpMESSAGE_TYPE_REQUEST,              /* Message type option. */
-       dhcpCLIENT_IDENTIFIER_OPTION_CODE, 6, 0, 0, 0, 0, 0, 0,                 /* Client identifier. */
+       dhcpCLIENT_IDENTIFIER_OPTION_CODE, 7, 1, 0, 0, 0, 0, 0, 0,                      /* Client identifier. */
        dhcpREQUEST_IP_ADDRESS_OPTION_CODE, 4, 0, 0, 0, 0,                              /* The IP address being requested. */
        dhcpSERVER_IP_ADDRESS_OPTION_CODE, 4, 0, 0, 0, 0,                               /* The IP address of the DHCP server. */
        dhcpOPTION_END_BYTE
@@ -943,7 +943,7 @@ static const uint8_t ucDHCPDiscoverOptions[] =
 {
        /* Do not change the ordering without also changing dhcpCLIENT_IDENTIFIER_OFFSET. */
        dhcpMESSAGE_TYPE_OPTION_CODE, 1, dhcpMESSAGE_TYPE_DISCOVER,                                     /* Message type option. */
-       dhcpCLIENT_IDENTIFIER_OPTION_CODE, 6, 0, 0, 0, 0, 0, 0,                                         /* Client identifier. */
+       dhcpCLIENT_IDENTIFIER_OPTION_CODE, 7, 1, 0, 0, 0, 0, 0, 0,                                              /* Client identifier. */
        dhcpPARAMETER_REQUEST_OPTION_CODE, 3, dhcpSUBNET_MASK_OPTION_CODE, dhcpGATEWAY_OPTION_CODE, dhcpDNS_SERVER_OPTIONS_CODE,        /* Parameter request option. */
        dhcpOPTION_END_BYTE
 };
index d4f167af113ae3709bd1775b2fb22dd6508474a8..ad0c3a4a020357e8ee345f0d493a02d7b0c4120c 100644 (file)
@@ -1,5 +1,5 @@
 /*
- * FreeRTOS+TCP V2.2.0
+ * FreeRTOS+TCP V2.2.1
  * Copyright (C) 2017 Amazon.com, Inc. or its affiliates.  All Rights Reserved.
  *
  * Permission is hereby granted, free of charge, to any person obtaining a copy of
index 48e632a01f8c8d9706cddf295c0e42d76e574a6e..707c99dc6458dfcbaa6d9ca4abce7b1eb68a5d7a 100644 (file)
@@ -1,5 +1,5 @@
 /*
- * FreeRTOS+TCP V2.2.0
+ * FreeRTOS+TCP V2.2.1
  * Copyright (C) 2017 Amazon.com, Inc. or its affiliates.  All Rights Reserved.
  *
  * Permission is hereby granted, free of charge, to any person obtaining a copy of
index 87099ecf15abf9076bfb92609ed49a83ea6632e3..bb68d2b38d14c51c190c875d2f0a442bc8868b9f 100644 (file)
@@ -1,5 +1,5 @@
 /*
- * FreeRTOS+TCP V2.2.0
+ * FreeRTOS+TCP V2.2.1
  * Copyright (C) 2017 Amazon.com, Inc. or its affiliates.  All Rights Reserved.
  *
  * Permission is hereby granted, free of charge, to any person obtaining a copy of
index 0bda99317f12974e4584c36892a2449f1cf94fd1..855c9cbd392cde05fc947f83be9bfae442b4d713 100644 (file)
@@ -1,5 +1,5 @@
 /*
- * FreeRTOS+TCP V2.2.0
+ * FreeRTOS+TCP V2.2.1
  * Copyright (C) 2017 Amazon.com, Inc. or its affiliates.  All Rights Reserved.
  *
  * Permission is hereby granted, free of charge, to any person obtaining a copy of
index 849796508a8e37ad34236c8d20ad6de8ea726441..70487b9d00b539a8264b9109c322307b74335062 100644 (file)
@@ -1,5 +1,5 @@
 /*
- * FreeRTOS+TCP V2.2.0
+ * FreeRTOS+TCP V2.2.1
  * Copyright (C) 2017 Amazon.com, Inc. or its affiliates.  All Rights Reserved.
  *
  * Permission is hereby granted, free of charge, to any person obtaining a copy of
index 8040880092d4a3cfbccb8a132a65ffbf0d5223d1..1c8f2246d5476f51d210626c2000441a8c29f1cc 100644 (file)
@@ -1,5 +1,5 @@
 /*
- * FreeRTOS+TCP V2.2.0
+ * FreeRTOS+TCP V2.2.1
  * Copyright (C) 2017 Amazon.com, Inc. or its affiliates.  All Rights Reserved.
  *
  * Permission is hereby granted, free of charge, to any person obtaining a copy of
index 14ae96e6480b14e8f9a0faf1c6294aa751b62241..6d67c113a4fe79242c5a8b2cbd637cbad2a947c6 100644 (file)
@@ -1,5 +1,5 @@
 /*
- * FreeRTOS+TCP V2.2.0
+ * FreeRTOS+TCP V2.2.1
  * Copyright (C) 2017 Amazon.com, Inc. or its affiliates.  All Rights Reserved.
  *
  * Permission is hereby granted, free of charge, to any person obtaining a copy of
@@ -280,7 +280,8 @@ UDPPacket_t *pxUDPPacket = (UDPPacket_t *) pxNetworkBuffer->pucEthernetBuffer;
                                destinationAddress.sin_port = usPort;
                                destinationAddress.sin_addr = pxUDPPacket->xIPHeader.ulDestinationIPAddress;
 
-                               if( xHandler( ( Socket_t ) pxSocket, ( void* ) pcData, ( size_t ) pxNetworkBuffer->xDataLength,
+                               /* The value of 'xDataLength' was proven to be at least the size of a UDP packet in prvProcessIPPacket(). */
+                               if( xHandler( ( Socket_t ) pxSocket, ( void* ) pcData, ( size_t ) ( pxNetworkBuffer->xDataLength - ipUDP_PAYLOAD_OFFSET_IPv4 ),
                                        &xSourceAddress, &destinationAddress ) )
                                {
                                        xReturn = pdFAIL; /* FAIL means that we did not consume or release the buffer */
index ebcbfbec99c0ebda19cecd6a948cabea81daa600..5a605af9b5f12ab9b713cb6e1aa7f12911c34495 100644 (file)
@@ -305,7 +305,15 @@ static void prvEMACDeferredInterruptHandlerTask( void * pvParameters )
         if( xBytesReceived < 0 )\r
         {\r
             /* This is an error. Logged. */\r
-            FreeRTOS_printf( ( "R_ETHER_Read_ZC2: rc = %d\n", xBytesReceived ) );\r
+               if( xBytesReceived == ETHER_ERR_LINK )\r
+               {\r
+                               /* Auto-negotiation is not completed, and transmission/\r
+                               reception is not enabled. Will be logged elsewhere. */\r
+               }\r
+               else\r
+               {\r
+                       FreeRTOS_printf( ( "R_ETHER_Read_ZC2: rc = %d not %d\n", xBytesReceived, ETHER_ERR_LINK ) );\r
+               }\r
         }\r
         else if( xBytesReceived > 0 )\r
         {\r
@@ -452,7 +460,6 @@ void prvLinkStatusChange( BaseType_t xStatus )
 {\r
     if( xReportedStatus != xStatus )\r
     {\r
-        FreeRTOS_printf( ( "prvLinkStatusChange( %d )\n", xStatus ) );\r
         xReportedStatus = xStatus;\r
     }\r
 }\r
index b9278c0429f610119232cbb4283452b56f39d4cb..84019d6375d6b32bcbcdf90a7988134bc9335078 100644 (file)
@@ -44,26 +44,23 @@ CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
 #include "FreeRTOS_Sockets.h"
 #include "FreeRTOS_IP_Private.h"
 #include "FreeRTOS_DNS.h"
+#include "FreeRTOS_ARP.h"
 #include "NetworkBufferManagement.h"
 #include "NetworkInterface.h"
+#include "phyHandling.h"
 
 /* ST includes. */
-#include "stm32f4xx_hal.h"
-
-#ifndef        BMSR_LINK_STATUS
-       #define BMSR_LINK_STATUS            0x0004UL
-#endif
-
-#ifndef        PHY_LS_HIGH_CHECK_TIME_MS
-       /* Check if the LinkSStatus in the PHY is still high after 15 seconds of not
-       receiving packets. */
-       #define PHY_LS_HIGH_CHECK_TIME_MS       15000
+#if defined( STM32F7xx )
+       #include "stm32f7xx_hal.h"
+#elif defined( STM32F4xx )
+       #include "stm32f4xx_hal.h"
+#elif defined( STM32F2xx )
+       #include "stm32f2xx_hal.h"
+#elif !defined( _lint )        /* Lint does not like an #error */
+       #error What part?
 #endif
 
-#ifndef        PHY_LS_LOW_CHECK_TIME_MS
-       /* Check if the LinkSStatus in the PHY is still low every second. */
-       #define PHY_LS_LOW_CHECK_TIME_MS        1000
-#endif
+#include "stm32fxx_hal_eth.h"
 
 /* Interrupt events to process.  Currently only the Rx event is processed
 although code for other events is included to allow for possible future
@@ -78,77 +75,19 @@ expansion. */
          ETH_DMA_IT_FBE | ETH_DMA_IT_RWT | ETH_DMA_IT_RPS | ETH_DMA_IT_RBU | ETH_DMA_IT_R | \
          ETH_DMA_IT_TU | ETH_DMA_IT_RO | ETH_DMA_IT_TJT | ETH_DMA_IT_TPS | ETH_DMA_IT_T )
 
-/* Naming and numbering of PHY registers. */
-#define PHY_REG_00_BMCR                        0x00    /* Basic Mode Control Register */
-#define PHY_REG_01_BMSR                        0x01    /* Basic Mode Status Register */
-#define PHY_REG_02_PHYSID1             0x02    /* PHYS ID 1 */
-#define PHY_REG_03_PHYSID2             0x03    /* PHYS ID 2 */
-#define PHY_REG_04_ADVERTISE   0x04    /* Advertisement control reg */
-
-#define PHY_ID_LAN8720         0x0007c0f0
-#define PHY_ID_DP83848I                0x20005C90
-
-#ifndef USE_STM324xG_EVAL
-       #define USE_STM324xG_EVAL       1
+#ifndef niEMAC_HANDLER_TASK_PRIORITY
+       #define niEMAC_HANDLER_TASK_PRIORITY    configMAX_PRIORITIES - 1
 #endif
 
-#if( USE_STM324xG_EVAL == 0 )
-       #define EXPECTED_PHY_ID                 PHY_ID_LAN8720
-       #define PHY_REG_1F_PHYSPCS              0x1F    /* 31 RW PHY Special Control Status */
-       /* Use 3 bits in register 31 */
-       #define PHYSPCS_SPEED_MASK              0x0C
-       #define PHYSPCS_SPEED_10                0x04
-       #define PHYSPCS_SPEED_100               0x08
-       #define PHYSPCS_FULL_DUPLEX             0x10
-#else
-       #define EXPECTED_PHY_ID         PHY_ID_DP83848I
+#define ipFRAGMENT_OFFSET_BIT_MASK             ( ( uint16_t ) 0x0fff ) /* The bits in the two byte IP header field that make up the fragment offset value. */
 
-       #define PHY_REG_10_PHY_SR               0x10    /* PHY status register Offset */
-       #define PHY_REG_19_PHYCR                0x19    /* 25 RW PHY Control Register */
+#if( ( ipconfigDRIVER_INCLUDED_TX_IP_CHECKSUM == 0 ) || ( ipconfigDRIVER_INCLUDED_RX_IP_CHECKSUM == 0 ) )
+       #warning Consider enabling checksum offloading
 #endif
 
-/* Some defines used internally here to indicate preferences about speed, MDIX
-(wired direct or crossed), and duplex (half or full). */
-#define        PHY_SPEED_10       1
-#define        PHY_SPEED_100      2
-#define        PHY_SPEED_AUTO     (PHY_SPEED_10|PHY_SPEED_100)
-
-#define        PHY_MDIX_DIRECT    1
-#define        PHY_MDIX_CROSSED   2
-#define        PHY_MDIX_AUTO      (PHY_MDIX_CROSSED|PHY_MDIX_DIRECT)
-
-#define        PHY_DUPLEX_HALF    1
-#define        PHY_DUPLEX_FULL    2
-#define        PHY_DUPLEX_AUTO    (PHY_DUPLEX_FULL|PHY_DUPLEX_HALF)
-
-#define PHY_AUTONEGO_COMPLETE    ((uint16_t)0x0020)  /*!< Auto-Negotiation process completed   */
-
-/*
- * Description of all capabilities that can be advertised to
- * the peer (usually a switch or router).
- */
-#define ADVERTISE_CSMA                 0x0001          /* Only selector supported. */
-#define ADVERTISE_10HALF               0x0020          /* Try for 10mbps half-duplex. */
-#define ADVERTISE_10FULL               0x0040          /* Try for 10mbps full-duplex. */
-#define ADVERTISE_100HALF              0x0080          /* Try for 100mbps half-duplex. */
-#define ADVERTISE_100FULL              0x0100          /* Try for 100mbps full-duplex. */
-
-#define ADVERTISE_ALL                  ( ADVERTISE_10HALF | ADVERTISE_10FULL | \
-                                                                 ADVERTISE_100HALF | ADVERTISE_100FULL)
-
-/*
- * Value for the 'PHY_REG_00_BMCR', the PHY's Basic Mode Control Register
- */
-#define BMCR_FULLDPLX                  0x0100          /* Full duplex. */
-#define BMCR_ANRESTART                 0x0200          /* Auto negotiation restart. */
-#define BMCR_ANENABLE                  0x1000          /* Enable auto negotiation. */
-#define BMCR_SPEED100                  0x2000          /* Select 100Mbps. */
-#define BMCR_RESET                             0x8000          /* Reset the PHY. */
-
-#define PHYCR_MDIX_EN                  0x8000          /* Enable Auto MDIX. */
-#define PHYCR_MDIX_FORCE               0x4000          /* Force MDIX crossed. */
-
-#define ipFRAGMENT_OFFSET_BIT_MASK             ( ( uint16_t ) 0x0fff ) /* The bits in the two byte IP header field that make up the fragment offset value. */
+#ifndef niDESCRIPTOR_WAIT_TIME_MS
+       #define niDESCRIPTOR_WAIT_TIME_MS               250uL
+#endif
 
 /*
  * Most users will want a PHY that negotiates about
@@ -191,6 +130,31 @@ FreeRTOSConfig.h as configMINIMAL_STACK_SIZE is a user definable constant. */
        #define configEMAC_TASK_STACK_SIZE ( 2 * configMINIMAL_STACK_SIZE )
 #endif
 
+/* Two choices must be made: RMII versus MII,
+and the index of the PHY in use ( between 0 and 31 ). */
+#ifndef ipconfigUSE_RMII
+       #ifdef STM32F7xx
+               #define ipconfigUSE_RMII        1
+       #else
+               #define ipconfigUSE_RMII        0
+       #endif /* STM32F7xx */
+#endif /* ipconfigUSE_RMII */
+
+#if( ipconfigUSE_RMII != 0 )
+       #warning Using RMII, make sure if this is correct
+#else
+       #warning Using MII, make sure if this is correct
+#endif
+
+typedef enum
+{
+    eMACInit,   /* Must initialise MAC. */
+    eMACPass,   /* Initialisation was successful. */
+    eMACFailed, /* Initialisation failed. */
+} eMAC_INIT_STATUS_TYPE;
+
+static eMAC_INIT_STATUS_TYPE xMacInitStatus = eMACInit;
+
 /*-----------------------------------------------------------*/
 
 /*
@@ -231,43 +195,30 @@ static void prvDMATxDescListInit( void );
  */
 static void prvDMARxDescListInit( void );
 
-#if( ipconfigZERO_COPY_TX_DRIVER != 0 )
-       /* After packets have been sent, the network
-       buffers will be released. */
-       static void vClearTXBuffers( void );
-#endif /* ipconfigZERO_COPY_TX_DRIVER */
+/* After packets have been sent, the network
+buffers will be released. */
+static void vClearTXBuffers( void );
 
 /*-----------------------------------------------------------*/
 
-typedef struct _PhyProperties_t
-{
-       uint8_t speed;
-       uint8_t mdix;
-       uint8_t duplex;
-       uint8_t spare;
-} PhyProperties_t;
-
 /* Bit map of outstanding ETH interrupt events for processing.  Currently only
 the Rx interrupt is handled, although code is included for other events to
 enable future expansion. */
 static volatile uint32_t ulISREvents;
 
-/* A copy of PHY register 1: 'PHY_REG_01_BMSR' */
-static uint32_t ulPHYLinkStatus = 0;
-
 #if( ipconfigUSE_LLMNR == 1 )
        static const uint8_t xLLMNR_MACAddress[] = { 0x01, 0x00, 0x5E, 0x00, 0x00, 0xFC };
 #endif
 
+static EthernetPhy_t xPhyObject;
+
 /* Ethernet handle. */
 static ETH_HandleTypeDef xETH;
 
-#if( ipconfigZERO_COPY_TX_DRIVER != 0 )
-       /* xTXDescriptorSemaphore is a counting semaphore with
-       a maximum count of ETH_TXBUFNB, which is the number of
-       DMA TX descriptors. */
-       static SemaphoreHandle_t xTXDescriptorSemaphore = NULL;
-#endif /* ipconfigZERO_COPY_TX_DRIVER */
+/* xTXDescriptorSemaphore is a counting semaphore with
+a maximum count of ETH_TXBUFNB, which is the number of
+DMA TX descriptors. */
+static SemaphoreHandle_t xTXDescriptorSemaphore = NULL;
 
 /*
  * Note: it is adviced to define both
@@ -282,30 +233,39 @@ static ETH_HandleTypeDef xETH;
  * TX buffers are allocated in a zero-copy driver.
  */
 /* MAC buffers: ---------------------------------------------------------*/
-__ALIGN_BEGIN ETH_DMADescTypeDef  DMARxDscrTab[ ETH_RXBUFNB ] __ALIGN_END;/* Ethernet Rx MA Descriptor */
-#if( ipconfigZERO_COPY_RX_DRIVER == 0 )
-       __ALIGN_BEGIN uint8_t Rx_Buff[ ETH_RXBUFNB ][ ETH_RX_BUF_SIZE ] __ALIGN_END; /* Ethernet Receive Buffer */
-#endif
 
-__ALIGN_BEGIN ETH_DMADescTypeDef  DMATxDscrTab[ ETH_TXBUFNB ] __ALIGN_END;/* Ethernet Tx DMA Descriptor */
-#if( ipconfigZERO_COPY_TX_DRIVER == 0 )
-       __ALIGN_BEGIN uint8_t Tx_Buff[ ETH_TXBUFNB ][ ETH_TX_BUF_SIZE ] __ALIGN_END; /* Ethernet Transmit Buffer */
+/* Put the DMA descriptors in '.first_data'.
+This is important for STM32F7, which has an L1 data cache.
+The first 64KB of the SRAM is not cached.
+See README.TXT in this folder. */
+
+/* Ethernet Rx MA Descriptor */
+__attribute__ ((aligned (32)))
+#if defined(STM32F7xx)
+       __attribute__ ((section(".first_data")))
 #endif
+       ETH_DMADescTypeDef  DMARxDscrTab[ ETH_RXBUFNB ];
 
-#if( ipconfigZERO_COPY_TX_DRIVER != 0 )
-       /* DMATxDescToClear points to the next TX DMA descriptor
-       that must be cleared by vClearTXBuffers(). */
-       static __IO ETH_DMADescTypeDef  *DMATxDescToClear;
+#if( ipconfigZERO_COPY_RX_DRIVER == 0 )
+       /* Ethernet Receive Buffer */
+       __ALIGN_BEGIN uint8_t Rx_Buff[ ETH_RXBUFNB ][ ETH_RX_BUF_SIZE ] __ALIGN_END;
 #endif
 
-/* Value to be written into the 'Basic mode Control Register'. */
-static uint32_t ulBCRvalue;
+/* Ethernet Tx DMA Descriptor */
+__attribute__ ((aligned (32)))
+#if defined(STM32F7xx)
+       __attribute__ ((section(".first_data")))
+#endif
+       ETH_DMADescTypeDef  DMATxDscrTab[ ETH_TXBUFNB ];
 
-/* Value to be written into the 'Advertisement Control Register'. */
-static uint32_t ulACRValue;
+#if( ipconfigZERO_COPY_TX_DRIVER == 0 )
+       /* Ethernet Transmit Buffer */
+       __ALIGN_BEGIN uint8_t Tx_Buff[ ETH_TXBUFNB ][ ETH_TX_BUF_SIZE ] __ALIGN_END;
+#endif
 
-/* ucMACAddress as it appears in main.c */
-extern const uint8_t ucMACAddress[ 6 ];
+/* DMATxDescToClear points to the next TX DMA descriptor
+that must be cleared by vClearTXBuffers(). */
+static __IO ETH_DMADescTypeDef  *DMATxDescToClear;
 
 /* Holds the handle of the task used as a deferred interrupt processor.  The
 handle is used so direct notifications can be sent to the task for all EMAC/DMA
@@ -316,28 +276,28 @@ static TaskHandle_t xEMACTaskHandle = NULL;
 const PhyProperties_t xPHYProperties =
 {
        #if( ipconfigETHERNET_AN_ENABLE != 0 )
-               .speed = PHY_SPEED_AUTO,
-               .duplex = PHY_DUPLEX_AUTO,
+               .ucSpeed = PHY_SPEED_AUTO,
+               .ucDuplex = PHY_DUPLEX_AUTO,
        #else
                #if( ipconfigETHERNET_USE_100MB != 0 )
-                       .speed = PHY_SPEED_100,
+                       .ucSpeed = PHY_SPEED_100,
                #else
-                       .speed = PHY_SPEED_10,
+                       .ucSpeed = PHY_SPEED_10,
                #endif
 
                #if( ipconfigETHERNET_USE_FULL_DUPLEX != 0 )
-                       .duplex = PHY_DUPLEX_FULL,
+                       .ucDuplex = PHY_DUPLEX_FULL,
                #else
-                       .duplex = PHY_DUPLEX_HALF,
+                       .ucDuplex = PHY_DUPLEX_HALF,
                #endif
        #endif
 
        #if( ipconfigETHERNET_AN_ENABLE != 0 ) && ( ipconfigETHERNET_AUTO_CROSS_ENABLE != 0 )
-               .mdix = PHY_MDIX_AUTO,
+               .ucMDI_X = PHY_MDIX_AUTO,
        #elif( ipconfigETHERNET_CROSSED_LINK != 0 )
-               .mdix = PHY_MDIX_CROSSED,
+               .ucMDI_X = PHY_MDIX_CROSSED,
        #else
-               .mdix = PHY_MDIX_DIRECT,
+               .ucMDI_X = PHY_MDIX_DIRECT,
        #endif
 };
 
@@ -347,6 +307,8 @@ void HAL_ETH_RxCpltCallback( ETH_HandleTypeDef *heth )
 {
 BaseType_t xHigherPriorityTaskWoken = pdFALSE;
 
+       ( void ) heth;
+
        /* Ethernet RX-Complete callback function, elsewhere declared as weak. */
     ulISREvents |= EMAC_IF_RX_EVENT;
        /* Wakeup the prvEMACHandlerTask. */
@@ -358,46 +320,46 @@ BaseType_t xHigherPriorityTaskWoken = pdFALSE;
 }
 /*-----------------------------------------------------------*/
 
-#if( ipconfigZERO_COPY_TX_DRIVER != 0 )
-       void HAL_ETH_TxCpltCallback( ETH_HandleTypeDef *heth )
-       {
-       BaseType_t xHigherPriorityTaskWoken = pdFALSE;
-
-               /* This call-back is only useful in case packets are being sent
-               zero-copy.  Once they're sent, the buffers will be released
-               by the function vClearTXBuffers(). */
-               ulISREvents |= EMAC_IF_TX_EVENT;
-               /* Wakeup the prvEMACHandlerTask. */
-               if( xEMACTaskHandle != NULL )
-               {
-                       vTaskNotifyGiveFromISR( xEMACTaskHandle, &xHigherPriorityTaskWoken );
-                       portYIELD_FROM_ISR( xHigherPriorityTaskWoken );
-               }
+void HAL_ETH_TxCpltCallback( ETH_HandleTypeDef *heth )
+{
+BaseType_t xHigherPriorityTaskWoken = pdFALSE;
 
-       }
-#endif /* ipconfigZERO_COPY_TX_DRIVER */
+       ( void ) heth;
 
+       /* This call-back is only useful in case packets are being sent
+       zero-copy.  Once they're sent, the buffers will be released
+       by the function vClearTXBuffers(). */
+       ulISREvents |= EMAC_IF_TX_EVENT;
+       /* Wakeup the prvEMACHandlerTask. */
+       if( xEMACTaskHandle != NULL )
+       {
+               vTaskNotifyGiveFromISR( xEMACTaskHandle, &xHigherPriorityTaskWoken );
+               portYIELD_FROM_ISR( xHigherPriorityTaskWoken );
+       }
+}
 /*-----------------------------------------------------------*/
 
+static void vClearTXBuffers()
+{
+__IO ETH_DMADescTypeDef  *txLastDescriptor = xETH.TxDesc;
+size_t uxCount = ( ( UBaseType_t ) ETH_TXBUFNB ) - uxSemaphoreGetCount( xTXDescriptorSemaphore );
 #if( ipconfigZERO_COPY_TX_DRIVER != 0 )
-       static void vClearTXBuffers()
-       {
-       __IO ETH_DMADescTypeDef  *txLastDescriptor = xETH.TxDesc;
        NetworkBufferDescriptor_t *pxNetworkBuffer;
        uint8_t *ucPayLoad;
-       size_t uxCount = ( ( UBaseType_t ) ETH_TXBUFNB ) - uxSemaphoreGetCount( xTXDescriptorSemaphore );
+#endif
 
-               /* This function is called after a TX-completion interrupt.
-               It will release each Network Buffer used in xNetworkInterfaceOutput().
-               'uxCount' represents the number of descriptors given to DMA for transmission.
-               After sending a packet, the DMA will clear the 'ETH_DMATXDESC_OWN' bit. */
-               while( ( uxCount > 0 ) && ( ( DMATxDescToClear->Status & ETH_DMATXDESC_OWN ) == 0 ) )
+       /* This function is called after a TX-completion interrupt.
+       It will release each Network Buffer used in xNetworkInterfaceOutput().
+       'uxCount' represents the number of descriptors given to DMA for transmission.
+       After sending a packet, the DMA will clear the 'ETH_DMATXDESC_OWN' bit. */
+       while( ( uxCount > 0 ) && ( ( DMATxDescToClear->Status & ETH_DMATXDESC_OWN ) == 0 ) )
+       {
+               if( ( DMATxDescToClear == txLastDescriptor ) && ( uxCount != ETH_TXBUFNB ) )
+               {
+                       break;
+               }
+               #if( ipconfigZERO_COPY_TX_DRIVER != 0 )
                {
-                       if( ( DMATxDescToClear == txLastDescriptor ) && ( uxCount != ETH_TXBUFNB ) )
-                       {
-                               break;
-                       }
-
                        ucPayLoad = ( uint8_t * )DMATxDescToClear->Buffer1Addr;
 
                        if( ucPayLoad != NULL )
@@ -409,15 +371,16 @@ BaseType_t xHigherPriorityTaskWoken = pdFALSE;
                                }
                                DMATxDescToClear->Buffer1Addr = ( uint32_t )0u;
                        }
+               }
+               #endif /* ipconfigZERO_COPY_TX_DRIVER */
 
-                       DMATxDescToClear = ( ETH_DMADescTypeDef * )( DMATxDescToClear->Buffer2NextDescAddr );
+               DMATxDescToClear = ( ETH_DMADescTypeDef * )( DMATxDescToClear->Buffer2NextDescAddr );
 
-                       uxCount--;
-                       /* Tell the counting semaphore that one more TX descriptor is available. */
-                       xSemaphoreGive( xTXDescriptorSemaphore );
-               }
+               uxCount--;
+               /* Tell the counting semaphore that one more TX descriptor is available. */
+               xSemaphoreGive( xTXDescriptorSemaphore );
        }
-#endif /* ipconfigZERO_COPY_TX_DRIVER */
+}
 /*-----------------------------------------------------------*/
 
 BaseType_t xNetworkInterfaceInitialise( void )
@@ -425,90 +388,117 @@ BaseType_t xNetworkInterfaceInitialise( void )
 HAL_StatusTypeDef hal_eth_init_status;
 BaseType_t xResult;
 
-       if( xEMACTaskHandle == NULL )
+    if( xMacInitStatus == eMACInit )
        {
-               #if( ipconfigZERO_COPY_TX_DRIVER != 0 )
+               xTXDescriptorSemaphore = xSemaphoreCreateCounting( ( UBaseType_t ) ETH_TXBUFNB, ( UBaseType_t ) ETH_TXBUFNB );
+               if( xTXDescriptorSemaphore == NULL )
                {
-                       if( xTXDescriptorSemaphore == NULL )
-                       {
-                               xTXDescriptorSemaphore = xSemaphoreCreateCounting( ( UBaseType_t ) ETH_TXBUFNB, ( UBaseType_t ) ETH_TXBUFNB );
-                               configASSERT( xTXDescriptorSemaphore );
-                       }
+                       xMacInitStatus = eMACFailed;
                }
-               #endif /* ipconfigZERO_COPY_TX_DRIVER */
+               else
+               {
+                       /* Initialise ETH */
 
-               /* Initialise ETH */
+                       xETH.Instance = ETH;
+                       xETH.Init.AutoNegotiation = ETH_AUTONEGOTIATION_ENABLE;
+                       xETH.Init.Speed = ETH_SPEED_100M;
+                       xETH.Init.DuplexMode = ETH_MODE_FULLDUPLEX;
+                       /* Value of PhyAddress doesn't matter, will be probed for. */
+                       xETH.Init.PhyAddress = 0;
 
-               xETH.Instance = ETH;
-               xETH.Init.AutoNegotiation = ETH_AUTONEGOTIATION_ENABLE;
-               xETH.Init.Speed = ETH_SPEED_100M;
-               xETH.Init.DuplexMode = ETH_MODE_FULLDUPLEX;
-               xETH.Init.PhyAddress = 1;
+                       xETH.Init.MACAddr = ( uint8_t * ) FreeRTOS_GetMACAddress();
+                       xETH.Init.RxMode = ETH_RXINTERRUPT_MODE;
 
-               xETH.Init.MACAddr = ( uint8_t *) ucMACAddress;
-               xETH.Init.RxMode = ETH_RXINTERRUPT_MODE;
+                       #if( ipconfigDRIVER_INCLUDED_TX_IP_CHECKSUM != 0 )
+                       {
+                               /* using the ETH_CHECKSUM_BY_HARDWARE option:
+                               both the IP and the protocol checksums will be calculated
+                               by the peripheral. */
+                               xETH.Init.ChecksumMode = ETH_CHECKSUM_BY_HARDWARE;
+                       }
+                       #else
+                       {
+                               xETH.Init.ChecksumMode = ETH_CHECKSUM_BY_SOFTWARE;
+                       }
+                       #endif
 
-               /* using the ETH_CHECKSUM_BY_HARDWARE option:
-               both the IP and the protocol checksums will be calculated
-               by the peripheral. */
-               xETH.Init.ChecksumMode = ETH_CHECKSUM_BY_HARDWARE;
+                       #if( ipconfigUSE_RMII != 0 )
+                       {
+                               xETH.Init.MediaInterface = ETH_MEDIA_INTERFACE_RMII;
+                       }
+                       #else
+                       {
+                               xETH.Init.MediaInterface = ETH_MEDIA_INTERFACE_MII;
+                       }
+                       #endif /* ipconfigUSE_RMII */
 
-               xETH.Init.MediaInterface = ETH_MEDIA_INTERFACE_MII;
-               hal_eth_init_status = HAL_ETH_Init( &xETH );
+                       hal_eth_init_status = HAL_ETH_Init( &xETH );
 
-               /* Only for inspection by debugger. */
-               ( void ) hal_eth_init_status;
+                       /* Only for inspection by debugger. */
+                       ( void ) hal_eth_init_status;
 
-               /* Set the TxDesc and RxDesc pointers. */
-               xETH.TxDesc = DMATxDscrTab;
-               xETH.RxDesc = DMARxDscrTab;
+                       /* Set the TxDesc and RxDesc pointers. */
+                       xETH.TxDesc = DMATxDscrTab;
+                       xETH.RxDesc = DMARxDscrTab;
 
-               /* Make sure that all unused fields are cleared. */
-               memset( &DMATxDscrTab, '\0', sizeof( DMATxDscrTab ) );
-               memset( &DMARxDscrTab, '\0', sizeof( DMARxDscrTab ) );
+                       /* Make sure that all unused fields are cleared. */
+                       memset( &DMATxDscrTab, '\0', sizeof( DMATxDscrTab ) );
+                       memset( &DMARxDscrTab, '\0', sizeof( DMARxDscrTab ) );
 
-               #if( ipconfigZERO_COPY_TX_DRIVER != 0 )
-               {
                        /* Initialize Tx Descriptors list: Chain Mode */
                        DMATxDescToClear = DMATxDscrTab;
-               }
-               #endif /* ipconfigZERO_COPY_TX_DRIVER */
 
-               /* Initialise TX-descriptors. */
-               prvDMATxDescListInit();
+                       /* Initialise TX-descriptors. */
+                       prvDMATxDescListInit();
 
-               /* Initialise RX-descriptors. */
-               prvDMARxDescListInit();
+                       /* Initialise RX-descriptors. */
+                       prvDMARxDescListInit();
 
-               #if( ipconfigUSE_LLMNR != 0 )
-               {
-                       /* Program the LLMNR address at index 1. */
-                       prvMACAddressConfig( &xETH, ETH_MAC_ADDRESS1, ( uint8_t *) xLLMNR_MACAddress );
-               }
-               #endif
+                       #if( ipconfigUSE_LLMNR != 0 )
+                       {
+                               /* Program the LLMNR address at index 1. */
+                               prvMACAddressConfig( &xETH, ETH_MAC_ADDRESS1, ( uint8_t *) xLLMNR_MACAddress );
+                       }
+                       #endif
 
-               /* Force a negotiation with the Switch or Router and wait for LS. */
-               prvEthernetUpdateConfig( pdTRUE );
+                       /* Force a negotiation with the Switch or Router and wait for LS. */
+                       prvEthernetUpdateConfig( pdTRUE );
 
-               /* The deferred interrupt handler task is created at the highest
-               possible priority to ensure the interrupt handler can return directly
-               to it.  The task's handle is stored in xEMACTaskHandle so interrupts can
-               notify the task when there is something to process. */
-               xTaskCreate( prvEMACHandlerTask, "EMAC", configEMAC_TASK_STACK_SIZE, NULL, configMAX_PRIORITIES - 1, &xEMACTaskHandle );
+                       /* The deferred interrupt handler task is created at the highest
+                       possible priority to ensure the interrupt handler can return directly
+                       to it.  The task's handle is stored in xEMACTaskHandle so interrupts can
+                       notify the task when there is something to process. */
+                       if( xTaskCreate( prvEMACHandlerTask, "EMAC", configEMAC_TASK_STACK_SIZE, NULL, niEMAC_HANDLER_TASK_PRIORITY, &xEMACTaskHandle ) == pdPASS )
+                       {
+                               /* The xTXDescriptorSemaphore and the task are created successfully. */
+                               xMacInitStatus = eMACPass;
+                       }
+                       else
+                       {
+                               xMacInitStatus = eMACFailed;
+                       }
+               }
        } /* if( xEMACTaskHandle == NULL ) */
 
-       if( ( ulPHYLinkStatus & BMSR_LINK_STATUS ) != 0 )
+       if( xMacInitStatus != eMACPass )
        {
-               xETH.Instance->DMAIER |= ETH_DMA_ALL_INTS;
-               xResult = pdPASS;
-               FreeRTOS_printf( ( "Link Status is high\n" ) ) ;
+               /* EMAC initialisation failed, return pdFAIL. */
+               xResult = pdFAIL;
        }
        else
        {
-               /* For now pdFAIL will be returned. But prvEMACHandlerTask() is running
-               and it will keep on checking the PHY and set ulPHYLinkStatus when necessary. */
-               xResult = pdFAIL;
-               FreeRTOS_printf( ( "Link Status still low\n" ) ) ;
+               if( xPhyObject.ulLinkStatusMask != 0uL )
+               {
+                       xETH.Instance->DMAIER |= ETH_DMA_ALL_INTS;
+                       xResult = pdPASS;
+                       FreeRTOS_printf( ( "Link Status is high\n" ) ) ;
+               }
+               else
+               {
+                       /* For now pdFAIL will be returned. But prvEMACHandlerTask() is running
+                       and it will keep on checking the PHY and set 'ulLinkStatusMask' when necessary. */
+                       xResult = pdFAIL;
+               }
        }
        /* When returning non-zero, the stack will become active and
     start DHCP (in configured) */
@@ -542,6 +532,10 @@ BaseType_t xIndex;
                        /* Set the DMA Tx descriptors checksum insertion for TCP, UDP, and ICMP */
                        pxDMADescriptor->Status |= ETH_DMATXDESC_CHECKSUMTCPUDPICMPFULL;
                }
+               else
+               {
+                       pxDMADescriptor->Status &= ~( ( uint32_t ) ETH_DMATXDESC_CHECKSUMTCPUDPICMPFULL );
+               }
 
                /* Initialize the next descriptor with the Next Descriptor Polling Enable */
                if( xIndex < ETH_TXBUFNB - 1 )
@@ -625,6 +619,8 @@ static void prvMACAddressConfig(ETH_HandleTypeDef *heth, uint32_t ulIndex, uint8
 {
 uint32_t ulTempReg;
 
+       ( void ) heth;
+
        /* Calculate the selected MAC address high register. */
        ulTempReg = 0x80000000ul | ( ( uint32_t ) Addr[ 5 ] << 8 ) | ( uint32_t ) Addr[ 4 ];
 
@@ -647,46 +643,38 @@ __IO ETH_DMADescTypeDef *pxDmaTxDesc;
 /* Do not wait too long for a free TX DMA buffer. */
 const TickType_t xBlockTimeTicks = pdMS_TO_TICKS( 50u );
 
-       #if( ipconfigDRIVER_INCLUDED_TX_IP_CHECKSUM != 0 )
+       /* Open a do {} while ( 0 ) loop to be able to call break. */
+       do
        {
-       ProtocolPacket_t *pxPacket;
+               #if( ipconfigDRIVER_INCLUDED_TX_IP_CHECKSUM != 0 )
+               {
+               ProtocolPacket_t *pxPacket;
 
-               /* If the peripheral must calculate the checksum, it wants
-               the protocol checksum to have a value of zero. */
-               pxPacket = ( ProtocolPacket_t * ) ( pxDescriptor->pucEthernetBuffer );
+                       /* If the peripheral must calculate the checksum, it wants
+                       the protocol checksum to have a value of zero. */
+                       pxPacket = ( ProtocolPacket_t * ) ( pxDescriptor->pucEthernetBuffer );
 
-               if( pxPacket->xICMPPacket.xIPHeader.ucProtocol == ipPROTOCOL_ICMP )
-               {
-                       pxPacket->xICMPPacket.xICMPHeader.usChecksum = ( uint16_t )0u;
+                       if( pxPacket->xICMPPacket.xIPHeader.ucProtocol == ( uint8_t ) ipPROTOCOL_ICMP )
+                       {
+                               pxPacket->xICMPPacket.xICMPHeader.usChecksum = ( uint16_t )0u;
+                       }
                }
-       }
-       #endif
-
-       /* Open a do {} while ( 0 ) loop to be able to call break. */
-       do
-       {
-               if( ( ulPHYLinkStatus & BMSR_LINK_STATUS ) != 0 )
+               #endif /* ipconfigDRIVER_INCLUDED_TX_IP_CHECKSUM */
+               if( xPhyObject.ulLinkStatusMask != 0 )
                {
-                       #if( ipconfigZERO_COPY_TX_DRIVER != 0 )
+                       if( xSemaphoreTake( xTXDescriptorSemaphore, xBlockTimeTicks ) != pdPASS )
                        {
-                               if( xTXDescriptorSemaphore == NULL )
-                               {
-                                       break;
-                               }
-                               if( xSemaphoreTake( xTXDescriptorSemaphore, xBlockTimeTicks ) != pdPASS )
-                               {
-                                       /* Time-out waiting for a free TX descriptor. */
-                                       break;
-                               }
+                               /* Time-out waiting for a free TX descriptor. */
+                               break;
                        }
-                       #endif /* ipconfigZERO_COPY_TX_DRIVER */
 
                        /* This function does the actual transmission of the packet. The packet is
                        contained in 'pxDescriptor' that is passed to the function. */
                        pxDmaTxDesc = xETH.TxDesc;
 
                        /* Is this buffer available? */
-                       if( ( pxDmaTxDesc->Status & ETH_DMATXDESC_OWN ) == 0 )
+                       configASSERT ( ( pxDmaTxDesc->Status & ETH_DMATXDESC_OWN ) == 0 );
+
                        {
                                /* Is this buffer available? */
                                /* Get bytes in current buffer. */
@@ -701,32 +689,54 @@ const TickType_t xBlockTimeTicks = pdMS_TO_TICKS( 50u );
                                {
                                        /* Copy the bytes. */
                                        memcpy( ( void * ) pxDmaTxDesc->Buffer1Addr, pxDescriptor->pucEthernetBuffer, ulTransmitSize );
-                                       pxDmaTxDesc->Status |= ETH_DMATXDESC_CIC_TCPUDPICMP_FULL;
                                }
                                #else
                                {
+                                       configASSERT( bReleaseAfterSend != 0 );
+
                                        /* Move the buffer. */
                                        pxDmaTxDesc->Buffer1Addr = ( uint32_t )pxDescriptor->pucEthernetBuffer;
-                                       /* Ask to set the IPv4 checksum.
-                                       Also need an Interrupt on Completion so that 'vClearTXBuffers()' will be called.. */
-                                       pxDmaTxDesc->Status |= ETH_DMATXDESC_CIC_TCPUDPICMP_FULL | ETH_DMATXDESC_IC;
                                        /* The Network Buffer has been passed to DMA, no need to release it. */
                                        bReleaseAfterSend = pdFALSE_UNSIGNED;
                                }
                                #endif /* ipconfigZERO_COPY_TX_DRIVER */
 
+                               /* Ask to set the IPv4 checksum.
+                               Also need an Interrupt on Completion so that 'vClearTXBuffers()' will be called.. */
+                               #if( ipconfigDRIVER_INCLUDED_TX_IP_CHECKSUM != 0 )
+                               {
+                                       pxDmaTxDesc->Status |= ETH_DMATXDESC_CIC_TCPUDPICMP_FULL | ETH_DMATXDESC_IC;
+                               }
+                               #else
+                               {
+                                       pxDmaTxDesc->Status &= ~( ( uint32_t ) ETH_DMATXDESC_CIC );
+                                       pxDmaTxDesc->Status |= ETH_DMATXDESC_IC;
+                               }
+                               #endif
+                               
+
                                /* Prepare transmit descriptors to give to DMA. */
 
                                /* Set LAST and FIRST segment */
                                pxDmaTxDesc->Status |= ETH_DMATXDESC_FS | ETH_DMATXDESC_LS;
                                /* Set frame size */
                                pxDmaTxDesc->ControlBufferSize = ( ulTransmitSize & ETH_DMATXDESC_TBS1 );
+
+                               #if( NETWORK_BUFFERS_CACHED     != 0 )
+                               {
+                               BaseType_t xlength = CACHE_LINE_SIZE * ( ( ulTransmitSize + NETWORK_BUFFER_HEADER_SIZE + CACHE_LINE_SIZE - 1 ) / CACHE_LINE_SIZE );
+                               uint32_t *pulBuffer = ( uint32_t )( pxDescriptor->pucEthernetBuffer - NETWORK_BUFFER_HEADER_SIZE );
+                                       cache_clean_invalidate_by_addr( pulBuffer, xlength );
+                               }
+                               #endif
+
                                /* Set Own bit of the Tx descriptor Status: gives the buffer back to ETHERNET DMA */
                                pxDmaTxDesc->Status |= ETH_DMATXDESC_OWN;
 
                                /* Point to next descriptor */
                                xETH.TxDesc = ( ETH_DMADescTypeDef * ) ( xETH.TxDesc->Buffer2NextDescAddr );
-       
+                               /* Ensure completion of memory access */
+                               __DSB();
                                /* Resume DMA transmission*/
                                xETH.Instance->DMATPDR = 0;
                                iptraceNETWORK_INTERFACE_TRANSMIT();
@@ -801,20 +811,24 @@ const ProtocolPacket_t *pxProtPacket = ( const ProtocolPacket_t * )pcBuffer;
 
                if( pxIPHeader->ucProtocol == ipPROTOCOL_UDP )
                {
-                       uint16_t port = pxProtPacket->xUDPPacket.xUDPHeader.usDestinationPort;
+               uint16_t usSourcePort = FreeRTOS_ntohs( pxProtPacket->xUDPPacket.xUDPHeader.usSourcePort );
+               uint16_t usDestinationPort = FreeRTOS_ntohs( pxProtPacket->xUDPPacket.xUDPHeader.usDestinationPort );
 
-                       if( ( xPortHasUDPSocket( port ) == pdFALSE )
+                       if( ( xPortHasUDPSocket( pxProtPacket->xUDPPacket.xUDPHeader.usDestinationPort ) == pdFALSE )
                        #if ipconfigUSE_LLMNR == 1
-                               && ( port != FreeRTOS_ntohs( ipLLMNR_PORT ) )
+                               && ( usDestinationPort != ipLLMNR_PORT )
+                               && ( usSourcePort != ipLLMNR_PORT )
                        #endif
                        #if ipconfigUSE_NBNS == 1
-                               && ( port != FreeRTOS_ntohs( ipNBNS_PORT ) )
+                               && ( usDestinationPort != ipNBNS_PORT )
+                               && ( usSourcePort != ipNBNS_PORT )
                        #endif
                        #if ipconfigUSE_DNS == 1
-                               && ( pxProtPacket->xUDPPacket.xUDPHeader.usSourcePort != FreeRTOS_ntohs( ipDNS_PORT ) )
+                               && ( usSourcePort != ipDNS_PORT )
                        #endif
                                ) {
                                /* Drop this packet, not for this device. */
+                               FreeRTOS_printf( ( "Drop: UDP port %d -> %d\n", usSourcePort, usDestinationPort ) );
                                return pdFALSE;
                        }
                }
@@ -824,20 +838,59 @@ const ProtocolPacket_t *pxProtPacket = ( const ProtocolPacket_t * )pcBuffer;
 }
 /*-----------------------------------------------------------*/
 
+static void prvPassEthMessages( NetworkBufferDescriptor_t *pxDescriptor )
+{
+IPStackEvent_t xRxEvent;
+
+       xRxEvent.eEventType = eNetworkRxEvent;
+       xRxEvent.pvData = ( void * ) pxDescriptor;
+
+       if( xSendEventStructToIPTask( &xRxEvent, ( TickType_t ) 1000 ) != pdPASS )
+       {
+               /* The buffer could not be sent to the stack so must be released again.
+               This is a deferred handler taskr, not a real interrupt, so it is ok to
+               use the task level function here. */
+               #if( ipconfigUSE_LINKED_RX_MESSAGES != 0 )
+               {
+                       do
+                       {
+                               NetworkBufferDescriptor_t *pxNext = pxDescriptor->pxNextBuffer;
+                               vReleaseNetworkBufferAndDescriptor( pxDescriptor );
+                               pxDescriptor = pxNext;
+                       } while( pxDescriptor != NULL );
+               }
+               #else
+               {
+                       vReleaseNetworkBufferAndDescriptor( pxDescriptor );
+               }
+               #endif  /* ipconfigUSE_LINKED_RX_MESSAGES */
+               iptraceETHERNET_RX_EVENT_LOST();
+               FreeRTOS_printf( ( "prvPassEthMessages: Can not queue return packet!\n" ) );
+       }
+       else
+       {
+               iptraceNETWORK_INTERFACE_RECEIVE();
+       }
+}
+
 static BaseType_t prvNetworkInterfaceInput( void )
 {
 NetworkBufferDescriptor_t *pxCurDescriptor;
 NetworkBufferDescriptor_t *pxNewDescriptor = NULL;
-BaseType_t xReceivedLength, xAccepted;
+#if( ipconfigUSE_LINKED_RX_MESSAGES != 0 )
+       NetworkBufferDescriptor_t *pxFirstDescriptor = NULL;
+       NetworkBufferDescriptor_t *pxLastDescriptor = NULL;
+#endif
+BaseType_t xReceivedLength = 0;
 __IO ETH_DMADescTypeDef *pxDMARxDescriptor;
-xIPStackEvent_t xRxEvent = { eNetworkRxEvent, NULL };
-const TickType_t xDescriptorWaitTime = pdMS_TO_TICKS( 250 );
+const TickType_t xDescriptorWaitTime = pdMS_TO_TICKS( niDESCRIPTOR_WAIT_TIME_MS );
 uint8_t *pucBuffer;
 
        pxDMARxDescriptor = xETH.RxDesc;
 
-       if( ( pxDMARxDescriptor->Status & ETH_DMARXDESC_OWN) == 0 )
+       while( ( pxDMARxDescriptor->Status & ETH_DMARXDESC_OWN ) == 0u )
        {
+       BaseType_t xAccepted = pdTRUE;
                /* Get the Frame Length of the received packet: substruct 4 bytes of the CRC */
                xReceivedLength = ( ( pxDMARxDescriptor->Status & ETH_DMARXDESC_FL ) >> ETH_DMARXDESC_FRAMELENGTHSHIFT ) - 4;
 
@@ -847,18 +900,13 @@ uint8_t *pucBuffer;
                /* Chained Mode */    
                /* Selects the next DMA Rx descriptor list for next buffer to read */ 
                xETH.RxDesc = ( ETH_DMADescTypeDef* )pxDMARxDescriptor->Buffer2NextDescAddr;
-       }
-       else
-       {
-               xReceivedLength = 0;
-       }
 
-       /* Obtain the size of the packet and put it into the "usReceivedLength" variable. */
-       /* In order to make the code easier and faster, only packets in a single buffer
-       will be accepted.  This can be done by making the buffers large enough to
-       hold a complete Ethernet packet (1536 bytes). */
-       if( xReceivedLength > 0ul && xReceivedLength < ETH_RX_BUF_SIZE ) 
-       {
+               /* In order to make the code easier and faster, only packets in a single buffer
+               will be accepted.  This can be done by making the buffers large enough to
+               hold a complete Ethernet packet (1536 bytes).
+               Therefore, two sanity checks: */
+               configASSERT( xReceivedLength <= ETH_RX_BUF_SIZE );
+
                if( ( pxDMARxDescriptor->Status & ( ETH_DMARXDESC_CE | ETH_DMARXDESC_IPV4HCE | ETH_DMARXDESC_FT ) ) != ETH_DMARXDESC_FT )
                {
                        /* Not an Ethernet frame-type or a checmsum error. */
@@ -904,20 +952,28 @@ uint8_t *pucBuffer;
                if( xAccepted != pdFALSE )
                {
                        pxCurDescriptor->xDataLength = xReceivedLength;
-                       xRxEvent.pvData = ( void * ) pxCurDescriptor;
-
-                       /* Pass the data to the TCP/IP task for processing. */
-                       if( xSendEventStructToIPTask( &xRxEvent, xDescriptorWaitTime ) == pdFALSE )
+                       #if( ipconfigUSE_LINKED_RX_MESSAGES != 0 )
                        {
-                               /* Could not send the descriptor into the TCP/IP stack, it
-                               must be released. */
-                               vReleaseNetworkBufferAndDescriptor( pxCurDescriptor );
-                               iptraceETHERNET_RX_EVENT_LOST();
+                               pxCurDescriptor->pxNextBuffer = NULL;
+
+                               if( pxFirstDescriptor == NULL )
+                               {
+                                       // Becomes the first message
+                                       pxFirstDescriptor = pxCurDescriptor;
+                               }
+                               else if( pxLastDescriptor != NULL )
+                               {
+                                       // Add to the tail
+                                       pxLastDescriptor->pxNextBuffer = pxCurDescriptor;
+                               }
+
+                               pxLastDescriptor = pxCurDescriptor;
                        }
-                       else
+                       #else
                        {
-                               iptraceNETWORK_INTERFACE_RECEIVE();
+                               prvPassEthMessages( pxCurDescriptor );
                        }
+                       #endif
                }
 
                /* Release descriptors to DMA */
@@ -940,6 +996,8 @@ uint8_t *pucBuffer;
                pxDMARxDescriptor->ControlBufferSize = ETH_DMARXDESC_RCH | (uint32_t)ETH_RX_BUF_SIZE;  
                pxDMARxDescriptor->Status = ETH_DMARXDESC_OWN;
 
+               /* Ensure completion of memory access */
+               __DSB();
                /* When Rx Buffer unavailable flag is set clear it and resume
                reception. */
                if( ( xETH.Instance->DMASR & ETH_DMASR_RBUS ) != 0 )
@@ -950,311 +1008,138 @@ uint8_t *pucBuffer;
                        /* Resume DMA reception. */
                        xETH.Instance->DMARPDR = 0;
                }
+               pxDMARxDescriptor = xETH.RxDesc;
        }
 
-       return ( xReceivedLength > 0 );
-}
-/*-----------------------------------------------------------*/
-
-void vMACBProbePhy( void )
-{
-uint32_t ulConfig, ulAdvertise, ulLower, ulUpper, ulMACPhyID, ulValue;
-TimeOut_t xPhyTime;
-TickType_t xRemTime = 0;
-#if( EXPECTED_PHY_ID == PHY_ID_DP83848I )
-       uint32_t ulPhyControl;
-#endif
-
-       HAL_ETH_ReadPHYRegister(&xETH, PHY_REG_03_PHYSID2, &ulLower);
-       HAL_ETH_ReadPHYRegister(&xETH, PHY_REG_02_PHYSID1, &ulUpper);
-
-       ulMACPhyID = ( ( ulUpper << 16 ) & 0xFFFF0000 ) | ( ulLower & 0xFFF0 );
-
-       /* The expected ID for the 'LAN8720' is 0x0007c0f0. */
-       /* The expected ID for the 'DP83848I' is 0x20005C90. */
-
-       FreeRTOS_printf( ( "PHY ID %lX (%s)\n", ulMACPhyID,
-               ( ulMACPhyID == EXPECTED_PHY_ID ) ? "OK" : "Unknown" ) );
-
-       /* Remove compiler warning if FreeRTOS_printf() is not defined. */
-       ( void ) ulMACPhyID;
-
-    /* Set advertise register. */
-       if( ( xPHYProperties.speed == PHY_SPEED_AUTO ) && ( xPHYProperties.duplex == PHY_DUPLEX_AUTO ) )
+       #if( ipconfigUSE_LINKED_RX_MESSAGES != 0 )
        {
-               ulAdvertise = ADVERTISE_CSMA | ADVERTISE_ALL;
-               /* Reset auto-negotiation capability. */
-       }
-       else
-       {
-               ulAdvertise = ADVERTISE_CSMA;
-
-               if( xPHYProperties.speed == PHY_SPEED_AUTO )
-               {
-                       if( xPHYProperties.duplex == PHY_DUPLEX_FULL )
-                       {
-                               ulAdvertise |= ADVERTISE_10FULL | ADVERTISE_100FULL;
-                       }
-                       else
-                       {
-                               ulAdvertise |= ADVERTISE_10HALF | ADVERTISE_100HALF;
-                       }
-               }
-               else if( xPHYProperties.duplex == PHY_DUPLEX_AUTO )
+               if( pxFirstDescriptor != NULL )
                {
-                       if( xPHYProperties.speed == PHY_SPEED_10 )
-                       {
-                               ulAdvertise |= ADVERTISE_10FULL | ADVERTISE_10HALF;
-                       }
-                       else
-                       {
-                               ulAdvertise |= ADVERTISE_100FULL | ADVERTISE_100HALF;
-                       }
-               }
-               else if( xPHYProperties.speed == PHY_SPEED_100 )
-               {
-                       if( xPHYProperties.duplex == PHY_DUPLEX_FULL )
-                       {
-                               ulAdvertise |= ADVERTISE_100FULL;
-                       }
-                       else
-                       {
-                               ulAdvertise |= ADVERTISE_100HALF;
-                       }
-               }
-               else
-               {
-                       if( xPHYProperties.duplex == PHY_DUPLEX_FULL )
-                       {
-                               ulAdvertise |= ADVERTISE_10FULL;
-                       }
-                       else
-                       {
-                               ulAdvertise |= ADVERTISE_10HALF;
-                       }
+                       prvPassEthMessages( pxFirstDescriptor );
                }
        }
+       #endif  /* ipconfigUSE_LINKED_RX_MESSAGES */
 
-       /* Read Control register. */
-       HAL_ETH_ReadPHYRegister( &xETH, PHY_REG_00_BMCR, &ulConfig );
-
-       HAL_ETH_WritePHYRegister( &xETH, PHY_REG_00_BMCR, ulConfig | BMCR_RESET );
-       xRemTime = ( TickType_t ) pdMS_TO_TICKS( 1000UL );
-       vTaskSetTimeOutState( &xPhyTime );
+       return ( xReceivedLength > 0 );
+}
+/*-----------------------------------------------------------*/
 
-       for( ; ; )
-       {
-               HAL_ETH_ReadPHYRegister( &xETH, PHY_REG_00_BMCR, &ulValue );
-               if( ( ulValue & BMCR_RESET ) == 0 )
-               {
-                       FreeRTOS_printf( ( "BMCR_RESET ready\n" ) );
-                       break;
-               }
-               if( xTaskCheckForTimeOut( &xPhyTime, &xRemTime ) != pdFALSE )
-               {
-                       FreeRTOS_printf( ( "BMCR_RESET timed out\n" ) );
-                       break;
-               }
-       }
-       HAL_ETH_WritePHYRegister( &xETH, PHY_REG_00_BMCR, ulConfig & ~BMCR_RESET );
 
-       vTaskDelay( pdMS_TO_TICKS( 50ul ) );
+BaseType_t xSTM32_PhyRead( BaseType_t xAddress, BaseType_t xRegister, uint32_t *pulValue )
+{
+uint16_t usPrevAddress = xETH.Init.PhyAddress;
+BaseType_t xResult;
+HAL_StatusTypeDef xHALResult;
 
-    /* Write advertise register. */
-       HAL_ETH_WritePHYRegister( &xETH, PHY_REG_04_ADVERTISE, ulAdvertise );
+       xETH.Init.PhyAddress = xAddress;
+       xHALResult = HAL_ETH_ReadPHYRegister( &xETH, ( uint16_t )xRegister, pulValue );
+       xETH.Init.PhyAddress = usPrevAddress;
 
-       /*
-                       AN_EN        AN1         AN0       Forced Mode
-                         0           0           0        10BASE-T, Half-Duplex
-                         0           0           1        10BASE-T, Full-Duplex
-                         0           1           0        100BASE-TX, Half-Duplex
-                         0           1           1        100BASE-TX, Full-Duplex
-                       AN_EN        AN1         AN0       Advertised Mode
-                         1           0           0        10BASE-T, Half/Full-Duplex
-                         1           0           1        100BASE-TX, Half/Full-Duplex
-                         1           1           0        10BASE-T Half-Duplex
-                                                                                          100BASE-TX, Half-Duplex
-                         1           1           1        10BASE-T, Half/Full-Duplex
-                                                                                          100BASE-TX, Half/Full-Duplex
-       */
-
-    /* Read Control register. */
-       HAL_ETH_ReadPHYRegister( &xETH, PHY_REG_00_BMCR, &ulConfig );
-
-       ulConfig &= ~( BMCR_ANRESTART | BMCR_ANENABLE | BMCR_SPEED100 | BMCR_FULLDPLX );
-
-       /* HT 12/9/14: always set AN-restart and AN-enable, even though the choices
-       are limited. */
-       ulConfig |= (BMCR_ANRESTART | BMCR_ANENABLE);
-
-       if( xPHYProperties.speed == PHY_SPEED_100 )
+       if( xHALResult == HAL_OK )
        {
-               ulConfig |= BMCR_SPEED100;
+               xResult = 0;
        }
-       else if( xPHYProperties.speed == PHY_SPEED_10 )
+       else
        {
-               ulConfig &= ~BMCR_SPEED100;
+               xResult = -1;
        }
+       return xResult;
+}
+/*-----------------------------------------------------------*/
 
-       if( xPHYProperties.duplex == PHY_DUPLEX_FULL )
-       {
-               ulConfig |= BMCR_FULLDPLX;
-       }
-       else if( xPHYProperties.duplex == PHY_DUPLEX_HALF )
-       {
-               ulConfig &= ~BMCR_FULLDPLX;
-       }
+BaseType_t xSTM32_PhyWrite( BaseType_t xAddress, BaseType_t xRegister, uint32_t ulValue )
+{
+uint16_t usPrevAddress = xETH.Init.PhyAddress;
+BaseType_t xResult;
+HAL_StatusTypeDef xHALResult;
+
+       xETH.Init.PhyAddress = xAddress;
+       xHALResult = HAL_ETH_WritePHYRegister( &xETH, ( uint16_t )xRegister, ulValue );
+       xETH.Init.PhyAddress = usPrevAddress;
 
-       #if( EXPECTED_PHY_ID == PHY_ID_LAN8720 )
+       if( xHALResult == HAL_OK )
        {
+               xResult = 0;
        }
-       #elif( EXPECTED_PHY_ID == PHY_ID_DP83848I )
+       else
        {
-               /* Read PHY Control register. */
-               HAL_ETH_ReadPHYRegister( &xETH, PHY_REG_19_PHYCR, &ulPhyControl );
-
-               /* Clear bits which might get set: */
-               ulPhyControl &= ~( PHYCR_MDIX_EN|PHYCR_MDIX_FORCE );
-
-               if( xPHYProperties.mdix == PHY_MDIX_AUTO )
-               {
-                       ulPhyControl |= PHYCR_MDIX_EN;
-               }
-               else if( xPHYProperties.mdix == PHY_MDIX_CROSSED )
-               {
-                       /* Force direct link = Use crossed RJ45 cable. */
-                       ulPhyControl &= ~PHYCR_MDIX_FORCE;
-               }
-               else
-               {
-                       /* Force crossed link = Use direct RJ45 cable. */
-                       ulPhyControl |= PHYCR_MDIX_FORCE;
-               }
-               /* update PHY Control Register. */
-               HAL_ETH_WritePHYRegister( &xETH, PHY_REG_19_PHYCR, ulPhyControl );
+               xResult = -1;
        }
-       #endif
-       FreeRTOS_printf( ( "+TCP: advertise: %lX config %lX\n", ulAdvertise, ulConfig ) );
+       return xResult;
+}
+/*-----------------------------------------------------------*/
 
-       /* Now the two values to global values for later use. */
-       ulBCRvalue = ulConfig;
-       ulACRValue = ulAdvertise;
+void vMACBProbePhy( void )
+{
+       vPhyInitialise( &xPhyObject, xSTM32_PhyRead, xSTM32_PhyWrite );
+       xPhyDiscover( &xPhyObject );
+       xPhyConfigure( &xPhyObject, &xPHYProperties );
 }
 /*-----------------------------------------------------------*/
 
 static void prvEthernetUpdateConfig( BaseType_t xForce )
 {
-__IO uint32_t ulTimeout = 0;
-uint32_t ulRegValue = 0;
-
-       FreeRTOS_printf( ( "prvEthernetUpdateConfig: LS %d Force %d\n",
-               ( ulPHYLinkStatus & BMSR_LINK_STATUS ) != 0 ,
-               xForce ) );
+       FreeRTOS_printf( ( "prvEthernetUpdateConfig: LS mask %02lX Force %d\n",
+               xPhyObject.ulLinkStatusMask,
+               ( int )xForce ) );
 
-       if( ( xForce != pdFALSE ) || ( ( ulPHYLinkStatus & BMSR_LINK_STATUS ) != 0 ) )
+       if( ( xForce != pdFALSE ) || ( xPhyObject.ulLinkStatusMask != 0 ) )
        {
                /* Restart the auto-negotiation. */
                if( xETH.Init.AutoNegotiation != ETH_AUTONEGOTIATION_DISABLE )
                {
-                       /* Enable Auto-Negotiation. */
-                       HAL_ETH_WritePHYRegister( &xETH, PHY_REG_00_BMCR, ulBCRvalue | BMCR_ANRESTART );
-                       HAL_ETH_WritePHYRegister( &xETH, PHY_REG_04_ADVERTISE, ulACRValue);
+                       xPhyStartAutoNegotiation( &xPhyObject, xPhyGetMask( &xPhyObject ) );
 
-                       /* Wait until the auto-negotiation will be completed */
-                       do
+                       /* Configure the MAC with the Duplex Mode fixed by the
+                       auto-negotiation process. */
+                       if( xPhyObject.xPhyProperties.ucDuplex == PHY_DUPLEX_FULL )
                        {
-                               ulTimeout++;
-                               HAL_ETH_ReadPHYRegister( &xETH, PHY_REG_01_BMSR, &ulRegValue );
-                       } while( ( ( ulRegValue & PHY_AUTONEGO_COMPLETE) == 0 ) && ( ulTimeout < PHY_READ_TO ) );
-
-                       HAL_ETH_WritePHYRegister( &xETH, PHY_REG_00_BMCR, ulBCRvalue & ~BMCR_ANRESTART );
-
-                       if( ulTimeout < PHY_READ_TO )
+                               xETH.Init.DuplexMode = ETH_MODE_FULLDUPLEX;
+                       }
+                       else
                        {
-                               /* Reset Timeout counter. */
-                               ulTimeout = 0;
-
-                               HAL_ETH_ReadPHYRegister( &xETH, PHY_REG_01_BMSR, &ulRegValue);
-                               if( ( ulRegValue & BMSR_LINK_STATUS ) != 0 )
-                               {
-                                       ulPHYLinkStatus |= BMSR_LINK_STATUS;
-                               }
-                               else
-                               {
-                                       ulPHYLinkStatus &= ~( BMSR_LINK_STATUS );
-                               }
-
-                               #if( EXPECTED_PHY_ID == PHY_ID_LAN8720 )
-                               {
-                               /* 31 RW PHY Special Control Status */
-                               uint32_t ulControlStatus;
-
-                                       HAL_ETH_ReadPHYRegister( &xETH, PHY_REG_1F_PHYSPCS, &ulControlStatus);
-                                       ulRegValue = 0;
-                                       if( ( ulControlStatus & PHYSPCS_FULL_DUPLEX ) != 0 )
-                                       {
-                                               ulRegValue |= PHY_DUPLEX_STATUS;
-                                       }
-                                       if( ( ulControlStatus & PHYSPCS_SPEED_MASK ) == PHYSPCS_SPEED_10 )
-                                       {
-                                               ulRegValue |= PHY_SPEED_STATUS;
-                                       }
-
-                               }
-                               #elif( EXPECTED_PHY_ID == PHY_ID_DP83848I )
-                               {
-                                       /* Read the result of the auto-negotiation. */
-                                       HAL_ETH_ReadPHYRegister( &xETH, PHY_REG_10_PHY_SR, &ulRegValue);
-                               }
-                               #endif
-                               FreeRTOS_printf( ( ">> Autonego ready: %08lx: %s duplex %u mbit %s status\n",
-                                       ulRegValue,
-                                       (ulRegValue & PHY_DUPLEX_STATUS) ? "full" : "half",
-                                       (ulRegValue & PHY_SPEED_STATUS) ? 10 : 100,
-                                       ((ulPHYLinkStatus |= BMSR_LINK_STATUS) != 0) ? "high" : "low" ) );
-
-                               /* Configure the MAC with the Duplex Mode fixed by the
-                               auto-negotiation process. */
-                               if( ( ulRegValue & PHY_DUPLEX_STATUS ) != ( uint32_t ) RESET )
-                               {
-                                       /* Set Ethernet duplex mode to Full-duplex following the
-                                       auto-negotiation. */
-                                       xETH.Init.DuplexMode = ETH_MODE_FULLDUPLEX;
-                               }
-                               else
-                               {
-                                       /* Set Ethernet duplex mode to Half-duplex following the
-                                       auto-negotiation. */
-                                       xETH.Init.DuplexMode = ETH_MODE_HALFDUPLEX;
-                               }
+                               xETH.Init.DuplexMode = ETH_MODE_HALFDUPLEX;
+                       }
 
-                               /* Configure the MAC with the speed fixed by the
-                               auto-negotiation process. */
-                               if( ( ulRegValue & PHY_SPEED_STATUS) != 0 )
-                               {
-                                       /* Set Ethernet speed to 10M following the
-                                       auto-negotiation. */
-                                       xETH.Init.Speed = ETH_SPEED_10M;
-                               }
-                               else
-                               {
-                                       /* Set Ethernet speed to 100M following the
-                                       auto-negotiation. */
-                                       xETH.Init.Speed = ETH_SPEED_100M;
-                               }
-                       }       /* if( ulTimeout < PHY_READ_TO ) */
+                       /* Configure the MAC with the speed fixed by the
+                       auto-negotiation process. */
+                       if( xPhyObject.xPhyProperties.ucSpeed == PHY_SPEED_10 )
+                       {
+                               xETH.Init.Speed = ETH_SPEED_10M;
+                       }
+                       else
+                       {
+                               xETH.Init.Speed = ETH_SPEED_100M;
+                       }
                }
                else /* AutoNegotiation Disable */
                {
-               uint16_t usValue;
-
                        /* Check parameters */
                        assert_param( IS_ETH_SPEED( xETH.Init.Speed ) );
                        assert_param( IS_ETH_DUPLEX_MODE( xETH.Init.DuplexMode ) );
 
-                       /* Set MAC Speed and Duplex Mode to PHY */
-                       usValue = ( uint16_t ) ( xETH.Init.DuplexMode >> 3 ) | ( uint16_t ) ( xETH.Init.Speed >> 1 );
-                       HAL_ETH_WritePHYRegister( &xETH, PHY_REG_00_BMCR, usValue );
+                       if( xETH.Init.DuplexMode == ETH_MODE_FULLDUPLEX )
+                       {
+                               xPhyObject.xPhyPreferences.ucDuplex = PHY_DUPLEX_HALF;
+                       }
+                       else
+                       {
+                               xPhyObject.xPhyPreferences.ucDuplex = PHY_DUPLEX_FULL;
+                       }
+
+                       if( xETH.Init.Speed == ETH_SPEED_10M )
+                       {
+                               xPhyObject.xPhyPreferences.ucSpeed = PHY_SPEED_10;
+                       }
+                       else
+                       {
+                               xPhyObject.xPhyPreferences.ucSpeed = PHY_SPEED_100;
+                       }
+
+                       xPhyObject.xPhyPreferences.ucMDI_X = PHY_MDIX_AUTO;
+
+                       /* Use predefined (fixed) configuration. */
+                       xPhyFixedValue( &xPhyObject, xPhyGetMask( &xPhyObject ) );
                }
 
                /* ETHERNET MAC Re-Configuration */
@@ -1275,7 +1160,7 @@ BaseType_t xGetPhyLinkStatus( void )
 {
 BaseType_t xReturn;
 
-       if( ( ulPHYLinkStatus & BMSR_LINK_STATUS ) != 0 )
+       if( xPhyObject.ulLinkStatusMask != 0 )
        {
                xReturn = pdPASS;
        }
@@ -1288,27 +1173,43 @@ BaseType_t xReturn;
 }
 /*-----------------------------------------------------------*/
 
+/* Uncomment this in case BufferAllocation_1.c is used. */
+
+void vNetworkInterfaceAllocateRAMToBuffers( NetworkBufferDescriptor_t pxNetworkBuffers[ ipconfigNUM_NETWORK_BUFFER_DESCRIPTORS ] )
+{
+static
+#if defined(STM32F7xx)
+       __attribute__ ((section(".first_data")))
+#endif
+       uint8_t ucNetworkPackets[ ipconfigNUM_NETWORK_BUFFER_DESCRIPTORS * ETH_MAX_PACKET_SIZE ] __attribute__ ( ( aligned( 32 ) ) );
+uint8_t *ucRAMBuffer = ucNetworkPackets;
+uint32_t ul;
+
+       for( ul = 0; ul < ipconfigNUM_NETWORK_BUFFER_DESCRIPTORS; ul++ )
+       {
+               pxNetworkBuffers[ ul ].pucEthernetBuffer = ucRAMBuffer + ipBUFFER_PADDING;
+               *( ( unsigned * ) ucRAMBuffer ) = ( unsigned ) ( &( pxNetworkBuffers[ ul ] ) );
+               ucRAMBuffer += ETH_MAX_PACKET_SIZE;
+       }
+}
+/*-----------------------------------------------------------*/
+
 static void prvEMACHandlerTask( void *pvParameters )
 {
-TimeOut_t xPhyTime;
-TickType_t xPhyRemTime;
 UBaseType_t uxLastMinBufferCount = 0;
 #if( ipconfigCHECK_IP_QUEUE_SPACE != 0 )
 UBaseType_t uxLastMinQueueSpace = 0;
 #endif
 UBaseType_t uxCurrentCount;
-BaseType_t xResult = 0;
-uint32_t xStatus;
+BaseType_t xResult;
 const TickType_t ulMaxBlockTime = pdMS_TO_TICKS( 100UL );
 
        /* Remove compiler warnings about unused parameters. */
        ( void ) pvParameters;
 
-       vTaskSetTimeOutState( &xPhyTime );
-       xPhyRemTime = pdMS_TO_TICKS( PHY_LS_LOW_CHECK_TIME_MS );
-
        for( ;; )
        {
+               xResult = 0;
                uxCurrentCount = uxGetMinimumFreeNetworkBuffers();
                if( uxLastMinBufferCount != uxCurrentCount )
                {
@@ -1319,7 +1220,6 @@ const TickType_t ulMaxBlockTime = pdMS_TO_TICKS( 100UL );
                                uxGetNumberOfFreeNetworkBuffers(), uxCurrentCount ) );
                }
 
-               #if( ipconfigZERO_COPY_TX_DRIVER != 0 )
                if( xTXDescriptorSemaphore != NULL )
                {
                static UBaseType_t uxLowestSemCount = ( UBaseType_t ) ETH_TXBUFNB - 1;
@@ -1332,7 +1232,7 @@ const TickType_t ulMaxBlockTime = pdMS_TO_TICKS( 100UL );
                        }
 
                }
-               #endif
+
                #if( ipconfigCHECK_IP_QUEUE_SPACE != 0 )
                {
                        uxCurrentCount = uxGetMinimumIPQueueSpace();
@@ -1357,24 +1257,14 @@ const TickType_t ulMaxBlockTime = pdMS_TO_TICKS( 100UL );
                        ulISREvents &= ~EMAC_IF_RX_EVENT;
 
                        xResult = prvNetworkInterfaceInput();
-                       if( xResult > 0 )
-                       {
-                               while( prvNetworkInterfaceInput() > 0 )
-                               {
-                               }
-                       }
                }
 
                if( ( ulISREvents & EMAC_IF_TX_EVENT ) != 0 )
                {
                        /* Code to release TX buffers if zero-copy is used. */
                        ulISREvents &= ~EMAC_IF_TX_EVENT;
-                       #if( ipconfigZERO_COPY_TX_DRIVER != 0 )
-                       {
-                               /* Check if DMA packets have been delivered. */
-                               vClearTXBuffers();
-                       }
-                       #endif
+                       /* Check if DMA packets have been delivered. */
+                       vClearTXBuffers();
                }
 
                if( ( ulISREvents & EMAC_IF_ERR_EVENT ) != 0 )
@@ -1382,34 +1272,10 @@ const TickType_t ulMaxBlockTime = pdMS_TO_TICKS( 100UL );
                        /* Future extension: logging about errors that occurred. */
                        ulISREvents &= ~EMAC_IF_ERR_EVENT;
                }
-
-               if( xResult > 0 )
+               if( xPhyCheckLinkStatus( &xPhyObject, xResult ) != 0 )
                {
-                       /* A packet was received. No need to check for the PHY status now,
-                       but set a timer to check it later on. */
-                       vTaskSetTimeOutState( &xPhyTime );
-                       xPhyRemTime = pdMS_TO_TICKS( PHY_LS_HIGH_CHECK_TIME_MS );
-                       xResult = 0;
-               }
-               else if( xTaskCheckForTimeOut( &xPhyTime, &xPhyRemTime ) != pdFALSE )
-               {
-                       HAL_ETH_ReadPHYRegister( &xETH, PHY_REG_01_BMSR, &xStatus );
-                       if( ( ulPHYLinkStatus & BMSR_LINK_STATUS ) != ( xStatus & BMSR_LINK_STATUS ) )
-                       {
-                               ulPHYLinkStatus = xStatus;
-                               FreeRTOS_printf( ( "prvEMACHandlerTask: PHY LS now %d\n", ( ulPHYLinkStatus & BMSR_LINK_STATUS ) != 0 ) );
-                               prvEthernetUpdateConfig( pdFALSE );
-                       }
-
-                       vTaskSetTimeOutState( &xPhyTime );
-                       if( ( ulPHYLinkStatus & BMSR_LINK_STATUS ) != 0 )
-                       {
-                               xPhyRemTime = pdMS_TO_TICKS( PHY_LS_HIGH_CHECK_TIME_MS );
-                       }
-                       else
-                       {
-                               xPhyRemTime = pdMS_TO_TICKS( PHY_LS_LOW_CHECK_TIME_MS );
-                       }
+                       /* Something has changed to a Link Status, need re-check. */
+                       prvEthernetUpdateConfig( pdFALSE );
                }
        }
 }
@@ -1419,3 +1285,4 @@ void ETH_IRQHandler( void )
 {
        HAL_ETH_IRQHandler( &xETH );
 }
+
diff --git a/FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/portable/NetworkInterface/STM32Fxx/readme.txt b/FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/portable/NetworkInterface/STM32Fxx/readme.txt
new file mode 100644 (file)
index 0000000..1d244ba
--- /dev/null
@@ -0,0 +1,81 @@
+This is a FreeeRTOS+TCP driver that works for both STM32F4xx and STM32F7xx parts.
+
+The code of stm32fxx_hal_eth.c is based on both drivers as provided by ST.
+
+These modules should be included:
+
+    NetworkInterface.c
+       stm32fxx_hal_eth.c
+
+It is assumed that one of these words are defined:
+
+       STM32F7xx
+       STM32F407xx
+       STM32F417xx
+       STM32F427xx
+       STM32F437xx
+       STM32F429xx
+       STM32F439xx
+
+The driver has been tested on both Eval and Discovery boards with both STM32F4 and STM32F7.
+
+Recommended settings for STM32Fxx Network Interface:
+
+// Defined in FreeRTOSIPConfig.h
+
+#define ipconfigETHERNET_DRIVER_FILTERS_FRAME_TYPES   1
+#define ipconfigDRIVER_INCLUDED_TX_IP_CHECKSUM        1
+#define ipconfigDRIVER_INCLUDED_RX_IP_CHECKSUM        1
+#define ipconfigZERO_COPY_RX_DRIVER                   1
+#define ipconfigZERO_COPY_TX_DRIVER                   1
+#define ipconfigUSE_LINKED_RX_MESSAGES                1
+
+// Defined in stm32f4xx_hal_conf.h
+#define ETH_RXBUFNB                                   3 or 4
+#define ETH_TXBUFNB                                   2 or 3
+#define ETH_RX_BUF_SIZE                               ( ipconfigNETWORK_MTU + 36 )
+#define ETH_TX_BUF_SIZE                               ( ipconfigNETWORK_MTU + 36 )
+
+The best size for 'ETH_RXBUFNB' and 'ETH_TXBUFNB' depends on the speed of the CPU. These macro's define the number of DMA buffers for reception and for transmission.
+In general, if the CPU is very fast, you will need less buffers. You can obtain an estimate empirically.
+
+The optimal value of 'ETH_RX_BUF_SIZE' and 'ETH_TX_BUF_SIZE' depends on the actual value of 'ipconfigNETWORK_MTU'.
+When MTU is 1500, MTU+36 becomes a well-aligned buffer of 1536 bytes ( 0x600 ).
+When MTU is 1200, MTU+48 will make 1248 ( 0x4E0 ), which is also well aligned.
+
+Having well aligned buffers is important for CPU with memory cache. Often the caching system divides memory in blocks of 32 bytes. When two buffers share the same cache buffer, you are bound to see data errors.
+
+Without memory caching, let the size be at least a multiple of 8 ( for DMA ), and make it at least "ipconfigNETWORK_MTU + 14".
+
+STM32F7xx only:
+
+Networkinterface.c will place the 2 DMA tables in a special section called 'first_data'.
+In case 'BufferAllocation_1.c' is used, the network packets will also be declared in this section 'first_data'.
+As long as the part has no caching, this section can be placed anywhere in RAM.
+On an STM32F7 with an L1 data cache, it shall be placed in the first 64KB of RAM, which is always uncached.
+The linker script must be changed for this, for instance as follows:
+
+   .data : 
+   {
+     . = ALIGN(4);
+     _sdata = .;        // create a global symbol at data start
++    *(.first_data)     // .first_data sections
+     *(.data)           // .data sections
+     *(.data*)          // .data* sections
+     . = ALIGN(4);
+     _edata = .;        // define a global symbol at data end
+   } >RAM AT> FLASH
+
+
+The driver contains these files:
+
+       stm32fxx_hal_eth.c
+       stm32f2xx_hal_eth.h
+       stm32f4xx_hal_eth.h
+       stm32f7xx_hal_eth.h
+       stm32fxx_hal_eth.h
+
+These files are copied from ST's HAL library. These work both for STM32F4 and STM32F7.
+Please remove or rename these files from the HAL distribution that you are using.
+
index 1c11976cbcec7779ed97ef48964cc7a25bcdd9d9..4a6af81f915aea31820203ac94df75769b9ef5a5 100644 (file)
@@ -1,27 +1,27 @@
 /*\r
-FreeRTOS+TCP V2.0.11\r
-Copyright (C) 2017 Amazon.com, Inc. or its affiliates.  All Rights Reserved.\r
-\r
-Permission is hereby granted, free of charge, to any person obtaining a copy of\r
-this software and associated documentation files (the "Software"), to deal in\r
-the Software without restriction, including without limitation the rights to\r
-use, copy, modify, merge, publish, distribute, sublicense, and/or sell copies of\r
-the Software, and to permit persons to whom the Software is furnished to do so,\r
-subject to the following conditions:\r
-\r
-The above copyright notice and this permission notice shall be included in all\r
-copies or substantial portions of the Software.\r
-\r
-THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR\r
-IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS\r
-FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR\r
-COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER\r
-IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN\r
-CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.\r
-\r
- http://aws.amazon.com/freertos\r
- http://www.FreeRTOS.org\r
-*/\r
+ * FreeRTOS V202002.00\r
+ * Copyright (C) 2020 Amazon.com, Inc. or its affiliates.  All Rights Reserved.\r
+ *\r
+ * Permission is hereby granted, free of charge, to any person obtaining a copy of\r
+ * this software and associated documentation files (the "Software"), to deal in\r
+ * the Software without restriction, including without limitation the rights to\r
+ * use, copy, modify, merge, publish, distribute, sublicense, and/or sell copies of\r
+ * the Software, and to permit persons to whom the Software is furnished to do so,\r
+ * subject to the following conditions:\r
+ *\r
+ * The above copyright notice and this permission notice shall be included in all\r
+ * copies or substantial portions of the Software.\r
+ *\r
+ * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR\r
+ * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS\r
+ * FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR\r
+ * COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER\r
+ * IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN\r
+ * CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.\r
+ *\r
http://aws.amazon.com/freertos\r
http://www.FreeRTOS.org\r
+ */\r
 \r
 /* Standard includes. */\r
 #include <stdint.h>\r
@@ -38,6 +38,7 @@ CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
 #include "FreeRTOS_IP.h"\r
 #include "FreeRTOS_Sockets.h"\r
 #include "FreeRTOS_IP_Private.h"\r
+#include "FreeRTOS_ARP.h"\r
 #include "NetworkBufferManagement.h"\r
 #include "NetworkInterface.h"\r
 \r
@@ -50,10 +51,13 @@ CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
 /* Provided memory configured as uncached. */\r
 #include "uncached_memory.h"\r
 \r
-#ifndef        BMSR_LINK_STATUS\r
-       #define BMSR_LINK_STATUS            0x0004UL\r
+#ifndef niEMAC_HANDLER_TASK_PRIORITY\r
+       /* Define the priority of the task prvEMACHandlerTask(). */\r
+       #define niEMAC_HANDLER_TASK_PRIORITY    configMAX_PRIORITIES - 1\r
 #endif\r
 \r
+#define niBMSR_LINK_STATUS         0x0004uL\r
+\r
 #ifndef        PHY_LS_HIGH_CHECK_TIME_MS\r
        /* Check if the LinkSStatus in the PHY is still high after 15 seconds of not\r
        receiving packets. */\r
@@ -83,6 +87,13 @@ FreeRTOSConfig.h as configMINIMAL_STACK_SIZE is a user definable constant. */
        #define configEMAC_TASK_STACK_SIZE ( 2 * configMINIMAL_STACK_SIZE )\r
 #endif\r
 \r
+#if( ipconfigZERO_COPY_RX_DRIVER == 0 || ipconfigZERO_COPY_TX_DRIVER == 0 )\r
+       #error Please define both 'ipconfigZERO_COPY_RX_DRIVER' and 'ipconfigZERO_COPY_TX_DRIVER' as 1\r
+#endif\r
+\r
+#if( ipconfigDRIVER_INCLUDED_RX_IP_CHECKSUM == 0 || ipconfigDRIVER_INCLUDED_TX_IP_CHECKSUM == 0 )\r
+       #warning Please define both 'ipconfigDRIVER_INCLUDED_RX_IP_CHECKSUM' and 'ipconfigDRIVER_INCLUDED_TX_IP_CHECKSUM' as 1\r
+#endif\r
 /*-----------------------------------------------------------*/\r
 \r
 /*\r
@@ -96,6 +107,10 @@ static BaseType_t prvGMACWaitLS( TickType_t xMaxTime );
  */\r
 static void prvEMACHandlerTask( void *pvParameters );\r
 \r
+#if ( ipconfigHAS_PRINTF != 0 )\r
+       static void prvMonitorResources( void );\r
+#endif\r
+\r
 /*-----------------------------------------------------------*/\r
 \r
 /* EMAC data/descriptions. */\r
@@ -119,7 +134,7 @@ XEmacPs_Config mac_config =
 extern int phy_detected;\r
 \r
 /* A copy of PHY register 1: 'PHY_REG_01_BMSR' */\r
-static uint32_t ulPHYLinkStatus = 0;\r
+static uint32_t ulPHYLinkStatus = 0uL;\r
 \r
 #if( ipconfigUSE_LLMNR == 1 )\r
        static const uint8_t xLLMNR_MACAddress[] = { 0x01, 0x00, 0x5E, 0x00, 0x00, 0xFC };\r
@@ -188,7 +203,7 @@ const TickType_t xWaitLinkDelay = pdMS_TO_TICKS( 7000UL ), xWaitRelinkDelay = pd
                possible priority to ensure the interrupt handler can return directly\r
                to it.  The task's handle is stored in xEMACTaskHandle so interrupts can\r
                notify the task when there is something to process. */\r
-               xTaskCreate( prvEMACHandlerTask, "EMAC", configEMAC_TASK_STACK_SIZE, NULL, configMAX_PRIORITIES - 1, &xEMACTaskHandle );\r
+               xTaskCreate( prvEMACHandlerTask, "EMAC", configEMAC_TASK_STACK_SIZE, NULL, niEMAC_HANDLER_TASK_PRIORITY, &xEMACTaskHandle );\r
        }\r
        else\r
        {\r
@@ -206,7 +221,24 @@ const TickType_t xWaitLinkDelay = pdMS_TO_TICKS( 7000UL ), xWaitRelinkDelay = pd
 \r
 BaseType_t xNetworkInterfaceOutput( NetworkBufferDescriptor_t * const pxBuffer, BaseType_t bReleaseAfterSend )\r
 {\r
-       if( ( ulPHYLinkStatus & BMSR_LINK_STATUS ) != 0 )\r
+       #if( ipconfigDRIVER_INCLUDED_TX_IP_CHECKSUM != 0 )\r
+       {\r
+       ProtocolPacket_t *pxPacket;\r
+\r
+               /* If the peripheral must calculate the checksum, it wants\r
+               the protocol checksum to have a value of zero. */\r
+               pxPacket = ( ProtocolPacket_t * ) ( pxBuffer->pucEthernetBuffer );\r
+               if( ( pxPacket->xICMPPacket.xIPHeader.ucProtocol != ipPROTOCOL_UDP ) &&\r
+                       ( pxPacket->xICMPPacket.xIPHeader.ucProtocol != ipPROTOCOL_TCP ) )\r
+               {\r
+                       /* The EMAC will calculate the checksum of the IP-header.\r
+                       It can only calculate protocol checksums of UDP and TCP,\r
+                       so for ICMP and other protocols it must be done manually. */\r
+                       usGenerateProtocolChecksum( (uint8_t*)&( pxPacket->xUDPPacket ), pxBuffer->xDataLength, pdTRUE );\r
+               }\r
+       }\r
+       #endif /* ipconfigDRIVER_INCLUDED_TX_IP_CHECKSUM */\r
+       if( ( ulPHYLinkStatus & niBMSR_LINK_STATUS ) != 0uL )\r
        {\r
                iptraceNETWORK_INTERFACE_TRANSMIT();\r
                emacps_send_message( &xEMACpsif, pxBuffer, bReleaseAfterSend );\r
@@ -249,7 +281,7 @@ BaseType_t xReturn;
                }\r
                ulPHYLinkStatus = ulReadMDIO( PHY_REG_01_BMSR );\r
 \r
-               if( ( ulPHYLinkStatus & BMSR_LINK_STATUS ) != 0 )\r
+               if( ( ulPHYLinkStatus & niBMSR_LINK_STATUS ) != 0uL )\r
                {\r
                        xReturn = pdTRUE;\r
                        break;\r
@@ -281,7 +313,7 @@ BaseType_t xGetPhyLinkStatus( void )
 {\r
 BaseType_t xReturn;\r
 \r
-       if( ( ulPHYLinkStatus & BMSR_LINK_STATUS ) == 0 )\r
+       if( ( ulPHYLinkStatus & niBMSR_LINK_STATUS ) == 0uL )\r
        {\r
                xReturn = pdFALSE;\r
        }\r
@@ -294,12 +326,58 @@ BaseType_t xReturn;
 }\r
 /*-----------------------------------------------------------*/\r
 \r
+#if ( ipconfigHAS_PRINTF != 0 )\r
+       static void prvMonitorResources()\r
+       {\r
+       static UBaseType_t uxLastMinBufferCount = 0u;\r
+       static size_t uxMinLastSize = 0uL;\r
+       UBaseType_t uxCurrentBufferCount;\r
+       size_t uxMinSize;\r
+\r
+               uxCurrentBufferCount = uxGetMinimumFreeNetworkBuffers();\r
+\r
+               if( uxLastMinBufferCount != uxCurrentBufferCount )\r
+               {\r
+                       /* The logging produced below may be helpful\r
+                        * while tuning +TCP: see how many buffers are in use. */\r
+                       uxLastMinBufferCount = uxCurrentBufferCount;\r
+                       FreeRTOS_printf( ( "Network buffers: %lu lowest %lu\n",\r
+                                                          uxGetNumberOfFreeNetworkBuffers(),\r
+                                                          uxCurrentBufferCount ) );\r
+               }\r
+\r
+               uxMinSize = xPortGetMinimumEverFreeHeapSize();\r
+\r
+               if( uxMinLastSize != uxMinSize )\r
+               {\r
+                       uxMinLastSize = uxMinSize;\r
+                       FreeRTOS_printf( ( "Heap: current %lu lowest %lu\n", xPortGetFreeHeapSize(), uxMinSize ) );\r
+               }\r
+\r
+               #if ( ipconfigCHECK_IP_QUEUE_SPACE != 0 )\r
+                       {\r
+                               static UBaseType_t uxLastMinQueueSpace = 0;\r
+                               UBaseType_t uxCurrentCount = 0u;\r
+\r
+                               uxCurrentCount = uxGetMinimumIPQueueSpace();\r
+\r
+                               if( uxLastMinQueueSpace != uxCurrentCount )\r
+                               {\r
+                                       /* The logging produced below may be helpful\r
+                                        * while tuning +TCP: see how many buffers are in use. */\r
+                                       uxLastMinQueueSpace = uxCurrentCount;\r
+                                       FreeRTOS_printf( ( "Queue space: lowest %lu\n", uxCurrentCount ) );\r
+                               }\r
+                       }\r
+               #endif /* ipconfigCHECK_IP_QUEUE_SPACE */\r
+       }\r
+#endif /* ( ipconfigHAS_PRINTF != 0 ) */\r
+/*-----------------------------------------------------------*/\r
+\r
 static void prvEMACHandlerTask( void *pvParameters )\r
 {\r
 TimeOut_t xPhyTime;\r
 TickType_t xPhyRemTime;\r
-UBaseType_t uxLastMinBufferCount = 0;\r
-UBaseType_t uxCurrentCount;\r
 BaseType_t xResult = 0;\r
 uint32_t xStatus;\r
 const TickType_t ulMaxBlockTime = pdMS_TO_TICKS( 100UL );\r
@@ -316,30 +394,11 @@ const TickType_t ulMaxBlockTime = pdMS_TO_TICKS( 100UL );
 \r
        for( ;; )\r
        {\r
-               uxCurrentCount = uxGetMinimumFreeNetworkBuffers();\r
-               if( uxLastMinBufferCount != uxCurrentCount )\r
-               {\r
-                       /* The logging produced below may be helpful\r
-                       while tuning +TCP: see how many buffers are in use. */\r
-                       uxLastMinBufferCount = uxCurrentCount;\r
-                       FreeRTOS_printf( ( "Network buffers: %lu lowest %lu\n",\r
-                               uxGetNumberOfFreeNetworkBuffers(), uxCurrentCount ) );\r
-               }\r
-\r
-               #if( ipconfigCHECK_IP_QUEUE_SPACE != 0 )\r
-               {\r
-               static UBaseType_t uxLastMinQueueSpace = 0;\r
-\r
-                       uxCurrentCount = uxGetMinimumIPQueueSpace();\r
-                       if( uxLastMinQueueSpace != uxCurrentCount )\r
+               #if ( ipconfigHAS_PRINTF != 0 )\r
                        {\r
-                               /* The logging produced below may be helpful\r
-                               while tuning +TCP: see how many buffers are in use. */\r
-                               uxLastMinQueueSpace = uxCurrentCount;\r
-                               FreeRTOS_printf( ( "Queue space: lowest %lu\n", uxCurrentCount ) );\r
+                               prvMonitorResources();\r
                        }\r
-               }\r
-               #endif /* ipconfigCHECK_IP_QUEUE_SPACE */\r
+               #endif /* ipconfigHAS_PRINTF != 0 ) */\r
 \r
                if( ( xEMACpsif.isr_events & EMAC_IF_ALL_EVENT ) == 0 )\r
                {\r
@@ -372,19 +431,26 @@ const TickType_t ulMaxBlockTime = pdMS_TO_TICKS( 100UL );
                        vTaskSetTimeOutState( &xPhyTime );\r
                        xPhyRemTime = pdMS_TO_TICKS( PHY_LS_HIGH_CHECK_TIME_MS );\r
                        xResult = 0;\r
+                       if( ( ulPHYLinkStatus & niBMSR_LINK_STATUS ) == 0uL )\r
+                       {\r
+                               /* Indicate that the Link Status is high, so that\r
+                               xNetworkInterfaceOutput() can send packets. */\r
+                               ulPHYLinkStatus |= niBMSR_LINK_STATUS;\r
+                               FreeRTOS_printf( ( "prvEMACHandlerTask: PHY LS assume 1\n" ) );\r
+                       }\r
                }\r
                else if( xTaskCheckForTimeOut( &xPhyTime, &xPhyRemTime ) != pdFALSE )\r
                {\r
                        xStatus = ulReadMDIO( PHY_REG_01_BMSR );\r
 \r
-                       if( ( ulPHYLinkStatus & BMSR_LINK_STATUS ) != ( xStatus & BMSR_LINK_STATUS ) )\r
+                       if( ( ulPHYLinkStatus & niBMSR_LINK_STATUS ) != ( xStatus & niBMSR_LINK_STATUS ) )\r
                        {\r
                                ulPHYLinkStatus = xStatus;\r
-                               FreeRTOS_printf( ( "prvEMACHandlerTask: PHY LS now %d\n", ( ulPHYLinkStatus & BMSR_LINK_STATUS ) != 0 ) );\r
+                               FreeRTOS_printf( ( "prvEMACHandlerTask: PHY LS now %d\n", ( ulPHYLinkStatus & niBMSR_LINK_STATUS ) != 0uL ) );\r
                        }\r
 \r
                        vTaskSetTimeOutState( &xPhyTime );\r
-                       if( ( ulPHYLinkStatus & BMSR_LINK_STATUS ) != 0 )\r
+                       if( ( ulPHYLinkStatus & niBMSR_LINK_STATUS ) != 0uL )\r
                        {\r
                                xPhyRemTime = pdMS_TO_TICKS( PHY_LS_HIGH_CHECK_TIME_MS );\r
                        }\r
index f9e54bb2f450cc942fca45605203d298ef74a4ee..10a72b464c6319cfdeea868dafcea4199a50857f 100644 (file)
@@ -5,6 +5,7 @@ NetworkInterface for Xilinx' Zynq
 Please include the following source files:
 
        $(PLUS_TCP_PATH)/portable/NetworkInterface/Zynq/NetworkInterface.c
+       $(PLUS_TCP_PATH)/portable/NetworkInterface/Zynq/uncached_memory.c
        $(PLUS_TCP_PATH)/portable/NetworkInterface/Zynq/x_emacpsif_dma.c
        $(PLUS_TCP_PATH)/portable/NetworkInterface/Zynq/x_emacpsif_physpeed.c
        $(PLUS_TCP_PATH)/portable/NetworkInterface/Zynq/x_emacpsif_hw.c
@@ -23,3 +24,14 @@ The following source files are NOT used for the FreeRTOS+TCP interface:
        $(CPU_PATH)/$(PROCESSOR)/libsrc/emacps_v2_0/src/xemacps_bdring.c
        $(CPU_PATH)/$(PROCESSOR)/libsrc/emacps_v2_0/src/xemacps_hw.c
        $(CPU_PATH)/$(PROCESSOR)/libsrc/emacps_v2_0/src/xemacps_sinit.c
+
+It is recommended to have these defined :
+
+#define ipconfigDRIVER_INCLUDED_RX_IP_CHECKSUM    1
+#define ipconfigDRIVER_INCLUDED_TX_IP_CHECKSUM    1
+#define ipconfigUSE_LINKED_RX_MESSAGES            1
+
+It is obligatory to define:
+
+#define ipconfigZERO_COPY_RX_DRIVER               1
+#define ipconfigZERO_COPY_TX_DRIVER               1
index b43e50ec20f4bc5f410b39d1653cc6d0767b3982..bfbdc341b50f06557d04e7c301da965f719edda1 100644 (file)
@@ -1,10 +1,35 @@
+/*\r
+ * FreeRTOS V202002.00\r
+ * Copyright (C) 2020 Amazon.com, Inc. or its affiliates.  All Rights Reserved.\r
+ *\r
+ * Permission is hereby granted, free of charge, to any person obtaining a copy of\r
+ * this software and associated documentation files (the "Software"), to deal in\r
+ * the Software without restriction, including without limitation the rights to\r
+ * use, copy, modify, merge, publish, distribute, sublicense, and/or sell copies of\r
+ * the Software, and to permit persons to whom the Software is furnished to do so,\r
+ * subject to the following conditions:\r
+ *\r
+ * The above copyright notice and this permission notice shall be included in all\r
+ * copies or substantial portions of the Software.\r
+ *\r
+ * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR\r
+ * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS\r
+ * FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR\r
+ * COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER\r
+ * IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN\r
+ * CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.\r
+ *\r
+ * http://aws.amazon.com/freertos\r
+ * http://www.FreeRTOS.org\r
+ */\r
+\r
 /*\r
  * uncached_memory.c\r
  *\r
  * This module will declare 1 MB of memory and switch off the caching for it.\r
  *\r
  * pucGetUncachedMemory( ulSize ) returns a trunc of this memory with a length\r
- * rounded up to a multiple of 4 KB\r
+ * rounded up to a multiple of 4 KB.\r
  *\r
  * ucIsCachedMemory( pucBuffer ) returns non-zero if a given pointer is NOT\r
  * within the range of the 1 MB non-cached memory.\r
 \r
 #include "uncached_memory.h"\r
 \r
-#define UNCACHED_MEMORY_SIZE   0x100000ul\r
+/* Reserve 1 MB of memory. */\r
+#define uncMEMORY_SIZE                         0x100000uL\r
+\r
+/* Make sure that each pointer has an alignment of 4 KB. */\r
+#define uncALIGNMENT_SIZE                      0x1000uL\r
 \r
 #define DDR_MEMORY_END (XPAR_PS7_DDR_0_S_AXI_HIGHADDR+1)\r
 \r
+#define uncMEMORY_ATTRIBUTE                    0x1C02\r
+\r
 static void vInitialiseUncachedMemory( void );\r
 \r
 static uint8_t *pucHeadOfMemory;\r
 static uint32_t ulMemorySize;\r
 static uint8_t *pucStartOfMemory = NULL;\r
 \r
+/* The linker file defines some pseudo variables. '_end' is one of them.\r
+It is located at the first free byte in RAM. */\r
+extern u8 _end;\r
+\r
+/*-----------------------------------------------------------*/\r
+\r
 uint8_t ucIsCachedMemory( const uint8_t *pucBuffer )\r
 {\r
 uint8_t ucReturn;\r
 \r
        if( ( pucStartOfMemory != NULL ) &&\r
                ( pucBuffer >= pucStartOfMemory ) &&\r
-               ( pucBuffer < ( pucStartOfMemory + UNCACHED_MEMORY_SIZE ) ) )\r
+               ( pucBuffer < ( pucStartOfMemory + uncMEMORY_SIZE ) ) )\r
        {\r
                ucReturn = pdFALSE;\r
        }\r
@@ -70,10 +107,12 @@ uint8_t ucReturn;
 \r
        return ucReturn;\r
 }\r
+/*-----------------------------------------------------------*/\r
 \r
 uint8_t *pucGetUncachedMemory( uint32_t ulSize )\r
 {\r
 uint8_t *pucReturn;\r
+uint32_t ulSkipSize;\r
 \r
        if( pucStartOfMemory == NULL )\r
        {\r
@@ -85,48 +124,40 @@ uint8_t *pucReturn;
        }\r
        else\r
        {\r
-       uint32_t ulSkipSize;\r
-\r
                pucReturn = pucHeadOfMemory;\r
-               ulSkipSize = ( ulSize + 0x1000ul ) & ~0xffful;\r
+               /* Make sure that the next pointer return will have a good alignment. */\r
+               ulSkipSize = ( ulSize + uncALIGNMENT_SIZE ) & ~( uncALIGNMENT_SIZE - 1uL );\r
                pucHeadOfMemory += ulSkipSize;\r
                ulMemorySize -= ulSkipSize;\r
        }\r
 \r
        return pucReturn;\r
 }\r
-\r
-extern u8 _end;\r
+/*-----------------------------------------------------------*/\r
 \r
 static void vInitialiseUncachedMemory( )\r
 {\r
        /* At the end of program's space... */\r
-       pucStartOfMemory = (uint8_t *) &_end;\r
-       /*\r
-        * Align the start address to 1 MB boundary.\r
-        */\r
-       pucStartOfMemory = (uint8_t *)( ( ( uint32_t )pucStartOfMemory + UNCACHED_MEMORY_SIZE ) & ( ~( UNCACHED_MEMORY_SIZE - 1 ) ) );\r
+       pucStartOfMemory = ( uint8_t * ) &( _end );\r
 \r
-       if( ( ( u32 )pucStartOfMemory ) + UNCACHED_MEMORY_SIZE > DDR_MEMORY_END )\r
+       /* Align the start address to 1 MB boundary. */\r
+       pucStartOfMemory = ( uint8_t * )( ( ( uint32_t )pucStartOfMemory + uncMEMORY_SIZE ) & ( ~( uncMEMORY_SIZE - 1 ) ) );\r
+\r
+       if( ( ( u32 )pucStartOfMemory ) + uncMEMORY_SIZE > DDR_MEMORY_END )\r
        {\r
-//             vLoggingPrintf("vInitialiseUncachedMemory: Can not allocate uncached memory\n" );\r
+               FreeRTOS_printf( ( "vInitialiseUncachedMemory: Can not allocate uncached memory\n" ) );\r
        }\r
        else\r
        {\r
-               /*\r
-                * Some objects want to be stored in uncached memory. Hence the 1 MB\r
-                * address range that starts after "_end" is made uncached\r
-                * by setting appropriate attributes in the translation table.\r
-                */\r
-               /* FIXME claudio rossi. Modified to prevent data abort exception (misaligned access)\r
-                * when application is compiled with -O1 or more optimization flag.\r
-                */\r
-/*             Xil_SetTlbAttributes( ( uint32_t )pucStartOfMemory, 0xc02 ); // addr, attr */\r
-               Xil_SetTlbAttributes( ( uint32_t )pucStartOfMemory, 0x1c02 ); // addr, attr\r
-\r
-               /* For experiments in the SDIO driver, make the remaining uncached memory public */\r
+               /* Some objects want to be stored in uncached memory. Hence the 1 MB\r
+               address range that starts after "_end" is made uncached by setting\r
+               appropriate attributes in the translation table. */\r
+               Xil_SetTlbAttributes( ( uint32_t ) pucStartOfMemory, uncMEMORY_ATTRIBUTE );\r
+\r
+               /* For experiments in the SDIO driver, make the remaining uncached memory\r
+               public */\r
                pucHeadOfMemory = pucStartOfMemory;\r
-               ulMemorySize = UNCACHED_MEMORY_SIZE;\r
-               memset( pucStartOfMemory, '\0', UNCACHED_MEMORY_SIZE );\r
+               ulMemorySize = uncMEMORY_SIZE;\r
+               memset( pucStartOfMemory, '\0', uncMEMORY_SIZE );\r
        }\r
 }\r
index 823dee0d30a79dbae2ff7c2bfd7f3a9136e17861..bf0d174c09a5e23360892f7b192cfdd6ad79f7df 100644 (file)
@@ -26,7 +26,6 @@ extern "C" {
 #include <stdint.h>\r
 \r
 #include "xstatus.h"\r
-#include "sleep.h"\r
 #include "xparameters.h"\r
 #include "xparameters_ps.h"    /* defines XPAR values */\r
 #include "xil_types.h"\r
@@ -35,7 +34,6 @@ extern "C" {
 #include "xil_exception.h"\r
 #include "xpseudo_asm.h"\r
 #include "xil_cache.h"\r
-#include "xil_printf.h"\r
 #include "xuartps.h"\r
 #include "xscugic.h"\r
 #include "xemacps.h"           /* defines XEmacPs API */\r
index fc09d218335022fd0750a72d6ceb635138ca90e7..913b4b46cccde712d7d7daf0f95a125712ff19c0 100644 (file)
@@ -1,36 +1,27 @@
 /*\r
-FreeRTOS+TCP V2.0.11\r
-Copyright (C) 2017 Amazon.com, Inc. or its affiliates.  All Rights Reserved.\r
-\r
-Permission is hereby granted, free of charge, to any person obtaining a copy of\r
-this software and associated documentation files (the "Software"), to deal in\r
-the Software without restriction, including without limitation the rights to\r
-use, copy, modify, merge, publish, distribute, sublicense, and/or sell copies of\r
-the Software, and to permit persons to whom the Software is furnished to do so,\r
-subject to the following conditions:\r
-\r
-The above copyright notice and this permission notice shall be included in all\r
-copies or substantial portions of the Software.\r
-\r
-THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR\r
-IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS\r
-FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR\r
-COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER\r
-IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN\r
-CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.\r
-\r
- http://aws.amazon.com/freertos\r
- http://www.FreeRTOS.org\r
-*/\r
-\r
-#include "Zynq/x_emacpsif.h"\r
-#include "Zynq/x_topology.h"\r
-#include "xstatus.h"\r
-\r
-#include "xparameters.h"\r
-#include "xparameters_ps.h"\r
-#include "xil_exception.h"\r
-#include "xil_mmu.h"\r
+ * FreeRTOS V202002.00\r
+ * Copyright (C) 2020 Amazon.com, Inc. or its affiliates.  All Rights Reserved.\r
+ *\r
+ * Permission is hereby granted, free of charge, to any person obtaining a copy of\r
+ * this software and associated documentation files (the "Software"), to deal in\r
+ * the Software without restriction, including without limitation the rights to\r
+ * use, copy, modify, merge, publish, distribute, sublicense, and/or sell copies of\r
+ * the Software, and to permit persons to whom the Software is furnished to do so,\r
+ * subject to the following conditions:\r
+ *\r
+ * The above copyright notice and this permission notice shall be included in all\r
+ * copies or substantial portions of the Software.\r
+ *\r
+ * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR\r
+ * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS\r
+ * FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR\r
+ * COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER\r
+ * IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN\r
+ * CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.\r
+ *\r
+ * http://aws.amazon.com/freertos\r
+ * http://www.FreeRTOS.org\r
+ */\r
 \r
 #include "FreeRTOS.h"\r
 #include "task.h"\r
@@ -43,6 +34,15 @@ CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
 #include "FreeRTOS_IP_Private.h"\r
 #include "NetworkBufferManagement.h"\r
 \r
+#include "Zynq/x_emacpsif.h"\r
+#include "Zynq/x_topology.h"\r
+#include "xstatus.h"\r
+\r
+#include "xparameters.h"\r
+#include "xparameters_ps.h"\r
+#include "xil_exception.h"\r
+#include "xil_mmu.h"\r
+\r
 #include "uncached_memory.h"\r
 \r
 /* Two defines used to set or clear the EMAC interrupt */\r
@@ -56,7 +56,7 @@ CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
 #endif\r
 #define TX_OFFSET                              ipconfigPACKET_FILLER_SIZE\r
 \r
-#define RX_BUFFER_ALIGNMENT    14\r
+#define dmaRX_TX_BUFFER_SIZE                   1536\r
 \r
 /* Defined in NetworkInterface.c */\r
 extern TaskHandle_t xEMACTaskHandle;\r
@@ -120,8 +120,6 @@ size_t uxCount = ( ( UBaseType_t ) ipconfigNIC_N_TX_DESC ) - uxSemaphoreGetCount
                {\r
                        break;\r
                }\r
-#if( ipconfigZERO_COPY_TX_DRIVER != 0 )\r
-#warning ipconfigZERO_COPY_TX_DRIVER is defined\r
                {\r
                void *pvBuffer = pxDMA_tx_buffers[ tail ];\r
                NetworkBufferDescriptor_t *pxBuffer;\r
@@ -140,7 +138,6 @@ size_t uxCount = ( ( UBaseType_t ) ipconfigNIC_N_TX_DESC ) - uxSemaphoreGetCount
                                }\r
                        }\r
                }\r
-#endif\r
                /* Clear all but the "used" and "wrap" bits. */\r
                if( tail < ipconfigNIC_N_TX_DESC - 1 )\r
                {\r
@@ -170,6 +167,11 @@ BaseType_t xHigherPriorityTaskWoken = pdFALSE;
 \r
        xemacpsif = (xemacpsif_s *)(arg);\r
 \r
+       /* This function is called from an ISR. The Xilinx ISR-handler has already\r
+       cleared the TXCOMPL and TXSR_USEDREAD status bits in the XEMACPS_TXSR register.\r
+       But it forgets to do a read-back. Do so now to avoid ever-returning ISR's. */\r
+       ( void ) XEmacPs_ReadReg(xemacpsif->emacps.Config.BaseAddress, XEMACPS_TXSR_OFFSET);\r
+\r
        /* In this port for FreeRTOS+TCP, the EMAC interrupts will only set a bit in\r
        "isr_events". The task in NetworkInterface will wake-up and do the necessary work.\r
        */\r
@@ -188,7 +190,7 @@ static BaseType_t xValidLength( BaseType_t xLength )
 {\r
 BaseType_t xReturn;\r
 \r
-       if( ( xLength >= ( BaseType_t ) sizeof( struct xARP_PACKET ) ) && ( ( ( uint32_t ) xLength ) <= ipTOTAL_ETHERNET_FRAME_SIZE ) )\r
+       if( ( xLength >= ( BaseType_t ) sizeof( struct xARP_PACKET ) ) && ( ( ( uint32_t ) xLength ) <= dmaRX_TX_BUFFER_SIZE ) )\r
        {\r
                xReturn = pdTRUE;\r
        }\r
@@ -207,12 +209,8 @@ int iHasSent = 0;
 uint32_t ulBaseAddress = xemacpsif->emacps.Config.BaseAddress;\r
 TickType_t xBlockTimeTicks = pdMS_TO_TICKS( 5000u );\r
 \r
-       #if( ipconfigZERO_COPY_TX_DRIVER != 0 )\r
-       {\r
-               /* This driver wants to own all network buffers which are to be transmitted. */\r
-               configASSERT( iReleaseAfterSend != pdFALSE );\r
-       }\r
-       #endif\r
+       /* This driver wants to own all network buffers which are to be transmitted. */\r
+       configASSERT( iReleaseAfterSend != pdFALSE );\r
 \r
        /* Open a do {} while ( 0 ) loop to be able to call break. */\r
        do\r
@@ -235,7 +233,6 @@ TickType_t xBlockTimeTicks = pdMS_TO_TICKS( 5000u );
                        break;\r
                }\r
 \r
-#if( ipconfigZERO_COPY_TX_DRIVER != 0 )\r
                /* Pass the pointer (and its ownership) directly to DMA. */\r
                pxDMA_tx_buffers[ head ] = pxBuffer->pucEthernetBuffer;\r
                if( ucIsCachedMemory( pxBuffer->pucEthernetBuffer ) != 0 )\r
@@ -244,15 +241,7 @@ TickType_t xBlockTimeTicks = pdMS_TO_TICKS( 5000u );
                }\r
                /* Buffer has been transferred, do not release it. */\r
                iReleaseAfterSend = pdFALSE;\r
-#else\r
-               if( pxDMA_tx_buffers[ head ] == NULL )\r
-               {\r
-                       FreeRTOS_printf( ( "emacps_send_message: pxDMA_tx_buffers[ %d ] == NULL\n", head ) );\r
-                       break;\r
-               }\r
-               /* Copy the message to unbuffered space in RAM. */\r
-               memcpy( pxDMA_tx_buffers[ head ], pxBuffer->pucEthernetBuffer, pxBuffer->xDataLength );\r
-#endif\r
+\r
                /* Packets will be sent one-by-one, so for each packet\r
                the TXBUF_LAST bit will be set. */\r
                ulFlags |= XEMACPS_TXBUF_LAST_MASK;\r
@@ -292,6 +281,8 @@ TickType_t xBlockTimeTicks = pdMS_TO_TICKS( 5000u );
                /* Start transmit */\r
                xemacpsif->txBusy = pdTRUE;\r
                XEmacPs_WriteReg( ulBaseAddress, XEMACPS_NWCTRL_OFFSET, ( ulValue | XEMACPS_NWCTRL_STARTTX_MASK ) );\r
+               /* Read back the register to make sure the data is flushed. */\r
+               ( void ) XEmacPs_ReadReg( ulBaseAddress, XEMACPS_NWCTRL_OFFSET );\r
        }\r
        dsb();\r
 \r
@@ -306,6 +297,11 @@ void emacps_recv_handler(void *arg)
        xemacpsif = (xemacpsif_s *)(arg);\r
        xemacpsif->isr_events |= EMAC_IF_RX_EVENT;\r
 \r
+       /* The driver has already cleared the FRAMERX, BUFFNA and error bits\r
+       in the XEMACPS_RXSR register,\r
+       But it forgets to do a read-back. Do so now. */\r
+       ( void ) XEmacPs_ReadReg(xemacpsif->emacps.Config.BaseAddress, XEMACPS_RXSR_OFFSET);\r
+\r
        if( xEMACTaskHandle != NULL )\r
        {\r
                vTaskNotifyGiveFromISR( xEMACTaskHandle, &xHigherPriorityTaskWoken );\r
@@ -314,33 +310,35 @@ void emacps_recv_handler(void *arg)
        portYIELD_FROM_ISR( xHigherPriorityTaskWoken );\r
 }\r
 \r
-static NetworkBufferDescriptor_t *ethMsg = NULL;\r
-static NetworkBufferDescriptor_t *ethLast = NULL;\r
-\r
-static void passEthMessages( void )\r
+static void prvPassEthMessages( NetworkBufferDescriptor_t *pxDescriptor )\r
 {\r
 IPStackEvent_t xRxEvent;\r
 \r
        xRxEvent.eEventType = eNetworkRxEvent;\r
-       xRxEvent.pvData = ( void * ) ethMsg;\r
+       xRxEvent.pvData = ( void * ) pxDescriptor;\r
 \r
        if( xSendEventStructToIPTask( &xRxEvent, ( TickType_t ) 1000 ) != pdPASS )\r
        {\r
                /* The buffer could not be sent to the stack so must be released again.\r
                This is a deferred handler taskr, not a real interrupt, so it is ok to\r
                use the task level function here. */\r
-               do\r
+               #if( ipconfigUSE_LINKED_RX_MESSAGES != 0 )\r
                {\r
-                       NetworkBufferDescriptor_t *xNext = ethMsg->pxNextBuffer;\r
-                       vReleaseNetworkBufferAndDescriptor( ethMsg );\r
-                       ethMsg = xNext;\r
-               } while( ethMsg != NULL );\r
-\r
+                       do\r
+                       {\r
+                               NetworkBufferDescriptor_t *pxNext = pxDescriptor->pxNextBuffer;\r
+                               vReleaseNetworkBufferAndDescriptor( pxDescriptor );\r
+                               pxDescriptor = pxNext;\r
+                       } while( pxDescriptor != NULL );\r
+               }\r
+               #else\r
+               {\r
+                       vReleaseNetworkBufferAndDescriptor( pxDescriptor );\r
+               }\r
+               #endif  /* ipconfigUSE_LINKED_RX_MESSAGES */\r
                iptraceETHERNET_RX_EVENT_LOST();\r
-               FreeRTOS_printf( ( "passEthMessages: Can not queue return packet!\n" ) );\r
+               FreeRTOS_printf( ( "prvPassEthMessages: Can not queue return packet!\n" ) );\r
        }\r
-\r
-       ethMsg = ethLast = NULL;\r
 }\r
 \r
 int emacps_check_rx( xemacpsif_s *xemacpsif )\r
@@ -349,6 +347,10 @@ NetworkBufferDescriptor_t *pxBuffer, *pxNewBuffer;
 int rx_bytes;\r
 volatile int msgCount = 0;\r
 int head = xemacpsif->rxHead;\r
+#if( ipconfigUSE_LINKED_RX_MESSAGES != 0 )\r
+       NetworkBufferDescriptor_t *pxFirstDescriptor = NULL;\r
+       NetworkBufferDescriptor_t *pxLastDescriptor = NULL;\r
+#endif /* ipconfigUSE_LINKED_RX_MESSAGES */\r
 \r
        /* There seems to be an issue (SI# 692601), see comments below. */\r
        resetrx_on_no_rxdata(xemacpsif);\r
@@ -364,12 +366,12 @@ int head = xemacpsif->rxHead;
                        break;\r
                }\r
 \r
-               pxNewBuffer = pxGetNetworkBufferWithDescriptor( ipTOTAL_ETHERNET_FRAME_SIZE + RX_BUFFER_ALIGNMENT, ( TickType_t ) 0 );\r
+               pxNewBuffer = pxGetNetworkBufferWithDescriptor( dmaRX_TX_BUFFER_SIZE, ( TickType_t ) 0 );\r
                if( pxNewBuffer == NULL )\r
                {\r
                        /* A packet has been received, but there is no replacement for this Network Buffer.\r
                        The packet will be dropped, and it Network Buffer will stay in place. */\r
-                       FreeRTOS_printf( ("emacps_check_rx: unable to allocate a Netwrok Buffer\n" ) );\r
+                       FreeRTOS_printf( ("emacps_check_rx: unable to allocate a Network Buffer\n" ) );\r
                        pxNewBuffer = ( NetworkBufferDescriptor_t * )pxDMA_rx_buffers[ head ];\r
                }\r
                else\r
@@ -394,26 +396,35 @@ int head = xemacpsif->rxHead;
                        /* store it in the receive queue, where it'll be processed by a\r
                        different handler. */\r
                        iptraceNETWORK_INTERFACE_RECEIVE();\r
-                       pxBuffer->pxNextBuffer = NULL;\r
-\r
-                       if( ethMsg == NULL )\r
+                       #if( ipconfigUSE_LINKED_RX_MESSAGES != 0 )\r
                        {\r
-                               // Becomes the first message\r
-                               ethMsg = pxBuffer;\r
+                               pxBuffer->pxNextBuffer = NULL;\r
+\r
+                               if( pxFirstDescriptor == NULL )\r
+                               {\r
+                                       // Becomes the first message\r
+                                       pxFirstDescriptor = pxBuffer;\r
+                               }\r
+                               else if( pxLastDescriptor != NULL )\r
+                               {\r
+                                       // Add to the tail\r
+                                       pxLastDescriptor->pxNextBuffer = pxBuffer;\r
+                               }\r
+\r
+                               pxLastDescriptor = pxBuffer;\r
                        }\r
-                       else if( ethLast != NULL )\r
+                       #else\r
                        {\r
-                               // Add to the tail\r
-                               ethLast->pxNextBuffer = pxBuffer;\r
+                               prvPassEthMessages( pxBuffer );\r
                        }\r
+                       #endif  /* ipconfigUSE_LINKED_RX_MESSAGES */\r
 \r
-                       ethLast = pxBuffer;\r
                        msgCount++;\r
                }\r
                {\r
                        if( ucIsCachedMemory( pxNewBuffer->pucEthernetBuffer ) != 0 )\r
                        {\r
-                               Xil_DCacheInvalidateRange( ( ( uint32_t )pxNewBuffer->pucEthernetBuffer ) - ipconfigPACKET_FILLER_SIZE, (unsigned)ipTOTAL_ETHERNET_FRAME_SIZE + RX_BUFFER_ALIGNMENT);\r
+                               Xil_DCacheInvalidateRange( ( ( uint32_t ) pxNewBuffer->pucEthernetBuffer ) - ipconfigPACKET_FILLER_SIZE, ( uint32_t ) dmaRX_TX_BUFFER_SIZE );\r
                        }\r
                        {\r
                                uint32_t addr = ( ( uint32_t )pxNewBuffer->pucEthernetBuffer ) & XEMACPS_RXBUF_ADD_MASK;\r
@@ -422,8 +433,10 @@ int head = xemacpsif->rxHead;
                                        addr |= XEMACPS_RXBUF_WRAP_MASK;\r
                                }\r
                                /* Clearing 'XEMACPS_RXBUF_NEW_MASK'       0x00000001 *< Used bit.. */\r
-                               xemacpsif->rxSegments[ head ].address = addr;\r
                                xemacpsif->rxSegments[ head ].flags = 0;\r
+                               xemacpsif->rxSegments[ head ].address = addr;\r
+                               /* Make sure that the value has reached the peripheral by reading it back. */\r
+                               ( void ) xemacpsif->rxSegments[ head ].address;\r
                        }\r
                }\r
 \r
@@ -434,10 +447,14 @@ int head = xemacpsif->rxHead;
                xemacpsif->rxHead = head;\r
        }\r
 \r
-       if( ethMsg != NULL )\r
+       #if( ipconfigUSE_LINKED_RX_MESSAGES != 0 )\r
        {\r
-               passEthMessages( );\r
+               if( pxFirstDescriptor != NULL )\r
+               {\r
+                       prvPassEthMessages( pxFirstDescriptor );\r
+               }\r
        }\r
+       #endif  /* ipconfigUSE_LINKED_RX_MESSAGES */\r
 \r
        return msgCount;\r
 }\r
@@ -455,11 +472,7 @@ unsigned char *ucTxBuffer;
        {\r
                xemacpsif->txSegments[ index ].address = ( uint32_t )ucTxBuffer;\r
                xemacpsif->txSegments[ index ].flags = XEMACPS_TXBUF_USED_MASK;\r
-#if( ipconfigZERO_COPY_TX_DRIVER != 0 )\r
-               pxDMA_tx_buffers[ index ] = ( void* )NULL;\r
-#else\r
-               pxDMA_tx_buffers[ index ] = ( void* )( ucTxBuffer + TX_OFFSET );\r
-#endif\r
+               pxDMA_tx_buffers[ index ] = ( unsigned char * )NULL;\r
                ucTxBuffer += xemacpsif->uTxUnitSize;\r
        }\r
        xemacpsif->txSegments[ ipconfigNIC_N_TX_DESC - 1 ].flags =\r
@@ -479,8 +492,7 @@ XStatus init_dma(xemacpsif_s *xemacpsif)
 \r
        xTxSize = ipconfigNIC_N_TX_DESC * sizeof( xemacpsif->txSegments[ 0 ] );\r
 \r
-       /* Also round-up to 4KB */\r
-       xemacpsif->uTxUnitSize = ( ipTOTAL_ETHERNET_FRAME_SIZE + 0x1000ul ) & ~0xffful;\r
+       xemacpsif->uTxUnitSize = dmaRX_TX_BUFFER_SIZE;\r
        /*\r
         * We allocate 65536 bytes for RX BDs which can accommodate a\r
         * maximum of 8192 BDs which is much more than any application\r
@@ -507,7 +519,7 @@ XStatus init_dma(xemacpsif_s *xemacpsif)
                pxBuffer = pxDMA_rx_buffers[ iIndex ];\r
                if( pxBuffer == NULL )\r
                {\r
-                       pxBuffer = pxGetNetworkBufferWithDescriptor( ipTOTAL_ETHERNET_FRAME_SIZE + RX_BUFFER_ALIGNMENT, ( TickType_t ) 0 );\r
+                       pxBuffer = pxGetNetworkBufferWithDescriptor( dmaRX_TX_BUFFER_SIZE, ( TickType_t ) 0 );\r
                        if( pxBuffer == NULL )\r
                        {\r
                                FreeRTOS_printf( ("Unable to allocate a network buffer in recv_handler\n" ) );\r
@@ -523,7 +535,7 @@ XStatus init_dma(xemacpsif_s *xemacpsif)
                if( ucIsCachedMemory( pxBuffer->pucEthernetBuffer ) != 0 )\r
                {\r
                        Xil_DCacheInvalidateRange( ( ( uint32_t )pxBuffer->pucEthernetBuffer ) - ipconfigPACKET_FILLER_SIZE,\r
-                               (unsigned)ipTOTAL_ETHERNET_FRAME_SIZE + RX_BUFFER_ALIGNMENT);\r
+                               (unsigned)dmaRX_TX_BUFFER_SIZE );\r
                }\r
        }\r
 \r
index e9443cda8e17151e4a2381a2113c29fa31eea298..3d835d9a229b8b5ecce630a5bad4957b745d9d9b 100644 (file)
 #include <stdio.h>\r
 #include <stdlib.h>\r
 \r
-#include "Zynq/x_emacpsif.h"\r
-\r
 /* FreeRTOS includes. */\r
 #include "FreeRTOS.h"\r
 #include "task.h"\r
 #include "queue.h"\r
 \r
-///* FreeRTOS+TCP includes. */\r
 /* FreeRTOS+TCP includes. */\r
 #include "FreeRTOS_IP.h"\r
 #include "FreeRTOS_Sockets.h"\r
 #include "FreeRTOS_IP_Private.h"\r
 #include "NetworkBufferManagement.h"\r
+#include "NetworkInterface.h"\r
+\r
+#include "Zynq/x_emacpsif.h"\r
 \r
 extern TaskHandle_t xEMACTaskHandle;\r
 \r
@@ -42,8 +42,6 @@ extern TaskHandle_t xEMACTaskHandle;
  *** to run it on a PEEP board\r
  ***/\r
 \r
-unsigned int link_speed = 100;\r
-\r
 void setup_isr( xemacpsif_s *xemacpsif )\r
 {\r
        /*\r
@@ -141,8 +139,6 @@ int xResult;
        return xResult;\r
 }\r
 \r
-BaseType_t xNetworkInterfaceInitialise( void );\r
-\r
 static void emacps_handle_error(void *arg, u8 Direction, u32 ErrorWord)\r
 {\r
        xemacpsif_s   *xemacpsif;\r
@@ -218,8 +214,6 @@ static void emacps_handle_error(void *arg, u8 Direction, u32 ErrorWord)
        }\r
 }\r
 \r
-extern XEmacPs_Config mac_config;\r
-\r
 void HandleTxErrors(xemacpsif_s *xemacpsif)\r
 {\r
        u32 netctrlreg;\r
index 12b8c60c8b9da9b4ccf0fe20cb0ef6fc31352b14..62228d065584ac10c0e4cfd11dfada72a91866a3 100644 (file)
 #include <stdio.h>\r
 #include <stdlib.h>\r
 \r
-#include "Zynq/x_emacpsif.h"\r
-//#include "lwipopts.h"\r
-#include "xparameters_ps.h"\r
-#include "xparameters.h"\r
-\r
 /* FreeRTOS includes. */\r
 #include "FreeRTOS.h"\r
 #include "task.h"\r
 #include "queue.h"\r
 #include "semphr.h"\r
 \r
-///* FreeRTOS+TCP includes. */\r
 /* FreeRTOS+TCP includes. */\r
 #include "FreeRTOS_IP.h"\r
 #include "FreeRTOS_Sockets.h"\r
 #include "FreeRTOS_IP_Private.h"\r
 #include "NetworkBufferManagement.h"\r
 \r
+#include "Zynq/x_emacpsif.h"\r
+#include "xparameters_ps.h"\r
+#include "xparameters.h"\r
+\r
+\r
 int phy_detected = 0;\r
 \r
 /*** IMPORTANT: Define PEEP in xemacpsif.h and sys_arch_raw.c\r
@@ -99,6 +98,8 @@ int phy_detected = 0;
 \r
 #define IEEE_CONTROL_REG_OFFSET                                0\r
 #define IEEE_STATUS_REG_OFFSET                         1\r
+#define IEEE_PHYSID1_OFFSET                                    2\r
+#define IEEE_PHYSID2_OFFSET                                    3\r
 #define IEEE_AUTONEGO_ADVERTISE_REG                    4\r
 #define IEEE_PARTNER_ABILITIES_1_REG_OFFSET    5\r
 #define IEEE_1000_ADVERTISE_REG_OFFSET         9\r
@@ -135,9 +136,6 @@ int phy_detected = 0;
 #define IEEE_PAUSE_MASK                                                0x0400\r
 #define IEEE_AUTONEG_ERROR_MASK                                0x8000\r
 \r
-#define PHY_DETECT_REG  1\r
-#define PHY_DETECT_MASK 0x1808\r
-\r
 #define XEMACPS_GMII2RGMII_SPEED1000_FD                0x140\r
 #define XEMACPS_GMII2RGMII_SPEED100_FD         0x2100\r
 #define XEMACPS_GMII2RGMII_SPEED10_FD          0x100\r
@@ -161,21 +159,24 @@ int phy_detected = 0;
 #define EMAC0_BASE_ADDRESS                             0xE000B000\r
 #define EMAC1_BASE_ADDRESS                             0xE000C000\r
 \r
+#define PHY_ADDRESS_COUNT                              32\r
+\r
+#define MINIMUM_SLEEP_TIME                             2\r
+\r
+\r
 static int detect_phy(XEmacPs *xemacpsp)\r
 {\r
-       u16 phy_reg;\r
-       u32 phy_addr;\r
-\r
-       for (phy_addr = 31; phy_addr > 0; phy_addr--) {\r
-               XEmacPs_PhyRead(xemacpsp, phy_addr, PHY_DETECT_REG,\r
-                                                       &phy_reg);\r
-\r
-               if ((phy_reg != 0xFFFF) &&\r
-                       ((phy_reg & PHY_DETECT_MASK) == PHY_DETECT_MASK)) {\r
-                       /* Found a valid PHY address */\r
-                       FreeRTOS_printf( ("XEmacPs detect_phy: PHY detected at address %d.\r\n",\r
-                                                                                                                                       phy_addr));\r
-                       FreeRTOS_printf( ("XEmacPs detect_phy: PHY detected.\n" ) );\r
+       u16 id_lower, id_upper;\r
+       u32 phy_addr, id;\r
+\r
+       for (phy_addr = 0; phy_addr < PHY_ADDRESS_COUNT; phy_addr++) {\r
+               XEmacPs_PhyRead(xemacpsp, phy_addr, IEEE_PHYSID1_OFFSET, &id_lower);\r
+\r
+               if ((id_lower != ( u16 )0xFFFFu) && (id_lower != ( u16 )0x0u)) {\r
+\r
+                       XEmacPs_PhyRead(xemacpsp, phy_addr, IEEE_PHYSID2_OFFSET, &id_upper);\r
+                       id = ( ( ( uint32_t ) id_upper ) << 16 ) | ( id_lower & 0xFFF0 );\r
+                       FreeRTOS_printf( ("XEmacPs detect_phy: %04lX at address %d.\n", id, phy_addr ) );\r
                        phy_detected = phy_addr;\r
                        return phy_addr;\r
                }\r
@@ -238,8 +239,8 @@ unsigned get_IEEE_phy_speed(XEmacPs *xemacpsp)
                if (partner_capabilities & IEEE_AN1_ABILITY_MASK_10MBPS)\r
                        return 10;\r
 \r
-               xil_printf("%s: unknown PHY link speed, setting TEMAC speed to be 10 Mbps\r\n",\r
-                               __FUNCTION__);\r
+               FreeRTOS_printf( ( "%s: unknown PHY link speed, setting TEMAC speed to be 10 Mbps\n",\r
+                               __FUNCTION__ ) );\r
                return 10;\r
 \r
        } else {\r
@@ -257,8 +258,8 @@ unsigned get_IEEE_phy_speed(XEmacPs *xemacpsp)
                                case (IEEE_CTRL_LINKSPEED_10M):\r
                                        return 10;\r
                                default:\r
-                                       xil_printf("%s: unknown PHY link speed (%d), setting TEMAC speed to be 10 Mbps\r\n",\r
-                                                       __FUNCTION__, phylinkspeed);\r
+                                       FreeRTOS_printf( ( "%s: unknown PHY link speed (%d), setting TEMAC speed to be 10 Mbps\n",\r
+                                                       __FUNCTION__, phylinkspeed ) );\r
                                        return 10;\r
                        }\r
 \r
@@ -282,7 +283,7 @@ unsigned get_IEEE_phy_speed(XEmacPs *xemacpsp)
 #else\r
        u32 phy_addr = detect_phy(xemacpsp);\r
 #endif\r
-       xil_printf("Start PHY autonegotiation \r\n");\r
+       FreeRTOS_printf( ( "Start PHY autonegotiation \n" ) );\r
 \r
 #if XPAR_GIGE_PCS_PMA_CORE_PRESENT == 1\r
 #else\r
@@ -338,24 +339,24 @@ unsigned get_IEEE_phy_speed(XEmacPs *xemacpsp)
                        break;\r
        }\r
 #endif\r
-       xil_printf("Waiting for PHY to complete autonegotiation.\r\n");\r
+       FreeRTOS_printf( ( "Waiting for PHY to complete autonegotiation.\n" ) );\r
 \r
        XEmacPs_PhyRead(xemacpsp, phy_addr, IEEE_STATUS_REG_OFFSET, &status);\r
        while ( !(status & IEEE_STAT_AUTONEGOTIATE_COMPLETE) ) {\r
-               sleep(1);\r
+               vTaskDelay( MINIMUM_SLEEP_TIME );\r
 #if XPAR_GIGE_PCS_PMA_CORE_PRESENT == 1\r
 #else\r
                XEmacPs_PhyRead(xemacpsp, phy_addr, IEEE_COPPER_SPECIFIC_STATUS_REG_2,\r
                                                                                                                                        &temp);\r
                if (temp & IEEE_AUTONEG_ERROR_MASK) {\r
-                       xil_printf("Auto negotiation error \r\n");\r
+                       FreeRTOS_printf( ( "Auto negotiation error \n" ) );\r
                }\r
 #endif\r
                XEmacPs_PhyRead(xemacpsp, phy_addr, IEEE_STATUS_REG_OFFSET,\r
                                                                                                                                &status);\r
                }\r
 \r
-       xil_printf("autonegotiation complete \r\n");\r
+       FreeRTOS_printf( ( "autonegotiation complete \n" ) );\r
 \r
 #if XPAR_GIGE_PCS_PMA_CORE_PRESENT == 1\r
 #else\r
@@ -363,7 +364,7 @@ unsigned get_IEEE_phy_speed(XEmacPs *xemacpsp)
 #endif\r
 \r
 #if XPAR_GIGE_PCS_PMA_CORE_PRESENT == 1\r
-       xil_printf("Waiting for Link to be up; Polling for SGMII core Reg \r\n");\r
+       FreeRTOS_printf( ( "Waiting for Link to be up; Polling for SGMII core Reg \n" ) );\r
        XEmacPs_PhyRead(xemacpsp, phy_addr, 5, &temp);\r
        while(!(temp & 0x8000)) {\r
                XEmacPs_PhyRead(xemacpsp, phy_addr, 5, &temp);\r
@@ -380,7 +381,7 @@ unsigned get_IEEE_phy_speed(XEmacPs *xemacpsp)
                XEmacPs_PhyRead(xemacpsp, phy_addr, 0, &temp);\r
                return 10;\r
        } else {\r
-               xil_printf("get_IEEE_phy_speed(): Invalid speed bit value, Deafulting to Speed = 10 Mbps\r\n");\r
+               FreeRTOS_printf( ( "get_IEEE_phy_speed(): Invalid speed bit value, Deafulting to Speed = 10 Mbps\n" ) );\r
                XEmacPs_PhyRead(xemacpsp, phy_addr, 0, &temp);\r
                XEmacPs_PhyWrite(xemacpsp, phy_addr, 0, 0x0100);\r
                return 10;\r
@@ -560,26 +561,26 @@ unsigned Phy_Setup (XEmacPs *xemacpsp)
        link_speed = 1000;\r
        configure_IEEE_phy_speed(xemacpsp, link_speed);\r
        convspeeddupsetting = XEMACPS_GMII2RGMII_SPEED1000_FD;\r
-       sleep(1);\r
+       vTaskDelay( MINIMUM_SLEEP_TIME );\r
 #elif  defined(ipconfigNIC_LINKSPEED100)\r
        SetUpSLCRDivisors(xemacpsp->Config.BaseAddress,100);\r
        link_speed = 100;\r
        configure_IEEE_phy_speed(xemacpsp, link_speed);\r
        convspeeddupsetting = XEMACPS_GMII2RGMII_SPEED100_FD;\r
-       sleep(1);\r
+       vTaskDelay( MINIMUM_SLEEP_TIME );\r
 #elif  defined(ipconfigNIC_LINKSPEED10)\r
        SetUpSLCRDivisors(xemacpsp->Config.BaseAddress,10);\r
        link_speed = 10;\r
        configure_IEEE_phy_speed(xemacpsp, link_speed);\r
        convspeeddupsetting = XEMACPS_GMII2RGMII_SPEED10_FD;\r
-       sleep(1);\r
+       vTaskDelay( MINIMUM_SLEEP_TIME );\r
 #endif\r
        if (conv_present) {\r
                XEmacPs_PhyWrite(xemacpsp, convphyaddr,\r
                XEMACPS_GMII2RGMII_REG_NUM, convspeeddupsetting);\r
        }\r
 \r
-       xil_printf("link speed: %d\r\n", link_speed);\r
+       FreeRTOS_printf( ( "link speed: %d\n", link_speed ) );\r
        return link_speed;\r
 }\r
 \r
index 96503f457a504e1a60728826b19f0e18edc0d2fe..040516361412f97e17529e1a45f026f3301442bb 100644 (file)
@@ -44,6 +44,14 @@ enum if_state_t {
 static const char *TAG = "NetInterface";
 volatile static uint32_t xInterfaceState = INTERFACE_DOWN;
 
+/* protect the function declaration itself instead of using
+   #if everywhere.                                        */
+#if ( ipconfigHAS_PRINTF != 0 )
+    static void prvPrintResourceStats();    
+#else
+    #define prvPrintResourceStats()
+#endif
+
 BaseType_t xNetworkInterfaceInitialise( void )
 {
     static BaseType_t xMACAdrInitialized = pdFALSE;
@@ -78,6 +86,8 @@ BaseType_t xNetworkInterfaceOutput( NetworkBufferDescriptor_t *const pxNetworkBu
         }
     }
 
+    prvPrintResourceStats();
+    
     if (xReleaseAfterSend == pdTRUE) {
         vReleaseNetworkBufferAndDescriptor(pxNetworkBuffer);
     }
@@ -105,6 +115,8 @@ esp_err_t wlanif_input(void *netif, void *buffer, uint16_t len, void *eb)
     IPStackEvent_t xRxEvent = { eNetworkRxEvent, NULL };
     const TickType_t xDescriptorWaitTime = pdMS_TO_TICKS( 250 );
 
+    prvPrintResourceStats();
+
     if( eConsiderFrameForProcessing( buffer ) != eProcessBuffer ) {
         ESP_LOGD(TAG, "Dropping packet");
         esp_wifi_internal_free_rx_buffer(eb);
@@ -114,10 +126,10 @@ esp_err_t wlanif_input(void *netif, void *buffer, uint16_t len, void *eb)
     pxNetworkBuffer = pxGetNetworkBufferWithDescriptor(len, xDescriptorWaitTime);
     if (pxNetworkBuffer != NULL) {
 
-       /* Set the packet size, in case a larger buffer was returned. */
-       pxNetworkBuffer->xDataLength = len;
+        /* Set the packet size, in case a larger buffer was returned. */
+        pxNetworkBuffer->xDataLength = len;
 
-       /* Copy the packet data. */
+        /* Copy the packet data. */
         memcpy(pxNetworkBuffer->pucEthernetBuffer, buffer, len);
         xRxEvent.pvData = (void *) pxNetworkBuffer;
 
@@ -133,3 +145,50 @@ esp_err_t wlanif_input(void *netif, void *buffer, uint16_t len, void *eb)
         return ESP_FAIL;
     }
 }
+
+#if ( ipconfigHAS_PRINTF != 0 )
+    static void prvPrintResourceStats()
+    {
+        static UBaseType_t uxLastMinBufferCount = 0u;
+        static UBaseType_t uxCurrentBufferCount = 0u;
+        static size_t uxMinLastSize = 0uL;
+        size_t uxMinSize;
+
+        uxCurrentBufferCount = uxGetMinimumFreeNetworkBuffers();
+
+        if( uxLastMinBufferCount != uxCurrentBufferCount )
+        {
+            /* The logging produced below may be helpful
+             * while tuning +TCP: see how many buffers are in use. */
+            uxLastMinBufferCount = uxCurrentBufferCount;
+            FreeRTOS_printf( ( "Network buffers: %lu lowest %lu\n",
+                               uxGetNumberOfFreeNetworkBuffers(), uxCurrentBufferCount ) );
+        }
+
+        uxMinSize = xPortGetMinimumEverFreeHeapSize();
+
+        if( uxMinLastSize != uxMinSize )
+        {
+            uxMinLastSize = uxMinSize;
+            FreeRTOS_printf( ( "Heap: current %lu lowest %lu\n", xPortGetFreeHeapSize(), uxMinSize ) );
+        }
+
+        #if ( ipconfigCHECK_IP_QUEUE_SPACE != 0 )
+            {
+                static UBaseType_t uxLastMinQueueSpace = 0;
+                UBaseType_t uxCurrentCount = 0u;
+
+                uxCurrentCount = uxGetMinimumIPQueueSpace();
+
+                if( uxLastMinQueueSpace != uxCurrentCount )
+                {
+                    /* The logging produced below may be helpful
+                     * while tuning +TCP: see how many buffers are in use. */
+                    uxLastMinQueueSpace = uxCurrentCount;
+                    FreeRTOS_printf( ( "Queue space: lowest %lu\n", uxCurrentCount ) );
+                }
+            }
+        #endif /* ipconfigCHECK_IP_QUEUE_SPACE */
+    }
+#endif /* ( ipconfigHAS_PRINTF != 0 ) */
+/*-----------------------------------------------------------*/
diff --git a/FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/test/iot_freertos_tcp_test_access_declare.h b/FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/test/iot_freertos_tcp_test_access_declare.h
new file mode 100644 (file)
index 0000000..8a10d5e
--- /dev/null
@@ -0,0 +1,38 @@
+/*
+ * FreeRTOS+TCP V2.2.1
+ * Copyright (C) 2019 Amazon.com, Inc. or its affiliates.  All Rights Reserved.
+ *
+ * Permission is hereby granted, free of charge, to any person obtaining a copy of
+ * this software and associated documentation files (the "Software"), to deal in
+ * the Software without restriction, including without limitation the rights to
+ * use, copy, modify, merge, publish, distribute, sublicense, and/or sell copies of
+ * the Software, and to permit persons to whom the Software is furnished to do so,
+ * subject to the following conditions:
+ *
+ * The above copyright notice and this permission notice shall be included in all
+ * copies or substantial portions of the Software.
+ *
+ * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
+ * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS
+ * FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR
+ * COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER
+ * IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN
+ * CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
+ *
+ * http://aws.amazon.com/freertos
+ * http://www.FreeRTOS.org
+ */
+
+#ifndef _AWS_FREERTOS_TCP_TEST_ACCESS_DECLARE_H_
+#define _AWS_FREERTOS_TCP_TEST_ACCESS_DECLARE_H_
+
+uint32_t TEST_FreeRTOS_TCP_prvParseDNSReply( uint8_t * pucUDPPayloadBuffer,
+                                             size_t xBufferLength,
+                                             TickType_t xIdentifier );
+
+void TEST_FreeRTOS_TCP_prvCheckOptions( FreeRTOS_Socket_t * pxSocket,
+                                        NetworkBufferDescriptor_t * pxNetworkBuffer );
+
+void TEST_FreeRTOS_TCP_prvTCPCreateWindow( FreeRTOS_Socket_t * pxSocket );
+
+#endif /* ifndef _AWS_FREERTOS_TCP_TEST_ACCESS_DECLARE_H_ */
diff --git a/FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/test/iot_freertos_tcp_test_access_dns_define.h b/FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/test/iot_freertos_tcp_test_access_dns_define.h
new file mode 100644 (file)
index 0000000..b9ee51c
--- /dev/null
@@ -0,0 +1,48 @@
+/*
+ * FreeRTOS+TCP V2.2.1
+ * Copyright (C) 2019 Amazon.com, Inc. or its affiliates.  All Rights Reserved.
+ *
+ * Permission is hereby granted, free of charge, to any person obtaining a copy of
+ * this software and associated documentation files (the "Software"), to deal in
+ * the Software without restriction, including without limitation the rights to
+ * use, copy, modify, merge, publish, distribute, sublicense, and/or sell copies of
+ * the Software, and to permit persons to whom the Software is furnished to do so,
+ * subject to the following conditions:
+ *
+ * The above copyright notice and this permission notice shall be included in all
+ * copies or substantial portions of the Software.
+ *
+ * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
+ * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS
+ * FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR
+ * COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER
+ * IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN
+ * CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
+ *
+ * http://aws.amazon.com/freertos
+ * http://www.FreeRTOS.org
+ */
+
+/**
+ * @file aws_ota_pal_test_access_define.h
+ * @brief Function wrappers that access private methods in aws_ota_pal.c.
+ *
+ * Needed for testing private functions.
+ */
+
+#ifndef _AWS_FREERTOS_TCP_TEST_ACCESS_DNS_DEFINE_H_
+#define _AWS_FREERTOS_TCP_TEST_ACCESS_DNS_DEFINE_H_
+
+#include "iot_freertos_tcp_test_access_declare.h"
+
+/*-----------------------------------------------------------*/
+
+uint32_t TEST_FreeRTOS_TCP_prvParseDNSReply( uint8_t * pucUDPPayloadBuffer,
+                                             size_t xBufferLength,
+                                             TickType_t xIdentifier )
+{
+    return prvParseDNSReply( pucUDPPayloadBuffer, xBufferLength, xIdentifier );
+}
+/*-----------------------------------------------------------*/
+
+#endif /* ifndef _AWS_FREERTOS_TCP_TEST_ACCESS_DNS_DEFINE_H_ */
diff --git a/FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/test/iot_freertos_tcp_test_access_tcp_define.h b/FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/test/iot_freertos_tcp_test_access_tcp_define.h
new file mode 100644 (file)
index 0000000..45e18e9
--- /dev/null
@@ -0,0 +1,53 @@
+/*
+ * FreeRTOS+TCP V2.2.1
+ * Copyright (C) 2019 Amazon.com, Inc. or its affiliates.  All Rights Reserved.
+ *
+ * Permission is hereby granted, free of charge, to any person obtaining a copy of
+ * this software and associated documentation files (the "Software"), to deal in
+ * the Software without restriction, including without limitation the rights to
+ * use, copy, modify, merge, publish, distribute, sublicense, and/or sell copies of
+ * the Software, and to permit persons to whom the Software is furnished to do so,
+ * subject to the following conditions:
+ *
+ * The above copyright notice and this permission notice shall be included in all
+ * copies or substantial portions of the Software.
+ *
+ * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
+ * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS
+ * FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR
+ * COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER
+ * IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN
+ * CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
+ *
+ * http://aws.amazon.com/freertos
+ * http://www.FreeRTOS.org
+ */
+
+/**
+ * @file aws_ota_pal_test_access_define.h
+ * @brief Function wrappers that access private methods in aws_ota_pal.c.
+ *
+ * Needed for testing private functions.
+ */
+
+#ifndef _AWS_FREERTOS_TCP_TEST_ACCESS_TCP_DEFINE_H_
+#define _AWS_FREERTOS_TCP_TEST_ACCESS_TCP_DEFINE_H_
+
+#include "iot_freertos_tcp_test_access_declare.h"
+
+/*-----------------------------------------------------------*/
+
+void TEST_FreeRTOS_TCP_prvCheckOptions( FreeRTOS_Socket_t * pxSocket,
+                                        NetworkBufferDescriptor_t * pxNetworkBuffer )
+{
+    prvCheckOptions( pxSocket, pxNetworkBuffer );
+}
+/*-----------------------------------------------------------*/
+
+void TEST_FreeRTOS_TCP_prvTCPCreateWindow( FreeRTOS_Socket_t * pxSocket )
+{
+    prvTCPCreateWindow( pxSocket );
+}
+/*-----------------------------------------------------------*/
+
+#endif /* ifndef _AWS_FREERTOS_TCP_TEST_ACCESS_TCP_DEFINE_H_ */
diff --git a/FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/test/iot_test_freertos_tcp.c b/FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/test/iot_test_freertos_tcp.c
new file mode 100644 (file)
index 0000000..99b1d69
--- /dev/null
@@ -0,0 +1,362 @@
+/*
+ * FreeRTOS+TCP V2.2.1
+ * Copyright (C) 2019 Amazon.com, Inc. or its affiliates.  All Rights Reserved.
+ *
+ * Permission is hereby granted, free of charge, to any person obtaining a copy of
+ * this software and associated documentation files (the "Software"), to deal in
+ * the Software without restriction, including without limitation the rights to
+ * use, copy, modify, merge, publish, distribute, sublicense, and/or sell copies of
+ * the Software, and to permit persons to whom the Software is furnished to do so,
+ * subject to the following conditions:
+ *
+ * The above copyright notice and this permission notice shall be included in all
+ * copies or substantial portions of the Software.
+ *
+ * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
+ * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS
+ * FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR
+ * COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER
+ * IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN
+ * CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
+ *
+ * http://aws.amazon.com/freertos
+ * http://www.FreeRTOS.org
+ */
+
+/* Standard includes. */
+#include <stdint.h>
+#include <stdbool.h>
+#include <string.h>
+
+/* FreeRTOS includes. */
+#include "FreeRTOS.h"
+#include "list.h"
+#include "FreeRTOS_IP.h"
+#include "FreeRTOS_IP_Private.h"
+#include "FreeRTOS_DNS.h"
+
+/* Test includes. */
+#include "unity_fixture.h"
+#include "unity.h"
+#include "iot_freertos_tcp_test_access_declare.h"
+
+/**
+ * @brief Configuration for this test group.
+ */
+
+/*
+ * @brief Test group definition.
+ */
+TEST_GROUP( Full_FREERTOS_TCP );
+
+TEST_SETUP( Full_FREERTOS_TCP )
+{
+}
+
+TEST_TEAR_DOWN( Full_FREERTOS_TCP )
+{
+}
+
+TEST_GROUP_RUNNER( Full_FREERTOS_TCP )
+{
+    /* Run a parser test. */
+    RUN_TEST_CASE( Full_FREERTOS_TCP, prvParseDnsResponse );
+    RUN_TEST_CASE( Full_FREERTOS_TCP, ulDNSHandlePacket );
+
+    /* prvCheckOptions test. */
+    RUN_TEST_CASE( Full_FREERTOS_TCP, prvCheckOptions );
+
+    /* xProcessReceivedUDPPacket test. */
+    RUN_TEST_CASE( Full_FREERTOS_TCP, UDPPacketLength );
+}
+
+TEST( Full_FREERTOS_TCP, prvParseDnsResponse )
+{
+    uint8_t ucGoodDnsResponse[] =
+    {
+        0xd7, 0x66, 0x81, 0x80, 0x00, 0x01, 0x00, 0x08, 0x00, 0x00, 0x00, 0x00, 0x0e, 0x61, 0x33, 0x37,
+        0x62, 0x78, 0x76, 0x31, 0x63, 0x62, 0x64, 0x61, 0x33, 0x6a, 0x67, 0x03, 0x69, 0x6f, 0x74, 0x09,
+        0x75, 0x73, 0x2d, 0x77, 0x65, 0x73, 0x74, 0x2d, 0x32, 0x09, 0x61, 0x6d, 0x61, 0x7a, 0x6f, 0x6e,
+        0x61, 0x77, 0x73, 0x03, 0x63, 0x6f, 0x6d, 0x00, 0x00, 0x01, 0x00, 0x01, 0xc0, 0x0c, 0x00, 0x05,
+        0x00, 0x01, 0x00, 0x00, 0x01, 0x2c, 0x00, 0x1e, 0x0c, 0x69, 0x6f, 0x74, 0x6d, 0x6f, 0x6f, 0x6e,
+        0x72, 0x61, 0x6b, 0x65, 0x72, 0x09, 0x75, 0x73, 0x2d, 0x77, 0x65, 0x73, 0x74, 0x2d, 0x32, 0x04,
+        0x70, 0x72, 0x6f, 0x64, 0xc0, 0x1b, 0xc0, 0x48, 0x00, 0x05, 0x00, 0x01, 0x00, 0x00, 0x00, 0xec,
+        0x00, 0x45, 0x09, 0x64, 0x75, 0x61, 0x6c, 0x73, 0x74, 0x61, 0x63, 0x6b, 0x2a, 0x69, 0x6f, 0x74,
+        0x6d, 0x6f, 0x6f, 0x6e, 0x72, 0x61, 0x6b, 0x65, 0x72, 0x2d, 0x75, 0x2d, 0x65, 0x6c, 0x62, 0x2d,
+        0x31, 0x77, 0x38, 0x71, 0x6e, 0x77, 0x31, 0x33, 0x33, 0x36, 0x7a, 0x71, 0x2d, 0x31, 0x31, 0x38,
+        0x36, 0x33, 0x34, 0x38, 0x30, 0x39, 0x32, 0x09, 0x75, 0x73, 0x2d, 0x77, 0x65, 0x73, 0x74, 0x2d,
+        0x32, 0x03, 0x65, 0x6c, 0x62, 0xc0, 0x29, 0xc0, 0x72, 0x00, 0x01, 0x00, 0x01, 0x00, 0x00, 0x00,
+        0x23, 0x00, 0x04, 0x22, 0xd3, 0x41, 0xdb, 0xc0, 0x72, 0x00, 0x01, 0x00, 0x01, 0x00, 0x00, 0x00,
+        0x23, 0x00, 0x04, 0x22, 0xd3, 0x53, 0xe4, 0xc0, 0x72, 0x00, 0x01, 0x00, 0x01, 0x00, 0x00, 0x00,
+        0x23, 0x00, 0x04, 0x22, 0xd3, 0xb6, 0x17, 0xc0, 0x72, 0x00, 0x01, 0x00, 0x01, 0x00, 0x00, 0x00,
+        0x23, 0x00, 0x04, 0x22, 0xd6, 0xf5, 0xf0, 0xc0, 0x72, 0x00, 0x01, 0x00, 0x01, 0x00, 0x00, 0x00,
+        0x23, 0x00, 0x04, 0x22, 0xd7, 0xe6, 0xa4, 0xc0, 0x72, 0x00, 0x01, 0x00, 0x01, 0x00, 0x00, 0x00,
+        0x23, 0x00, 0x04, 0x36, 0x95, 0x5e, 0x45
+    };
+    const uint32_t ulExpectedAddress = 0xdb41d322;
+    uint8_t ucBadDnsResponseA[] =
+    {
+        0x3b, 0x6a, 0x81, 0x83, 0x01, 0x80, 0x00, 0x00, 0x00, 0x01, 0x00, 0x00, 0x09, 0x69, 0x6e, 0x73,
+        0x70, 0x65, 0x63, 0x74, 0x6f, 0x72, 0x08, 0x75, 0x73, 0x2d, 0x77, 0x65, 0x73, 0x74, 0x32, 0x09,
+        0x61, 0x6d, 0x61, 0x7a, 0x6f, 0x6e, 0x61, 0x77, 0x73, 0x03, 0x63, 0x6f, 0x6d, 0x00, 0x00, 0x01,
+        0x00, 0x01, 0xc0, 0x1f, 0x00, 0x06, 0x00, 0x01, 0x00, 0x00, 0x00, 0x03, 0x00, 0x33, 0x0e, 0x64,
+        0x6e, 0x73, 0x2d, 0x64, 0x79, 0x6e, 0x2d
+    };
+    uint8_t ucBadDnsResponseB[] =
+    {
+        0xf0, 0x23, 0x81, 0x80, 0x04, 0x00, 0x00, 0x04, 0x00, 0x00, 0x00, 0x00, 0x03, 0x77, 0x77, 0x77,
+        0x05, 0x61, 0x70, 0x70, 0x6c, 0x65, 0x03, 0x63, 0x6f, 0x6d, 0x00, 0x00, 0x01, 0x00, 0x01, 0xc0,
+        0x0c, 0x00, 0x05, 0x00, 0x01, 0x00, 0x00, 0x01, 0x7c, 0x00, 0x1b, 0x03, 0x77, 0x77, 0x77, 0x05,
+        0x61, 0x70, 0x70, 0x6c, 0x65, 0x03, 0x63, 0x6f, 0x6d, 0x07, 0x65, 0x64, 0x67, 0x65, 0x6b, 0x65,
+        0x79, 0x03, 0x6e, 0x65, 0x74, 0x00, 0xc0, 0x2b, 0x00, 0x05, 0x00, 0x01, 0x00, 0x00, 0x1a, 0xd5,
+        0x00, 0x2f, 0x03, 0x77, 0x77, 0x77, 0x05, 0x61, 0x70, 0x70, 0x6c, 0x65, 0x03, 0x63, 0x6f, 0x6d,
+        0x07, 0x65, 0x64, 0x67, 0x65, 0x6b, 0x65, 0x79, 0x03, 0x6e, 0x65, 0x74, 0x0b, 0x67, 0x6c, 0x6f,
+        0x62, 0x61, 0x6c, 0x72, 0x65, 0x64, 0x69, 0x72, 0x06, 0x61, 0x6b, 0x61, 0x64, 0x6e, 0x73, 0xc0,
+        0x41, 0xc0, 0x52, 0x00, 0x05, 0x00, 0x01, 0x00, 0x00, 0x08, 0xb3, 0x00, 0x19, 0x05, 0x65, 0x36,
+        0x38, 0x35, 0x38, 0x05, 0x64, 0x73, 0x63, 0x65, 0x39, 0x0a, 0x61, 0x6b, 0x61, 0x6d, 0x61, 0x69,
+        0x65, 0x64, 0x67, 0x65, 0xc0, 0x41, 0xc0, 0x8d, 0x00, 0x01, 0x00, 0x01, 0x00, 0x00, 0x00, 0x0a,
+        0x00, 0x04, 0x17, 0x4a, 0x3e, 0x96
+    };
+    uint8_t ucBadDnsResponseC[] =
+    {
+        0x3b, 0xa3, 0x81, 0x80, 0x00, 0x01, 0x04, 0x00, 0x00, 0x00, 0x00, 0x00, 0x03, 0x77, 0x77, 0x77,
+        0x09, 0x6d, 0x69, 0x63, 0x72, 0x6f, 0x73, 0x6f, 0x66
+    };
+    uint8_t ucBadDnsResponseD[] =
+    {
+        0x95, 0x1e, 0x81, 0x80, 0x05, 0x00, 0x00, 0x02, 0x00, 0x00, 0x00, 0x00, 0x03, 0x77, 0x77, 0x77,
+        0x03, 0x63, 0x6e, 0x6e, 0x03, 0x63, 0x6f, 0x6d, 0x00, 0x00, 0x01, 0x00, 0x01, 0xc0, 0x0c, 0x00,
+        0x05, 0x00, 0x01, 0x00, 0x00, 0x00, 0x48, 0x00, 0x1b, 0x0a, 0x74, 0x75, 0x72, 0x6e, 0x65, 0x72,
+        0x2d, 0x74, 0x6c, 0x73, 0x03, 0x6d, 0x61, 0x70, 0x06, 0x66, 0x61, 0x73, 0x74, 0x6c, 0x79, 0x03,
+        0x6e, 0x65, 0x74, 0x00, 0xc0, 0x29, 0x00, 0x01, 0x00, 0x01, 0x00, 0x00, 0x00, 0x18, 0x00, 0x04,
+        0x97, 0x65, 0x35, 0x43
+    };
+    uint8_t ucBadDnsResponseE[] =
+    {
+        0xa8, 0x6d, 0x81, 0x80, 0x03, 0x00, 0x00, 0x04, 0x00, 0x00, 0x00, 0x00, 0x03, 0x77, 0x77, 0x77,
+        0x05, 0x61, 0x70, 0x70, 0x6c, 0x65, 0x03, 0x63, 0x6f, 0x6d, 0x00, 0x00, 0x01, 0x00, 0x01, 0xc0,
+        0x0c, 0x00, 0x05, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00, 0x00, 0x1b, 0x03, 0x77, 0x77, 0x77, 0x05,
+        0x61, 0x70, 0x70, 0x6c, 0x65, 0x03, 0x63, 0x6f, 0x6d, 0x07, 0x65, 0x64, 0x67, 0x65, 0x6b, 0x65,
+        0x79, 0x03, 0x6e, 0x65, 0x74, 0x00, 0xc0, 0x2b, 0x00, 0x05, 0x00, 0x01, 0x00, 0x00, 0x1c, 0x2c,
+        0x00, 0x2f, 0x03, 0x77, 0x77, 0x77, 0x05, 0x61, 0x70, 0x70, 0x6c, 0x65, 0x03, 0x63, 0x6f, 0x6d,
+        0x07, 0x65, 0x64, 0x67, 0x65, 0x6b, 0x65, 0x79, 0x03, 0x6e, 0x65, 0x74, 0x0b, 0x67, 0x6c, 0x6f,
+        0x62, 0x61, 0x6c, 0x72, 0x65, 0x64, 0x69, 0x72, 0x06, 0x61, 0x6b, 0x61, 0x64, 0x6e, 0x73, 0xc0,
+        0x41, 0xc0, 0x52, 0x00, 0x05, 0x00, 0x01, 0x00, 0x00, 0x03, 0xd7, 0x00, 0x19, 0x05, 0x65, 0x36,
+        0x38, 0x35, 0x38, 0x05, 0x64, 0x73, 0x63, 0x65, 0x39, 0x0a, 0x61, 0x6b, 0x61, 0x6d, 0x61, 0x69,
+        0x65, 0x64, 0x67, 0x65, 0xc0, 0x41, 0xc0, 0x8d, 0x00, 0x01, 0x00, 0x01, 0x00, 0x00, 0x00, 0x08,
+        0x00, 0x04, 0x17, 0x4b, 0xba, 0x13
+    };
+    uint8_t ucBadDnsResponseF[] =
+    {
+        0x6c, 0x1e, 0x81, 0x80, 0x00, 0x01, 0x03, 0x00, 0x00, 0x00, 0x00, 0x00, 0x03, 0x77, 0x77, 0x77,
+        0x06, 0x61, 0x6d, 0x61, 0x7a, 0x6f, 0x6e, 0x03, 0x63, 0x6f, 0x6d, 0x00, 0x00, 0x01, 0x00, 0x01,
+        0xc0, 0x0c, 0x00, 0x05, 0x00, 0x01, 0x00, 0x00, 0x01, 0x57, 0x00, 0x0a, 0x03, 0x77, 0x77, 0x77,
+        0x03, 0x63, 0x64, 0x6e, 0xc0, 0x10, 0x41, 0x41, 0xc0, 0x2c, 0x00, 0x05, 0x00, 0x01, 0x00, 0x00,
+        0x00, 0x17, 0x00, 0x1f, 0x0e, 0x64, 0x33, 0x61, 0x67, 0x34, 0x68, 0x75, 0x6b, 0x6b, 0x68, 0x36,
+        0x32, 0x79, 0x6e, 0x0a, 0x63, 0x6c, 0x6f, 0x75, 0x64, 0x66, 0x72, 0x6f, 0x6e, 0x74, 0x03, 0x6e,
+        0x65, 0x74, 0x00, 0xc0, 0x42, 0x00, 0x01, 0x00, 0x01, 0x00, 0x00, 0x00, 0x2e, 0x00, 0x04, 0x0d,
+        0x20, 0xa7, 0x7e, 0x00, 0x00, 0x00, 0x00, 0x00, 0x18, 0x9a, 0x3a, 0x01, 0x5c, 0x31, 0x1f, 0x00,
+        0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+        0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+        0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+        0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+        0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+        0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+        0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+        0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+        0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+        0x00, 0x00, 0x00
+    };
+    uint8_t ucBadDnsResponseG[] =
+    {
+        0x73, 0xe1, 0x81, 0x80, 0x00, 0x01, 0x01, 0x00, 0x00, 0x00, 0x00, 0x00, 0x03, 0x77, 0x77, 0x77,
+        0x06, 0x22, 0x03, 0x63, 0x6f, 0x6d, 0x00, 0x00, 0x01, 0x00, 0x01, 0xc0, 0x0c, 0x00, 0x01, 0x00,
+        0x01, 0x00, 0x00, 0x01, 0x0a, 0x00, 0x04, 0xd8, 0x3a, 0xd8, 0x84, 0x00, 0x34, 0x02, 0x41, 0x01,
+        0x2c, 0xb2, 0x1a, 0x01, 0x64, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x07, 0x00, 0x00, 0x00,
+        0x00, 0x00, 0x00
+    };
+    uint8_t ucBadDnsResponseH[] = /* Regress crash in prvReadNameField. */
+    {
+        0x10, 0x00, 0x00, 0x35, 0x00, 0x00, 0x00, 0x00, 0x00, 0x28, 0x00, 0x00,
+        0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+        0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x10, 0x00, 0x00, 0x35,
+        0x00, 0x00, 0x00, 0x00, 0x00, 0x28, 0x00, 0x00, 0x00, 0x91, 0x91, 0x91,
+        0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91,
+        0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91,
+        0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91,
+        0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91,
+        0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91,
+        0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91,
+        0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91,
+        0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91,
+        0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x91, 0x00, 0x00, 0x00,
+        0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+        0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00
+    };
+    uint8_t ucBadDnsResponseI[] = /* Regress crash in prvSkipNameField. */
+    {
+        0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x02, 0xf8, 0xf8, 0xf8, 0xf8, 0xf8,
+        0xf8, 0xf8, 0xf8, 0xf8, 0xf8, 0xf8, 0xf8, 0xf8, 0xf8, 0xf8, 0xf8, 0xf8,
+        0xf8, 0xf8, 0xf8, 0xf8, 0xf8, 0xf8, 0xf8, 0xf8, 0xf8, 0xf8, 0x00, 0x35,
+        0x0a, 0xf8, 0xf8, 0xf8, 0x27, 0xf8, 0xf8, 0xf8, 0xf8, 0xf8, 0xf8, 0xf8,
+        0xf8, 0xf8, 0xf8, 0xf8, 0xf8, 0xf8, 0xf8, 0xf8, 0x16, 0x16, 0x21, 0x16,
+        0x16, 0x16, 0x16, 0x16, 0x16, 0x2a, 0x00, 0x00, 0x00, 0x00, 0xf8, 0xf8,
+        0x27, 0xf8, 0xf8, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x02,
+        0x00, 0x16, 0x16, 0x16, 0x16, 0x00, 0x00, 0x00, 0x0a, 0x00, 0x16, 0x5a,
+        0x00, 0x16, 0x00, 0x16, 0x16, 0x16, 0x16, 0x16, 0x16, 0x16, 0x16, 0x21
+    };
+    uint32_t ulAddress = 0;
+
+    /* Parsing a valid packet should succeed. */
+    ulAddress = TEST_FreeRTOS_TCP_prvParseDNSReply(
+        ucGoodDnsResponse,
+        sizeof( ucGoodDnsResponse ),
+        *( uint16_t * ) ucGoodDnsResponse );
+    TEST_ASSERT_EQUAL_UINT32( ulExpectedAddress, ulAddress );
+    /* End test. */
+
+    /* Parsing a bad packet should fail gracefully. */
+    ulAddress = TEST_FreeRTOS_TCP_prvParseDNSReply(
+        ucBadDnsResponseA,
+        sizeof( ucBadDnsResponseA ),
+        *( uint16_t * ) ucBadDnsResponseA );
+    TEST_ASSERT_EQUAL_UINT32( 0, ulAddress );
+    /* End test. */
+
+    /* Parsing a bad packet should fail gracefully. */
+    ulAddress = TEST_FreeRTOS_TCP_prvParseDNSReply(
+        ucBadDnsResponseB,
+        sizeof( ucBadDnsResponseB ),
+        *( uint16_t * ) ucBadDnsResponseB );
+    TEST_ASSERT_EQUAL_UINT32( 0, ulAddress );
+    /* End test. */
+
+    /* Parsing a bad packet should fail gracefully. */
+    ulAddress = TEST_FreeRTOS_TCP_prvParseDNSReply(
+        ucBadDnsResponseC,
+        sizeof( ucBadDnsResponseC ),
+        *( uint16_t * ) ucBadDnsResponseC );
+    TEST_ASSERT_EQUAL_UINT32( 0, ulAddress );
+    /* End test. */
+
+    /* Parsing a bad packet should fail gracefully. */
+    ulAddress = TEST_FreeRTOS_TCP_prvParseDNSReply(
+        ucBadDnsResponseD,
+        sizeof( ucBadDnsResponseD ),
+        *( uint16_t * ) ucBadDnsResponseD );
+    TEST_ASSERT_EQUAL_UINT32( 0, ulAddress );
+    /* End test. */
+
+    /* Parsing a bad packet should fail gracefully. */
+    ulAddress = TEST_FreeRTOS_TCP_prvParseDNSReply(
+        ucBadDnsResponseE,
+        sizeof( ucBadDnsResponseE ),
+        *( uint16_t * ) ucBadDnsResponseE );
+    TEST_ASSERT_EQUAL_UINT32( 0, ulAddress );
+    /* End test. */
+
+    /* Parsing a bad packet should fail gracefully. */
+    ulAddress = TEST_FreeRTOS_TCP_prvParseDNSReply(
+        ucBadDnsResponseF,
+        sizeof( ucBadDnsResponseF ),
+        *( uint16_t * ) ucBadDnsResponseF );
+    TEST_ASSERT_EQUAL_UINT32( 0, ulAddress );
+    /* End test. */
+
+    /* Parsing a bad packet should fail gracefully. */
+    ulAddress = TEST_FreeRTOS_TCP_prvParseDNSReply(
+        ucBadDnsResponseG,
+        sizeof( ucBadDnsResponseG ),
+        *( uint16_t * ) ucBadDnsResponseG );
+    TEST_ASSERT_EQUAL_UINT32( 0, ulAddress );
+    /* End test. */
+
+    /* Parsing a bad packet should fail gracefully. */
+    ulAddress = TEST_FreeRTOS_TCP_prvParseDNSReply(
+        ucBadDnsResponseH,
+        sizeof( ucBadDnsResponseH ),
+        *( uint16_t * ) ucBadDnsResponseH );
+    TEST_ASSERT_EQUAL_UINT32( 0, ulAddress );
+    /* End test. */
+
+    /* Parsing a bad packet should fail gracefully. */
+    ulAddress = TEST_FreeRTOS_TCP_prvParseDNSReply(
+        ucBadDnsResponseI,
+        sizeof( ucBadDnsResponseI ),
+        *( uint16_t * ) ucBadDnsResponseI );
+    TEST_ASSERT_EQUAL_UINT32( 0, ulAddress );
+    /* End test. */
+}
+
+TEST( Full_FREERTOS_TCP, ulDNSHandlePacket )
+{
+    NetworkBufferDescriptor_t xNetworkBuffer = { 0 };
+    uint8_t ucPartialUdpPacket[ sizeof( ipSIZE_OF_UDP_HEADER ) - 1 ] = { 0xFF };
+    uint32_t ulResult = 0;
+
+    /* Attempting to parse a packet that's shorter than a UDP header should be
+     * a no-op. */
+    xNetworkBuffer.pucEthernetBuffer = ucPartialUdpPacket;
+    xNetworkBuffer.xDataLength = sizeof( ucPartialUdpPacket );
+    ulResult = ulDNSHandlePacket( &xNetworkBuffer );
+    TEST_ASSERT_EQUAL_UINT32( 0, ulResult );
+}
+
+TEST( Full_FREERTOS_TCP, prvCheckOptions )
+{
+    uint8_t ucDivideByZero[] =
+    {
+        0x6f, 0xff, 0xff, 0xff, 0x0a, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff,
+        0xde, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x6f, 0x6f, 0x6f, 0x6d,
+        0x6f, 0xff, 0xff, 0xff, 0x0a, 0xff, 0xff, 0xff, 0xff, 0xe5, 0x6f, 0x6f,
+        0x6f, 0x6f, 0x6f, 0x6b, 0xbf, 0x6f, 0x03, 0xff, 0x04, 0x01, 0xb7, 0xff,
+        0x02, 0x02, 0x02, 0x02, 0x02, 0x02, 0x02, 0x04, 0x02, 0x02, 0x02, 0x02,
+        0x02, 0x02, 0x02, 0x02, 0x02, 0x04, 0x00, 0x00, 0x00, 0x02, 0x02, 0x02,
+        0x02, 0x02, 0xf8, 0x02, 0x02, 0x02, 0x02, 0x02, 0x02, 0x02, 0x03, 0x05
+    };
+
+    FreeRTOS_Socket_t xSocket;
+    NetworkBufferDescriptor_t xNetworkBuffer;
+
+    xNetworkBuffer.pucEthernetBuffer = ucDivideByZero;
+    xNetworkBuffer.xDataLength = sizeof( ucDivideByZero );
+
+    TEST_FreeRTOS_TCP_prvTCPCreateWindow( &xSocket );
+    TEST_FreeRTOS_TCP_prvCheckOptions( &xSocket, &xNetworkBuffer );
+}
+
+TEST( Full_FREERTOS_TCP, UDPPacketLength )
+{
+    uint8_t ucBadUdpPacketA[] =
+    {
+        0xff, 0xff
+    };
+
+    uint8_t ucBadUdpPacketB[] =
+    {
+        0x0a, 0xbf, 0xbf, 0xbf, 0xbf, 0xbf, 0x54, 0xbf, 0xbf, 0xbf, 0xff, 0xbf,
+        0x0a, 0xbf, 0xbf, 0xbf, 0x3f, 0xbf, 0xbf, 0xbf, 0xbf, 0xbf, 0xbf, 0xbf,
+        0x88, 0x00, 0xbf, 0xbf, 0xbf, 0x00, 0x32, 0xbf, 0xbf, 0xbf, 0x00, 0x35,
+        0x0a, 0xbf, 0xbf, 0x3a, 0xbf, 0xbf, 0xbf
+    };
+
+    BaseType_t xReturn = pdPASS;
+    uint16_t usPort = 65535;
+    NetworkBufferDescriptor_t xNetworkBuffer;
+
+    xNetworkBuffer.pucEthernetBuffer = NULL;
+    xNetworkBuffer.xDataLength = 0;
+
+    xReturn = xProcessReceivedUDPPacket( &xNetworkBuffer, usPort );
+    TEST_ASSERT_EQUAL_UINT32_MESSAGE( pdFAIL, xReturn, "Failed to parse 0 size packet" );
+
+    xNetworkBuffer.pucEthernetBuffer = ucBadUdpPacketA;
+    xNetworkBuffer.xDataLength = sizeof( ucBadUdpPacketA );
+    xReturn = xProcessReceivedUDPPacket( &xNetworkBuffer, usPort );
+    TEST_ASSERT_EQUAL_UINT32_MESSAGE( pdFAIL, xReturn, "Failed to parse 2 bytes packet" );
+
+    xNetworkBuffer.pucEthernetBuffer = ucBadUdpPacketB;
+    xNetworkBuffer.xDataLength = sizeof( ucBadUdpPacketB );
+    xReturn = xProcessReceivedUDPPacket( &xNetworkBuffer, usPort );
+    TEST_ASSERT_EQUAL_UINT32( pdFAIL, xReturn );
+}
diff --git a/FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/uncrustify.cfg b/FreeRTOS-Plus/Source/FreeRTOS-Plus-TCP/uncrustify.cfg
new file mode 100644 (file)
index 0000000..31cd4b6
--- /dev/null
@@ -0,0 +1,164 @@
+# Uncrustify-0.67
+input_tab_size                  = 4        # unsigned number
+output_tab_size                 = 4        # unsigned number
+sp_arith                        = force    # ignore/add/remove/force
+sp_assign                       = force    # ignore/add/remove/force
+sp_assign_default               = force    # ignore/add/remove/force
+sp_before_assign                = force    # ignore/add/remove/force
+sp_after_assign                 = force    # ignore/add/remove/force
+sp_enum_assign                  = force    # ignore/add/remove/force
+sp_enum_before_assign           = force    # ignore/add/remove/force
+sp_enum_after_assign            = force    # ignore/add/remove/force
+sp_pp_stringify                 = add      # ignore/add/remove/force
+sp_bool                         = force    # ignore/add/remove/force
+sp_compare                      = force    # ignore/add/remove/force
+sp_inside_paren                 = force    # ignore/add/remove/force
+sp_paren_paren                  = force    # ignore/add/remove/force
+sp_paren_brace                  = force    # ignore/add/remove/force
+sp_before_ptr_star              = force    # ignore/add/remove/force
+sp_before_unnamed_ptr_star      = force    # ignore/add/remove/force
+sp_between_ptr_star             = remove   # ignore/add/remove/force
+sp_after_ptr_star               = ignore   # ignore/add/remove/force
+sp_before_byref                 = force    # ignore/add/remove/force
+sp_after_byref                  = remove   # ignore/add/remove/force
+sp_after_byref_func             = remove   # ignore/add/remove/force
+sp_before_angle                 = remove   # ignore/add/remove/force
+sp_inside_angle                 = remove   # ignore/add/remove/force
+sp_after_angle                  = force    # ignore/add/remove/force
+sp_before_sparen                = remove   # ignore/add/remove/force
+sp_inside_sparen                = force    # ignore/add/remove/force
+sp_after_sparen                 = force    # ignore/add/remove/force
+sp_sparen_brace                 = force    # ignore/add/remove/force
+sp_before_semi_for              = remove   # ignore/add/remove/force
+sp_before_semi_for_empty        = add      # ignore/add/remove/force
+sp_after_semi_for_empty         = force    # ignore/add/remove/force
+sp_before_square                = remove   # ignore/add/remove/force
+sp_before_squares               = remove   # ignore/add/remove/force
+sp_inside_square                = force    # ignore/add/remove/force
+sp_after_comma                  = force    # ignore/add/remove/force
+sp_after_cast                   = force    # ignore/add/remove/force
+sp_inside_paren_cast            = force    # ignore/add/remove/force
+sp_sizeof_paren                 = remove   # ignore/add/remove/force
+sp_inside_braces_enum           = force    # ignore/add/remove/force
+sp_inside_braces_struct         = force    # ignore/add/remove/force
+sp_inside_braces                = force    # ignore/add/remove/force
+sp_inside_braces_empty          = remove   # ignore/add/remove/force
+sp_type_func                    = force    # ignore/add/remove/force
+sp_func_proto_paren             = remove   # ignore/add/remove/force
+sp_func_def_paren               = remove   # ignore/add/remove/force
+sp_inside_fparens               = remove   # ignore/add/remove/force
+sp_inside_fparen                = force    # ignore/add/remove/force
+sp_fparen_brace                 = add      # ignore/add/remove/force
+sp_func_call_paren              = remove   # ignore/add/remove/force
+sp_func_class_paren             = remove   # ignore/add/remove/force
+sp_return_paren                 = remove   # ignore/add/remove/force
+sp_attribute_paren              = remove   # ignore/add/remove/force
+sp_defined_paren                = remove   # ignore/add/remove/force
+sp_macro                        = force    # ignore/add/remove/force
+sp_macro_func                   = force    # ignore/add/remove/force
+sp_brace_typedef                = force    # ignore/add/remove/force
+sp_before_dc                    = remove   # ignore/add/remove/force
+sp_after_dc                     = remove   # ignore/add/remove/force
+sp_cond_colon                   = force    # ignore/add/remove/force
+sp_cond_question                = force    # ignore/add/remove/force
+sp_case_label                   = force    # ignore/add/remove/force
+sp_endif_cmt                    = force    # ignore/add/remove/force
+sp_before_tr_emb_cmt            = force    # ignore/add/remove/force
+sp_num_before_tr_emb_cmt        = 1        # unsigned number
+indent_columns                  = 4        # unsigned number
+indent_with_tabs                = 2        # unsigned number
+indent_align_string             = true     # false/true
+indent_class                    = true     # false/true
+indent_class_colon              = true     # false/true
+indent_member                   = 3        # unsigned number
+indent_switch_case              = 4        # unsigned number
+indent_case_brace               = 3        # number
+indent_var_def_blk              = -4       # number
+nl_assign_leave_one_liners      = true     # false/true
+nl_class_leave_one_liners       = true     # false/true
+nl_start_of_file                = remove   # ignore/add/remove/force
+nl_end_of_file                  = force    # ignore/add/remove/force
+nl_end_of_file_min              = 1        # unsigned number
+nl_assign_brace                 = add      # ignore/add/remove/force
+nl_func_var_def_blk             = 1        # unsigned number
+nl_fcall_brace                  = add      # ignore/add/remove/force
+nl_enum_brace                   = force    # ignore/add/remove/force
+nl_struct_brace                 = force    # ignore/add/remove/force
+nl_union_brace                  = force    # ignore/add/remove/force
+nl_if_brace                     = add      # ignore/add/remove/force
+nl_brace_else                   = add      # ignore/add/remove/force
+nl_else_brace                   = add      # ignore/add/remove/force
+nl_getset_brace                 = force    # ignore/add/remove/force
+nl_for_brace                    = add      # ignore/add/remove/force
+nl_while_brace                  = add      # ignore/add/remove/force
+nl_do_brace                     = add      # ignore/add/remove/force
+nl_switch_brace                 = add      # ignore/add/remove/force
+nl_multi_line_define            = true     # false/true
+nl_before_case                  = true     # false/true
+nl_after_case                   = true     # false/true
+nl_func_type_name               = remove   # ignore/add/remove/force
+nl_func_proto_type_name         = remove   # ignore/add/remove/force
+nl_func_paren                   = remove   # ignore/add/remove/force
+nl_func_def_paren               = remove   # ignore/add/remove/force
+nl_func_decl_start              = remove   # ignore/add/remove/force
+nl_func_def_start               = remove   # ignore/add/remove/force
+nl_func_decl_args               = add      # ignore/add/remove/force
+nl_func_def_args                = add      # ignore/add/remove/force
+nl_func_decl_end                = remove   # ignore/add/remove/force
+nl_func_def_end                 = remove   # ignore/add/remove/force
+nl_fdef_brace                   = add      # ignore/add/remove/force
+nl_after_semicolon              = true     # false/true
+nl_after_brace_open             = true     # false/true
+nl_after_brace_close            = true     # false/true
+nl_squeeze_ifdef                = true     # false/true
+nl_before_if                    = force    # ignore/add/remove/force
+nl_after_if                     = force    # ignore/add/remove/force
+nl_before_for                   = force    # ignore/add/remove/force
+nl_after_for                    = force    # ignore/add/remove/force
+nl_before_while                 = force    # ignore/add/remove/force
+nl_after_while                  = force    # ignore/add/remove/force
+nl_before_switch                = force    # ignore/add/remove/force
+nl_after_switch                 = force    # ignore/add/remove/force
+nl_before_do                    = force    # ignore/add/remove/force
+nl_after_do                     = force    # ignore/add/remove/force
+nl_max                          = 4        # unsigned number
+nl_after_func_proto_group       = 1        # unsigned number
+nl_after_func_body_class        = 2        # unsigned number
+nl_before_block_comment         = 2        # unsigned number
+eat_blanks_after_open_brace     = true     # false/true
+eat_blanks_before_close_brace   = true     # false/true
+nl_after_return                 = true     # false/true
+pos_bool                        = trail    # ignore/join/lead/lead_break/lead_force/trail/trail_break/trail_force
+align_var_def_amp_style         = 1        # unsigned number
+align_var_def_thresh            = 16       # unsigned number
+align_assign_thresh             = 12       # unsigned number
+align_struct_init_span          = 3        # unsigned number
+align_typedef_gap               = 3        # unsigned number
+align_typedef_span              = 5        # unsigned number
+align_typedef_star_style        = 1        # unsigned number
+align_typedef_amp_style         = 1        # unsigned number
+align_right_cmt_span            = 3        # unsigned number
+align_nl_cont                   = true     # false/true
+align_pp_define_gap             = 4        # unsigned number
+align_pp_define_span            = 3        # unsigned number
+cmt_cpp_to_c                    = true     # false/true
+cmt_star_cont                   = false    # false/true
+cmt_indent_multi                = false    # false/true
+mod_full_brace_do               = add      # ignore/add/remove/force
+mod_full_brace_for              = add      # ignore/add/remove/force
+mod_full_brace_if               = add      # ignore/add/remove/force
+mod_full_brace_while            = add      # ignore/add/remove/force
+mod_full_paren_if_bool          = true     # false/true
+mod_remove_extra_semicolon      = true     # false/true
+mod_add_long_ifdef_endif_comment = 10      # unsigned number
+mod_add_long_ifdef_else_comment = 10       # unsigned number
+mod_case_brace                  = remove   # ignore/add/remove/force
+mod_remove_empty_return         = true     # false/true
+pp_indent                       = force    # ignore/add/remove/force
+pp_indent_at_level              = true     # false/true
+pp_indent_count                 = 4        # unsigned number
+pp_space                        = remove   # ignore/add/remove/force
+pp_if_indent_code               = true     # false/true
+pp_indent_brace                 = false    # false/true
+align_with_tabs                 = true     # false/true
+# option(s) with 'not default' value: 162
diff --git a/FreeRTOS/Demo/CORTEX_A9_Zynq_ZC702/RTOSDemo_bsp/ps7_cortexa9_0/lib/README.md b/FreeRTOS/Demo/CORTEX_A9_Zynq_ZC702/RTOSDemo_bsp/ps7_cortexa9_0/lib/README.md
new file mode 100644 (file)
index 0000000..849d5f1
--- /dev/null
@@ -0,0 +1,4 @@
+The ./lib directory is needed to ensure that bsp project compiles.
+Refer to Makefile: ```./FreeRTOS/Demo/CORTEX_A9_Zynq_ZC702/RTOSDemo_bsp/Makefile```.
+
+In order to keep an empty directory in git, this README.md is created.  
index e99970c6e1a0d08a1c2d1f11846bc0a3ec80c795..226716e4f915599ac4ad93b750605956f3811660 100644 (file)
@@ -38,7 +38,7 @@
                <link>\r
                        <name>Demo_tasks/IntQueue.c</name>\r
                        <type>1</type>\r
-                       <location>C:/Users/yuhzheng/Documents/freertos-code/FreeRTOS/Demo/Common/Minimal/IntQueue.c</location>\r
+                       <locationURI>PARENT-1-PROJECT_LOC/Common/Minimal/IntQueue.c</locationURI>\r
                </link>\r
                <link>\r
                        <name>Demo_tasks/blocktim.c</name>\r
index 229f24d3bfcd12b75e45903999b2871923681d89..814e36f2c3173b5c4fd443d790630ac15e058137 100644 (file)
@@ -1065,7 +1065,7 @@ void RCC_I2SCLKConfig(uint32_t RCC_I2SCLKSource)
   *            @arg RCC_AHB1Periph_GPIOE:       GPIOE clock\r
   *            @arg RCC_AHB1Periph_GPIOF:       GPIOF clock\r
   *            @arg RCC_AHB1Periph_GPIOG:       GPIOG clock\r
-  *            @arg RCC_AHB1Periph_GPIOG:       GPIOG clock\r
+  *            @arg RCC_AHB1Periph_GPIOH:       GPIOH clock\r
   *            @arg RCC_AHB1Periph_GPIOI:       GPIOI clock\r
   *            @arg RCC_AHB1Periph_CRC:         CRC clock\r
   *            @arg RCC_AHB1Periph_BKPSRAM:     BKPSRAM interface clock\r
@@ -1258,7 +1258,7 @@ void RCC_APB2PeriphClockCmd(uint32_t RCC_APB2Periph, FunctionalState NewState)
   *            @arg RCC_AHB1Periph_GPIOE:   GPIOE clock\r
   *            @arg RCC_AHB1Periph_GPIOF:   GPIOF clock\r
   *            @arg RCC_AHB1Periph_GPIOG:   GPIOG clock\r
-  *            @arg RCC_AHB1Periph_GPIOG:   GPIOG clock\r
+  *            @arg RCC_AHB1Periph_GPIOH:   GPIOH clock\r
   *            @arg RCC_AHB1Periph_GPIOI:   GPIOI clock\r
   *            @arg RCC_AHB1Periph_CRC:     CRC clock\r
   *            @arg RCC_AHB1Periph_DMA1:    DMA1 clock\r
@@ -1436,7 +1436,7 @@ void RCC_APB2PeriphResetCmd(uint32_t RCC_APB2Periph, FunctionalState NewState)
   *            @arg RCC_AHB1Periph_GPIOE:       GPIOE clock\r
   *            @arg RCC_AHB1Periph_GPIOF:       GPIOF clock\r
   *            @arg RCC_AHB1Periph_GPIOG:       GPIOG clock\r
-  *            @arg RCC_AHB1Periph_GPIOG:       GPIOG clock\r
+  *            @arg RCC_AHB1Periph_GPIOH:       GPIOH clock\r
   *            @arg RCC_AHB1Periph_GPIOI:       GPIOI clock\r
   *            @arg RCC_AHB1Periph_CRC:         CRC clock\r
   *            @arg RCC_AHB1Periph_BKPSRAM:     BKPSRAM interface clock\r
diff --git a/FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/CMSIS/arm_math.h b/FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/CMSIS/arm_math.h
new file mode 100644 (file)
index 0000000..3288e37
--- /dev/null
@@ -0,0 +1,7210 @@
+/******************************************************************************
+ * @file     arm_math.h
+ * @brief    Public header file for CMSIS DSP Library
+ * @version  V1.6.0
+ * @date     18. March 2019
+ ******************************************************************************/
+/*
+ * Copyright (c) 2010-2019 Arm Limited or its affiliates. All rights reserved.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ *
+ * Licensed under the Apache License, Version 2.0 (the License); you may
+ * not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ * www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an AS IS BASIS, WITHOUT
+ * WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ */
+
+/**
+   \mainpage CMSIS DSP Software Library
+   *
+   * Introduction
+   * ------------
+   *
+   * This user manual describes the CMSIS DSP software library,
+   * a suite of common signal processing functions for use on Cortex-M processor based devices.
+   *
+   * The library is divided into a number of functions each covering a specific category:
+   * - Basic math functions
+   * - Fast math functions
+   * - Complex math functions
+   * - Filters
+   * - Matrix functions
+   * - Transform functions
+   * - Motor control functions
+   * - Statistical functions
+   * - Support functions
+   * - Interpolation functions
+   *
+   * The library has separate functions for operating on 8-bit integers, 16-bit integers,
+   * 32-bit integer and 32-bit floating-point values.
+   *
+   * Using the Library
+   * ------------
+   *
+   * The library installer contains prebuilt versions of the libraries in the <code>Lib</code> folder.
+   * - arm_cortexM7lfdp_math.lib (Cortex-M7, Little endian, Double Precision Floating Point Unit)
+   * - arm_cortexM7bfdp_math.lib (Cortex-M7, Big endian, Double Precision Floating Point Unit)
+   * - arm_cortexM7lfsp_math.lib (Cortex-M7, Little endian, Single Precision Floating Point Unit)
+   * - arm_cortexM7bfsp_math.lib (Cortex-M7, Big endian and Single Precision Floating Point Unit on)
+   * - arm_cortexM7l_math.lib (Cortex-M7, Little endian)
+   * - arm_cortexM7b_math.lib (Cortex-M7, Big endian)
+   * - arm_cortexM4lf_math.lib (Cortex-M4, Little endian, Floating Point Unit)
+   * - arm_cortexM4bf_math.lib (Cortex-M4, Big endian, Floating Point Unit)
+   * - arm_cortexM4l_math.lib (Cortex-M4, Little endian)
+   * - arm_cortexM4b_math.lib (Cortex-M4, Big endian)
+   * - arm_cortexM3l_math.lib (Cortex-M3, Little endian)
+   * - arm_cortexM3b_math.lib (Cortex-M3, Big endian)
+   * - arm_cortexM0l_math.lib (Cortex-M0 / Cortex-M0+, Little endian)
+   * - arm_cortexM0b_math.lib (Cortex-M0 / Cortex-M0+, Big endian)
+   * - arm_ARMv8MBLl_math.lib (Armv8-M Baseline, Little endian)
+   * - arm_ARMv8MMLl_math.lib (Armv8-M Mainline, Little endian)
+   * - arm_ARMv8MMLlfsp_math.lib (Armv8-M Mainline, Little endian, Single Precision Floating Point Unit)
+   * - arm_ARMv8MMLld_math.lib (Armv8-M Mainline, Little endian, DSP instructions)
+   * - arm_ARMv8MMLldfsp_math.lib (Armv8-M Mainline, Little endian, DSP instructions, Single Precision Floating Point Unit)
+   *
+   * The library functions are declared in the public file <code>arm_math.h</code> which is placed in the <code>Include</code> folder.
+   * Simply include this file and link the appropriate library in the application and begin calling the library functions. The Library supports single
+   * public header file <code> arm_math.h</code> for Cortex-M cores with little endian and big endian. Same header file will be used for floating point unit(FPU) variants.
+   *
+   *
+   * Examples
+   * --------
+   *
+   * The library ships with a number of examples which demonstrate how to use the library functions.
+   *
+   * Toolchain Support
+   * ------------
+   *
+   * The library has been developed and tested with MDK version 5.14.0.0
+   * The library is being tested in GCC and IAR toolchains and updates on this activity will be made available shortly.
+   *
+   * Building the Library
+   * ------------
+   *
+   * The library installer contains a project file to rebuild libraries on MDK toolchain in the <code>CMSIS\\DSP\\Projects\\ARM</code> folder.
+   * - arm_cortexM_math.uvprojx
+   *
+   *
+   * The libraries can be built by opening the arm_cortexM_math.uvprojx project in MDK-ARM, selecting a specific target, and defining the optional preprocessor macros detailed above.
+   *
+   * Preprocessor Macros
+   * ------------
+   *
+   * Each library project have different preprocessor macros.
+   *
+   * - ARM_MATH_BIG_ENDIAN:
+   *
+   * Define macro ARM_MATH_BIG_ENDIAN to build the library for big endian targets. By default library builds for little endian targets.
+   *
+   * - ARM_MATH_MATRIX_CHECK:
+   *
+   * Define macro ARM_MATH_MATRIX_CHECK for checking on the input and output sizes of matrices
+   *
+   * - ARM_MATH_ROUNDING:
+   *
+   * Define macro ARM_MATH_ROUNDING for rounding on support functions
+   *
+   * - ARM_MATH_LOOPUNROLL:
+   *
+   * Define macro ARM_MATH_LOOPUNROLL to enable manual loop unrolling in DSP functions
+   *
+   * <hr>
+   * CMSIS-DSP in ARM::CMSIS Pack
+   * -----------------------------
+   *
+   * The following files relevant to CMSIS-DSP are present in the <b>ARM::CMSIS</b> Pack directories:
+   * |File/Folder                      |Content                                                                 |
+   * |---------------------------------|------------------------------------------------------------------------|
+   * |\b CMSIS\\Documentation\\DSP     | This documentation                                                     |
+   * |\b CMSIS\\DSP\\DSP_Lib_TestSuite | DSP_Lib test suite                                                     |
+   * |\b CMSIS\\DSP\\Examples          | Example projects demonstrating the usage of the library functions      |
+   * |\b CMSIS\\DSP\\Include           | DSP_Lib include files                                                  |
+   * |\b CMSIS\\DSP\\Lib               | DSP_Lib binaries                                                       |
+   * |\b CMSIS\\DSP\\Projects          | Projects to rebuild DSP_Lib binaries                                   |
+   * |\b CMSIS\\DSP\\Source            | DSP_Lib source files                                                   |
+   *
+   * <hr>
+   * Revision History of CMSIS-DSP
+   * ------------
+   * Please refer to \ref ChangeLog_pg.
+   */
+
+
+/**
+ * @defgroup groupMath Basic Math Functions
+ */
+
+/**
+ * @defgroup groupFastMath Fast Math Functions
+ * This set of functions provides a fast approximation to sine, cosine, and square root.
+ * As compared to most of the other functions in the CMSIS math library, the fast math functions
+ * operate on individual values and not arrays.
+ * There are separate functions for Q15, Q31, and floating-point data.
+ *
+ */
+
+/**
+ * @defgroup groupCmplxMath Complex Math Functions
+ * This set of functions operates on complex data vectors.
+ * The data in the complex arrays is stored in an interleaved fashion
+ * (real, imag, real, imag, ...).
+ * In the API functions, the number of samples in a complex array refers
+ * to the number of complex values; the array contains twice this number of
+ * real values.
+ */
+
+/**
+ * @defgroup groupFilters Filtering Functions
+ */
+
+/**
+ * @defgroup groupMatrix Matrix Functions
+ *
+ * This set of functions provides basic matrix math operations.
+ * The functions operate on matrix data structures.  For example,
+ * the type
+ * definition for the floating-point matrix structure is shown
+ * below:
+ * <pre>
+ *     typedef struct
+ *     {
+ *       uint16_t numRows;     // number of rows of the matrix.
+ *       uint16_t numCols;     // number of columns of the matrix.
+ *       float32_t *pData;     // points to the data of the matrix.
+ *     } arm_matrix_instance_f32;
+ * </pre>
+ * There are similar definitions for Q15 and Q31 data types.
+ *
+ * The structure specifies the size of the matrix and then points to
+ * an array of data.  The array is of size <code>numRows X numCols</code>
+ * and the values are arranged in row order.  That is, the
+ * matrix element (i, j) is stored at:
+ * <pre>
+ *     pData[i*numCols + j]
+ * </pre>
+ *
+ * \par Init Functions
+ * There is an associated initialization function for each type of matrix
+ * data structure.
+ * The initialization function sets the values of the internal structure fields.
+ * Refer to \ref arm_mat_init_f32(), \ref arm_mat_init_q31() and \ref arm_mat_init_q15()
+ * for floating-point, Q31 and Q15 types,  respectively.
+ *
+ * \par
+ * Use of the initialization function is optional. However, if initialization function is used
+ * then the instance structure cannot be placed into a const data section.
+ * To place the instance structure in a const data
+ * section, manually initialize the data structure.  For example:
+ * <pre>
+ * <code>arm_matrix_instance_f32 S = {nRows, nColumns, pData};</code>
+ * <code>arm_matrix_instance_q31 S = {nRows, nColumns, pData};</code>
+ * <code>arm_matrix_instance_q15 S = {nRows, nColumns, pData};</code>
+ * </pre>
+ * where <code>nRows</code> specifies the number of rows, <code>nColumns</code>
+ * specifies the number of columns, and <code>pData</code> points to the
+ * data array.
+ *
+ * \par Size Checking
+ * By default all of the matrix functions perform size checking on the input and
+ * output matrices. For example, the matrix addition function verifies that the
+ * two input matrices and the output matrix all have the same number of rows and
+ * columns. If the size check fails the functions return:
+ * <pre>
+ *     ARM_MATH_SIZE_MISMATCH
+ * </pre>
+ * Otherwise the functions return
+ * <pre>
+ *     ARM_MATH_SUCCESS
+ * </pre>
+ * There is some overhead associated with this matrix size checking.
+ * The matrix size checking is enabled via the \#define
+ * <pre>
+ *     ARM_MATH_MATRIX_CHECK
+ * </pre>
+ * within the library project settings.  By default this macro is defined
+ * and size checking is enabled. By changing the project settings and
+ * undefining this macro size checking is eliminated and the functions
+ * run a bit faster. With size checking disabled the functions always
+ * return <code>ARM_MATH_SUCCESS</code>.
+ */
+
+/**
+ * @defgroup groupTransforms Transform Functions
+ */
+
+/**
+ * @defgroup groupController Controller Functions
+ */
+
+/**
+ * @defgroup groupStats Statistics Functions
+ */
+
+/**
+ * @defgroup groupSupport Support Functions
+ */
+
+/**
+ * @defgroup groupInterpolation Interpolation Functions
+ * These functions perform 1- and 2-dimensional interpolation of data.
+ * Linear interpolation is used for 1-dimensional data and
+ * bilinear interpolation is used for 2-dimensional data.
+ */
+
+/**
+ * @defgroup groupExamples Examples
+ */
+
+
+#ifndef _ARM_MATH_H
+#define _ARM_MATH_H
+
+/* Compiler specific diagnostic adjustment */
+#if   defined ( __CC_ARM )
+
+#elif defined ( __ARMCC_VERSION ) && ( __ARMCC_VERSION >= 6010050 )
+
+#elif defined ( __GNUC__ )
+  #pragma GCC diagnostic push
+  #pragma GCC diagnostic ignored "-Wsign-conversion"
+  #pragma GCC diagnostic ignored "-Wconversion"
+  #pragma GCC diagnostic ignored "-Wunused-parameter"
+
+#elif defined ( __ICCARM__ )
+
+#elif defined ( __TI_ARM__ )
+
+#elif defined ( __CSMC__ )
+
+#elif defined ( __TASKING__ )
+
+#else
+  #error Unknown compiler
+#endif
+
+
+#include "cmsis_compiler.h"
+#include "string.h"
+#include "math.h"
+
+/* evaluate ARM architecture */
+#if   defined (__ARM_ARCH_6M__)
+  #define ARM_MATH_CM0_FAMILY            1
+#elif defined (__ARM_ARCH_7M__)
+//#define ARM_MATH_CM0_FAMILY            0
+#elif defined (__ARM_ARCH_7EM__)
+//#define ARM_MATH_CM0_FAMILY            0
+#elif defined (__ARM_ARCH_8M_BASE__)
+  #define ARM_MATH_CM0_FAMILY            1
+#elif defined (__ARM_ARCH_8M_MAIN__)
+//#define ARM_MATH_CM0_FAMILY            0
+#else
+  #error "Unknown Arm Architecture!"
+#endif
+
+/* evaluate ARM DSP feature */
+#if (defined (__ARM_FEATURE_DSP) && (__ARM_FEATURE_DSP == 1))
+  #define ARM_MATH_DSP                   1
+#endif
+
+
+
+
+#ifdef   __cplusplus
+extern "C"
+{
+#endif
+
+
+  /**
+   * @brief Macros required for reciprocal calculation in Normalized LMS
+   */
+
+#define DELTA_Q31          (0x100)
+#define DELTA_Q15          0x5
+#define INDEX_MASK         0x0000003F
+#ifndef PI
+  #define PI               3.14159265358979f
+#endif
+
+  /**
+   * @brief Macros required for SINE and COSINE Fast math approximations
+   */
+
+#define FAST_MATH_TABLE_SIZE  512
+#define FAST_MATH_Q31_SHIFT   (32 - 10)
+#define FAST_MATH_Q15_SHIFT   (16 - 10)
+#define CONTROLLER_Q31_SHIFT  (32 - 9)
+#define TABLE_SPACING_Q31     0x400000
+#define TABLE_SPACING_Q15     0x80
+
+  /**
+   * @brief Macros required for SINE and COSINE Controller functions
+   */
+  /* 1.31(q31) Fixed value of 2/360 */
+  /* -1 to +1 is divided into 360 values so total spacing is (2/360) */
+#define INPUT_SPACING         0xB60B61
+
+
+  /**
+   * @brief Error status returned by some functions in the library.
+   */
+
+  typedef enum
+  {
+    ARM_MATH_SUCCESS        =  0,        /**< No error */
+    ARM_MATH_ARGUMENT_ERROR = -1,        /**< One or more arguments are incorrect */
+    ARM_MATH_LENGTH_ERROR   = -2,        /**< Length of data buffer is incorrect */
+    ARM_MATH_SIZE_MISMATCH  = -3,        /**< Size of matrices is not compatible with the operation */
+    ARM_MATH_NANINF         = -4,        /**< Not-a-number (NaN) or infinity is generated */
+    ARM_MATH_SINGULAR       = -5,        /**< Input matrix is singular and cannot be inverted */
+    ARM_MATH_TEST_FAILURE   = -6         /**< Test Failed */
+  } arm_status;
+
+  /**
+   * @brief 8-bit fractional data type in 1.7 format.
+   */
+  typedef int8_t q7_t;
+
+  /**
+   * @brief 16-bit fractional data type in 1.15 format.
+   */
+  typedef int16_t q15_t;
+
+  /**
+   * @brief 32-bit fractional data type in 1.31 format.
+   */
+  typedef int32_t q31_t;
+
+  /**
+   * @brief 64-bit fractional data type in 1.63 format.
+   */
+  typedef int64_t q63_t;
+
+  /**
+   * @brief 32-bit floating-point type definition.
+   */
+  typedef float float32_t;
+
+  /**
+   * @brief 64-bit floating-point type definition.
+   */
+  typedef double float64_t;
+
+
+/**
+  @brief definition to read/write two 16 bit values.
+  @deprecated
+ */
+#if   defined ( __CC_ARM )
+  #define __SIMD32_TYPE int32_t __packed
+#elif defined ( __ARMCC_VERSION ) && ( __ARMCC_VERSION >= 6010050 )
+  #define __SIMD32_TYPE int32_t
+#elif defined ( __GNUC__ )
+  #define __SIMD32_TYPE int32_t
+#elif defined ( __ICCARM__ )
+  #define __SIMD32_TYPE int32_t __packed
+#elif defined ( __TI_ARM__ )
+  #define __SIMD32_TYPE int32_t
+#elif defined ( __CSMC__ )
+  #define __SIMD32_TYPE int32_t
+#elif defined ( __TASKING__ )
+  #define __SIMD32_TYPE __unaligned int32_t
+#else
+  #error Unknown compiler
+#endif
+
+#define __SIMD32(addr)        (*(__SIMD32_TYPE **) & (addr))
+#define __SIMD32_CONST(addr)  ( (__SIMD32_TYPE * )   (addr))
+#define _SIMD32_OFFSET(addr)  (*(__SIMD32_TYPE * )   (addr))
+#define __SIMD64(addr)        (*(      int64_t **) & (addr))
+
+/* SIMD replacement */
+
+/**
+  @brief         Read 2 Q15 from Q15 pointer.
+  @param[in]     pQ15      points to input value
+  @return        Q31 value
+ */
+__STATIC_FORCEINLINE q31_t read_q15x2 (
+  q15_t * pQ15)
+{
+  q31_t val;
+
+  memcpy (&val, pQ15, 4);
+
+  return (val);
+}
+
+/**
+  @brief         Read 2 Q15 from Q15 pointer and increment pointer afterwards.
+  @param[in]     pQ15      points to input value
+  @return        Q31 value
+ */
+__STATIC_FORCEINLINE q31_t read_q15x2_ia (
+  q15_t ** pQ15)
+{
+  q31_t val;
+
+  memcpy (&val, *pQ15, 4);
+  *pQ15 += 2;
+
+  return (val);
+}
+
+/**
+  @brief         Read 2 Q15 from Q15 pointer and decrement pointer afterwards.
+  @param[in]     pQ15      points to input value
+  @return        Q31 value
+ */
+__STATIC_FORCEINLINE q31_t read_q15x2_da (
+  q15_t ** pQ15)
+{
+  q31_t val;
+
+  memcpy (&val, *pQ15, 4);
+  *pQ15 -= 2;
+
+  return (val);
+}
+
+/**
+  @brief         Write 2 Q15 to Q15 pointer and increment pointer afterwards.
+  @param[in]     pQ15      points to input value
+  @param[in]     value     Q31 value
+  @return        none
+ */
+__STATIC_FORCEINLINE void write_q15x2_ia (
+  q15_t ** pQ15,
+  q31_t    value)
+{
+  q31_t val = value;
+
+  memcpy (*pQ15, &val, 4);
+  *pQ15 += 2;
+}
+
+/**
+  @brief         Write 2 Q15 to Q15 pointer.
+  @param[in]     pQ15      points to input value
+  @param[in]     value     Q31 value
+  @return        none
+ */
+__STATIC_FORCEINLINE void write_q15x2 (
+  q15_t * pQ15,
+  q31_t   value)
+{
+  q31_t val = value;
+
+  memcpy (pQ15, &val, 4);
+}
+
+
+/**
+  @brief         Read 4 Q7 from Q7 pointer and increment pointer afterwards.
+  @param[in]     pQ7       points to input value
+  @return        Q31 value
+ */
+__STATIC_FORCEINLINE q31_t read_q7x4_ia (
+  q7_t ** pQ7)
+{
+  q31_t val;
+
+  memcpy (&val, *pQ7, 4);
+  *pQ7 += 4;
+
+  return (val);
+}
+
+/**
+  @brief         Read 4 Q7 from Q7 pointer and decrement pointer afterwards.
+  @param[in]     pQ7       points to input value
+  @return        Q31 value
+ */
+__STATIC_FORCEINLINE q31_t read_q7x4_da (
+  q7_t ** pQ7)
+{
+  q31_t val;
+
+  memcpy (&val, *pQ7, 4);
+  *pQ7 -= 4;
+
+  return (val);
+}
+
+/**
+  @brief         Write 4 Q7 to Q7 pointer and increment pointer afterwards.
+  @param[in]     pQ7       points to input value
+  @param[in]     value     Q31 value
+  @return        none
+ */
+__STATIC_FORCEINLINE void write_q7x4_ia (
+  q7_t ** pQ7,
+  q31_t   value)
+{
+  q31_t val = value;
+
+  memcpy (*pQ7, &val, 4);
+  *pQ7 += 4;
+}
+
+
+#ifndef ARM_MATH_DSP
+  /**
+   * @brief definition to pack two 16 bit values.
+   */
+  #define __PKHBT(ARG1, ARG2, ARG3) ( (((int32_t)(ARG1) <<    0) & (int32_t)0x0000FFFF) | \
+                                      (((int32_t)(ARG2) << ARG3) & (int32_t)0xFFFF0000)  )
+  #define __PKHTB(ARG1, ARG2, ARG3) ( (((int32_t)(ARG1) <<    0) & (int32_t)0xFFFF0000) | \
+                                      (((int32_t)(ARG2) >> ARG3) & (int32_t)0x0000FFFF)  )
+#endif
+
+   /**
+   * @brief definition to pack four 8 bit values.
+   */
+#ifndef ARM_MATH_BIG_ENDIAN
+  #define __PACKq7(v0,v1,v2,v3) ( (((int32_t)(v0) <<  0) & (int32_t)0x000000FF) | \
+                                  (((int32_t)(v1) <<  8) & (int32_t)0x0000FF00) | \
+                                  (((int32_t)(v2) << 16) & (int32_t)0x00FF0000) | \
+                                  (((int32_t)(v3) << 24) & (int32_t)0xFF000000)  )
+#else
+  #define __PACKq7(v0,v1,v2,v3) ( (((int32_t)(v3) <<  0) & (int32_t)0x000000FF) | \
+                                  (((int32_t)(v2) <<  8) & (int32_t)0x0000FF00) | \
+                                  (((int32_t)(v1) << 16) & (int32_t)0x00FF0000) | \
+                                  (((int32_t)(v0) << 24) & (int32_t)0xFF000000)  )
+#endif
+
+
+  /**
+   * @brief Clips Q63 to Q31 values.
+   */
+  __STATIC_FORCEINLINE q31_t clip_q63_to_q31(
+  q63_t x)
+  {
+    return ((q31_t) (x >> 32) != ((q31_t) x >> 31)) ?
+      ((0x7FFFFFFF ^ ((q31_t) (x >> 63)))) : (q31_t) x;
+  }
+
+  /**
+   * @brief Clips Q63 to Q15 values.
+   */
+  __STATIC_FORCEINLINE q15_t clip_q63_to_q15(
+  q63_t x)
+  {
+    return ((q31_t) (x >> 32) != ((q31_t) x >> 31)) ?
+      ((0x7FFF ^ ((q15_t) (x >> 63)))) : (q15_t) (x >> 15);
+  }
+
+  /**
+   * @brief Clips Q31 to Q7 values.
+   */
+  __STATIC_FORCEINLINE q7_t clip_q31_to_q7(
+  q31_t x)
+  {
+    return ((q31_t) (x >> 24) != ((q31_t) x >> 23)) ?
+      ((0x7F ^ ((q7_t) (x >> 31)))) : (q7_t) x;
+  }
+
+  /**
+   * @brief Clips Q31 to Q15 values.
+   */
+  __STATIC_FORCEINLINE q15_t clip_q31_to_q15(
+  q31_t x)
+  {
+    return ((q31_t) (x >> 16) != ((q31_t) x >> 15)) ?
+      ((0x7FFF ^ ((q15_t) (x >> 31)))) : (q15_t) x;
+  }
+
+  /**
+   * @brief Multiplies 32 X 64 and returns 32 bit result in 2.30 format.
+   */
+  __STATIC_FORCEINLINE q63_t mult32x64(
+  q63_t x,
+  q31_t y)
+  {
+    return ((((q63_t) (x & 0x00000000FFFFFFFF) * y) >> 32) +
+            (((q63_t) (x >> 32)                * y)      )  );
+  }
+
+  /**
+   * @brief Function to Calculates 1/in (reciprocal) value of Q31 Data type.
+   */
+  __STATIC_FORCEINLINE uint32_t arm_recip_q31(
+        q31_t in,
+        q31_t * dst,
+  const q31_t * pRecipTable)
+  {
+    q31_t out;
+    uint32_t tempVal;
+    uint32_t index, i;
+    uint32_t signBits;
+
+    if (in > 0)
+    {
+      signBits = ((uint32_t) (__CLZ( in) - 1));
+    }
+    else
+    {
+      signBits = ((uint32_t) (__CLZ(-in) - 1));
+    }
+
+    /* Convert input sample to 1.31 format */
+    in = (in << signBits);
+
+    /* calculation of index for initial approximated Val */
+    index = (uint32_t)(in >> 24);
+    index = (index & INDEX_MASK);
+
+    /* 1.31 with exp 1 */
+    out = pRecipTable[index];
+
+    /* calculation of reciprocal value */
+    /* running approximation for two iterations */
+    for (i = 0U; i < 2U; i++)
+    {
+      tempVal = (uint32_t) (((q63_t) in * out) >> 31);
+      tempVal = 0x7FFFFFFFu - tempVal;
+      /*      1.31 with exp 1 */
+      /* out = (q31_t) (((q63_t) out * tempVal) >> 30); */
+      out = clip_q63_to_q31(((q63_t) out * tempVal) >> 30);
+    }
+
+    /* write output */
+    *dst = out;
+
+    /* return num of signbits of out = 1/in value */
+    return (signBits + 1U);
+  }
+
+
+  /**
+   * @brief Function to Calculates 1/in (reciprocal) value of Q15 Data type.
+   */
+  __STATIC_FORCEINLINE uint32_t arm_recip_q15(
+        q15_t in,
+        q15_t * dst,
+  const q15_t * pRecipTable)
+  {
+    q15_t out = 0;
+    uint32_t tempVal = 0;
+    uint32_t index = 0, i = 0;
+    uint32_t signBits = 0;
+
+    if (in > 0)
+    {
+      signBits = ((uint32_t)(__CLZ( in) - 17));
+    }
+    else
+    {
+      signBits = ((uint32_t)(__CLZ(-in) - 17));
+    }
+
+    /* Convert input sample to 1.15 format */
+    in = (in << signBits);
+
+    /* calculation of index for initial approximated Val */
+    index = (uint32_t)(in >>  8);
+    index = (index & INDEX_MASK);
+
+    /*      1.15 with exp 1  */
+    out = pRecipTable[index];
+
+    /* calculation of reciprocal value */
+    /* running approximation for two iterations */
+    for (i = 0U; i < 2U; i++)
+    {
+      tempVal = (uint32_t) (((q31_t) in * out) >> 15);
+      tempVal = 0x7FFFu - tempVal;
+      /*      1.15 with exp 1 */
+      out = (q15_t) (((q31_t) out * tempVal) >> 14);
+      /* out = clip_q31_to_q15(((q31_t) out * tempVal) >> 14); */
+    }
+
+    /* write output */
+    *dst = out;
+
+    /* return num of signbits of out = 1/in value */
+    return (signBits + 1);
+  }
+
+
+/*
+ * @brief C custom defined intrinsic functions
+ */
+#if !defined (ARM_MATH_DSP)
+
+  /*
+   * @brief C custom defined QADD8
+   */
+  __STATIC_FORCEINLINE uint32_t __QADD8(
+  uint32_t x,
+  uint32_t y)
+  {
+    q31_t r, s, t, u;
+
+    r = __SSAT(((((q31_t)x << 24) >> 24) + (((q31_t)y << 24) >> 24)), 8) & (int32_t)0x000000FF;
+    s = __SSAT(((((q31_t)x << 16) >> 24) + (((q31_t)y << 16) >> 24)), 8) & (int32_t)0x000000FF;
+    t = __SSAT(((((q31_t)x <<  8) >> 24) + (((q31_t)y <<  8) >> 24)), 8) & (int32_t)0x000000FF;
+    u = __SSAT(((((q31_t)x      ) >> 24) + (((q31_t)y      ) >> 24)), 8) & (int32_t)0x000000FF;
+
+    return ((uint32_t)((u << 24) | (t << 16) | (s <<  8) | (r      )));
+  }
+
+
+  /*
+   * @brief C custom defined QSUB8
+   */
+  __STATIC_FORCEINLINE uint32_t __QSUB8(
+  uint32_t x,
+  uint32_t y)
+  {
+    q31_t r, s, t, u;
+
+    r = __SSAT(((((q31_t)x << 24) >> 24) - (((q31_t)y << 24) >> 24)), 8) & (int32_t)0x000000FF;
+    s = __SSAT(((((q31_t)x << 16) >> 24) - (((q31_t)y << 16) >> 24)), 8) & (int32_t)0x000000FF;
+    t = __SSAT(((((q31_t)x <<  8) >> 24) - (((q31_t)y <<  8) >> 24)), 8) & (int32_t)0x000000FF;
+    u = __SSAT(((((q31_t)x      ) >> 24) - (((q31_t)y      ) >> 24)), 8) & (int32_t)0x000000FF;
+
+    return ((uint32_t)((u << 24) | (t << 16) | (s <<  8) | (r      )));
+  }
+
+
+  /*
+   * @brief C custom defined QADD16
+   */
+  __STATIC_FORCEINLINE uint32_t __QADD16(
+  uint32_t x,
+  uint32_t y)
+  {
+/*  q31_t r,     s;  without initialisation 'arm_offset_q15 test' fails  but 'intrinsic' tests pass! for armCC */
+    q31_t r = 0, s = 0;
+
+    r = __SSAT(((((q31_t)x << 16) >> 16) + (((q31_t)y << 16) >> 16)), 16) & (int32_t)0x0000FFFF;
+    s = __SSAT(((((q31_t)x      ) >> 16) + (((q31_t)y      ) >> 16)), 16) & (int32_t)0x0000FFFF;
+
+    return ((uint32_t)((s << 16) | (r      )));
+  }
+
+
+  /*
+   * @brief C custom defined SHADD16
+   */
+  __STATIC_FORCEINLINE uint32_t __SHADD16(
+  uint32_t x,
+  uint32_t y)
+  {
+    q31_t r, s;
+
+    r = (((((q31_t)x << 16) >> 16) + (((q31_t)y << 16) >> 16)) >> 1) & (int32_t)0x0000FFFF;
+    s = (((((q31_t)x      ) >> 16) + (((q31_t)y      ) >> 16)) >> 1) & (int32_t)0x0000FFFF;
+
+    return ((uint32_t)((s << 16) | (r      )));
+  }
+
+
+  /*
+   * @brief C custom defined QSUB16
+   */
+  __STATIC_FORCEINLINE uint32_t __QSUB16(
+  uint32_t x,
+  uint32_t y)
+  {
+    q31_t r, s;
+
+    r = __SSAT(((((q31_t)x << 16) >> 16) - (((q31_t)y << 16) >> 16)), 16) & (int32_t)0x0000FFFF;
+    s = __SSAT(((((q31_t)x      ) >> 16) - (((q31_t)y      ) >> 16)), 16) & (int32_t)0x0000FFFF;
+
+    return ((uint32_t)((s << 16) | (r      )));
+  }
+
+
+  /*
+   * @brief C custom defined SHSUB16
+   */
+  __STATIC_FORCEINLINE uint32_t __SHSUB16(
+  uint32_t x,
+  uint32_t y)
+  {
+    q31_t r, s;
+
+    r = (((((q31_t)x << 16) >> 16) - (((q31_t)y << 16) >> 16)) >> 1) & (int32_t)0x0000FFFF;
+    s = (((((q31_t)x      ) >> 16) - (((q31_t)y      ) >> 16)) >> 1) & (int32_t)0x0000FFFF;
+
+    return ((uint32_t)((s << 16) | (r      )));
+  }
+
+
+  /*
+   * @brief C custom defined QASX
+   */
+  __STATIC_FORCEINLINE uint32_t __QASX(
+  uint32_t x,
+  uint32_t y)
+  {
+    q31_t r, s;
+
+    r = __SSAT(((((q31_t)x << 16) >> 16) - (((q31_t)y      ) >> 16)), 16) & (int32_t)0x0000FFFF;
+    s = __SSAT(((((q31_t)x      ) >> 16) + (((q31_t)y << 16) >> 16)), 16) & (int32_t)0x0000FFFF;
+
+    return ((uint32_t)((s << 16) | (r      )));
+  }
+
+
+  /*
+   * @brief C custom defined SHASX
+   */
+  __STATIC_FORCEINLINE uint32_t __SHASX(
+  uint32_t x,
+  uint32_t y)
+  {
+    q31_t r, s;
+
+    r = (((((q31_t)x << 16) >> 16) - (((q31_t)y      ) >> 16)) >> 1) & (int32_t)0x0000FFFF;
+    s = (((((q31_t)x      ) >> 16) + (((q31_t)y << 16) >> 16)) >> 1) & (int32_t)0x0000FFFF;
+
+    return ((uint32_t)((s << 16) | (r      )));
+  }
+
+
+  /*
+   * @brief C custom defined QSAX
+   */
+  __STATIC_FORCEINLINE uint32_t __QSAX(
+  uint32_t x,
+  uint32_t y)
+  {
+    q31_t r, s;
+
+    r = __SSAT(((((q31_t)x << 16) >> 16) + (((q31_t)y      ) >> 16)), 16) & (int32_t)0x0000FFFF;
+    s = __SSAT(((((q31_t)x      ) >> 16) - (((q31_t)y << 16) >> 16)), 16) & (int32_t)0x0000FFFF;
+
+    return ((uint32_t)((s << 16) | (r      )));
+  }
+
+
+  /*
+   * @brief C custom defined SHSAX
+   */
+  __STATIC_FORCEINLINE uint32_t __SHSAX(
+  uint32_t x,
+  uint32_t y)
+  {
+    q31_t r, s;
+
+    r = (((((q31_t)x << 16) >> 16) + (((q31_t)y      ) >> 16)) >> 1) & (int32_t)0x0000FFFF;
+    s = (((((q31_t)x      ) >> 16) - (((q31_t)y << 16) >> 16)) >> 1) & (int32_t)0x0000FFFF;
+
+    return ((uint32_t)((s << 16) | (r      )));
+  }
+
+
+  /*
+   * @brief C custom defined SMUSDX
+   */
+  __STATIC_FORCEINLINE uint32_t __SMUSDX(
+  uint32_t x,
+  uint32_t y)
+  {
+    return ((uint32_t)(((((q31_t)x << 16) >> 16) * (((q31_t)y      ) >> 16)) -
+                       ((((q31_t)x      ) >> 16) * (((q31_t)y << 16) >> 16))   ));
+  }
+
+  /*
+   * @brief C custom defined SMUADX
+   */
+  __STATIC_FORCEINLINE uint32_t __SMUADX(
+  uint32_t x,
+  uint32_t y)
+  {
+    return ((uint32_t)(((((q31_t)x << 16) >> 16) * (((q31_t)y      ) >> 16)) +
+                       ((((q31_t)x      ) >> 16) * (((q31_t)y << 16) >> 16))   ));
+  }
+
+
+  /*
+   * @brief C custom defined QADD
+   */
+  __STATIC_FORCEINLINE int32_t __QADD(
+  int32_t x,
+  int32_t y)
+  {
+    return ((int32_t)(clip_q63_to_q31((q63_t)x + (q31_t)y)));
+  }
+
+
+  /*
+   * @brief C custom defined QSUB
+   */
+  __STATIC_FORCEINLINE int32_t __QSUB(
+  int32_t x,
+  int32_t y)
+  {
+    return ((int32_t)(clip_q63_to_q31((q63_t)x - (q31_t)y)));
+  }
+
+
+  /*
+   * @brief C custom defined SMLAD
+   */
+  __STATIC_FORCEINLINE uint32_t __SMLAD(
+  uint32_t x,
+  uint32_t y,
+  uint32_t sum)
+  {
+    return ((uint32_t)(((((q31_t)x << 16) >> 16) * (((q31_t)y << 16) >> 16)) +
+                       ((((q31_t)x      ) >> 16) * (((q31_t)y      ) >> 16)) +
+                       ( ((q31_t)sum    )                                  )   ));
+  }
+
+
+  /*
+   * @brief C custom defined SMLADX
+   */
+  __STATIC_FORCEINLINE uint32_t __SMLADX(
+  uint32_t x,
+  uint32_t y,
+  uint32_t sum)
+  {
+    return ((uint32_t)(((((q31_t)x << 16) >> 16) * (((q31_t)y      ) >> 16)) +
+                       ((((q31_t)x      ) >> 16) * (((q31_t)y << 16) >> 16)) +
+                       ( ((q31_t)sum    )                                  )   ));
+  }
+
+
+  /*
+   * @brief C custom defined SMLSDX
+   */
+  __STATIC_FORCEINLINE uint32_t __SMLSDX(
+  uint32_t x,
+  uint32_t y,
+  uint32_t sum)
+  {
+    return ((uint32_t)(((((q31_t)x << 16) >> 16) * (((q31_t)y      ) >> 16)) -
+                       ((((q31_t)x      ) >> 16) * (((q31_t)y << 16) >> 16)) +
+                       ( ((q31_t)sum    )                                  )   ));
+  }
+
+
+  /*
+   * @brief C custom defined SMLALD
+   */
+  __STATIC_FORCEINLINE uint64_t __SMLALD(
+  uint32_t x,
+  uint32_t y,
+  uint64_t sum)
+  {
+/*  return (sum + ((q15_t) (x >> 16) * (q15_t) (y >> 16)) + ((q15_t) x * (q15_t) y)); */
+    return ((uint64_t)(((((q31_t)x << 16) >> 16) * (((q31_t)y << 16) >> 16)) +
+                       ((((q31_t)x      ) >> 16) * (((q31_t)y      ) >> 16)) +
+                       ( ((q63_t)sum    )                                  )   ));
+  }
+
+
+  /*
+   * @brief C custom defined SMLALDX
+   */
+  __STATIC_FORCEINLINE uint64_t __SMLALDX(
+  uint32_t x,
+  uint32_t y,
+  uint64_t sum)
+  {
+/*  return (sum + ((q15_t) (x >> 16) * (q15_t) y)) + ((q15_t) x * (q15_t) (y >> 16)); */
+    return ((uint64_t)(((((q31_t)x << 16) >> 16) * (((q31_t)y      ) >> 16)) +
+                       ((((q31_t)x      ) >> 16) * (((q31_t)y << 16) >> 16)) +
+                       ( ((q63_t)sum    )                                  )   ));
+  }
+
+
+  /*
+   * @brief C custom defined SMUAD
+   */
+  __STATIC_FORCEINLINE uint32_t __SMUAD(
+  uint32_t x,
+  uint32_t y)
+  {
+    return ((uint32_t)(((((q31_t)x << 16) >> 16) * (((q31_t)y << 16) >> 16)) +
+                       ((((q31_t)x      ) >> 16) * (((q31_t)y      ) >> 16))   ));
+  }
+
+
+  /*
+   * @brief C custom defined SMUSD
+   */
+  __STATIC_FORCEINLINE uint32_t __SMUSD(
+  uint32_t x,
+  uint32_t y)
+  {
+    return ((uint32_t)(((((q31_t)x << 16) >> 16) * (((q31_t)y << 16) >> 16)) -
+                       ((((q31_t)x      ) >> 16) * (((q31_t)y      ) >> 16))   ));
+  }
+
+
+  /*
+   * @brief C custom defined SXTB16
+   */
+  __STATIC_FORCEINLINE uint32_t __SXTB16(
+  uint32_t x)
+  {
+    return ((uint32_t)(((((q31_t)x << 24) >> 24) & (q31_t)0x0000FFFF) |
+                       ((((q31_t)x <<  8) >>  8) & (q31_t)0xFFFF0000)  ));
+  }
+
+  /*
+   * @brief C custom defined SMMLA
+   */
+  __STATIC_FORCEINLINE int32_t __SMMLA(
+  int32_t x,
+  int32_t y,
+  int32_t sum)
+  {
+    return (sum + (int32_t) (((int64_t) x * y) >> 32));
+  }
+
+#endif /* !defined (ARM_MATH_DSP) */
+
+
+  /**
+   * @brief Instance structure for the Q7 FIR filter.
+   */
+  typedef struct
+  {
+          uint16_t numTaps;        /**< number of filter coefficients in the filter. */
+          q7_t *pState;            /**< points to the state variable array. The array is of length numTaps+blockSize-1. */
+    const q7_t *pCoeffs;           /**< points to the coefficient array. The array is of length numTaps.*/
+  } arm_fir_instance_q7;
+
+  /**
+   * @brief Instance structure for the Q15 FIR filter.
+   */
+  typedef struct
+  {
+          uint16_t numTaps;         /**< number of filter coefficients in the filter. */
+          q15_t *pState;            /**< points to the state variable array. The array is of length numTaps+blockSize-1. */
+    const q15_t *pCoeffs;           /**< points to the coefficient array. The array is of length numTaps.*/
+  } arm_fir_instance_q15;
+
+  /**
+   * @brief Instance structure for the Q31 FIR filter.
+   */
+  typedef struct
+  {
+          uint16_t numTaps;         /**< number of filter coefficients in the filter. */
+          q31_t *pState;            /**< points to the state variable array. The array is of length numTaps+blockSize-1. */
+    const q31_t *pCoeffs;           /**< points to the coefficient array. The array is of length numTaps. */
+  } arm_fir_instance_q31;
+
+  /**
+   * @brief Instance structure for the floating-point FIR filter.
+   */
+  typedef struct
+  {
+          uint16_t numTaps;     /**< number of filter coefficients in the filter. */
+          float32_t *pState;    /**< points to the state variable array. The array is of length numTaps+blockSize-1. */
+    const float32_t *pCoeffs;   /**< points to the coefficient array. The array is of length numTaps. */
+  } arm_fir_instance_f32;
+
+  /**
+   * @brief Processing function for the Q7 FIR filter.
+   * @param[in]  S          points to an instance of the Q7 FIR filter structure.
+   * @param[in]  pSrc       points to the block of input data.
+   * @param[out] pDst       points to the block of output data.
+   * @param[in]  blockSize  number of samples to process.
+   */
+  void arm_fir_q7(
+  const arm_fir_instance_q7 * S,
+  const q7_t * pSrc,
+        q7_t * pDst,
+        uint32_t blockSize);
+
+  /**
+   * @brief  Initialization function for the Q7 FIR filter.
+   * @param[in,out] S          points to an instance of the Q7 FIR structure.
+   * @param[in]     numTaps    Number of filter coefficients in the filter.
+   * @param[in]     pCoeffs    points to the filter coefficients.
+   * @param[in]     pState     points to the state buffer.
+   * @param[in]     blockSize  number of samples that are processed.
+   */
+  void arm_fir_init_q7(
+        arm_fir_instance_q7 * S,
+        uint16_t numTaps,
+  const q7_t * pCoeffs,
+        q7_t * pState,
+        uint32_t blockSize);
+
+  /**
+   * @brief Processing function for the Q15 FIR filter.
+   * @param[in]  S          points to an instance of the Q15 FIR structure.
+   * @param[in]  pSrc       points to the block of input data.
+   * @param[out] pDst       points to the block of output data.
+   * @param[in]  blockSize  number of samples to process.
+   */
+  void arm_fir_q15(
+  const arm_fir_instance_q15 * S,
+  const q15_t * pSrc,
+        q15_t * pDst,
+        uint32_t blockSize);
+
+  /**
+   * @brief Processing function for the fast Q15 FIR filter (fast version).
+   * @param[in]  S          points to an instance of the Q15 FIR filter structure.
+   * @param[in]  pSrc       points to the block of input data.
+   * @param[out] pDst       points to the block of output data.
+   * @param[in]  blockSize  number of samples to process.
+   */
+  void arm_fir_fast_q15(
+  const arm_fir_instance_q15 * S,
+  const q15_t * pSrc,
+        q15_t * pDst,
+        uint32_t blockSize);
+
+  /**
+   * @brief  Initialization function for the Q15 FIR filter.
+   * @param[in,out] S          points to an instance of the Q15 FIR filter structure.
+   * @param[in]     numTaps    Number of filter coefficients in the filter. Must be even and greater than or equal to 4.
+   * @param[in]     pCoeffs    points to the filter coefficients.
+   * @param[in]     pState     points to the state buffer.
+   * @param[in]     blockSize  number of samples that are processed at a time.
+   * @return     The function returns either
+   * <code>ARM_MATH_SUCCESS</code> if initialization was successful or
+   * <code>ARM_MATH_ARGUMENT_ERROR</code> if <code>numTaps</code> is not a supported value.
+   */
+  arm_status arm_fir_init_q15(
+        arm_fir_instance_q15 * S,
+        uint16_t numTaps,
+  const q15_t * pCoeffs,
+        q15_t * pState,
+        uint32_t blockSize);
+
+  /**
+   * @brief Processing function for the Q31 FIR filter.
+   * @param[in]  S          points to an instance of the Q31 FIR filter structure.
+   * @param[in]  pSrc       points to the block of input data.
+   * @param[out] pDst       points to the block of output data.
+   * @param[in]  blockSize  number of samples to process.
+   */
+  void arm_fir_q31(
+  const arm_fir_instance_q31 * S,
+  const q31_t * pSrc,
+        q31_t * pDst,
+        uint32_t blockSize);
+
+  /**
+   * @brief Processing function for the fast Q31 FIR filter (fast version).
+   * @param[in]  S          points to an instance of the Q31 FIR filter structure.
+   * @param[in]  pSrc       points to the block of input data.
+   * @param[out] pDst       points to the block of output data.
+   * @param[in]  blockSize  number of samples to process.
+   */
+  void arm_fir_fast_q31(
+  const arm_fir_instance_q31 * S,
+  const q31_t * pSrc,
+        q31_t * pDst,
+        uint32_t blockSize);
+
+  /**
+   * @brief  Initialization function for the Q31 FIR filter.
+   * @param[in,out] S          points to an instance of the Q31 FIR structure.
+   * @param[in]     numTaps    Number of filter coefficients in the filter.
+   * @param[in]     pCoeffs    points to the filter coefficients.
+   * @param[in]     pState     points to the state buffer.
+   * @param[in]     blockSize  number of samples that are processed at a time.
+   */
+  void arm_fir_init_q31(
+        arm_fir_instance_q31 * S,
+        uint16_t numTaps,
+  const q31_t * pCoeffs,
+        q31_t * pState,
+        uint32_t blockSize);
+
+  /**
+   * @brief Processing function for the floating-point FIR filter.
+   * @param[in]  S          points to an instance of the floating-point FIR structure.
+   * @param[in]  pSrc       points to the block of input data.
+   * @param[out] pDst       points to the block of output data.
+   * @param[in]  blockSize  number of samples to process.
+   */
+  void arm_fir_f32(
+  const arm_fir_instance_f32 * S,
+  const float32_t * pSrc,
+        float32_t * pDst,
+        uint32_t blockSize);
+
+  /**
+   * @brief  Initialization function for the floating-point FIR filter.
+   * @param[in,out] S          points to an instance of the floating-point FIR filter structure.
+   * @param[in]     numTaps    Number of filter coefficients in the filter.
+   * @param[in]     pCoeffs    points to the filter coefficients.
+   * @param[in]     pState     points to the state buffer.
+   * @param[in]     blockSize  number of samples that are processed at a time.
+   */
+  void arm_fir_init_f32(
+        arm_fir_instance_f32 * S,
+        uint16_t numTaps,
+  const float32_t * pCoeffs,
+        float32_t * pState,
+        uint32_t blockSize);
+
+  /**
+   * @brief Instance structure for the Q15 Biquad cascade filter.
+   */
+  typedef struct
+  {
+          int8_t numStages;        /**< number of 2nd order stages in the filter.  Overall order is 2*numStages. */
+          q15_t *pState;           /**< Points to the array of state coefficients.  The array is of length 4*numStages. */
+    const q15_t *pCoeffs;          /**< Points to the array of coefficients.  The array is of length 5*numStages. */
+          int8_t postShift;        /**< Additional shift, in bits, applied to each output sample. */
+  } arm_biquad_casd_df1_inst_q15;
+
+  /**
+   * @brief Instance structure for the Q31 Biquad cascade filter.
+   */
+  typedef struct
+  {
+          uint32_t numStages;      /**< number of 2nd order stages in the filter.  Overall order is 2*numStages. */
+          q31_t *pState;           /**< Points to the array of state coefficients.  The array is of length 4*numStages. */
+    const q31_t *pCoeffs;          /**< Points to the array of coefficients.  The array is of length 5*numStages. */
+          uint8_t postShift;       /**< Additional shift, in bits, applied to each output sample. */
+  } arm_biquad_casd_df1_inst_q31;
+
+  /**
+   * @brief Instance structure for the floating-point Biquad cascade filter.
+   */
+  typedef struct
+  {
+          uint32_t numStages;      /**< number of 2nd order stages in the filter.  Overall order is 2*numStages. */
+          float32_t *pState;       /**< Points to the array of state coefficients.  The array is of length 4*numStages. */
+    const float32_t *pCoeffs;      /**< Points to the array of coefficients.  The array is of length 5*numStages. */
+  } arm_biquad_casd_df1_inst_f32;
+
+  /**
+   * @brief Processing function for the Q15 Biquad cascade filter.
+   * @param[in]  S          points to an instance of the Q15 Biquad cascade structure.
+   * @param[in]  pSrc       points to the block of input data.
+   * @param[out] pDst       points to the block of output data.
+   * @param[in]  blockSize  number of samples to process.
+   */
+  void arm_biquad_cascade_df1_q15(
+  const arm_biquad_casd_df1_inst_q15 * S,
+  const q15_t * pSrc,
+        q15_t * pDst,
+        uint32_t blockSize);
+
+  /**
+   * @brief  Initialization function for the Q15 Biquad cascade filter.
+   * @param[in,out] S          points to an instance of the Q15 Biquad cascade structure.
+   * @param[in]     numStages  number of 2nd order stages in the filter.
+   * @param[in]     pCoeffs    points to the filter coefficients.
+   * @param[in]     pState     points to the state buffer.
+   * @param[in]     postShift  Shift to be applied to the output. Varies according to the coefficients format
+   */
+  void arm_biquad_cascade_df1_init_q15(
+        arm_biquad_casd_df1_inst_q15 * S,
+        uint8_t numStages,
+  const q15_t * pCoeffs,
+        q15_t * pState,
+        int8_t postShift);
+
+  /**
+   * @brief Fast but less precise processing function for the Q15 Biquad cascade filter for Cortex-M3 and Cortex-M4.
+   * @param[in]  S          points to an instance of the Q15 Biquad cascade structure.
+   * @param[in]  pSrc       points to the block of input data.
+   * @param[out] pDst       points to the block of output data.
+   * @param[in]  blockSize  number of samples to process.
+   */
+  void arm_biquad_cascade_df1_fast_q15(
+  const arm_biquad_casd_df1_inst_q15 * S,
+  const q15_t * pSrc,
+        q15_t * pDst,
+        uint32_t blockSize);
+
+  /**
+   * @brief Processing function for the Q31 Biquad cascade filter
+   * @param[in]  S          points to an instance of the Q31 Biquad cascade structure.
+   * @param[in]  pSrc       points to the block of input data.
+   * @param[out] pDst       points to the block of output data.
+   * @param[in]  blockSize  number of samples to process.
+   */
+  void arm_biquad_cascade_df1_q31(
+  const arm_biquad_casd_df1_inst_q31 * S,
+  const q31_t * pSrc,
+        q31_t * pDst,
+        uint32_t blockSize);
+
+  /**
+   * @brief Fast but less precise processing function for the Q31 Biquad cascade filter for Cortex-M3 and Cortex-M4.
+   * @param[in]  S          points to an instance of the Q31 Biquad cascade structure.
+   * @param[in]  pSrc       points to the block of input data.
+   * @param[out] pDst       points to the block of output data.
+   * @param[in]  blockSize  number of samples to process.
+   */
+  void arm_biquad_cascade_df1_fast_q31(
+  const arm_biquad_casd_df1_inst_q31 * S,
+  const q31_t * pSrc,
+        q31_t * pDst,
+        uint32_t blockSize);
+
+  /**
+   * @brief  Initialization function for the Q31 Biquad cascade filter.
+   * @param[in,out] S          points to an instance of the Q31 Biquad cascade structure.
+   * @param[in]     numStages  number of 2nd order stages in the filter.
+   * @param[in]     pCoeffs    points to the filter coefficients.
+   * @param[in]     pState     points to the state buffer.
+   * @param[in]     postShift  Shift to be applied to the output. Varies according to the coefficients format
+   */
+  void arm_biquad_cascade_df1_init_q31(
+        arm_biquad_casd_df1_inst_q31 * S,
+        uint8_t numStages,
+  const q31_t * pCoeffs,
+        q31_t * pState,
+        int8_t postShift);
+
+  /**
+   * @brief Processing function for the floating-point Biquad cascade filter.
+   * @param[in]  S          points to an instance of the floating-point Biquad cascade structure.
+   * @param[in]  pSrc       points to the block of input data.
+   * @param[out] pDst       points to the block of output data.
+   * @param[in]  blockSize  number of samples to process.
+   */
+  void arm_biquad_cascade_df1_f32(
+  const arm_biquad_casd_df1_inst_f32 * S,
+  const float32_t * pSrc,
+        float32_t * pDst,
+        uint32_t blockSize);
+
+  /**
+   * @brief  Initialization function for the floating-point Biquad cascade filter.
+   * @param[in,out] S          points to an instance of the floating-point Biquad cascade structure.
+   * @param[in]     numStages  number of 2nd order stages in the filter.
+   * @param[in]     pCoeffs    points to the filter coefficients.
+   * @param[in]     pState     points to the state buffer.
+   */
+  void arm_biquad_cascade_df1_init_f32(
+        arm_biquad_casd_df1_inst_f32 * S,
+        uint8_t numStages,
+  const float32_t * pCoeffs,
+        float32_t * pState);
+
+  /**
+   * @brief Instance structure for the floating-point matrix structure.
+   */
+  typedef struct
+  {
+    uint16_t numRows;     /**< number of rows of the matrix.     */
+    uint16_t numCols;     /**< number of columns of the matrix.  */
+    float32_t *pData;     /**< points to the data of the matrix. */
+  } arm_matrix_instance_f32;
+
+
+  /**
+   * @brief Instance structure for the floating-point matrix structure.
+   */
+  typedef struct
+  {
+    uint16_t numRows;     /**< number of rows of the matrix.     */
+    uint16_t numCols;     /**< number of columns of the matrix.  */
+    float64_t *pData;     /**< points to the data of the matrix. */
+  } arm_matrix_instance_f64;
+
+  /**
+   * @brief Instance structure for the Q15 matrix structure.
+   */
+  typedef struct
+  {
+    uint16_t numRows;     /**< number of rows of the matrix.     */
+    uint16_t numCols;     /**< number of columns of the matrix.  */
+    q15_t *pData;         /**< points to the data of the matrix. */
+  } arm_matrix_instance_q15;
+
+  /**
+   * @brief Instance structure for the Q31 matrix structure.
+   */
+  typedef struct
+  {
+    uint16_t numRows;     /**< number of rows of the matrix.     */
+    uint16_t numCols;     /**< number of columns of the matrix.  */
+    q31_t *pData;         /**< points to the data of the matrix. */
+  } arm_matrix_instance_q31;
+
+  /**
+   * @brief Floating-point matrix addition.
+   * @param[in]  pSrcA  points to the first input matrix structure
+   * @param[in]  pSrcB  points to the second input matrix structure
+   * @param[out] pDst   points to output matrix structure
+   * @return     The function returns either
+   * <code>ARM_MATH_SIZE_MISMATCH</code> or <code>ARM_MATH_SUCCESS</code> based on the outcome of size checking.
+   */
+arm_status arm_mat_add_f32(
+  const arm_matrix_instance_f32 * pSrcA,
+  const arm_matrix_instance_f32 * pSrcB,
+        arm_matrix_instance_f32 * pDst);
+
+  /**
+   * @brief Q15 matrix addition.
+   * @param[in]   pSrcA  points to the first input matrix structure
+   * @param[in]   pSrcB  points to the second input matrix structure
+   * @param[out]  pDst   points to output matrix structure
+   * @return     The function returns either
+   * <code>ARM_MATH_SIZE_MISMATCH</code> or <code>ARM_MATH_SUCCESS</code> based on the outcome of size checking.
+   */
+arm_status arm_mat_add_q15(
+  const arm_matrix_instance_q15 * pSrcA,
+  const arm_matrix_instance_q15 * pSrcB,
+        arm_matrix_instance_q15 * pDst);
+
+  /**
+   * @brief Q31 matrix addition.
+   * @param[in]  pSrcA  points to the first input matrix structure
+   * @param[in]  pSrcB  points to the second input matrix structure
+   * @param[out] pDst   points to output matrix structure
+   * @return     The function returns either
+   * <code>ARM_MATH_SIZE_MISMATCH</code> or <code>ARM_MATH_SUCCESS</code> based on the outcome of size checking.
+   */
+arm_status arm_mat_add_q31(
+  const arm_matrix_instance_q31 * pSrcA,
+  const arm_matrix_instance_q31 * pSrcB,
+        arm_matrix_instance_q31 * pDst);
+
+  /**
+   * @brief Floating-point, complex, matrix multiplication.
+   * @param[in]  pSrcA  points to the first input matrix structure
+   * @param[in]  pSrcB  points to the second input matrix structure
+   * @param[out] pDst   points to output matrix structure
+   * @return     The function returns either
+   * <code>ARM_MATH_SIZE_MISMATCH</code> or <code>ARM_MATH_SUCCESS</code> based on the outcome of size checking.
+   */
+arm_status arm_mat_cmplx_mult_f32(
+  const arm_matrix_instance_f32 * pSrcA,
+  const arm_matrix_instance_f32 * pSrcB,
+        arm_matrix_instance_f32 * pDst);
+
+  /**
+   * @brief Q15, complex,  matrix multiplication.
+   * @param[in]  pSrcA  points to the first input matrix structure
+   * @param[in]  pSrcB  points to the second input matrix structure
+   * @param[out] pDst   points to output matrix structure
+   * @return     The function returns either
+   * <code>ARM_MATH_SIZE_MISMATCH</code> or <code>ARM_MATH_SUCCESS</code> based on the outcome of size checking.
+   */
+arm_status arm_mat_cmplx_mult_q15(
+  const arm_matrix_instance_q15 * pSrcA,
+  const arm_matrix_instance_q15 * pSrcB,
+        arm_matrix_instance_q15 * pDst,
+        q15_t * pScratch);
+
+  /**
+   * @brief Q31, complex, matrix multiplication.
+   * @param[in]  pSrcA  points to the first input matrix structure
+   * @param[in]  pSrcB  points to the second input matrix structure
+   * @param[out] pDst   points to output matrix structure
+   * @return     The function returns either
+   * <code>ARM_MATH_SIZE_MISMATCH</code> or <code>ARM_MATH_SUCCESS</code> based on the outcome of size checking.
+   */
+arm_status arm_mat_cmplx_mult_q31(
+  const arm_matrix_instance_q31 * pSrcA,
+  const arm_matrix_instance_q31 * pSrcB,
+        arm_matrix_instance_q31 * pDst);
+
+  /**
+   * @brief Floating-point matrix transpose.
+   * @param[in]  pSrc  points to the input matrix
+   * @param[out] pDst  points to the output matrix
+   * @return    The function returns either  <code>ARM_MATH_SIZE_MISMATCH</code>
+   * or <code>ARM_MATH_SUCCESS</code> based on the outcome of size checking.
+   */
+arm_status arm_mat_trans_f32(
+  const arm_matrix_instance_f32 * pSrc,
+        arm_matrix_instance_f32 * pDst);
+
+  /**
+   * @brief Q15 matrix transpose.
+   * @param[in]  pSrc  points to the input matrix
+   * @param[out] pDst  points to the output matrix
+   * @return    The function returns either  <code>ARM_MATH_SIZE_MISMATCH</code>
+   * or <code>ARM_MATH_SUCCESS</code> based on the outcome of size checking.
+   */
+arm_status arm_mat_trans_q15(
+  const arm_matrix_instance_q15 * pSrc,
+        arm_matrix_instance_q15 * pDst);
+
+  /**
+   * @brief Q31 matrix transpose.
+   * @param[in]  pSrc  points to the input matrix
+   * @param[out] pDst  points to the output matrix
+   * @return    The function returns either  <code>ARM_MATH_SIZE_MISMATCH</code>
+   * or <code>ARM_MATH_SUCCESS</code> based on the outcome of size checking.
+   */
+arm_status arm_mat_trans_q31(
+  const arm_matrix_instance_q31 * pSrc,
+        arm_matrix_instance_q31 * pDst);
+
+  /**
+   * @brief Floating-point matrix multiplication
+   * @param[in]  pSrcA  points to the first input matrix structure
+   * @param[in]  pSrcB  points to the second input matrix structure
+   * @param[out] pDst   points to output matrix structure
+   * @return     The function returns either
+   * <code>ARM_MATH_SIZE_MISMATCH</code> or <code>ARM_MATH_SUCCESS</code> based on the outcome of size checking.
+   */
+arm_status arm_mat_mult_f32(
+  const arm_matrix_instance_f32 * pSrcA,
+  const arm_matrix_instance_f32 * pSrcB,
+        arm_matrix_instance_f32 * pDst);
+
+  /**
+   * @brief Q15 matrix multiplication
+   * @param[in]  pSrcA   points to the first input matrix structure
+   * @param[in]  pSrcB   points to the second input matrix structure
+   * @param[out] pDst    points to output matrix structure
+   * @param[in]  pState  points to the array for storing intermediate results
+   * @return     The function returns either
+   * <code>ARM_MATH_SIZE_MISMATCH</code> or <code>ARM_MATH_SUCCESS</code> based on the outcome of size checking.
+   */
+arm_status arm_mat_mult_q15(
+  const arm_matrix_instance_q15 * pSrcA,
+  const arm_matrix_instance_q15 * pSrcB,
+        arm_matrix_instance_q15 * pDst,
+        q15_t * pState);
+
+  /**
+   * @brief Q15 matrix multiplication (fast variant) for Cortex-M3 and Cortex-M4
+   * @param[in]  pSrcA   points to the first input matrix structure
+   * @param[in]  pSrcB   points to the second input matrix structure
+   * @param[out] pDst    points to output matrix structure
+   * @param[in]  pState  points to the array for storing intermediate results
+   * @return     The function returns either
+   * <code>ARM_MATH_SIZE_MISMATCH</code> or <code>ARM_MATH_SUCCESS</code> based on the outcome of size checking.
+   */
+arm_status arm_mat_mult_fast_q15(
+  const arm_matrix_instance_q15 * pSrcA,
+  const arm_matrix_instance_q15 * pSrcB,
+        arm_matrix_instance_q15 * pDst,
+        q15_t * pState);
+
+  /**
+   * @brief Q31 matrix multiplication
+   * @param[in]  pSrcA  points to the first input matrix structure
+   * @param[in]  pSrcB  points to the second input matrix structure
+   * @param[out] pDst   points to output matrix structure
+   * @return     The function returns either
+   * <code>ARM_MATH_SIZE_MISMATCH</code> or <code>ARM_MATH_SUCCESS</code> based on the outcome of size checking.
+   */
+arm_status arm_mat_mult_q31(
+  const arm_matrix_instance_q31 * pSrcA,
+  const arm_matrix_instance_q31 * pSrcB,
+        arm_matrix_instance_q31 * pDst);
+
+  /**
+   * @brief Q31 matrix multiplication (fast variant) for Cortex-M3 and Cortex-M4
+   * @param[in]  pSrcA  points to the first input matrix structure
+   * @param[in]  pSrcB  points to the second input matrix structure
+   * @param[out] pDst   points to output matrix structure
+   * @return     The function returns either
+   * <code>ARM_MATH_SIZE_MISMATCH</code> or <code>ARM_MATH_SUCCESS</code> based on the outcome of size checking.
+   */
+arm_status arm_mat_mult_fast_q31(
+  const arm_matrix_instance_q31 * pSrcA,
+  const arm_matrix_instance_q31 * pSrcB,
+        arm_matrix_instance_q31 * pDst);
+
+  /**
+   * @brief Floating-point matrix subtraction
+   * @param[in]  pSrcA  points to the first input matrix structure
+   * @param[in]  pSrcB  points to the second input matrix structure
+   * @param[out] pDst   points to output matrix structure
+   * @return     The function returns either
+   * <code>ARM_MATH_SIZE_MISMATCH</code> or <code>ARM_MATH_SUCCESS</code> based on the outcome of size checking.
+   */
+arm_status arm_mat_sub_f32(
+  const arm_matrix_instance_f32 * pSrcA,
+  const arm_matrix_instance_f32 * pSrcB,
+        arm_matrix_instance_f32 * pDst);
+
+  /**
+   * @brief Q15 matrix subtraction
+   * @param[in]  pSrcA  points to the first input matrix structure
+   * @param[in]  pSrcB  points to the second input matrix structure
+   * @param[out] pDst   points to output matrix structure
+   * @return     The function returns either
+   * <code>ARM_MATH_SIZE_MISMATCH</code> or <code>ARM_MATH_SUCCESS</code> based on the outcome of size checking.
+   */
+arm_status arm_mat_sub_q15(
+  const arm_matrix_instance_q15 * pSrcA,
+  const arm_matrix_instance_q15 * pSrcB,
+        arm_matrix_instance_q15 * pDst);
+
+  /**
+   * @brief Q31 matrix subtraction
+   * @param[in]  pSrcA  points to the first input matrix structure
+   * @param[in]  pSrcB  points to the second input matrix structure
+   * @param[out] pDst   points to output matrix structure
+   * @return     The function returns either
+   * <code>ARM_MATH_SIZE_MISMATCH</code> or <code>ARM_MATH_SUCCESS</code> based on the outcome of size checking.
+   */
+arm_status arm_mat_sub_q31(
+  const arm_matrix_instance_q31 * pSrcA,
+  const arm_matrix_instance_q31 * pSrcB,
+        arm_matrix_instance_q31 * pDst);
+
+  /**
+   * @brief Floating-point matrix scaling.
+   * @param[in]  pSrc   points to the input matrix
+   * @param[in]  scale  scale factor
+   * @param[out] pDst   points to the output matrix
+   * @return     The function returns either
+   * <code>ARM_MATH_SIZE_MISMATCH</code> or <code>ARM_MATH_SUCCESS</code> based on the outcome of size checking.
+   */
+arm_status arm_mat_scale_f32(
+  const arm_matrix_instance_f32 * pSrc,
+        float32_t scale,
+        arm_matrix_instance_f32 * pDst);
+
+  /**
+   * @brief Q15 matrix scaling.
+   * @param[in]  pSrc        points to input matrix
+   * @param[in]  scaleFract  fractional portion of the scale factor
+   * @param[in]  shift       number of bits to shift the result by
+   * @param[out] pDst        points to output matrix
+   * @return     The function returns either
+   * <code>ARM_MATH_SIZE_MISMATCH</code> or <code>ARM_MATH_SUCCESS</code> based on the outcome of size checking.
+   */
+arm_status arm_mat_scale_q15(
+  const arm_matrix_instance_q15 * pSrc,
+        q15_t scaleFract,
+        int32_t shift,
+        arm_matrix_instance_q15 * pDst);
+
+  /**
+   * @brief Q31 matrix scaling.
+   * @param[in]  pSrc        points to input matrix
+   * @param[in]  scaleFract  fractional portion of the scale factor
+   * @param[in]  shift       number of bits to shift the result by
+   * @param[out] pDst        points to output matrix structure
+   * @return     The function returns either
+   * <code>ARM_MATH_SIZE_MISMATCH</code> or <code>ARM_MATH_SUCCESS</code> based on the outcome of size checking.
+   */
+arm_status arm_mat_scale_q31(
+  const arm_matrix_instance_q31 * pSrc,
+        q31_t scaleFract,
+        int32_t shift,
+        arm_matrix_instance_q31 * pDst);
+
+  /**
+   * @brief  Q31 matrix initialization.
+   * @param[in,out] S         points to an instance of the floating-point matrix structure.
+   * @param[in]     nRows     number of rows in the matrix.
+   * @param[in]     nColumns  number of columns in the matrix.
+   * @param[in]     pData     points to the matrix data array.
+   */
+void arm_mat_init_q31(
+        arm_matrix_instance_q31 * S,
+        uint16_t nRows,
+        uint16_t nColumns,
+        q31_t * pData);
+
+  /**
+   * @brief  Q15 matrix initialization.
+   * @param[in,out] S         points to an instance of the floating-point matrix structure.
+   * @param[in]     nRows     number of rows in the matrix.
+   * @param[in]     nColumns  number of columns in the matrix.
+   * @param[in]     pData     points to the matrix data array.
+   */
+void arm_mat_init_q15(
+        arm_matrix_instance_q15 * S,
+        uint16_t nRows,
+        uint16_t nColumns,
+        q15_t * pData);
+
+  /**
+   * @brief  Floating-point matrix initialization.
+   * @param[in,out] S         points to an instance of the floating-point matrix structure.
+   * @param[in]     nRows     number of rows in the matrix.
+   * @param[in]     nColumns  number of columns in the matrix.
+   * @param[in]     pData     points to the matrix data array.
+   */
+void arm_mat_init_f32(
+        arm_matrix_instance_f32 * S,
+        uint16_t nRows,
+        uint16_t nColumns,
+        float32_t * pData);
+
+
+  /**
+   * @brief Instance structure for the Q15 PID Control.
+   */
+  typedef struct
+  {
+          q15_t A0;           /**< The derived gain, A0 = Kp + Ki + Kd . */
+#if !defined (ARM_MATH_DSP)
+          q15_t A1;
+          q15_t A2;
+#else
+          q31_t A1;           /**< The derived gain A1 = -Kp - 2Kd | Kd.*/
+#endif
+          q15_t state[3];     /**< The state array of length 3. */
+          q15_t Kp;           /**< The proportional gain. */
+          q15_t Ki;           /**< The integral gain. */
+          q15_t Kd;           /**< The derivative gain. */
+  } arm_pid_instance_q15;
+
+  /**
+   * @brief Instance structure for the Q31 PID Control.
+   */
+  typedef struct
+  {
+          q31_t A0;            /**< The derived gain, A0 = Kp + Ki + Kd . */
+          q31_t A1;            /**< The derived gain, A1 = -Kp - 2Kd. */
+          q31_t A2;            /**< The derived gain, A2 = Kd . */
+          q31_t state[3];      /**< The state array of length 3. */
+          q31_t Kp;            /**< The proportional gain. */
+          q31_t Ki;            /**< The integral gain. */
+          q31_t Kd;            /**< The derivative gain. */
+  } arm_pid_instance_q31;
+
+  /**
+   * @brief Instance structure for the floating-point PID Control.
+   */
+  typedef struct
+  {
+          float32_t A0;          /**< The derived gain, A0 = Kp + Ki + Kd . */
+          float32_t A1;          /**< The derived gain, A1 = -Kp - 2Kd. */
+          float32_t A2;          /**< The derived gain, A2 = Kd . */
+          float32_t state[3];    /**< The state array of length 3. */
+          float32_t Kp;          /**< The proportional gain. */
+          float32_t Ki;          /**< The integral gain. */
+          float32_t Kd;          /**< The derivative gain. */
+  } arm_pid_instance_f32;
+
+
+
+  /**
+   * @brief  Initialization function for the floating-point PID Control.
+   * @param[in,out] S               points to an instance of the PID structure.
+   * @param[in]     resetStateFlag  flag to reset the state. 0 = no change in state 1 = reset the state.
+   */
+  void arm_pid_init_f32(
+        arm_pid_instance_f32 * S,
+        int32_t resetStateFlag);
+
+
+  /**
+   * @brief  Reset function for the floating-point PID Control.
+   * @param[in,out] S  is an instance of the floating-point PID Control structure
+   */
+  void arm_pid_reset_f32(
+        arm_pid_instance_f32 * S);
+
+
+  /**
+   * @brief  Initialization function for the Q31 PID Control.
+   * @param[in,out] S               points to an instance of the Q15 PID structure.
+   * @param[in]     resetStateFlag  flag to reset the state. 0 = no change in state 1 = reset the state.
+   */
+  void arm_pid_init_q31(
+        arm_pid_instance_q31 * S,
+        int32_t resetStateFlag);
+
+
+  /**
+   * @brief  Reset function for the Q31 PID Control.
+   * @param[in,out] S   points to an instance of the Q31 PID Control structure
+   */
+
+  void arm_pid_reset_q31(
+        arm_pid_instance_q31 * S);
+
+
+  /**
+   * @brief  Initialization function for the Q15 PID Control.
+   * @param[in,out] S               points to an instance of the Q15 PID structure.
+   * @param[in]     resetStateFlag  flag to reset the state. 0 = no change in state 1 = reset the state.
+   */
+  void arm_pid_init_q15(
+        arm_pid_instance_q15 * S,
+        int32_t resetStateFlag);
+
+
+  /**
+   * @brief  Reset function for the Q15 PID Control.
+   * @param[in,out] S  points to an instance of the q15 PID Control structure
+   */
+  void arm_pid_reset_q15(
+        arm_pid_instance_q15 * S);
+
+
+  /**
+   * @brief Instance structure for the floating-point Linear Interpolate function.
+   */
+  typedef struct
+  {
+          uint32_t nValues;           /**< nValues */
+          float32_t x1;               /**< x1 */
+          float32_t xSpacing;         /**< xSpacing */
+          float32_t *pYData;          /**< pointer to the table of Y values */
+  } arm_linear_interp_instance_f32;
+
+  /**
+   * @brief Instance structure for the floating-point bilinear interpolation function.
+   */
+  typedef struct
+  {
+          uint16_t numRows;   /**< number of rows in the data table. */
+          uint16_t numCols;   /**< number of columns in the data table. */
+          float32_t *pData;   /**< points to the data table. */
+  } arm_bilinear_interp_instance_f32;
+
+   /**
+   * @brief Instance structure for the Q31 bilinear interpolation function.
+   */
+  typedef struct
+  {
+          uint16_t numRows;   /**< number of rows in the data table. */
+          uint16_t numCols;   /**< number of columns in the data table. */
+          q31_t *pData;       /**< points to the data table. */
+  } arm_bilinear_interp_instance_q31;
+
+   /**
+   * @brief Instance structure for the Q15 bilinear interpolation function.
+   */
+  typedef struct
+  {
+          uint16_t numRows;   /**< number of rows in the data table. */
+          uint16_t numCols;   /**< number of columns in the data table. */
+          q15_t *pData;       /**< points to the data table. */
+  } arm_bilinear_interp_instance_q15;
+
+   /**
+   * @brief Instance structure for the Q15 bilinear interpolation function.
+   */
+  typedef struct
+  {
+          uint16_t numRows;   /**< number of rows in the data table. */
+          uint16_t numCols;   /**< number of columns in the data table. */
+          q7_t *pData;        /**< points to the data table. */
+  } arm_bilinear_interp_instance_q7;
+
+
+  /**
+   * @brief Q7 vector multiplication.
+   * @param[in]  pSrcA      points to the first input vector
+   * @param[in]  pSrcB      points to the second input vector
+   * @param[out] pDst       points to the output vector
+   * @param[in]  blockSize  number of samples in each vector
+   */
+  void arm_mult_q7(
+  const q7_t * pSrcA,
+  const q7_t * pSrcB,
+        q7_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Q15 vector multiplication.
+   * @param[in]  pSrcA      points to the first input vector
+   * @param[in]  pSrcB      points to the second input vector
+   * @param[out] pDst       points to the output vector
+   * @param[in]  blockSize  number of samples in each vector
+   */
+  void arm_mult_q15(
+  const q15_t * pSrcA,
+  const q15_t * pSrcB,
+        q15_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Q31 vector multiplication.
+   * @param[in]  pSrcA      points to the first input vector
+   * @param[in]  pSrcB      points to the second input vector
+   * @param[out] pDst       points to the output vector
+   * @param[in]  blockSize  number of samples in each vector
+   */
+  void arm_mult_q31(
+  const q31_t * pSrcA,
+  const q31_t * pSrcB,
+        q31_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Floating-point vector multiplication.
+   * @param[in]  pSrcA      points to the first input vector
+   * @param[in]  pSrcB      points to the second input vector
+   * @param[out] pDst       points to the output vector
+   * @param[in]  blockSize  number of samples in each vector
+   */
+  void arm_mult_f32(
+  const float32_t * pSrcA,
+  const float32_t * pSrcB,
+        float32_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Instance structure for the Q15 CFFT/CIFFT function.
+   */
+  typedef struct
+  {
+          uint16_t fftLen;                 /**< length of the FFT. */
+          uint8_t ifftFlag;                /**< flag that selects forward (ifftFlag=0) or inverse (ifftFlag=1) transform. */
+          uint8_t bitReverseFlag;          /**< flag that enables (bitReverseFlag=1) or disables (bitReverseFlag=0) bit reversal of output. */
+    const q15_t *pTwiddle;                 /**< points to the Sin twiddle factor table. */
+    const uint16_t *pBitRevTable;          /**< points to the bit reversal table. */
+          uint16_t twidCoefModifier;       /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */
+          uint16_t bitRevFactor;           /**< bit reversal modifier that supports different size FFTs with the same bit reversal table. */
+  } arm_cfft_radix2_instance_q15;
+
+/* Deprecated */
+  arm_status arm_cfft_radix2_init_q15(
+        arm_cfft_radix2_instance_q15 * S,
+        uint16_t fftLen,
+        uint8_t ifftFlag,
+        uint8_t bitReverseFlag);
+
+/* Deprecated */
+  void arm_cfft_radix2_q15(
+  const arm_cfft_radix2_instance_q15 * S,
+        q15_t * pSrc);
+
+
+  /**
+   * @brief Instance structure for the Q15 CFFT/CIFFT function.
+   */
+  typedef struct
+  {
+          uint16_t fftLen;                 /**< length of the FFT. */
+          uint8_t ifftFlag;                /**< flag that selects forward (ifftFlag=0) or inverse (ifftFlag=1) transform. */
+          uint8_t bitReverseFlag;          /**< flag that enables (bitReverseFlag=1) or disables (bitReverseFlag=0) bit reversal of output. */
+    const q15_t *pTwiddle;                 /**< points to the twiddle factor table. */
+    const uint16_t *pBitRevTable;          /**< points to the bit reversal table. */
+          uint16_t twidCoefModifier;       /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */
+          uint16_t bitRevFactor;           /**< bit reversal modifier that supports different size FFTs with the same bit reversal table. */
+  } arm_cfft_radix4_instance_q15;
+
+/* Deprecated */
+  arm_status arm_cfft_radix4_init_q15(
+        arm_cfft_radix4_instance_q15 * S,
+        uint16_t fftLen,
+        uint8_t ifftFlag,
+        uint8_t bitReverseFlag);
+
+/* Deprecated */
+  void arm_cfft_radix4_q15(
+  const arm_cfft_radix4_instance_q15 * S,
+        q15_t * pSrc);
+
+  /**
+   * @brief Instance structure for the Radix-2 Q31 CFFT/CIFFT function.
+   */
+  typedef struct
+  {
+          uint16_t fftLen;                 /**< length of the FFT. */
+          uint8_t ifftFlag;                /**< flag that selects forward (ifftFlag=0) or inverse (ifftFlag=1) transform. */
+          uint8_t bitReverseFlag;          /**< flag that enables (bitReverseFlag=1) or disables (bitReverseFlag=0) bit reversal of output. */
+    const q31_t *pTwiddle;                 /**< points to the Twiddle factor table. */
+    const uint16_t *pBitRevTable;          /**< points to the bit reversal table. */
+          uint16_t twidCoefModifier;       /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */
+          uint16_t bitRevFactor;           /**< bit reversal modifier that supports different size FFTs with the same bit reversal table. */
+  } arm_cfft_radix2_instance_q31;
+
+/* Deprecated */
+  arm_status arm_cfft_radix2_init_q31(
+        arm_cfft_radix2_instance_q31 * S,
+        uint16_t fftLen,
+        uint8_t ifftFlag,
+        uint8_t bitReverseFlag);
+
+/* Deprecated */
+  void arm_cfft_radix2_q31(
+  const arm_cfft_radix2_instance_q31 * S,
+        q31_t * pSrc);
+
+  /**
+   * @brief Instance structure for the Q31 CFFT/CIFFT function.
+   */
+  typedef struct
+  {
+          uint16_t fftLen;                 /**< length of the FFT. */
+          uint8_t ifftFlag;                /**< flag that selects forward (ifftFlag=0) or inverse (ifftFlag=1) transform. */
+          uint8_t bitReverseFlag;          /**< flag that enables (bitReverseFlag=1) or disables (bitReverseFlag=0) bit reversal of output. */
+    const q31_t *pTwiddle;                 /**< points to the twiddle factor table. */
+    const uint16_t *pBitRevTable;          /**< points to the bit reversal table. */
+          uint16_t twidCoefModifier;       /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */
+          uint16_t bitRevFactor;           /**< bit reversal modifier that supports different size FFTs with the same bit reversal table. */
+  } arm_cfft_radix4_instance_q31;
+
+/* Deprecated */
+  void arm_cfft_radix4_q31(
+  const arm_cfft_radix4_instance_q31 * S,
+        q31_t * pSrc);
+
+/* Deprecated */
+  arm_status arm_cfft_radix4_init_q31(
+        arm_cfft_radix4_instance_q31 * S,
+        uint16_t fftLen,
+        uint8_t ifftFlag,
+        uint8_t bitReverseFlag);
+
+  /**
+   * @brief Instance structure for the floating-point CFFT/CIFFT function.
+   */
+  typedef struct
+  {
+          uint16_t fftLen;                   /**< length of the FFT. */
+          uint8_t ifftFlag;                  /**< flag that selects forward (ifftFlag=0) or inverse (ifftFlag=1) transform. */
+          uint8_t bitReverseFlag;            /**< flag that enables (bitReverseFlag=1) or disables (bitReverseFlag=0) bit reversal of output. */
+    const float32_t *pTwiddle;               /**< points to the Twiddle factor table. */
+    const uint16_t *pBitRevTable;            /**< points to the bit reversal table. */
+          uint16_t twidCoefModifier;         /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */
+          uint16_t bitRevFactor;             /**< bit reversal modifier that supports different size FFTs with the same bit reversal table. */
+          float32_t onebyfftLen;             /**< value of 1/fftLen. */
+  } arm_cfft_radix2_instance_f32;
+
+/* Deprecated */
+  arm_status arm_cfft_radix2_init_f32(
+        arm_cfft_radix2_instance_f32 * S,
+        uint16_t fftLen,
+        uint8_t ifftFlag,
+        uint8_t bitReverseFlag);
+
+/* Deprecated */
+  void arm_cfft_radix2_f32(
+  const arm_cfft_radix2_instance_f32 * S,
+        float32_t * pSrc);
+
+  /**
+   * @brief Instance structure for the floating-point CFFT/CIFFT function.
+   */
+  typedef struct
+  {
+          uint16_t fftLen;                   /**< length of the FFT. */
+          uint8_t ifftFlag;                  /**< flag that selects forward (ifftFlag=0) or inverse (ifftFlag=1) transform. */
+          uint8_t bitReverseFlag;            /**< flag that enables (bitReverseFlag=1) or disables (bitReverseFlag=0) bit reversal of output. */
+    const float32_t *pTwiddle;               /**< points to the Twiddle factor table. */
+    const uint16_t *pBitRevTable;            /**< points to the bit reversal table. */
+          uint16_t twidCoefModifier;         /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */
+          uint16_t bitRevFactor;             /**< bit reversal modifier that supports different size FFTs with the same bit reversal table. */
+          float32_t onebyfftLen;             /**< value of 1/fftLen. */
+  } arm_cfft_radix4_instance_f32;
+
+/* Deprecated */
+  arm_status arm_cfft_radix4_init_f32(
+        arm_cfft_radix4_instance_f32 * S,
+        uint16_t fftLen,
+        uint8_t ifftFlag,
+        uint8_t bitReverseFlag);
+
+/* Deprecated */
+  void arm_cfft_radix4_f32(
+  const arm_cfft_radix4_instance_f32 * S,
+        float32_t * pSrc);
+
+  /**
+   * @brief Instance structure for the fixed-point CFFT/CIFFT function.
+   */
+  typedef struct
+  {
+          uint16_t fftLen;                   /**< length of the FFT. */
+    const q15_t *pTwiddle;             /**< points to the Twiddle factor table. */
+    const uint16_t *pBitRevTable;      /**< points to the bit reversal table. */
+          uint16_t bitRevLength;             /**< bit reversal table length. */
+  } arm_cfft_instance_q15;
+
+void arm_cfft_q15(
+    const arm_cfft_instance_q15 * S,
+          q15_t * p1,
+          uint8_t ifftFlag,
+          uint8_t bitReverseFlag);
+
+  /**
+   * @brief Instance structure for the fixed-point CFFT/CIFFT function.
+   */
+  typedef struct
+  {
+          uint16_t fftLen;                   /**< length of the FFT. */
+    const q31_t *pTwiddle;             /**< points to the Twiddle factor table. */
+    const uint16_t *pBitRevTable;      /**< points to the bit reversal table. */
+          uint16_t bitRevLength;             /**< bit reversal table length. */
+  } arm_cfft_instance_q31;
+
+void arm_cfft_q31(
+    const arm_cfft_instance_q31 * S,
+          q31_t * p1,
+          uint8_t ifftFlag,
+          uint8_t bitReverseFlag);
+
+  /**
+   * @brief Instance structure for the floating-point CFFT/CIFFT function.
+   */
+  typedef struct
+  {
+          uint16_t fftLen;                   /**< length of the FFT. */
+    const float32_t *pTwiddle;         /**< points to the Twiddle factor table. */
+    const uint16_t *pBitRevTable;      /**< points to the bit reversal table. */
+          uint16_t bitRevLength;             /**< bit reversal table length. */
+  } arm_cfft_instance_f32;
+
+  void arm_cfft_f32(
+  const arm_cfft_instance_f32 * S,
+        float32_t * p1,
+        uint8_t ifftFlag,
+        uint8_t bitReverseFlag);
+
+  /**
+   * @brief Instance structure for the Q15 RFFT/RIFFT function.
+   */
+  typedef struct
+  {
+          uint32_t fftLenReal;                      /**< length of the real FFT. */
+          uint8_t ifftFlagR;                        /**< flag that selects forward (ifftFlagR=0) or inverse (ifftFlagR=1) transform. */
+          uint8_t bitReverseFlagR;                  /**< flag that enables (bitReverseFlagR=1) or disables (bitReverseFlagR=0) bit reversal of output. */
+          uint32_t twidCoefRModifier;               /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */
+    const q15_t *pTwiddleAReal;                     /**< points to the real twiddle factor table. */
+    const q15_t *pTwiddleBReal;                     /**< points to the imag twiddle factor table. */
+    const arm_cfft_instance_q15 *pCfft;       /**< points to the complex FFT instance. */
+  } arm_rfft_instance_q15;
+
+  arm_status arm_rfft_init_q15(
+        arm_rfft_instance_q15 * S,
+        uint32_t fftLenReal,
+        uint32_t ifftFlagR,
+        uint32_t bitReverseFlag);
+
+  void arm_rfft_q15(
+  const arm_rfft_instance_q15 * S,
+        q15_t * pSrc,
+        q15_t * pDst);
+
+  /**
+   * @brief Instance structure for the Q31 RFFT/RIFFT function.
+   */
+  typedef struct
+  {
+          uint32_t fftLenReal;                        /**< length of the real FFT. */
+          uint8_t ifftFlagR;                          /**< flag that selects forward (ifftFlagR=0) or inverse (ifftFlagR=1) transform. */
+          uint8_t bitReverseFlagR;                    /**< flag that enables (bitReverseFlagR=1) or disables (bitReverseFlagR=0) bit reversal of output. */
+          uint32_t twidCoefRModifier;                 /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */
+    const q31_t *pTwiddleAReal;                       /**< points to the real twiddle factor table. */
+    const q31_t *pTwiddleBReal;                       /**< points to the imag twiddle factor table. */
+    const arm_cfft_instance_q31 *pCfft;         /**< points to the complex FFT instance. */
+  } arm_rfft_instance_q31;
+
+  arm_status arm_rfft_init_q31(
+        arm_rfft_instance_q31 * S,
+        uint32_t fftLenReal,
+        uint32_t ifftFlagR,
+        uint32_t bitReverseFlag);
+
+  void arm_rfft_q31(
+  const arm_rfft_instance_q31 * S,
+        q31_t * pSrc,
+        q31_t * pDst);
+
+  /**
+   * @brief Instance structure for the floating-point RFFT/RIFFT function.
+   */
+  typedef struct
+  {
+          uint32_t fftLenReal;                        /**< length of the real FFT. */
+          uint16_t fftLenBy2;                         /**< length of the complex FFT. */
+          uint8_t ifftFlagR;                          /**< flag that selects forward (ifftFlagR=0) or inverse (ifftFlagR=1) transform. */
+          uint8_t bitReverseFlagR;                    /**< flag that enables (bitReverseFlagR=1) or disables (bitReverseFlagR=0) bit reversal of output. */
+          uint32_t twidCoefRModifier;                     /**< twiddle coefficient modifier that supports different size FFTs with the same twiddle factor table. */
+    const float32_t *pTwiddleAReal;                   /**< points to the real twiddle factor table. */
+    const float32_t *pTwiddleBReal;                   /**< points to the imag twiddle factor table. */
+          arm_cfft_radix4_instance_f32 *pCfft;        /**< points to the complex FFT instance. */
+  } arm_rfft_instance_f32;
+
+  arm_status arm_rfft_init_f32(
+        arm_rfft_instance_f32 * S,
+        arm_cfft_radix4_instance_f32 * S_CFFT,
+        uint32_t fftLenReal,
+        uint32_t ifftFlagR,
+        uint32_t bitReverseFlag);
+
+  void arm_rfft_f32(
+  const arm_rfft_instance_f32 * S,
+        float32_t * pSrc,
+        float32_t * pDst);
+
+  /**
+   * @brief Instance structure for the floating-point RFFT/RIFFT function.
+   */
+typedef struct
+  {
+          arm_cfft_instance_f32 Sint;      /**< Internal CFFT structure. */
+          uint16_t fftLenRFFT;             /**< length of the real sequence */
+    const float32_t * pTwiddleRFFT;        /**< Twiddle factors real stage  */
+  } arm_rfft_fast_instance_f32 ;
+
+arm_status arm_rfft_fast_init_f32 (
+         arm_rfft_fast_instance_f32 * S,
+         uint16_t fftLen);
+
+arm_status arm_rfft_32_fast_init_f32 ( arm_rfft_fast_instance_f32 * S );
+
+arm_status arm_rfft_64_fast_init_f32 ( arm_rfft_fast_instance_f32 * S );
+
+arm_status arm_rfft_128_fast_init_f32 ( arm_rfft_fast_instance_f32 * S );
+
+arm_status arm_rfft_256_fast_init_f32 ( arm_rfft_fast_instance_f32 * S );
+
+arm_status arm_rfft_512_fast_init_f32 ( arm_rfft_fast_instance_f32 * S );
+
+arm_status arm_rfft_1024_fast_init_f32 ( arm_rfft_fast_instance_f32 * S );
+
+arm_status arm_rfft_2048_fast_init_f32 ( arm_rfft_fast_instance_f32 * S );
+
+arm_status arm_rfft_4096_fast_init_f32 ( arm_rfft_fast_instance_f32 * S );
+
+
+  void arm_rfft_fast_f32(
+        arm_rfft_fast_instance_f32 * S,
+        float32_t * p, float32_t * pOut,
+        uint8_t ifftFlag);
+
+  /**
+   * @brief Instance structure for the floating-point DCT4/IDCT4 function.
+   */
+  typedef struct
+  {
+          uint16_t N;                          /**< length of the DCT4. */
+          uint16_t Nby2;                       /**< half of the length of the DCT4. */
+          float32_t normalize;                 /**< normalizing factor. */
+    const float32_t *pTwiddle;                 /**< points to the twiddle factor table. */
+    const float32_t *pCosFactor;               /**< points to the cosFactor table. */
+          arm_rfft_instance_f32 *pRfft;        /**< points to the real FFT instance. */
+          arm_cfft_radix4_instance_f32 *pCfft; /**< points to the complex FFT instance. */
+  } arm_dct4_instance_f32;
+
+
+  /**
+   * @brief  Initialization function for the floating-point DCT4/IDCT4.
+   * @param[in,out] S          points to an instance of floating-point DCT4/IDCT4 structure.
+   * @param[in]     S_RFFT     points to an instance of floating-point RFFT/RIFFT structure.
+   * @param[in]     S_CFFT     points to an instance of floating-point CFFT/CIFFT structure.
+   * @param[in]     N          length of the DCT4.
+   * @param[in]     Nby2       half of the length of the DCT4.
+   * @param[in]     normalize  normalizing factor.
+   * @return      arm_status function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_ARGUMENT_ERROR if <code>fftLenReal</code> is not a supported transform length.
+   */
+  arm_status arm_dct4_init_f32(
+        arm_dct4_instance_f32 * S,
+        arm_rfft_instance_f32 * S_RFFT,
+        arm_cfft_radix4_instance_f32 * S_CFFT,
+        uint16_t N,
+        uint16_t Nby2,
+        float32_t normalize);
+
+
+  /**
+   * @brief Processing function for the floating-point DCT4/IDCT4.
+   * @param[in]     S              points to an instance of the floating-point DCT4/IDCT4 structure.
+   * @param[in]     pState         points to state buffer.
+   * @param[in,out] pInlineBuffer  points to the in-place input and output buffer.
+   */
+  void arm_dct4_f32(
+  const arm_dct4_instance_f32 * S,
+        float32_t * pState,
+        float32_t * pInlineBuffer);
+
+
+  /**
+   * @brief Instance structure for the Q31 DCT4/IDCT4 function.
+   */
+  typedef struct
+  {
+          uint16_t N;                          /**< length of the DCT4. */
+          uint16_t Nby2;                       /**< half of the length of the DCT4. */
+          q31_t normalize;                     /**< normalizing factor. */
+    const q31_t *pTwiddle;                     /**< points to the twiddle factor table. */
+    const q31_t *pCosFactor;                   /**< points to the cosFactor table. */
+          arm_rfft_instance_q31 *pRfft;        /**< points to the real FFT instance. */
+          arm_cfft_radix4_instance_q31 *pCfft; /**< points to the complex FFT instance. */
+  } arm_dct4_instance_q31;
+
+
+  /**
+   * @brief  Initialization function for the Q31 DCT4/IDCT4.
+   * @param[in,out] S          points to an instance of Q31 DCT4/IDCT4 structure.
+   * @param[in]     S_RFFT     points to an instance of Q31 RFFT/RIFFT structure
+   * @param[in]     S_CFFT     points to an instance of Q31 CFFT/CIFFT structure
+   * @param[in]     N          length of the DCT4.
+   * @param[in]     Nby2       half of the length of the DCT4.
+   * @param[in]     normalize  normalizing factor.
+   * @return      arm_status function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_ARGUMENT_ERROR if <code>N</code> is not a supported transform length.
+   */
+  arm_status arm_dct4_init_q31(
+        arm_dct4_instance_q31 * S,
+        arm_rfft_instance_q31 * S_RFFT,
+        arm_cfft_radix4_instance_q31 * S_CFFT,
+        uint16_t N,
+        uint16_t Nby2,
+        q31_t normalize);
+
+
+  /**
+   * @brief Processing function for the Q31 DCT4/IDCT4.
+   * @param[in]     S              points to an instance of the Q31 DCT4 structure.
+   * @param[in]     pState         points to state buffer.
+   * @param[in,out] pInlineBuffer  points to the in-place input and output buffer.
+   */
+  void arm_dct4_q31(
+  const arm_dct4_instance_q31 * S,
+        q31_t * pState,
+        q31_t * pInlineBuffer);
+
+
+  /**
+   * @brief Instance structure for the Q15 DCT4/IDCT4 function.
+   */
+  typedef struct
+  {
+          uint16_t N;                          /**< length of the DCT4. */
+          uint16_t Nby2;                       /**< half of the length of the DCT4. */
+          q15_t normalize;                     /**< normalizing factor. */
+    const q15_t *pTwiddle;                     /**< points to the twiddle factor table. */
+    const q15_t *pCosFactor;                   /**< points to the cosFactor table. */
+          arm_rfft_instance_q15 *pRfft;        /**< points to the real FFT instance. */
+          arm_cfft_radix4_instance_q15 *pCfft; /**< points to the complex FFT instance. */
+  } arm_dct4_instance_q15;
+
+
+  /**
+   * @brief  Initialization function for the Q15 DCT4/IDCT4.
+   * @param[in,out] S          points to an instance of Q15 DCT4/IDCT4 structure.
+   * @param[in]     S_RFFT     points to an instance of Q15 RFFT/RIFFT structure.
+   * @param[in]     S_CFFT     points to an instance of Q15 CFFT/CIFFT structure.
+   * @param[in]     N          length of the DCT4.
+   * @param[in]     Nby2       half of the length of the DCT4.
+   * @param[in]     normalize  normalizing factor.
+   * @return      arm_status function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_ARGUMENT_ERROR if <code>N</code> is not a supported transform length.
+   */
+  arm_status arm_dct4_init_q15(
+        arm_dct4_instance_q15 * S,
+        arm_rfft_instance_q15 * S_RFFT,
+        arm_cfft_radix4_instance_q15 * S_CFFT,
+        uint16_t N,
+        uint16_t Nby2,
+        q15_t normalize);
+
+
+  /**
+   * @brief Processing function for the Q15 DCT4/IDCT4.
+   * @param[in]     S              points to an instance of the Q15 DCT4 structure.
+   * @param[in]     pState         points to state buffer.
+   * @param[in,out] pInlineBuffer  points to the in-place input and output buffer.
+   */
+  void arm_dct4_q15(
+  const arm_dct4_instance_q15 * S,
+        q15_t * pState,
+        q15_t * pInlineBuffer);
+
+
+  /**
+   * @brief Floating-point vector addition.
+   * @param[in]  pSrcA      points to the first input vector
+   * @param[in]  pSrcB      points to the second input vector
+   * @param[out] pDst       points to the output vector
+   * @param[in]  blockSize  number of samples in each vector
+   */
+  void arm_add_f32(
+  const float32_t * pSrcA,
+  const float32_t * pSrcB,
+        float32_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Q7 vector addition.
+   * @param[in]  pSrcA      points to the first input vector
+   * @param[in]  pSrcB      points to the second input vector
+   * @param[out] pDst       points to the output vector
+   * @param[in]  blockSize  number of samples in each vector
+   */
+  void arm_add_q7(
+  const q7_t * pSrcA,
+  const q7_t * pSrcB,
+        q7_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Q15 vector addition.
+   * @param[in]  pSrcA      points to the first input vector
+   * @param[in]  pSrcB      points to the second input vector
+   * @param[out] pDst       points to the output vector
+   * @param[in]  blockSize  number of samples in each vector
+   */
+  void arm_add_q15(
+  const q15_t * pSrcA,
+  const q15_t * pSrcB,
+        q15_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Q31 vector addition.
+   * @param[in]  pSrcA      points to the first input vector
+   * @param[in]  pSrcB      points to the second input vector
+   * @param[out] pDst       points to the output vector
+   * @param[in]  blockSize  number of samples in each vector
+   */
+  void arm_add_q31(
+  const q31_t * pSrcA,
+  const q31_t * pSrcB,
+        q31_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Floating-point vector subtraction.
+   * @param[in]  pSrcA      points to the first input vector
+   * @param[in]  pSrcB      points to the second input vector
+   * @param[out] pDst       points to the output vector
+   * @param[in]  blockSize  number of samples in each vector
+   */
+  void arm_sub_f32(
+  const float32_t * pSrcA,
+  const float32_t * pSrcB,
+        float32_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Q7 vector subtraction.
+   * @param[in]  pSrcA      points to the first input vector
+   * @param[in]  pSrcB      points to the second input vector
+   * @param[out] pDst       points to the output vector
+   * @param[in]  blockSize  number of samples in each vector
+   */
+  void arm_sub_q7(
+  const q7_t * pSrcA,
+  const q7_t * pSrcB,
+        q7_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Q15 vector subtraction.
+   * @param[in]  pSrcA      points to the first input vector
+   * @param[in]  pSrcB      points to the second input vector
+   * @param[out] pDst       points to the output vector
+   * @param[in]  blockSize  number of samples in each vector
+   */
+  void arm_sub_q15(
+  const q15_t * pSrcA,
+  const q15_t * pSrcB,
+        q15_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Q31 vector subtraction.
+   * @param[in]  pSrcA      points to the first input vector
+   * @param[in]  pSrcB      points to the second input vector
+   * @param[out] pDst       points to the output vector
+   * @param[in]  blockSize  number of samples in each vector
+   */
+  void arm_sub_q31(
+  const q31_t * pSrcA,
+  const q31_t * pSrcB,
+        q31_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Multiplies a floating-point vector by a scalar.
+   * @param[in]  pSrc       points to the input vector
+   * @param[in]  scale      scale factor to be applied
+   * @param[out] pDst       points to the output vector
+   * @param[in]  blockSize  number of samples in the vector
+   */
+  void arm_scale_f32(
+  const float32_t * pSrc,
+        float32_t scale,
+        float32_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Multiplies a Q7 vector by a scalar.
+   * @param[in]  pSrc        points to the input vector
+   * @param[in]  scaleFract  fractional portion of the scale value
+   * @param[in]  shift       number of bits to shift the result by
+   * @param[out] pDst        points to the output vector
+   * @param[in]  blockSize   number of samples in the vector
+   */
+  void arm_scale_q7(
+  const q7_t * pSrc,
+        q7_t scaleFract,
+        int8_t shift,
+        q7_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Multiplies a Q15 vector by a scalar.
+   * @param[in]  pSrc        points to the input vector
+   * @param[in]  scaleFract  fractional portion of the scale value
+   * @param[in]  shift       number of bits to shift the result by
+   * @param[out] pDst        points to the output vector
+   * @param[in]  blockSize   number of samples in the vector
+   */
+  void arm_scale_q15(
+  const q15_t * pSrc,
+        q15_t scaleFract,
+        int8_t shift,
+        q15_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Multiplies a Q31 vector by a scalar.
+   * @param[in]  pSrc        points to the input vector
+   * @param[in]  scaleFract  fractional portion of the scale value
+   * @param[in]  shift       number of bits to shift the result by
+   * @param[out] pDst        points to the output vector
+   * @param[in]  blockSize   number of samples in the vector
+   */
+  void arm_scale_q31(
+  const q31_t * pSrc,
+        q31_t scaleFract,
+        int8_t shift,
+        q31_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Q7 vector absolute value.
+   * @param[in]  pSrc       points to the input buffer
+   * @param[out] pDst       points to the output buffer
+   * @param[in]  blockSize  number of samples in each vector
+   */
+  void arm_abs_q7(
+  const q7_t * pSrc,
+        q7_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Floating-point vector absolute value.
+   * @param[in]  pSrc       points to the input buffer
+   * @param[out] pDst       points to the output buffer
+   * @param[in]  blockSize  number of samples in each vector
+   */
+  void arm_abs_f32(
+  const float32_t * pSrc,
+        float32_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Q15 vector absolute value.
+   * @param[in]  pSrc       points to the input buffer
+   * @param[out] pDst       points to the output buffer
+   * @param[in]  blockSize  number of samples in each vector
+   */
+  void arm_abs_q15(
+  const q15_t * pSrc,
+        q15_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Q31 vector absolute value.
+   * @param[in]  pSrc       points to the input buffer
+   * @param[out] pDst       points to the output buffer
+   * @param[in]  blockSize  number of samples in each vector
+   */
+  void arm_abs_q31(
+  const q31_t * pSrc,
+        q31_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Dot product of floating-point vectors.
+   * @param[in]  pSrcA      points to the first input vector
+   * @param[in]  pSrcB      points to the second input vector
+   * @param[in]  blockSize  number of samples in each vector
+   * @param[out] result     output result returned here
+   */
+  void arm_dot_prod_f32(
+  const float32_t * pSrcA,
+  const float32_t * pSrcB,
+        uint32_t blockSize,
+        float32_t * result);
+
+
+  /**
+   * @brief Dot product of Q7 vectors.
+   * @param[in]  pSrcA      points to the first input vector
+   * @param[in]  pSrcB      points to the second input vector
+   * @param[in]  blockSize  number of samples in each vector
+   * @param[out] result     output result returned here
+   */
+  void arm_dot_prod_q7(
+  const q7_t * pSrcA,
+  const q7_t * pSrcB,
+        uint32_t blockSize,
+        q31_t * result);
+
+
+  /**
+   * @brief Dot product of Q15 vectors.
+   * @param[in]  pSrcA      points to the first input vector
+   * @param[in]  pSrcB      points to the second input vector
+   * @param[in]  blockSize  number of samples in each vector
+   * @param[out] result     output result returned here
+   */
+  void arm_dot_prod_q15(
+  const q15_t * pSrcA,
+  const q15_t * pSrcB,
+        uint32_t blockSize,
+        q63_t * result);
+
+
+  /**
+   * @brief Dot product of Q31 vectors.
+   * @param[in]  pSrcA      points to the first input vector
+   * @param[in]  pSrcB      points to the second input vector
+   * @param[in]  blockSize  number of samples in each vector
+   * @param[out] result     output result returned here
+   */
+  void arm_dot_prod_q31(
+  const q31_t * pSrcA,
+  const q31_t * pSrcB,
+        uint32_t blockSize,
+        q63_t * result);
+
+
+  /**
+   * @brief  Shifts the elements of a Q7 vector a specified number of bits.
+   * @param[in]  pSrc       points to the input vector
+   * @param[in]  shiftBits  number of bits to shift.  A positive value shifts left; a negative value shifts right.
+   * @param[out] pDst       points to the output vector
+   * @param[in]  blockSize  number of samples in the vector
+   */
+  void arm_shift_q7(
+  const q7_t * pSrc,
+        int8_t shiftBits,
+        q7_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief  Shifts the elements of a Q15 vector a specified number of bits.
+   * @param[in]  pSrc       points to the input vector
+   * @param[in]  shiftBits  number of bits to shift.  A positive value shifts left; a negative value shifts right.
+   * @param[out] pDst       points to the output vector
+   * @param[in]  blockSize  number of samples in the vector
+   */
+  void arm_shift_q15(
+  const q15_t * pSrc,
+        int8_t shiftBits,
+        q15_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief  Shifts the elements of a Q31 vector a specified number of bits.
+   * @param[in]  pSrc       points to the input vector
+   * @param[in]  shiftBits  number of bits to shift.  A positive value shifts left; a negative value shifts right.
+   * @param[out] pDst       points to the output vector
+   * @param[in]  blockSize  number of samples in the vector
+   */
+  void arm_shift_q31(
+  const q31_t * pSrc,
+        int8_t shiftBits,
+        q31_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief  Adds a constant offset to a floating-point vector.
+   * @param[in]  pSrc       points to the input vector
+   * @param[in]  offset     is the offset to be added
+   * @param[out] pDst       points to the output vector
+   * @param[in]  blockSize  number of samples in the vector
+   */
+  void arm_offset_f32(
+  const float32_t * pSrc,
+        float32_t offset,
+        float32_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief  Adds a constant offset to a Q7 vector.
+   * @param[in]  pSrc       points to the input vector
+   * @param[in]  offset     is the offset to be added
+   * @param[out] pDst       points to the output vector
+   * @param[in]  blockSize  number of samples in the vector
+   */
+  void arm_offset_q7(
+  const q7_t * pSrc,
+        q7_t offset,
+        q7_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief  Adds a constant offset to a Q15 vector.
+   * @param[in]  pSrc       points to the input vector
+   * @param[in]  offset     is the offset to be added
+   * @param[out] pDst       points to the output vector
+   * @param[in]  blockSize  number of samples in the vector
+   */
+  void arm_offset_q15(
+  const q15_t * pSrc,
+        q15_t offset,
+        q15_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief  Adds a constant offset to a Q31 vector.
+   * @param[in]  pSrc       points to the input vector
+   * @param[in]  offset     is the offset to be added
+   * @param[out] pDst       points to the output vector
+   * @param[in]  blockSize  number of samples in the vector
+   */
+  void arm_offset_q31(
+  const q31_t * pSrc,
+        q31_t offset,
+        q31_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief  Negates the elements of a floating-point vector.
+   * @param[in]  pSrc       points to the input vector
+   * @param[out] pDst       points to the output vector
+   * @param[in]  blockSize  number of samples in the vector
+   */
+  void arm_negate_f32(
+  const float32_t * pSrc,
+        float32_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief  Negates the elements of a Q7 vector.
+   * @param[in]  pSrc       points to the input vector
+   * @param[out] pDst       points to the output vector
+   * @param[in]  blockSize  number of samples in the vector
+   */
+  void arm_negate_q7(
+  const q7_t * pSrc,
+        q7_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief  Negates the elements of a Q15 vector.
+   * @param[in]  pSrc       points to the input vector
+   * @param[out] pDst       points to the output vector
+   * @param[in]  blockSize  number of samples in the vector
+   */
+  void arm_negate_q15(
+  const q15_t * pSrc,
+        q15_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief  Negates the elements of a Q31 vector.
+   * @param[in]  pSrc       points to the input vector
+   * @param[out] pDst       points to the output vector
+   * @param[in]  blockSize  number of samples in the vector
+   */
+  void arm_negate_q31(
+  const q31_t * pSrc,
+        q31_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief  Copies the elements of a floating-point vector.
+   * @param[in]  pSrc       input pointer
+   * @param[out] pDst       output pointer
+   * @param[in]  blockSize  number of samples to process
+   */
+  void arm_copy_f32(
+  const float32_t * pSrc,
+        float32_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief  Copies the elements of a Q7 vector.
+   * @param[in]  pSrc       input pointer
+   * @param[out] pDst       output pointer
+   * @param[in]  blockSize  number of samples to process
+   */
+  void arm_copy_q7(
+  const q7_t * pSrc,
+        q7_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief  Copies the elements of a Q15 vector.
+   * @param[in]  pSrc       input pointer
+   * @param[out] pDst       output pointer
+   * @param[in]  blockSize  number of samples to process
+   */
+  void arm_copy_q15(
+  const q15_t * pSrc,
+        q15_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief  Copies the elements of a Q31 vector.
+   * @param[in]  pSrc       input pointer
+   * @param[out] pDst       output pointer
+   * @param[in]  blockSize  number of samples to process
+   */
+  void arm_copy_q31(
+  const q31_t * pSrc,
+        q31_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief  Fills a constant value into a floating-point vector.
+   * @param[in]  value      input value to be filled
+   * @param[out] pDst       output pointer
+   * @param[in]  blockSize  number of samples to process
+   */
+  void arm_fill_f32(
+        float32_t value,
+        float32_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief  Fills a constant value into a Q7 vector.
+   * @param[in]  value      input value to be filled
+   * @param[out] pDst       output pointer
+   * @param[in]  blockSize  number of samples to process
+   */
+  void arm_fill_q7(
+        q7_t value,
+        q7_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief  Fills a constant value into a Q15 vector.
+   * @param[in]  value      input value to be filled
+   * @param[out] pDst       output pointer
+   * @param[in]  blockSize  number of samples to process
+   */
+  void arm_fill_q15(
+        q15_t value,
+        q15_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief  Fills a constant value into a Q31 vector.
+   * @param[in]  value      input value to be filled
+   * @param[out] pDst       output pointer
+   * @param[in]  blockSize  number of samples to process
+   */
+  void arm_fill_q31(
+        q31_t value,
+        q31_t * pDst,
+        uint32_t blockSize);
+
+
+/**
+ * @brief Convolution of floating-point sequences.
+ * @param[in]  pSrcA    points to the first input sequence.
+ * @param[in]  srcALen  length of the first input sequence.
+ * @param[in]  pSrcB    points to the second input sequence.
+ * @param[in]  srcBLen  length of the second input sequence.
+ * @param[out] pDst     points to the location where the output result is written.  Length srcALen+srcBLen-1.
+ */
+  void arm_conv_f32(
+  const float32_t * pSrcA,
+        uint32_t srcALen,
+  const float32_t * pSrcB,
+        uint32_t srcBLen,
+        float32_t * pDst);
+
+
+  /**
+   * @brief Convolution of Q15 sequences.
+   * @param[in]  pSrcA      points to the first input sequence.
+   * @param[in]  srcALen    length of the first input sequence.
+   * @param[in]  pSrcB      points to the second input sequence.
+   * @param[in]  srcBLen    length of the second input sequence.
+   * @param[out] pDst       points to the block of output data  Length srcALen+srcBLen-1.
+   * @param[in]  pScratch1  points to scratch buffer of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2.
+   * @param[in]  pScratch2  points to scratch buffer of size min(srcALen, srcBLen).
+   */
+  void arm_conv_opt_q15(
+  const q15_t * pSrcA,
+        uint32_t srcALen,
+  const q15_t * pSrcB,
+        uint32_t srcBLen,
+        q15_t * pDst,
+        q15_t * pScratch1,
+        q15_t * pScratch2);
+
+
+/**
+ * @brief Convolution of Q15 sequences.
+ * @param[in]  pSrcA    points to the first input sequence.
+ * @param[in]  srcALen  length of the first input sequence.
+ * @param[in]  pSrcB    points to the second input sequence.
+ * @param[in]  srcBLen  length of the second input sequence.
+ * @param[out] pDst     points to the location where the output result is written.  Length srcALen+srcBLen-1.
+ */
+  void arm_conv_q15(
+  const q15_t * pSrcA,
+        uint32_t srcALen,
+  const q15_t * pSrcB,
+        uint32_t srcBLen,
+        q15_t * pDst);
+
+
+  /**
+   * @brief Convolution of Q15 sequences (fast version) for Cortex-M3 and Cortex-M4
+   * @param[in]  pSrcA    points to the first input sequence.
+   * @param[in]  srcALen  length of the first input sequence.
+   * @param[in]  pSrcB    points to the second input sequence.
+   * @param[in]  srcBLen  length of the second input sequence.
+   * @param[out] pDst     points to the block of output data  Length srcALen+srcBLen-1.
+   */
+  void arm_conv_fast_q15(
+  const q15_t * pSrcA,
+        uint32_t srcALen,
+  const q15_t * pSrcB,
+        uint32_t srcBLen,
+        q15_t * pDst);
+
+
+  /**
+   * @brief Convolution of Q15 sequences (fast version) for Cortex-M3 and Cortex-M4
+   * @param[in]  pSrcA      points to the first input sequence.
+   * @param[in]  srcALen    length of the first input sequence.
+   * @param[in]  pSrcB      points to the second input sequence.
+   * @param[in]  srcBLen    length of the second input sequence.
+   * @param[out] pDst       points to the block of output data  Length srcALen+srcBLen-1.
+   * @param[in]  pScratch1  points to scratch buffer of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2.
+   * @param[in]  pScratch2  points to scratch buffer of size min(srcALen, srcBLen).
+   */
+  void arm_conv_fast_opt_q15(
+  const q15_t * pSrcA,
+        uint32_t srcALen,
+  const q15_t * pSrcB,
+        uint32_t srcBLen,
+        q15_t * pDst,
+        q15_t * pScratch1,
+        q15_t * pScratch2);
+
+
+  /**
+   * @brief Convolution of Q31 sequences.
+   * @param[in]  pSrcA    points to the first input sequence.
+   * @param[in]  srcALen  length of the first input sequence.
+   * @param[in]  pSrcB    points to the second input sequence.
+   * @param[in]  srcBLen  length of the second input sequence.
+   * @param[out] pDst     points to the block of output data  Length srcALen+srcBLen-1.
+   */
+  void arm_conv_q31(
+  const q31_t * pSrcA,
+        uint32_t srcALen,
+  const q31_t * pSrcB,
+        uint32_t srcBLen,
+        q31_t * pDst);
+
+
+  /**
+   * @brief Convolution of Q31 sequences (fast version) for Cortex-M3 and Cortex-M4
+   * @param[in]  pSrcA    points to the first input sequence.
+   * @param[in]  srcALen  length of the first input sequence.
+   * @param[in]  pSrcB    points to the second input sequence.
+   * @param[in]  srcBLen  length of the second input sequence.
+   * @param[out] pDst     points to the block of output data  Length srcALen+srcBLen-1.
+   */
+  void arm_conv_fast_q31(
+  const q31_t * pSrcA,
+        uint32_t srcALen,
+  const q31_t * pSrcB,
+        uint32_t srcBLen,
+        q31_t * pDst);
+
+
+    /**
+   * @brief Convolution of Q7 sequences.
+   * @param[in]  pSrcA      points to the first input sequence.
+   * @param[in]  srcALen    length of the first input sequence.
+   * @param[in]  pSrcB      points to the second input sequence.
+   * @param[in]  srcBLen    length of the second input sequence.
+   * @param[out] pDst       points to the block of output data  Length srcALen+srcBLen-1.
+   * @param[in]  pScratch1  points to scratch buffer(of type q15_t) of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2.
+   * @param[in]  pScratch2  points to scratch buffer (of type q15_t) of size min(srcALen, srcBLen).
+   */
+  void arm_conv_opt_q7(
+  const q7_t * pSrcA,
+        uint32_t srcALen,
+  const q7_t * pSrcB,
+        uint32_t srcBLen,
+        q7_t * pDst,
+        q15_t * pScratch1,
+        q15_t * pScratch2);
+
+
+  /**
+   * @brief Convolution of Q7 sequences.
+   * @param[in]  pSrcA    points to the first input sequence.
+   * @param[in]  srcALen  length of the first input sequence.
+   * @param[in]  pSrcB    points to the second input sequence.
+   * @param[in]  srcBLen  length of the second input sequence.
+   * @param[out] pDst     points to the block of output data  Length srcALen+srcBLen-1.
+   */
+  void arm_conv_q7(
+  const q7_t * pSrcA,
+        uint32_t srcALen,
+  const q7_t * pSrcB,
+        uint32_t srcBLen,
+        q7_t * pDst);
+
+
+  /**
+   * @brief Partial convolution of floating-point sequences.
+   * @param[in]  pSrcA       points to the first input sequence.
+   * @param[in]  srcALen     length of the first input sequence.
+   * @param[in]  pSrcB       points to the second input sequence.
+   * @param[in]  srcBLen     length of the second input sequence.
+   * @param[out] pDst        points to the block of output data
+   * @param[in]  firstIndex  is the first output sample to start with.
+   * @param[in]  numPoints   is the number of output points to be computed.
+   * @return  Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2].
+   */
+  arm_status arm_conv_partial_f32(
+  const float32_t * pSrcA,
+        uint32_t srcALen,
+  const float32_t * pSrcB,
+        uint32_t srcBLen,
+        float32_t * pDst,
+        uint32_t firstIndex,
+        uint32_t numPoints);
+
+
+  /**
+   * @brief Partial convolution of Q15 sequences.
+   * @param[in]  pSrcA       points to the first input sequence.
+   * @param[in]  srcALen     length of the first input sequence.
+   * @param[in]  pSrcB       points to the second input sequence.
+   * @param[in]  srcBLen     length of the second input sequence.
+   * @param[out] pDst        points to the block of output data
+   * @param[in]  firstIndex  is the first output sample to start with.
+   * @param[in]  numPoints   is the number of output points to be computed.
+   * @param[in]  pScratch1   points to scratch buffer of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2.
+   * @param[in]  pScratch2   points to scratch buffer of size min(srcALen, srcBLen).
+   * @return  Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2].
+   */
+  arm_status arm_conv_partial_opt_q15(
+  const q15_t * pSrcA,
+        uint32_t srcALen,
+  const q15_t * pSrcB,
+        uint32_t srcBLen,
+        q15_t * pDst,
+        uint32_t firstIndex,
+        uint32_t numPoints,
+        q15_t * pScratch1,
+        q15_t * pScratch2);
+
+
+  /**
+   * @brief Partial convolution of Q15 sequences.
+   * @param[in]  pSrcA       points to the first input sequence.
+   * @param[in]  srcALen     length of the first input sequence.
+   * @param[in]  pSrcB       points to the second input sequence.
+   * @param[in]  srcBLen     length of the second input sequence.
+   * @param[out] pDst        points to the block of output data
+   * @param[in]  firstIndex  is the first output sample to start with.
+   * @param[in]  numPoints   is the number of output points to be computed.
+   * @return  Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2].
+   */
+  arm_status arm_conv_partial_q15(
+  const q15_t * pSrcA,
+        uint32_t srcALen,
+  const q15_t * pSrcB,
+        uint32_t srcBLen,
+        q15_t * pDst,
+        uint32_t firstIndex,
+        uint32_t numPoints);
+
+
+  /**
+   * @brief Partial convolution of Q15 sequences (fast version) for Cortex-M3 and Cortex-M4
+   * @param[in]  pSrcA       points to the first input sequence.
+   * @param[in]  srcALen     length of the first input sequence.
+   * @param[in]  pSrcB       points to the second input sequence.
+   * @param[in]  srcBLen     length of the second input sequence.
+   * @param[out] pDst        points to the block of output data
+   * @param[in]  firstIndex  is the first output sample to start with.
+   * @param[in]  numPoints   is the number of output points to be computed.
+   * @return  Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2].
+   */
+  arm_status arm_conv_partial_fast_q15(
+  const q15_t * pSrcA,
+        uint32_t srcALen,
+  const q15_t * pSrcB,
+        uint32_t srcBLen,
+        q15_t * pDst,
+        uint32_t firstIndex,
+        uint32_t numPoints);
+
+
+  /**
+   * @brief Partial convolution of Q15 sequences (fast version) for Cortex-M3 and Cortex-M4
+   * @param[in]  pSrcA       points to the first input sequence.
+   * @param[in]  srcALen     length of the first input sequence.
+   * @param[in]  pSrcB       points to the second input sequence.
+   * @param[in]  srcBLen     length of the second input sequence.
+   * @param[out] pDst        points to the block of output data
+   * @param[in]  firstIndex  is the first output sample to start with.
+   * @param[in]  numPoints   is the number of output points to be computed.
+   * @param[in]  pScratch1   points to scratch buffer of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2.
+   * @param[in]  pScratch2   points to scratch buffer of size min(srcALen, srcBLen).
+   * @return  Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2].
+   */
+  arm_status arm_conv_partial_fast_opt_q15(
+  const q15_t * pSrcA,
+        uint32_t srcALen,
+  const q15_t * pSrcB,
+        uint32_t srcBLen,
+        q15_t * pDst,
+        uint32_t firstIndex,
+        uint32_t numPoints,
+        q15_t * pScratch1,
+        q15_t * pScratch2);
+
+
+  /**
+   * @brief Partial convolution of Q31 sequences.
+   * @param[in]  pSrcA       points to the first input sequence.
+   * @param[in]  srcALen     length of the first input sequence.
+   * @param[in]  pSrcB       points to the second input sequence.
+   * @param[in]  srcBLen     length of the second input sequence.
+   * @param[out] pDst        points to the block of output data
+   * @param[in]  firstIndex  is the first output sample to start with.
+   * @param[in]  numPoints   is the number of output points to be computed.
+   * @return  Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2].
+   */
+  arm_status arm_conv_partial_q31(
+  const q31_t * pSrcA,
+        uint32_t srcALen,
+  const q31_t * pSrcB,
+        uint32_t srcBLen,
+        q31_t * pDst,
+        uint32_t firstIndex,
+        uint32_t numPoints);
+
+
+  /**
+   * @brief Partial convolution of Q31 sequences (fast version) for Cortex-M3 and Cortex-M4
+   * @param[in]  pSrcA       points to the first input sequence.
+   * @param[in]  srcALen     length of the first input sequence.
+   * @param[in]  pSrcB       points to the second input sequence.
+   * @param[in]  srcBLen     length of the second input sequence.
+   * @param[out] pDst        points to the block of output data
+   * @param[in]  firstIndex  is the first output sample to start with.
+   * @param[in]  numPoints   is the number of output points to be computed.
+   * @return  Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2].
+   */
+  arm_status arm_conv_partial_fast_q31(
+  const q31_t * pSrcA,
+        uint32_t srcALen,
+  const q31_t * pSrcB,
+        uint32_t srcBLen,
+        q31_t * pDst,
+        uint32_t firstIndex,
+        uint32_t numPoints);
+
+
+  /**
+   * @brief Partial convolution of Q7 sequences
+   * @param[in]  pSrcA       points to the first input sequence.
+   * @param[in]  srcALen     length of the first input sequence.
+   * @param[in]  pSrcB       points to the second input sequence.
+   * @param[in]  srcBLen     length of the second input sequence.
+   * @param[out] pDst        points to the block of output data
+   * @param[in]  firstIndex  is the first output sample to start with.
+   * @param[in]  numPoints   is the number of output points to be computed.
+   * @param[in]  pScratch1   points to scratch buffer(of type q15_t) of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2.
+   * @param[in]  pScratch2   points to scratch buffer (of type q15_t) of size min(srcALen, srcBLen).
+   * @return  Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2].
+   */
+  arm_status arm_conv_partial_opt_q7(
+  const q7_t * pSrcA,
+        uint32_t srcALen,
+  const q7_t * pSrcB,
+        uint32_t srcBLen,
+        q7_t * pDst,
+        uint32_t firstIndex,
+        uint32_t numPoints,
+        q15_t * pScratch1,
+        q15_t * pScratch2);
+
+
+/**
+   * @brief Partial convolution of Q7 sequences.
+   * @param[in]  pSrcA       points to the first input sequence.
+   * @param[in]  srcALen     length of the first input sequence.
+   * @param[in]  pSrcB       points to the second input sequence.
+   * @param[in]  srcBLen     length of the second input sequence.
+   * @param[out] pDst        points to the block of output data
+   * @param[in]  firstIndex  is the first output sample to start with.
+   * @param[in]  numPoints   is the number of output points to be computed.
+   * @return  Returns either ARM_MATH_SUCCESS if the function completed correctly or ARM_MATH_ARGUMENT_ERROR if the requested subset is not in the range [0 srcALen+srcBLen-2].
+   */
+  arm_status arm_conv_partial_q7(
+  const q7_t * pSrcA,
+        uint32_t srcALen,
+  const q7_t * pSrcB,
+        uint32_t srcBLen,
+        q7_t * pDst,
+        uint32_t firstIndex,
+        uint32_t numPoints);
+
+
+  /**
+   * @brief Instance structure for the Q15 FIR decimator.
+   */
+  typedef struct
+  {
+          uint8_t M;                  /**< decimation factor. */
+          uint16_t numTaps;           /**< number of coefficients in the filter. */
+    const q15_t *pCoeffs;             /**< points to the coefficient array. The array is of length numTaps.*/
+          q15_t *pState;              /**< points to the state variable array. The array is of length numTaps+blockSize-1. */
+  } arm_fir_decimate_instance_q15;
+
+  /**
+   * @brief Instance structure for the Q31 FIR decimator.
+   */
+  typedef struct
+  {
+          uint8_t M;                  /**< decimation factor. */
+          uint16_t numTaps;           /**< number of coefficients in the filter. */
+    const q31_t *pCoeffs;             /**< points to the coefficient array. The array is of length numTaps.*/
+          q31_t *pState;              /**< points to the state variable array. The array is of length numTaps+blockSize-1. */
+  } arm_fir_decimate_instance_q31;
+
+/**
+  @brief Instance structure for floating-point FIR decimator.
+ */
+typedef struct
+  {
+          uint8_t M;                  /**< decimation factor. */
+          uint16_t numTaps;           /**< number of coefficients in the filter. */
+    const float32_t *pCoeffs;         /**< points to the coefficient array. The array is of length numTaps.*/
+          float32_t *pState;          /**< points to the state variable array. The array is of length numTaps+blockSize-1. */
+  } arm_fir_decimate_instance_f32;
+
+
+/**
+  @brief         Processing function for floating-point FIR decimator.
+  @param[in]     S         points to an instance of the floating-point FIR decimator structure
+  @param[in]     pSrc      points to the block of input data
+  @param[out]    pDst      points to the block of output data
+  @param[in]     blockSize number of samples to process
+ */
+void arm_fir_decimate_f32(
+  const arm_fir_decimate_instance_f32 * S,
+  const float32_t * pSrc,
+        float32_t * pDst,
+        uint32_t blockSize);
+
+
+/**
+  @brief         Initialization function for the floating-point FIR decimator.
+  @param[in,out] S          points to an instance of the floating-point FIR decimator structure
+  @param[in]     numTaps    number of coefficients in the filter
+  @param[in]     M          decimation factor
+  @param[in]     pCoeffs    points to the filter coefficients
+  @param[in]     pState     points to the state buffer
+  @param[in]     blockSize  number of input samples to process per call
+  @return        execution status
+                   - \ref ARM_MATH_SUCCESS      : Operation successful
+                   - \ref ARM_MATH_LENGTH_ERROR : <code>blockSize</code> is not a multiple of <code>M</code>
+ */
+arm_status arm_fir_decimate_init_f32(
+        arm_fir_decimate_instance_f32 * S,
+        uint16_t numTaps,
+        uint8_t M,
+  const float32_t * pCoeffs,
+        float32_t * pState,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Processing function for the Q15 FIR decimator.
+   * @param[in]  S          points to an instance of the Q15 FIR decimator structure.
+   * @param[in]  pSrc       points to the block of input data.
+   * @param[out] pDst       points to the block of output data
+   * @param[in]  blockSize  number of input samples to process per call.
+   */
+  void arm_fir_decimate_q15(
+  const arm_fir_decimate_instance_q15 * S,
+  const q15_t * pSrc,
+        q15_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Processing function for the Q15 FIR decimator (fast variant) for Cortex-M3 and Cortex-M4.
+   * @param[in]  S          points to an instance of the Q15 FIR decimator structure.
+   * @param[in]  pSrc       points to the block of input data.
+   * @param[out] pDst       points to the block of output data
+   * @param[in]  blockSize  number of input samples to process per call.
+   */
+  void arm_fir_decimate_fast_q15(
+  const arm_fir_decimate_instance_q15 * S,
+  const q15_t * pSrc,
+        q15_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief  Initialization function for the Q15 FIR decimator.
+   * @param[in,out] S          points to an instance of the Q15 FIR decimator structure.
+   * @param[in]     numTaps    number of coefficients in the filter.
+   * @param[in]     M          decimation factor.
+   * @param[in]     pCoeffs    points to the filter coefficients.
+   * @param[in]     pState     points to the state buffer.
+   * @param[in]     blockSize  number of input samples to process per call.
+   * @return    The function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_LENGTH_ERROR if
+   * <code>blockSize</code> is not a multiple of <code>M</code>.
+   */
+  arm_status arm_fir_decimate_init_q15(
+        arm_fir_decimate_instance_q15 * S,
+        uint16_t numTaps,
+        uint8_t M,
+  const q15_t * pCoeffs,
+        q15_t * pState,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Processing function for the Q31 FIR decimator.
+   * @param[in]  S     points to an instance of the Q31 FIR decimator structure.
+   * @param[in]  pSrc  points to the block of input data.
+   * @param[out] pDst  points to the block of output data
+   * @param[in] blockSize number of input samples to process per call.
+   */
+  void arm_fir_decimate_q31(
+  const arm_fir_decimate_instance_q31 * S,
+  const q31_t * pSrc,
+        q31_t * pDst,
+        uint32_t blockSize);
+
+  /**
+   * @brief Processing function for the Q31 FIR decimator (fast variant) for Cortex-M3 and Cortex-M4.
+   * @param[in]  S          points to an instance of the Q31 FIR decimator structure.
+   * @param[in]  pSrc       points to the block of input data.
+   * @param[out] pDst       points to the block of output data
+   * @param[in]  blockSize  number of input samples to process per call.
+   */
+  void arm_fir_decimate_fast_q31(
+  const arm_fir_decimate_instance_q31 * S,
+  const q31_t * pSrc,
+        q31_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief  Initialization function for the Q31 FIR decimator.
+   * @param[in,out] S          points to an instance of the Q31 FIR decimator structure.
+   * @param[in]     numTaps    number of coefficients in the filter.
+   * @param[in]     M          decimation factor.
+   * @param[in]     pCoeffs    points to the filter coefficients.
+   * @param[in]     pState     points to the state buffer.
+   * @param[in]     blockSize  number of input samples to process per call.
+   * @return    The function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_LENGTH_ERROR if
+   * <code>blockSize</code> is not a multiple of <code>M</code>.
+   */
+  arm_status arm_fir_decimate_init_q31(
+        arm_fir_decimate_instance_q31 * S,
+        uint16_t numTaps,
+        uint8_t M,
+  const q31_t * pCoeffs,
+        q31_t * pState,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Instance structure for the Q15 FIR interpolator.
+   */
+  typedef struct
+  {
+        uint8_t L;                      /**< upsample factor. */
+        uint16_t phaseLength;           /**< length of each polyphase filter component. */
+  const q15_t *pCoeffs;                 /**< points to the coefficient array. The array is of length L*phaseLength. */
+        q15_t *pState;                  /**< points to the state variable array. The array is of length blockSize+phaseLength-1. */
+  } arm_fir_interpolate_instance_q15;
+
+  /**
+   * @brief Instance structure for the Q31 FIR interpolator.
+   */
+  typedef struct
+  {
+        uint8_t L;                      /**< upsample factor. */
+        uint16_t phaseLength;           /**< length of each polyphase filter component. */
+  const q31_t *pCoeffs;                 /**< points to the coefficient array. The array is of length L*phaseLength. */
+        q31_t *pState;                  /**< points to the state variable array. The array is of length blockSize+phaseLength-1. */
+  } arm_fir_interpolate_instance_q31;
+
+  /**
+   * @brief Instance structure for the floating-point FIR interpolator.
+   */
+  typedef struct
+  {
+        uint8_t L;                     /**< upsample factor. */
+        uint16_t phaseLength;          /**< length of each polyphase filter component. */
+  const float32_t *pCoeffs;            /**< points to the coefficient array. The array is of length L*phaseLength. */
+        float32_t *pState;             /**< points to the state variable array. The array is of length phaseLength+numTaps-1. */
+  } arm_fir_interpolate_instance_f32;
+
+
+  /**
+   * @brief Processing function for the Q15 FIR interpolator.
+   * @param[in]  S          points to an instance of the Q15 FIR interpolator structure.
+   * @param[in]  pSrc       points to the block of input data.
+   * @param[out] pDst       points to the block of output data.
+   * @param[in]  blockSize  number of input samples to process per call.
+   */
+  void arm_fir_interpolate_q15(
+  const arm_fir_interpolate_instance_q15 * S,
+  const q15_t * pSrc,
+        q15_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief  Initialization function for the Q15 FIR interpolator.
+   * @param[in,out] S          points to an instance of the Q15 FIR interpolator structure.
+   * @param[in]     L          upsample factor.
+   * @param[in]     numTaps    number of filter coefficients in the filter.
+   * @param[in]     pCoeffs    points to the filter coefficient buffer.
+   * @param[in]     pState     points to the state buffer.
+   * @param[in]     blockSize  number of input samples to process per call.
+   * @return        The function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_LENGTH_ERROR if
+   * the filter length <code>numTaps</code> is not a multiple of the interpolation factor <code>L</code>.
+   */
+  arm_status arm_fir_interpolate_init_q15(
+        arm_fir_interpolate_instance_q15 * S,
+        uint8_t L,
+        uint16_t numTaps,
+  const q15_t * pCoeffs,
+        q15_t * pState,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Processing function for the Q31 FIR interpolator.
+   * @param[in]  S          points to an instance of the Q15 FIR interpolator structure.
+   * @param[in]  pSrc       points to the block of input data.
+   * @param[out] pDst       points to the block of output data.
+   * @param[in]  blockSize  number of input samples to process per call.
+   */
+  void arm_fir_interpolate_q31(
+  const arm_fir_interpolate_instance_q31 * S,
+  const q31_t * pSrc,
+        q31_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief  Initialization function for the Q31 FIR interpolator.
+   * @param[in,out] S          points to an instance of the Q31 FIR interpolator structure.
+   * @param[in]     L          upsample factor.
+   * @param[in]     numTaps    number of filter coefficients in the filter.
+   * @param[in]     pCoeffs    points to the filter coefficient buffer.
+   * @param[in]     pState     points to the state buffer.
+   * @param[in]     blockSize  number of input samples to process per call.
+   * @return        The function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_LENGTH_ERROR if
+   * the filter length <code>numTaps</code> is not a multiple of the interpolation factor <code>L</code>.
+   */
+  arm_status arm_fir_interpolate_init_q31(
+        arm_fir_interpolate_instance_q31 * S,
+        uint8_t L,
+        uint16_t numTaps,
+  const q31_t * pCoeffs,
+        q31_t * pState,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Processing function for the floating-point FIR interpolator.
+   * @param[in]  S          points to an instance of the floating-point FIR interpolator structure.
+   * @param[in]  pSrc       points to the block of input data.
+   * @param[out] pDst       points to the block of output data.
+   * @param[in]  blockSize  number of input samples to process per call.
+   */
+  void arm_fir_interpolate_f32(
+  const arm_fir_interpolate_instance_f32 * S,
+  const float32_t * pSrc,
+        float32_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief  Initialization function for the floating-point FIR interpolator.
+   * @param[in,out] S          points to an instance of the floating-point FIR interpolator structure.
+   * @param[in]     L          upsample factor.
+   * @param[in]     numTaps    number of filter coefficients in the filter.
+   * @param[in]     pCoeffs    points to the filter coefficient buffer.
+   * @param[in]     pState     points to the state buffer.
+   * @param[in]     blockSize  number of input samples to process per call.
+   * @return        The function returns ARM_MATH_SUCCESS if initialization is successful or ARM_MATH_LENGTH_ERROR if
+   * the filter length <code>numTaps</code> is not a multiple of the interpolation factor <code>L</code>.
+   */
+  arm_status arm_fir_interpolate_init_f32(
+        arm_fir_interpolate_instance_f32 * S,
+        uint8_t L,
+        uint16_t numTaps,
+  const float32_t * pCoeffs,
+        float32_t * pState,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Instance structure for the high precision Q31 Biquad cascade filter.
+   */
+  typedef struct
+  {
+          uint8_t numStages;       /**< number of 2nd order stages in the filter.  Overall order is 2*numStages. */
+          q63_t *pState;           /**< points to the array of state coefficients.  The array is of length 4*numStages. */
+    const q31_t *pCoeffs;          /**< points to the array of coefficients.  The array is of length 5*numStages. */
+          uint8_t postShift;       /**< additional shift, in bits, applied to each output sample. */
+  } arm_biquad_cas_df1_32x64_ins_q31;
+
+
+  /**
+   * @param[in]  S          points to an instance of the high precision Q31 Biquad cascade filter structure.
+   * @param[in]  pSrc       points to the block of input data.
+   * @param[out] pDst       points to the block of output data
+   * @param[in]  blockSize  number of samples to process.
+   */
+  void arm_biquad_cas_df1_32x64_q31(
+  const arm_biquad_cas_df1_32x64_ins_q31 * S,
+        q31_t * pSrc,
+        q31_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @param[in,out] S          points to an instance of the high precision Q31 Biquad cascade filter structure.
+   * @param[in]     numStages  number of 2nd order stages in the filter.
+   * @param[in]     pCoeffs    points to the filter coefficients.
+   * @param[in]     pState     points to the state buffer.
+   * @param[in]     postShift  shift to be applied to the output. Varies according to the coefficients format
+   */
+  void arm_biquad_cas_df1_32x64_init_q31(
+        arm_biquad_cas_df1_32x64_ins_q31 * S,
+        uint8_t numStages,
+  const q31_t * pCoeffs,
+        q63_t * pState,
+        uint8_t postShift);
+
+
+  /**
+   * @brief Instance structure for the floating-point transposed direct form II Biquad cascade filter.
+   */
+  typedef struct
+  {
+          uint8_t numStages;         /**< number of 2nd order stages in the filter.  Overall order is 2*numStages. */
+          float32_t *pState;         /**< points to the array of state coefficients.  The array is of length 2*numStages. */
+    const float32_t *pCoeffs;        /**< points to the array of coefficients.  The array is of length 5*numStages. */
+  } arm_biquad_cascade_df2T_instance_f32;
+
+  /**
+   * @brief Instance structure for the floating-point transposed direct form II Biquad cascade filter.
+   */
+  typedef struct
+  {
+          uint8_t numStages;         /**< number of 2nd order stages in the filter.  Overall order is 2*numStages. */
+          float32_t *pState;         /**< points to the array of state coefficients.  The array is of length 4*numStages. */
+    const float32_t *pCoeffs;        /**< points to the array of coefficients.  The array is of length 5*numStages. */
+  } arm_biquad_cascade_stereo_df2T_instance_f32;
+
+  /**
+   * @brief Instance structure for the floating-point transposed direct form II Biquad cascade filter.
+   */
+  typedef struct
+  {
+          uint8_t numStages;         /**< number of 2nd order stages in the filter.  Overall order is 2*numStages. */
+          float64_t *pState;         /**< points to the array of state coefficients.  The array is of length 2*numStages. */
+          float64_t *pCoeffs;        /**< points to the array of coefficients.  The array is of length 5*numStages. */
+  } arm_biquad_cascade_df2T_instance_f64;
+
+
+  /**
+   * @brief Processing function for the floating-point transposed direct form II Biquad cascade filter.
+   * @param[in]  S          points to an instance of the filter data structure.
+   * @param[in]  pSrc       points to the block of input data.
+   * @param[out] pDst       points to the block of output data
+   * @param[in]  blockSize  number of samples to process.
+   */
+  void arm_biquad_cascade_df2T_f32(
+  const arm_biquad_cascade_df2T_instance_f32 * S,
+  const float32_t * pSrc,
+        float32_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Processing function for the floating-point transposed direct form II Biquad cascade filter. 2 channels
+   * @param[in]  S          points to an instance of the filter data structure.
+   * @param[in]  pSrc       points to the block of input data.
+   * @param[out] pDst       points to the block of output data
+   * @param[in]  blockSize  number of samples to process.
+   */
+  void arm_biquad_cascade_stereo_df2T_f32(
+  const arm_biquad_cascade_stereo_df2T_instance_f32 * S,
+  const float32_t * pSrc,
+        float32_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Processing function for the floating-point transposed direct form II Biquad cascade filter.
+   * @param[in]  S          points to an instance of the filter data structure.
+   * @param[in]  pSrc       points to the block of input data.
+   * @param[out] pDst       points to the block of output data
+   * @param[in]  blockSize  number of samples to process.
+   */
+  void arm_biquad_cascade_df2T_f64(
+  const arm_biquad_cascade_df2T_instance_f64 * S,
+        float64_t * pSrc,
+        float64_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief  Initialization function for the floating-point transposed direct form II Biquad cascade filter.
+   * @param[in,out] S          points to an instance of the filter data structure.
+   * @param[in]     numStages  number of 2nd order stages in the filter.
+   * @param[in]     pCoeffs    points to the filter coefficients.
+   * @param[in]     pState     points to the state buffer.
+   */
+  void arm_biquad_cascade_df2T_init_f32(
+        arm_biquad_cascade_df2T_instance_f32 * S,
+        uint8_t numStages,
+  const float32_t * pCoeffs,
+        float32_t * pState);
+
+
+  /**
+   * @brief  Initialization function for the floating-point transposed direct form II Biquad cascade filter.
+   * @param[in,out] S          points to an instance of the filter data structure.
+   * @param[in]     numStages  number of 2nd order stages in the filter.
+   * @param[in]     pCoeffs    points to the filter coefficients.
+   * @param[in]     pState     points to the state buffer.
+   */
+  void arm_biquad_cascade_stereo_df2T_init_f32(
+        arm_biquad_cascade_stereo_df2T_instance_f32 * S,
+        uint8_t numStages,
+  const float32_t * pCoeffs,
+        float32_t * pState);
+
+
+  /**
+   * @brief  Initialization function for the floating-point transposed direct form II Biquad cascade filter.
+   * @param[in,out] S          points to an instance of the filter data structure.
+   * @param[in]     numStages  number of 2nd order stages in the filter.
+   * @param[in]     pCoeffs    points to the filter coefficients.
+   * @param[in]     pState     points to the state buffer.
+   */
+  void arm_biquad_cascade_df2T_init_f64(
+        arm_biquad_cascade_df2T_instance_f64 * S,
+        uint8_t numStages,
+        float64_t * pCoeffs,
+        float64_t * pState);
+
+
+  /**
+   * @brief Instance structure for the Q15 FIR lattice filter.
+   */
+  typedef struct
+  {
+          uint16_t numStages;                  /**< number of filter stages. */
+          q15_t *pState;                       /**< points to the state variable array. The array is of length numStages. */
+    const q15_t *pCoeffs;                      /**< points to the coefficient array. The array is of length numStages. */
+  } arm_fir_lattice_instance_q15;
+
+  /**
+   * @brief Instance structure for the Q31 FIR lattice filter.
+   */
+  typedef struct
+  {
+          uint16_t numStages;                  /**< number of filter stages. */
+          q31_t *pState;                       /**< points to the state variable array. The array is of length numStages. */
+    const q31_t *pCoeffs;                      /**< points to the coefficient array. The array is of length numStages. */
+  } arm_fir_lattice_instance_q31;
+
+  /**
+   * @brief Instance structure for the floating-point FIR lattice filter.
+   */
+  typedef struct
+  {
+          uint16_t numStages;                  /**< number of filter stages. */
+          float32_t *pState;                   /**< points to the state variable array. The array is of length numStages. */
+    const float32_t *pCoeffs;                  /**< points to the coefficient array. The array is of length numStages. */
+  } arm_fir_lattice_instance_f32;
+
+
+  /**
+   * @brief Initialization function for the Q15 FIR lattice filter.
+   * @param[in] S          points to an instance of the Q15 FIR lattice structure.
+   * @param[in] numStages  number of filter stages.
+   * @param[in] pCoeffs    points to the coefficient buffer.  The array is of length numStages.
+   * @param[in] pState     points to the state buffer.  The array is of length numStages.
+   */
+  void arm_fir_lattice_init_q15(
+        arm_fir_lattice_instance_q15 * S,
+        uint16_t numStages,
+  const q15_t * pCoeffs,
+        q15_t * pState);
+
+
+  /**
+   * @brief Processing function for the Q15 FIR lattice filter.
+   * @param[in]  S          points to an instance of the Q15 FIR lattice structure.
+   * @param[in]  pSrc       points to the block of input data.
+   * @param[out] pDst       points to the block of output data.
+   * @param[in]  blockSize  number of samples to process.
+   */
+  void arm_fir_lattice_q15(
+  const arm_fir_lattice_instance_q15 * S,
+  const q15_t * pSrc,
+        q15_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Initialization function for the Q31 FIR lattice filter.
+   * @param[in] S          points to an instance of the Q31 FIR lattice structure.
+   * @param[in] numStages  number of filter stages.
+   * @param[in] pCoeffs    points to the coefficient buffer.  The array is of length numStages.
+   * @param[in] pState     points to the state buffer.   The array is of length numStages.
+   */
+  void arm_fir_lattice_init_q31(
+        arm_fir_lattice_instance_q31 * S,
+        uint16_t numStages,
+  const q31_t * pCoeffs,
+        q31_t * pState);
+
+
+  /**
+   * @brief Processing function for the Q31 FIR lattice filter.
+   * @param[in]  S          points to an instance of the Q31 FIR lattice structure.
+   * @param[in]  pSrc       points to the block of input data.
+   * @param[out] pDst       points to the block of output data
+   * @param[in]  blockSize  number of samples to process.
+   */
+  void arm_fir_lattice_q31(
+  const arm_fir_lattice_instance_q31 * S,
+  const q31_t * pSrc,
+        q31_t * pDst,
+        uint32_t blockSize);
+
+
+/**
+ * @brief Initialization function for the floating-point FIR lattice filter.
+ * @param[in] S          points to an instance of the floating-point FIR lattice structure.
+ * @param[in] numStages  number of filter stages.
+ * @param[in] pCoeffs    points to the coefficient buffer.  The array is of length numStages.
+ * @param[in] pState     points to the state buffer.  The array is of length numStages.
+ */
+  void arm_fir_lattice_init_f32(
+        arm_fir_lattice_instance_f32 * S,
+        uint16_t numStages,
+  const float32_t * pCoeffs,
+        float32_t * pState);
+
+
+  /**
+   * @brief Processing function for the floating-point FIR lattice filter.
+   * @param[in]  S          points to an instance of the floating-point FIR lattice structure.
+   * @param[in]  pSrc       points to the block of input data.
+   * @param[out] pDst       points to the block of output data
+   * @param[in]  blockSize  number of samples to process.
+   */
+  void arm_fir_lattice_f32(
+  const arm_fir_lattice_instance_f32 * S,
+  const float32_t * pSrc,
+        float32_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Instance structure for the Q15 IIR lattice filter.
+   */
+  typedef struct
+  {
+          uint16_t numStages;                  /**< number of stages in the filter. */
+          q15_t *pState;                       /**< points to the state variable array. The array is of length numStages+blockSize. */
+          q15_t *pkCoeffs;                     /**< points to the reflection coefficient array. The array is of length numStages. */
+          q15_t *pvCoeffs;                     /**< points to the ladder coefficient array. The array is of length numStages+1. */
+  } arm_iir_lattice_instance_q15;
+
+  /**
+   * @brief Instance structure for the Q31 IIR lattice filter.
+   */
+  typedef struct
+  {
+          uint16_t numStages;                  /**< number of stages in the filter. */
+          q31_t *pState;                       /**< points to the state variable array. The array is of length numStages+blockSize. */
+          q31_t *pkCoeffs;                     /**< points to the reflection coefficient array. The array is of length numStages. */
+          q31_t *pvCoeffs;                     /**< points to the ladder coefficient array. The array is of length numStages+1. */
+  } arm_iir_lattice_instance_q31;
+
+  /**
+   * @brief Instance structure for the floating-point IIR lattice filter.
+   */
+  typedef struct
+  {
+          uint16_t numStages;                  /**< number of stages in the filter. */
+          float32_t *pState;                   /**< points to the state variable array. The array is of length numStages+blockSize. */
+          float32_t *pkCoeffs;                 /**< points to the reflection coefficient array. The array is of length numStages. */
+          float32_t *pvCoeffs;                 /**< points to the ladder coefficient array. The array is of length numStages+1. */
+  } arm_iir_lattice_instance_f32;
+
+
+  /**
+   * @brief Processing function for the floating-point IIR lattice filter.
+   * @param[in]  S          points to an instance of the floating-point IIR lattice structure.
+   * @param[in]  pSrc       points to the block of input data.
+   * @param[out] pDst       points to the block of output data.
+   * @param[in]  blockSize  number of samples to process.
+   */
+  void arm_iir_lattice_f32(
+  const arm_iir_lattice_instance_f32 * S,
+  const float32_t * pSrc,
+        float32_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Initialization function for the floating-point IIR lattice filter.
+   * @param[in] S          points to an instance of the floating-point IIR lattice structure.
+   * @param[in] numStages  number of stages in the filter.
+   * @param[in] pkCoeffs   points to the reflection coefficient buffer.  The array is of length numStages.
+   * @param[in] pvCoeffs   points to the ladder coefficient buffer.  The array is of length numStages+1.
+   * @param[in] pState     points to the state buffer.  The array is of length numStages+blockSize-1.
+   * @param[in] blockSize  number of samples to process.
+   */
+  void arm_iir_lattice_init_f32(
+        arm_iir_lattice_instance_f32 * S,
+        uint16_t numStages,
+        float32_t * pkCoeffs,
+        float32_t * pvCoeffs,
+        float32_t * pState,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Processing function for the Q31 IIR lattice filter.
+   * @param[in]  S          points to an instance of the Q31 IIR lattice structure.
+   * @param[in]  pSrc       points to the block of input data.
+   * @param[out] pDst       points to the block of output data.
+   * @param[in]  blockSize  number of samples to process.
+   */
+  void arm_iir_lattice_q31(
+  const arm_iir_lattice_instance_q31 * S,
+  const q31_t * pSrc,
+        q31_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Initialization function for the Q31 IIR lattice filter.
+   * @param[in] S          points to an instance of the Q31 IIR lattice structure.
+   * @param[in] numStages  number of stages in the filter.
+   * @param[in] pkCoeffs   points to the reflection coefficient buffer.  The array is of length numStages.
+   * @param[in] pvCoeffs   points to the ladder coefficient buffer.  The array is of length numStages+1.
+   * @param[in] pState     points to the state buffer.  The array is of length numStages+blockSize.
+   * @param[in] blockSize  number of samples to process.
+   */
+  void arm_iir_lattice_init_q31(
+        arm_iir_lattice_instance_q31 * S,
+        uint16_t numStages,
+        q31_t * pkCoeffs,
+        q31_t * pvCoeffs,
+        q31_t * pState,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Processing function for the Q15 IIR lattice filter.
+   * @param[in]  S          points to an instance of the Q15 IIR lattice structure.
+   * @param[in]  pSrc       points to the block of input data.
+   * @param[out] pDst       points to the block of output data.
+   * @param[in]  blockSize  number of samples to process.
+   */
+  void arm_iir_lattice_q15(
+  const arm_iir_lattice_instance_q15 * S,
+  const q15_t * pSrc,
+        q15_t * pDst,
+        uint32_t blockSize);
+
+
+/**
+ * @brief Initialization function for the Q15 IIR lattice filter.
+ * @param[in] S          points to an instance of the fixed-point Q15 IIR lattice structure.
+ * @param[in] numStages  number of stages in the filter.
+ * @param[in] pkCoeffs   points to reflection coefficient buffer.  The array is of length numStages.
+ * @param[in] pvCoeffs   points to ladder coefficient buffer.  The array is of length numStages+1.
+ * @param[in] pState     points to state buffer.  The array is of length numStages+blockSize.
+ * @param[in] blockSize  number of samples to process per call.
+ */
+  void arm_iir_lattice_init_q15(
+        arm_iir_lattice_instance_q15 * S,
+        uint16_t numStages,
+        q15_t * pkCoeffs,
+        q15_t * pvCoeffs,
+        q15_t * pState,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Instance structure for the floating-point LMS filter.
+   */
+  typedef struct
+  {
+          uint16_t numTaps;    /**< number of coefficients in the filter. */
+          float32_t *pState;   /**< points to the state variable array. The array is of length numTaps+blockSize-1. */
+          float32_t *pCoeffs;  /**< points to the coefficient array. The array is of length numTaps. */
+          float32_t mu;        /**< step size that controls filter coefficient updates. */
+  } arm_lms_instance_f32;
+
+
+  /**
+   * @brief Processing function for floating-point LMS filter.
+   * @param[in]  S          points to an instance of the floating-point LMS filter structure.
+   * @param[in]  pSrc       points to the block of input data.
+   * @param[in]  pRef       points to the block of reference data.
+   * @param[out] pOut       points to the block of output data.
+   * @param[out] pErr       points to the block of error data.
+   * @param[in]  blockSize  number of samples to process.
+   */
+  void arm_lms_f32(
+  const arm_lms_instance_f32 * S,
+  const float32_t * pSrc,
+        float32_t * pRef,
+        float32_t * pOut,
+        float32_t * pErr,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Initialization function for floating-point LMS filter.
+   * @param[in] S          points to an instance of the floating-point LMS filter structure.
+   * @param[in] numTaps    number of filter coefficients.
+   * @param[in] pCoeffs    points to the coefficient buffer.
+   * @param[in] pState     points to state buffer.
+   * @param[in] mu         step size that controls filter coefficient updates.
+   * @param[in] blockSize  number of samples to process.
+   */
+  void arm_lms_init_f32(
+        arm_lms_instance_f32 * S,
+        uint16_t numTaps,
+        float32_t * pCoeffs,
+        float32_t * pState,
+        float32_t mu,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Instance structure for the Q15 LMS filter.
+   */
+  typedef struct
+  {
+          uint16_t numTaps;    /**< number of coefficients in the filter. */
+          q15_t *pState;       /**< points to the state variable array. The array is of length numTaps+blockSize-1. */
+          q15_t *pCoeffs;      /**< points to the coefficient array. The array is of length numTaps. */
+          q15_t mu;            /**< step size that controls filter coefficient updates. */
+          uint32_t postShift;  /**< bit shift applied to coefficients. */
+  } arm_lms_instance_q15;
+
+
+  /**
+   * @brief Initialization function for the Q15 LMS filter.
+   * @param[in] S          points to an instance of the Q15 LMS filter structure.
+   * @param[in] numTaps    number of filter coefficients.
+   * @param[in] pCoeffs    points to the coefficient buffer.
+   * @param[in] pState     points to the state buffer.
+   * @param[in] mu         step size that controls filter coefficient updates.
+   * @param[in] blockSize  number of samples to process.
+   * @param[in] postShift  bit shift applied to coefficients.
+   */
+  void arm_lms_init_q15(
+        arm_lms_instance_q15 * S,
+        uint16_t numTaps,
+        q15_t * pCoeffs,
+        q15_t * pState,
+        q15_t mu,
+        uint32_t blockSize,
+        uint32_t postShift);
+
+
+  /**
+   * @brief Processing function for Q15 LMS filter.
+   * @param[in]  S          points to an instance of the Q15 LMS filter structure.
+   * @param[in]  pSrc       points to the block of input data.
+   * @param[in]  pRef       points to the block of reference data.
+   * @param[out] pOut       points to the block of output data.
+   * @param[out] pErr       points to the block of error data.
+   * @param[in]  blockSize  number of samples to process.
+   */
+  void arm_lms_q15(
+  const arm_lms_instance_q15 * S,
+  const q15_t * pSrc,
+        q15_t * pRef,
+        q15_t * pOut,
+        q15_t * pErr,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Instance structure for the Q31 LMS filter.
+   */
+  typedef struct
+  {
+          uint16_t numTaps;    /**< number of coefficients in the filter. */
+          q31_t *pState;       /**< points to the state variable array. The array is of length numTaps+blockSize-1. */
+          q31_t *pCoeffs;      /**< points to the coefficient array. The array is of length numTaps. */
+          q31_t mu;            /**< step size that controls filter coefficient updates. */
+          uint32_t postShift;  /**< bit shift applied to coefficients. */
+  } arm_lms_instance_q31;
+
+
+  /**
+   * @brief Processing function for Q31 LMS filter.
+   * @param[in]  S          points to an instance of the Q15 LMS filter structure.
+   * @param[in]  pSrc       points to the block of input data.
+   * @param[in]  pRef       points to the block of reference data.
+   * @param[out] pOut       points to the block of output data.
+   * @param[out] pErr       points to the block of error data.
+   * @param[in]  blockSize  number of samples to process.
+   */
+  void arm_lms_q31(
+  const arm_lms_instance_q31 * S,
+  const q31_t * pSrc,
+        q31_t * pRef,
+        q31_t * pOut,
+        q31_t * pErr,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Initialization function for Q31 LMS filter.
+   * @param[in] S          points to an instance of the Q31 LMS filter structure.
+   * @param[in] numTaps    number of filter coefficients.
+   * @param[in] pCoeffs    points to coefficient buffer.
+   * @param[in] pState     points to state buffer.
+   * @param[in] mu         step size that controls filter coefficient updates.
+   * @param[in] blockSize  number of samples to process.
+   * @param[in] postShift  bit shift applied to coefficients.
+   */
+  void arm_lms_init_q31(
+        arm_lms_instance_q31 * S,
+        uint16_t numTaps,
+        q31_t * pCoeffs,
+        q31_t * pState,
+        q31_t mu,
+        uint32_t blockSize,
+        uint32_t postShift);
+
+
+  /**
+   * @brief Instance structure for the floating-point normalized LMS filter.
+   */
+  typedef struct
+  {
+          uint16_t numTaps;     /**< number of coefficients in the filter. */
+          float32_t *pState;    /**< points to the state variable array. The array is of length numTaps+blockSize-1. */
+          float32_t *pCoeffs;   /**< points to the coefficient array. The array is of length numTaps. */
+          float32_t mu;         /**< step size that control filter coefficient updates. */
+          float32_t energy;     /**< saves previous frame energy. */
+          float32_t x0;         /**< saves previous input sample. */
+  } arm_lms_norm_instance_f32;
+
+
+  /**
+   * @brief Processing function for floating-point normalized LMS filter.
+   * @param[in]  S          points to an instance of the floating-point normalized LMS filter structure.
+   * @param[in]  pSrc       points to the block of input data.
+   * @param[in]  pRef       points to the block of reference data.
+   * @param[out] pOut       points to the block of output data.
+   * @param[out] pErr       points to the block of error data.
+   * @param[in]  blockSize  number of samples to process.
+   */
+  void arm_lms_norm_f32(
+        arm_lms_norm_instance_f32 * S,
+  const float32_t * pSrc,
+        float32_t * pRef,
+        float32_t * pOut,
+        float32_t * pErr,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Initialization function for floating-point normalized LMS filter.
+   * @param[in] S          points to an instance of the floating-point LMS filter structure.
+   * @param[in] numTaps    number of filter coefficients.
+   * @param[in] pCoeffs    points to coefficient buffer.
+   * @param[in] pState     points to state buffer.
+   * @param[in] mu         step size that controls filter coefficient updates.
+   * @param[in] blockSize  number of samples to process.
+   */
+  void arm_lms_norm_init_f32(
+        arm_lms_norm_instance_f32 * S,
+        uint16_t numTaps,
+        float32_t * pCoeffs,
+        float32_t * pState,
+        float32_t mu,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Instance structure for the Q31 normalized LMS filter.
+   */
+  typedef struct
+  {
+          uint16_t numTaps;     /**< number of coefficients in the filter. */
+          q31_t *pState;        /**< points to the state variable array. The array is of length numTaps+blockSize-1. */
+          q31_t *pCoeffs;       /**< points to the coefficient array. The array is of length numTaps. */
+          q31_t mu;             /**< step size that controls filter coefficient updates. */
+          uint8_t postShift;    /**< bit shift applied to coefficients. */
+    const q31_t *recipTable;    /**< points to the reciprocal initial value table. */
+          q31_t energy;         /**< saves previous frame energy. */
+          q31_t x0;             /**< saves previous input sample. */
+  } arm_lms_norm_instance_q31;
+
+
+  /**
+   * @brief Processing function for Q31 normalized LMS filter.
+   * @param[in]  S          points to an instance of the Q31 normalized LMS filter structure.
+   * @param[in]  pSrc       points to the block of input data.
+   * @param[in]  pRef       points to the block of reference data.
+   * @param[out] pOut       points to the block of output data.
+   * @param[out] pErr       points to the block of error data.
+   * @param[in]  blockSize  number of samples to process.
+   */
+  void arm_lms_norm_q31(
+        arm_lms_norm_instance_q31 * S,
+  const q31_t * pSrc,
+        q31_t * pRef,
+        q31_t * pOut,
+        q31_t * pErr,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Initialization function for Q31 normalized LMS filter.
+   * @param[in] S          points to an instance of the Q31 normalized LMS filter structure.
+   * @param[in] numTaps    number of filter coefficients.
+   * @param[in] pCoeffs    points to coefficient buffer.
+   * @param[in] pState     points to state buffer.
+   * @param[in] mu         step size that controls filter coefficient updates.
+   * @param[in] blockSize  number of samples to process.
+   * @param[in] postShift  bit shift applied to coefficients.
+   */
+  void arm_lms_norm_init_q31(
+        arm_lms_norm_instance_q31 * S,
+        uint16_t numTaps,
+        q31_t * pCoeffs,
+        q31_t * pState,
+        q31_t mu,
+        uint32_t blockSize,
+        uint8_t postShift);
+
+
+  /**
+   * @brief Instance structure for the Q15 normalized LMS filter.
+   */
+  typedef struct
+  {
+          uint16_t numTaps;     /**< Number of coefficients in the filter. */
+          q15_t *pState;        /**< points to the state variable array. The array is of length numTaps+blockSize-1. */
+          q15_t *pCoeffs;       /**< points to the coefficient array. The array is of length numTaps. */
+          q15_t mu;             /**< step size that controls filter coefficient updates. */
+          uint8_t postShift;    /**< bit shift applied to coefficients. */
+    const q15_t *recipTable;    /**< Points to the reciprocal initial value table. */
+          q15_t energy;         /**< saves previous frame energy. */
+          q15_t x0;             /**< saves previous input sample. */
+  } arm_lms_norm_instance_q15;
+
+
+  /**
+   * @brief Processing function for Q15 normalized LMS filter.
+   * @param[in]  S          points to an instance of the Q15 normalized LMS filter structure.
+   * @param[in]  pSrc       points to the block of input data.
+   * @param[in]  pRef       points to the block of reference data.
+   * @param[out] pOut       points to the block of output data.
+   * @param[out] pErr       points to the block of error data.
+   * @param[in]  blockSize  number of samples to process.
+   */
+  void arm_lms_norm_q15(
+        arm_lms_norm_instance_q15 * S,
+  const q15_t * pSrc,
+        q15_t * pRef,
+        q15_t * pOut,
+        q15_t * pErr,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Initialization function for Q15 normalized LMS filter.
+   * @param[in] S          points to an instance of the Q15 normalized LMS filter structure.
+   * @param[in] numTaps    number of filter coefficients.
+   * @param[in] pCoeffs    points to coefficient buffer.
+   * @param[in] pState     points to state buffer.
+   * @param[in] mu         step size that controls filter coefficient updates.
+   * @param[in] blockSize  number of samples to process.
+   * @param[in] postShift  bit shift applied to coefficients.
+   */
+  void arm_lms_norm_init_q15(
+        arm_lms_norm_instance_q15 * S,
+        uint16_t numTaps,
+        q15_t * pCoeffs,
+        q15_t * pState,
+        q15_t mu,
+        uint32_t blockSize,
+        uint8_t postShift);
+
+
+  /**
+   * @brief Correlation of floating-point sequences.
+   * @param[in]  pSrcA    points to the first input sequence.
+   * @param[in]  srcALen  length of the first input sequence.
+   * @param[in]  pSrcB    points to the second input sequence.
+   * @param[in]  srcBLen  length of the second input sequence.
+   * @param[out] pDst     points to the block of output data  Length 2 * max(srcALen, srcBLen) - 1.
+   */
+  void arm_correlate_f32(
+  const float32_t * pSrcA,
+        uint32_t srcALen,
+  const float32_t * pSrcB,
+        uint32_t srcBLen,
+        float32_t * pDst);
+
+
+/**
+ @brief Correlation of Q15 sequences
+ @param[in]  pSrcA     points to the first input sequence
+ @param[in]  srcALen   length of the first input sequence
+ @param[in]  pSrcB     points to the second input sequence
+ @param[in]  srcBLen   length of the second input sequence
+ @param[out] pDst      points to the block of output data  Length 2 * max(srcALen, srcBLen) - 1.
+ @param[in]  pScratch  points to scratch buffer of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2.
+*/
+void arm_correlate_opt_q15(
+  const q15_t * pSrcA,
+        uint32_t srcALen,
+  const q15_t * pSrcB,
+        uint32_t srcBLen,
+        q15_t * pDst,
+        q15_t * pScratch);
+
+
+/**
+  @brief Correlation of Q15 sequences.
+  @param[in]  pSrcA    points to the first input sequence
+  @param[in]  srcALen  length of the first input sequence
+  @param[in]  pSrcB    points to the second input sequence
+  @param[in]  srcBLen  length of the second input sequence
+  @param[out] pDst     points to the block of output data  Length 2 * max(srcALen, srcBLen) - 1.
+ */
+  void arm_correlate_q15(
+  const q15_t * pSrcA,
+        uint32_t srcALen,
+  const q15_t * pSrcB,
+        uint32_t srcBLen,
+        q15_t * pDst);
+
+
+/**
+  @brief         Correlation of Q15 sequences (fast version).
+  @param[in]     pSrcA      points to the first input sequence
+  @param[in]     srcALen    length of the first input sequence
+  @param[in]     pSrcB      points to the second input sequence
+  @param[in]     srcBLen    length of the second input sequence
+  @param[out]    pDst       points to the location where the output result is written.  Length 2 * max(srcALen, srcBLen) - 1.
+  @return        none
+ */
+void arm_correlate_fast_q15(
+  const q15_t * pSrcA,
+        uint32_t srcALen,
+  const q15_t * pSrcB,
+        uint32_t srcBLen,
+        q15_t * pDst);
+
+
+/**
+  @brief Correlation of Q15 sequences (fast version).
+  @param[in]  pSrcA     points to the first input sequence.
+  @param[in]  srcALen   length of the first input sequence.
+  @param[in]  pSrcB     points to the second input sequence.
+  @param[in]  srcBLen   length of the second input sequence.
+  @param[out] pDst      points to the block of output data  Length 2 * max(srcALen, srcBLen) - 1.
+  @param[in]  pScratch  points to scratch buffer of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2.
+ */
+void arm_correlate_fast_opt_q15(
+  const q15_t * pSrcA,
+        uint32_t srcALen,
+  const q15_t * pSrcB,
+        uint32_t srcBLen,
+        q15_t * pDst,
+        q15_t * pScratch);
+
+
+  /**
+   * @brief Correlation of Q31 sequences.
+   * @param[in]  pSrcA    points to the first input sequence.
+   * @param[in]  srcALen  length of the first input sequence.
+   * @param[in]  pSrcB    points to the second input sequence.
+   * @param[in]  srcBLen  length of the second input sequence.
+   * @param[out] pDst     points to the block of output data  Length 2 * max(srcALen, srcBLen) - 1.
+   */
+  void arm_correlate_q31(
+  const q31_t * pSrcA,
+        uint32_t srcALen,
+  const q31_t * pSrcB,
+        uint32_t srcBLen,
+        q31_t * pDst);
+
+
+/**
+  @brief Correlation of Q31 sequences (fast version).
+  @param[in]  pSrcA    points to the first input sequence
+  @param[in]  srcALen  length of the first input sequence
+  @param[in]  pSrcB    points to the second input sequence
+  @param[in]  srcBLen  length of the second input sequence
+  @param[out] pDst     points to the block of output data  Length 2 * max(srcALen, srcBLen) - 1.
+ */
+void arm_correlate_fast_q31(
+  const q31_t * pSrcA,
+        uint32_t srcALen,
+  const q31_t * pSrcB,
+        uint32_t srcBLen,
+        q31_t * pDst);
+
+
+ /**
+   * @brief Correlation of Q7 sequences.
+   * @param[in]  pSrcA      points to the first input sequence.
+   * @param[in]  srcALen    length of the first input sequence.
+   * @param[in]  pSrcB      points to the second input sequence.
+   * @param[in]  srcBLen    length of the second input sequence.
+   * @param[out] pDst       points to the block of output data  Length 2 * max(srcALen, srcBLen) - 1.
+   * @param[in]  pScratch1  points to scratch buffer(of type q15_t) of size max(srcALen, srcBLen) + 2*min(srcALen, srcBLen) - 2.
+   * @param[in]  pScratch2  points to scratch buffer (of type q15_t) of size min(srcALen, srcBLen).
+   */
+  void arm_correlate_opt_q7(
+  const q7_t * pSrcA,
+        uint32_t srcALen,
+  const q7_t * pSrcB,
+        uint32_t srcBLen,
+        q7_t * pDst,
+        q15_t * pScratch1,
+        q15_t * pScratch2);
+
+
+  /**
+   * @brief Correlation of Q7 sequences.
+   * @param[in]  pSrcA    points to the first input sequence.
+   * @param[in]  srcALen  length of the first input sequence.
+   * @param[in]  pSrcB    points to the second input sequence.
+   * @param[in]  srcBLen  length of the second input sequence.
+   * @param[out] pDst     points to the block of output data  Length 2 * max(srcALen, srcBLen) - 1.
+   */
+  void arm_correlate_q7(
+  const q7_t * pSrcA,
+        uint32_t srcALen,
+  const q7_t * pSrcB,
+        uint32_t srcBLen,
+        q7_t * pDst);
+
+
+  /**
+   * @brief Instance structure for the floating-point sparse FIR filter.
+   */
+  typedef struct
+  {
+          uint16_t numTaps;             /**< number of coefficients in the filter. */
+          uint16_t stateIndex;          /**< state buffer index.  Points to the oldest sample in the state buffer. */
+          float32_t *pState;            /**< points to the state buffer array. The array is of length maxDelay+blockSize-1. */
+    const float32_t *pCoeffs;           /**< points to the coefficient array. The array is of length numTaps.*/
+          uint16_t maxDelay;            /**< maximum offset specified by the pTapDelay array. */
+          int32_t *pTapDelay;           /**< points to the array of delay values.  The array is of length numTaps. */
+  } arm_fir_sparse_instance_f32;
+
+  /**
+   * @brief Instance structure for the Q31 sparse FIR filter.
+   */
+  typedef struct
+  {
+          uint16_t numTaps;             /**< number of coefficients in the filter. */
+          uint16_t stateIndex;          /**< state buffer index.  Points to the oldest sample in the state buffer. */
+          q31_t *pState;                /**< points to the state buffer array. The array is of length maxDelay+blockSize-1. */
+    const q31_t *pCoeffs;               /**< points to the coefficient array. The array is of length numTaps.*/
+          uint16_t maxDelay;            /**< maximum offset specified by the pTapDelay array. */
+          int32_t *pTapDelay;           /**< points to the array of delay values.  The array is of length numTaps. */
+  } arm_fir_sparse_instance_q31;
+
+  /**
+   * @brief Instance structure for the Q15 sparse FIR filter.
+   */
+  typedef struct
+  {
+          uint16_t numTaps;             /**< number of coefficients in the filter. */
+          uint16_t stateIndex;          /**< state buffer index.  Points to the oldest sample in the state buffer. */
+          q15_t *pState;                /**< points to the state buffer array. The array is of length maxDelay+blockSize-1. */
+    const q15_t *pCoeffs;               /**< points to the coefficient array. The array is of length numTaps.*/
+          uint16_t maxDelay;            /**< maximum offset specified by the pTapDelay array. */
+          int32_t *pTapDelay;           /**< points to the array of delay values.  The array is of length numTaps. */
+  } arm_fir_sparse_instance_q15;
+
+  /**
+   * @brief Instance structure for the Q7 sparse FIR filter.
+   */
+  typedef struct
+  {
+          uint16_t numTaps;             /**< number of coefficients in the filter. */
+          uint16_t stateIndex;          /**< state buffer index.  Points to the oldest sample in the state buffer. */
+          q7_t *pState;                 /**< points to the state buffer array. The array is of length maxDelay+blockSize-1. */
+    const q7_t *pCoeffs;                /**< points to the coefficient array. The array is of length numTaps.*/
+          uint16_t maxDelay;            /**< maximum offset specified by the pTapDelay array. */
+          int32_t *pTapDelay;           /**< points to the array of delay values.  The array is of length numTaps. */
+  } arm_fir_sparse_instance_q7;
+
+
+  /**
+   * @brief Processing function for the floating-point sparse FIR filter.
+   * @param[in]  S           points to an instance of the floating-point sparse FIR structure.
+   * @param[in]  pSrc        points to the block of input data.
+   * @param[out] pDst        points to the block of output data
+   * @param[in]  pScratchIn  points to a temporary buffer of size blockSize.
+   * @param[in]  blockSize   number of input samples to process per call.
+   */
+  void arm_fir_sparse_f32(
+        arm_fir_sparse_instance_f32 * S,
+  const float32_t * pSrc,
+        float32_t * pDst,
+        float32_t * pScratchIn,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief  Initialization function for the floating-point sparse FIR filter.
+   * @param[in,out] S          points to an instance of the floating-point sparse FIR structure.
+   * @param[in]     numTaps    number of nonzero coefficients in the filter.
+   * @param[in]     pCoeffs    points to the array of filter coefficients.
+   * @param[in]     pState     points to the state buffer.
+   * @param[in]     pTapDelay  points to the array of offset times.
+   * @param[in]     maxDelay   maximum offset time supported.
+   * @param[in]     blockSize  number of samples that will be processed per block.
+   */
+  void arm_fir_sparse_init_f32(
+        arm_fir_sparse_instance_f32 * S,
+        uint16_t numTaps,
+  const float32_t * pCoeffs,
+        float32_t * pState,
+        int32_t * pTapDelay,
+        uint16_t maxDelay,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Processing function for the Q31 sparse FIR filter.
+   * @param[in]  S           points to an instance of the Q31 sparse FIR structure.
+   * @param[in]  pSrc        points to the block of input data.
+   * @param[out] pDst        points to the block of output data
+   * @param[in]  pScratchIn  points to a temporary buffer of size blockSize.
+   * @param[in]  blockSize   number of input samples to process per call.
+   */
+  void arm_fir_sparse_q31(
+        arm_fir_sparse_instance_q31 * S,
+  const q31_t * pSrc,
+        q31_t * pDst,
+        q31_t * pScratchIn,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief  Initialization function for the Q31 sparse FIR filter.
+   * @param[in,out] S          points to an instance of the Q31 sparse FIR structure.
+   * @param[in]     numTaps    number of nonzero coefficients in the filter.
+   * @param[in]     pCoeffs    points to the array of filter coefficients.
+   * @param[in]     pState     points to the state buffer.
+   * @param[in]     pTapDelay  points to the array of offset times.
+   * @param[in]     maxDelay   maximum offset time supported.
+   * @param[in]     blockSize  number of samples that will be processed per block.
+   */
+  void arm_fir_sparse_init_q31(
+        arm_fir_sparse_instance_q31 * S,
+        uint16_t numTaps,
+  const q31_t * pCoeffs,
+        q31_t * pState,
+        int32_t * pTapDelay,
+        uint16_t maxDelay,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Processing function for the Q15 sparse FIR filter.
+   * @param[in]  S            points to an instance of the Q15 sparse FIR structure.
+   * @param[in]  pSrc         points to the block of input data.
+   * @param[out] pDst         points to the block of output data
+   * @param[in]  pScratchIn   points to a temporary buffer of size blockSize.
+   * @param[in]  pScratchOut  points to a temporary buffer of size blockSize.
+   * @param[in]  blockSize    number of input samples to process per call.
+   */
+  void arm_fir_sparse_q15(
+        arm_fir_sparse_instance_q15 * S,
+  const q15_t * pSrc,
+        q15_t * pDst,
+        q15_t * pScratchIn,
+        q31_t * pScratchOut,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief  Initialization function for the Q15 sparse FIR filter.
+   * @param[in,out] S          points to an instance of the Q15 sparse FIR structure.
+   * @param[in]     numTaps    number of nonzero coefficients in the filter.
+   * @param[in]     pCoeffs    points to the array of filter coefficients.
+   * @param[in]     pState     points to the state buffer.
+   * @param[in]     pTapDelay  points to the array of offset times.
+   * @param[in]     maxDelay   maximum offset time supported.
+   * @param[in]     blockSize  number of samples that will be processed per block.
+   */
+  void arm_fir_sparse_init_q15(
+        arm_fir_sparse_instance_q15 * S,
+        uint16_t numTaps,
+  const q15_t * pCoeffs,
+        q15_t * pState,
+        int32_t * pTapDelay,
+        uint16_t maxDelay,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Processing function for the Q7 sparse FIR filter.
+   * @param[in]  S            points to an instance of the Q7 sparse FIR structure.
+   * @param[in]  pSrc         points to the block of input data.
+   * @param[out] pDst         points to the block of output data
+   * @param[in]  pScratchIn   points to a temporary buffer of size blockSize.
+   * @param[in]  pScratchOut  points to a temporary buffer of size blockSize.
+   * @param[in]  blockSize    number of input samples to process per call.
+   */
+  void arm_fir_sparse_q7(
+        arm_fir_sparse_instance_q7 * S,
+  const q7_t * pSrc,
+        q7_t * pDst,
+        q7_t * pScratchIn,
+        q31_t * pScratchOut,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief  Initialization function for the Q7 sparse FIR filter.
+   * @param[in,out] S          points to an instance of the Q7 sparse FIR structure.
+   * @param[in]     numTaps    number of nonzero coefficients in the filter.
+   * @param[in]     pCoeffs    points to the array of filter coefficients.
+   * @param[in]     pState     points to the state buffer.
+   * @param[in]     pTapDelay  points to the array of offset times.
+   * @param[in]     maxDelay   maximum offset time supported.
+   * @param[in]     blockSize  number of samples that will be processed per block.
+   */
+  void arm_fir_sparse_init_q7(
+        arm_fir_sparse_instance_q7 * S,
+        uint16_t numTaps,
+  const q7_t * pCoeffs,
+        q7_t * pState,
+        int32_t * pTapDelay,
+        uint16_t maxDelay,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief  Floating-point sin_cos function.
+   * @param[in]  theta   input value in degrees
+   * @param[out] pSinVal  points to the processed sine output.
+   * @param[out] pCosVal  points to the processed cos output.
+   */
+  void arm_sin_cos_f32(
+        float32_t theta,
+        float32_t * pSinVal,
+        float32_t * pCosVal);
+
+
+  /**
+   * @brief  Q31 sin_cos function.
+   * @param[in]  theta    scaled input value in degrees
+   * @param[out] pSinVal  points to the processed sine output.
+   * @param[out] pCosVal  points to the processed cosine output.
+   */
+  void arm_sin_cos_q31(
+        q31_t theta,
+        q31_t * pSinVal,
+        q31_t * pCosVal);
+
+
+  /**
+   * @brief  Floating-point complex conjugate.
+   * @param[in]  pSrc        points to the input vector
+   * @param[out] pDst        points to the output vector
+   * @param[in]  numSamples  number of complex samples in each vector
+   */
+  void arm_cmplx_conj_f32(
+  const float32_t * pSrc,
+        float32_t * pDst,
+        uint32_t numSamples);
+
+  /**
+   * @brief  Q31 complex conjugate.
+   * @param[in]  pSrc        points to the input vector
+   * @param[out] pDst        points to the output vector
+   * @param[in]  numSamples  number of complex samples in each vector
+   */
+  void arm_cmplx_conj_q31(
+  const q31_t * pSrc,
+        q31_t * pDst,
+        uint32_t numSamples);
+
+
+  /**
+   * @brief  Q15 complex conjugate.
+   * @param[in]  pSrc        points to the input vector
+   * @param[out] pDst        points to the output vector
+   * @param[in]  numSamples  number of complex samples in each vector
+   */
+  void arm_cmplx_conj_q15(
+  const q15_t * pSrc,
+        q15_t * pDst,
+        uint32_t numSamples);
+
+
+  /**
+   * @brief  Floating-point complex magnitude squared
+   * @param[in]  pSrc        points to the complex input vector
+   * @param[out] pDst        points to the real output vector
+   * @param[in]  numSamples  number of complex samples in the input vector
+   */
+  void arm_cmplx_mag_squared_f32(
+  const float32_t * pSrc,
+        float32_t * pDst,
+        uint32_t numSamples);
+
+
+  /**
+   * @brief  Q31 complex magnitude squared
+   * @param[in]  pSrc        points to the complex input vector
+   * @param[out] pDst        points to the real output vector
+   * @param[in]  numSamples  number of complex samples in the input vector
+   */
+  void arm_cmplx_mag_squared_q31(
+  const q31_t * pSrc,
+        q31_t * pDst,
+        uint32_t numSamples);
+
+
+  /**
+   * @brief  Q15 complex magnitude squared
+   * @param[in]  pSrc        points to the complex input vector
+   * @param[out] pDst        points to the real output vector
+   * @param[in]  numSamples  number of complex samples in the input vector
+   */
+  void arm_cmplx_mag_squared_q15(
+  const q15_t * pSrc,
+        q15_t * pDst,
+        uint32_t numSamples);
+
+
+ /**
+   * @ingroup groupController
+   */
+
+  /**
+   * @defgroup PID PID Motor Control
+   *
+   * A Proportional Integral Derivative (PID) controller is a generic feedback control
+   * loop mechanism widely used in industrial control systems.
+   * A PID controller is the most commonly used type of feedback controller.
+   *
+   * This set of functions implements (PID) controllers
+   * for Q15, Q31, and floating-point data types.  The functions operate on a single sample
+   * of data and each call to the function returns a single processed value.
+   * <code>S</code> points to an instance of the PID control data structure.  <code>in</code>
+   * is the input sample value. The functions return the output value.
+   *
+   * \par Algorithm:
+   * <pre>
+   *    y[n] = y[n-1] + A0 * x[n] + A1 * x[n-1] + A2 * x[n-2]
+   *    A0 = Kp + Ki + Kd
+   *    A1 = (-Kp ) - (2 * Kd )
+   *    A2 = Kd
+   * </pre>
+   *
+   * \par
+   * where \c Kp is proportional constant, \c Ki is Integral constant and \c Kd is Derivative constant
+   *
+   * \par
+   * \image html PID.gif "Proportional Integral Derivative Controller"
+   *
+   * \par
+   * The PID controller calculates an "error" value as the difference between
+   * the measured output and the reference input.
+   * The controller attempts to minimize the error by adjusting the process control inputs.
+   * The proportional value determines the reaction to the current error,
+   * the integral value determines the reaction based on the sum of recent errors,
+   * and the derivative value determines the reaction based on the rate at which the error has been changing.
+   *
+   * \par Instance Structure
+   * The Gains A0, A1, A2 and state variables for a PID controller are stored together in an instance data structure.
+   * A separate instance structure must be defined for each PID Controller.
+   * There are separate instance structure declarations for each of the 3 supported data types.
+   *
+   * \par Reset Functions
+   * There is also an associated reset function for each data type which clears the state array.
+   *
+   * \par Initialization Functions
+   * There is also an associated initialization function for each data type.
+   * The initialization function performs the following operations:
+   * - Initializes the Gains A0, A1, A2 from Kp,Ki, Kd gains.
+   * - Zeros out the values in the state buffer.
+   *
+   * \par
+   * Instance structure cannot be placed into a const data section and it is recommended to use the initialization function.
+   *
+   * \par Fixed-Point Behavior
+   * Care must be taken when using the fixed-point versions of the PID Controller functions.
+   * In particular, the overflow and saturation behavior of the accumulator used in each function must be considered.
+   * Refer to the function specific documentation below for usage guidelines.
+   */
+
+  /**
+   * @addtogroup PID
+   * @{
+   */
+
+  /**
+   * @brief         Process function for the floating-point PID Control.
+   * @param[in,out] S   is an instance of the floating-point PID Control structure
+   * @param[in]     in  input sample to process
+   * @return        processed output sample.
+   */
+  __STATIC_FORCEINLINE float32_t arm_pid_f32(
+  arm_pid_instance_f32 * S,
+  float32_t in)
+  {
+    float32_t out;
+
+    /* y[n] = y[n-1] + A0 * x[n] + A1 * x[n-1] + A2 * x[n-2]  */
+    out = (S->A0 * in) +
+      (S->A1 * S->state[0]) + (S->A2 * S->state[1]) + (S->state[2]);
+
+    /* Update state */
+    S->state[1] = S->state[0];
+    S->state[0] = in;
+    S->state[2] = out;
+
+    /* return to application */
+    return (out);
+
+  }
+
+/**
+  @brief         Process function for the Q31 PID Control.
+  @param[in,out] S  points to an instance of the Q31 PID Control structure
+  @param[in]     in  input sample to process
+  @return        processed output sample.
+
+  \par Scaling and Overflow Behavior
+         The function is implemented using an internal 64-bit accumulator.
+         The accumulator has a 2.62 format and maintains full precision of the intermediate multiplication results but provides only a single guard bit.
+         Thus, if the accumulator result overflows it wraps around rather than clip.
+         In order to avoid overflows completely the input signal must be scaled down by 2 bits as there are four additions.
+         After all multiply-accumulates are performed, the 2.62 accumulator is truncated to 1.32 format and then saturated to 1.31 format.
+ */
+__STATIC_FORCEINLINE q31_t arm_pid_q31(
+  arm_pid_instance_q31 * S,
+  q31_t in)
+  {
+    q63_t acc;
+    q31_t out;
+
+    /* acc = A0 * x[n]  */
+    acc = (q63_t) S->A0 * in;
+
+    /* acc += A1 * x[n-1] */
+    acc += (q63_t) S->A1 * S->state[0];
+
+    /* acc += A2 * x[n-2]  */
+    acc += (q63_t) S->A2 * S->state[1];
+
+    /* convert output to 1.31 format to add y[n-1] */
+    out = (q31_t) (acc >> 31U);
+
+    /* out += y[n-1] */
+    out += S->state[2];
+
+    /* Update state */
+    S->state[1] = S->state[0];
+    S->state[0] = in;
+    S->state[2] = out;
+
+    /* return to application */
+    return (out);
+  }
+
+
+/**
+  @brief         Process function for the Q15 PID Control.
+  @param[in,out] S   points to an instance of the Q15 PID Control structure
+  @param[in]     in  input sample to process
+  @return        processed output sample.
+
+  \par Scaling and Overflow Behavior
+         The function is implemented using a 64-bit internal accumulator.
+         Both Gains and state variables are represented in 1.15 format and multiplications yield a 2.30 result.
+         The 2.30 intermediate results are accumulated in a 64-bit accumulator in 34.30 format.
+         There is no risk of internal overflow with this approach and the full precision of intermediate multiplications is preserved.
+         After all additions have been performed, the accumulator is truncated to 34.15 format by discarding low 15 bits.
+         Lastly, the accumulator is saturated to yield a result in 1.15 format.
+ */
+__STATIC_FORCEINLINE q15_t arm_pid_q15(
+  arm_pid_instance_q15 * S,
+  q15_t in)
+  {
+    q63_t acc;
+    q15_t out;
+
+#if defined (ARM_MATH_DSP)
+    /* Implementation of PID controller */
+
+    /* acc = A0 * x[n]  */
+    acc = (q31_t) __SMUAD((uint32_t)S->A0, (uint32_t)in);
+
+    /* acc += A1 * x[n-1] + A2 * x[n-2]  */
+    acc = (q63_t)__SMLALD((uint32_t)S->A1, (uint32_t)read_q15x2 (S->state), (uint64_t)acc);
+#else
+    /* acc = A0 * x[n]  */
+    acc = ((q31_t) S->A0) * in;
+
+    /* acc += A1 * x[n-1] + A2 * x[n-2]  */
+    acc += (q31_t) S->A1 * S->state[0];
+    acc += (q31_t) S->A2 * S->state[1];
+#endif
+
+    /* acc += y[n-1] */
+    acc += (q31_t) S->state[2] << 15;
+
+    /* saturate the output */
+    out = (q15_t) (__SSAT((acc >> 15), 16));
+
+    /* Update state */
+    S->state[1] = S->state[0];
+    S->state[0] = in;
+    S->state[2] = out;
+
+    /* return to application */
+    return (out);
+  }
+
+  /**
+   * @} end of PID group
+   */
+
+
+  /**
+   * @brief Floating-point matrix inverse.
+   * @param[in]  src   points to the instance of the input floating-point matrix structure.
+   * @param[out] dst   points to the instance of the output floating-point matrix structure.
+   * @return The function returns ARM_MATH_SIZE_MISMATCH, if the dimensions do not match.
+   * If the input matrix is singular (does not have an inverse), then the algorithm terminates and returns error status ARM_MATH_SINGULAR.
+   */
+  arm_status arm_mat_inverse_f32(
+  const arm_matrix_instance_f32 * src,
+  arm_matrix_instance_f32 * dst);
+
+
+  /**
+   * @brief Floating-point matrix inverse.
+   * @param[in]  src   points to the instance of the input floating-point matrix structure.
+   * @param[out] dst   points to the instance of the output floating-point matrix structure.
+   * @return The function returns ARM_MATH_SIZE_MISMATCH, if the dimensions do not match.
+   * If the input matrix is singular (does not have an inverse), then the algorithm terminates and returns error status ARM_MATH_SINGULAR.
+   */
+  arm_status arm_mat_inverse_f64(
+  const arm_matrix_instance_f64 * src,
+  arm_matrix_instance_f64 * dst);
+
+
+
+  /**
+   * @ingroup groupController
+   */
+
+  /**
+   * @defgroup clarke Vector Clarke Transform
+   * Forward Clarke transform converts the instantaneous stator phases into a two-coordinate time invariant vector.
+   * Generally the Clarke transform uses three-phase currents <code>Ia, Ib and Ic</code> to calculate currents
+   * in the two-phase orthogonal stator axis <code>Ialpha</code> and <code>Ibeta</code>.
+   * When <code>Ialpha</code> is superposed with <code>Ia</code> as shown in the figure below
+   * \image html clarke.gif Stator current space vector and its components in (a,b).
+   * and <code>Ia + Ib + Ic = 0</code>, in this condition <code>Ialpha</code> and <code>Ibeta</code>
+   * can be calculated using only <code>Ia</code> and <code>Ib</code>.
+   *
+   * The function operates on a single sample of data and each call to the function returns the processed output.
+   * The library provides separate functions for Q31 and floating-point data types.
+   * \par Algorithm
+   * \image html clarkeFormula.gif
+   * where <code>Ia</code> and <code>Ib</code> are the instantaneous stator phases and
+   * <code>pIalpha</code> and <code>pIbeta</code> are the two coordinates of time invariant vector.
+   * \par Fixed-Point Behavior
+   * Care must be taken when using the Q31 version of the Clarke transform.
+   * In particular, the overflow and saturation behavior of the accumulator used must be considered.
+   * Refer to the function specific documentation below for usage guidelines.
+   */
+
+  /**
+   * @addtogroup clarke
+   * @{
+   */
+
+  /**
+   *
+   * @brief  Floating-point Clarke transform
+   * @param[in]  Ia       input three-phase coordinate <code>a</code>
+   * @param[in]  Ib       input three-phase coordinate <code>b</code>
+   * @param[out] pIalpha  points to output two-phase orthogonal vector axis alpha
+   * @param[out] pIbeta   points to output two-phase orthogonal vector axis beta
+   * @return        none
+   */
+  __STATIC_FORCEINLINE void arm_clarke_f32(
+  float32_t Ia,
+  float32_t Ib,
+  float32_t * pIalpha,
+  float32_t * pIbeta)
+  {
+    /* Calculate pIalpha using the equation, pIalpha = Ia */
+    *pIalpha = Ia;
+
+    /* Calculate pIbeta using the equation, pIbeta = (1/sqrt(3)) * Ia + (2/sqrt(3)) * Ib */
+    *pIbeta = ((float32_t) 0.57735026919 * Ia + (float32_t) 1.15470053838 * Ib);
+  }
+
+
+/**
+  @brief  Clarke transform for Q31 version
+  @param[in]  Ia       input three-phase coordinate <code>a</code>
+  @param[in]  Ib       input three-phase coordinate <code>b</code>
+  @param[out] pIalpha  points to output two-phase orthogonal vector axis alpha
+  @param[out] pIbeta   points to output two-phase orthogonal vector axis beta
+  @return     none
+
+  \par Scaling and Overflow Behavior
+         The function is implemented using an internal 32-bit accumulator.
+         The accumulator maintains 1.31 format by truncating lower 31 bits of the intermediate multiplication in 2.62 format.
+         There is saturation on the addition, hence there is no risk of overflow.
+ */
+__STATIC_FORCEINLINE void arm_clarke_q31(
+  q31_t Ia,
+  q31_t Ib,
+  q31_t * pIalpha,
+  q31_t * pIbeta)
+  {
+    q31_t product1, product2;                    /* Temporary variables used to store intermediate results */
+
+    /* Calculating pIalpha from Ia by equation pIalpha = Ia */
+    *pIalpha = Ia;
+
+    /* Intermediate product is calculated by (1/(sqrt(3)) * Ia) */
+    product1 = (q31_t) (((q63_t) Ia * 0x24F34E8B) >> 30);
+
+    /* Intermediate product is calculated by (2/sqrt(3) * Ib) */
+    product2 = (q31_t) (((q63_t) Ib * 0x49E69D16) >> 30);
+
+    /* pIbeta is calculated by adding the intermediate products */
+    *pIbeta = __QADD(product1, product2);
+  }
+
+  /**
+   * @} end of clarke group
+   */
+
+
+  /**
+   * @ingroup groupController
+   */
+
+  /**
+   * @defgroup inv_clarke Vector Inverse Clarke Transform
+   * Inverse Clarke transform converts the two-coordinate time invariant vector into instantaneous stator phases.
+   *
+   * The function operates on a single sample of data and each call to the function returns the processed output.
+   * The library provides separate functions for Q31 and floating-point data types.
+   * \par Algorithm
+   * \image html clarkeInvFormula.gif
+   * where <code>pIa</code> and <code>pIb</code> are the instantaneous stator phases and
+   * <code>Ialpha</code> and <code>Ibeta</code> are the two coordinates of time invariant vector.
+   * \par Fixed-Point Behavior
+   * Care must be taken when using the Q31 version of the Clarke transform.
+   * In particular, the overflow and saturation behavior of the accumulator used must be considered.
+   * Refer to the function specific documentation below for usage guidelines.
+   */
+
+  /**
+   * @addtogroup inv_clarke
+   * @{
+   */
+
+   /**
+   * @brief  Floating-point Inverse Clarke transform
+   * @param[in]  Ialpha  input two-phase orthogonal vector axis alpha
+   * @param[in]  Ibeta   input two-phase orthogonal vector axis beta
+   * @param[out] pIa     points to output three-phase coordinate <code>a</code>
+   * @param[out] pIb     points to output three-phase coordinate <code>b</code>
+   * @return     none
+   */
+  __STATIC_FORCEINLINE void arm_inv_clarke_f32(
+  float32_t Ialpha,
+  float32_t Ibeta,
+  float32_t * pIa,
+  float32_t * pIb)
+  {
+    /* Calculating pIa from Ialpha by equation pIa = Ialpha */
+    *pIa = Ialpha;
+
+    /* Calculating pIb from Ialpha and Ibeta by equation pIb = -(1/2) * Ialpha + (sqrt(3)/2) * Ibeta */
+    *pIb = -0.5f * Ialpha + 0.8660254039f * Ibeta;
+  }
+
+
+/**
+  @brief  Inverse Clarke transform for Q31 version
+  @param[in]  Ialpha  input two-phase orthogonal vector axis alpha
+  @param[in]  Ibeta   input two-phase orthogonal vector axis beta
+  @param[out] pIa     points to output three-phase coordinate <code>a</code>
+  @param[out] pIb     points to output three-phase coordinate <code>b</code>
+  @return     none
+
+  \par Scaling and Overflow Behavior
+         The function is implemented using an internal 32-bit accumulator.
+         The accumulator maintains 1.31 format by truncating lower 31 bits of the intermediate multiplication in 2.62 format.
+         There is saturation on the subtraction, hence there is no risk of overflow.
+ */
+__STATIC_FORCEINLINE void arm_inv_clarke_q31(
+  q31_t Ialpha,
+  q31_t Ibeta,
+  q31_t * pIa,
+  q31_t * pIb)
+  {
+    q31_t product1, product2;                    /* Temporary variables used to store intermediate results */
+
+    /* Calculating pIa from Ialpha by equation pIa = Ialpha */
+    *pIa = Ialpha;
+
+    /* Intermediate product is calculated by (1/(2*sqrt(3)) * Ia) */
+    product1 = (q31_t) (((q63_t) (Ialpha) * (0x40000000)) >> 31);
+
+    /* Intermediate product is calculated by (1/sqrt(3) * pIb) */
+    product2 = (q31_t) (((q63_t) (Ibeta) * (0x6ED9EBA1)) >> 31);
+
+    /* pIb is calculated by subtracting the products */
+    *pIb = __QSUB(product2, product1);
+  }
+
+  /**
+   * @} end of inv_clarke group
+   */
+
+
+
+  /**
+   * @ingroup groupController
+   */
+
+  /**
+   * @defgroup park Vector Park Transform
+   *
+   * Forward Park transform converts the input two-coordinate vector to flux and torque components.
+   * The Park transform can be used to realize the transformation of the <code>Ialpha</code> and the <code>Ibeta</code> currents
+   * from the stationary to the moving reference frame and control the spatial relationship between
+   * the stator vector current and rotor flux vector.
+   * If we consider the d axis aligned with the rotor flux, the diagram below shows the
+   * current vector and the relationship from the two reference frames:
+   * \image html park.gif "Stator current space vector and its component in (a,b) and in the d,q rotating reference frame"
+   *
+   * The function operates on a single sample of data and each call to the function returns the processed output.
+   * The library provides separate functions for Q31 and floating-point data types.
+   * \par Algorithm
+   * \image html parkFormula.gif
+   * where <code>Ialpha</code> and <code>Ibeta</code> are the stator vector components,
+   * <code>pId</code> and <code>pIq</code> are rotor vector components and <code>cosVal</code> and <code>sinVal</code> are the
+   * cosine and sine values of theta (rotor flux position).
+   * \par Fixed-Point Behavior
+   * Care must be taken when using the Q31 version of the Park transform.
+   * In particular, the overflow and saturation behavior of the accumulator used must be considered.
+   * Refer to the function specific documentation below for usage guidelines.
+   */
+
+  /**
+   * @addtogroup park
+   * @{
+   */
+
+  /**
+   * @brief Floating-point Park transform
+   * @param[in]  Ialpha  input two-phase vector coordinate alpha
+   * @param[in]  Ibeta   input two-phase vector coordinate beta
+   * @param[out] pId     points to output   rotor reference frame d
+   * @param[out] pIq     points to output   rotor reference frame q
+   * @param[in]  sinVal  sine value of rotation angle theta
+   * @param[in]  cosVal  cosine value of rotation angle theta
+   * @return     none
+   *
+   * The function implements the forward Park transform.
+   *
+   */
+  __STATIC_FORCEINLINE void arm_park_f32(
+  float32_t Ialpha,
+  float32_t Ibeta,
+  float32_t * pId,
+  float32_t * pIq,
+  float32_t sinVal,
+  float32_t cosVal)
+  {
+    /* Calculate pId using the equation, pId = Ialpha * cosVal + Ibeta * sinVal */
+    *pId = Ialpha * cosVal + Ibeta * sinVal;
+
+    /* Calculate pIq using the equation, pIq = - Ialpha * sinVal + Ibeta * cosVal */
+    *pIq = -Ialpha * sinVal + Ibeta * cosVal;
+  }
+
+
+/**
+  @brief  Park transform for Q31 version
+  @param[in]  Ialpha  input two-phase vector coordinate alpha
+  @param[in]  Ibeta   input two-phase vector coordinate beta
+  @param[out] pId     points to output rotor reference frame d
+  @param[out] pIq     points to output rotor reference frame q
+  @param[in]  sinVal  sine value of rotation angle theta
+  @param[in]  cosVal  cosine value of rotation angle theta
+  @return     none
+
+  \par Scaling and Overflow Behavior
+         The function is implemented using an internal 32-bit accumulator.
+         The accumulator maintains 1.31 format by truncating lower 31 bits of the intermediate multiplication in 2.62 format.
+         There is saturation on the addition and subtraction, hence there is no risk of overflow.
+ */
+__STATIC_FORCEINLINE void arm_park_q31(
+  q31_t Ialpha,
+  q31_t Ibeta,
+  q31_t * pId,
+  q31_t * pIq,
+  q31_t sinVal,
+  q31_t cosVal)
+  {
+    q31_t product1, product2;                    /* Temporary variables used to store intermediate results */
+    q31_t product3, product4;                    /* Temporary variables used to store intermediate results */
+
+    /* Intermediate product is calculated by (Ialpha * cosVal) */
+    product1 = (q31_t) (((q63_t) (Ialpha) * (cosVal)) >> 31);
+
+    /* Intermediate product is calculated by (Ibeta * sinVal) */
+    product2 = (q31_t) (((q63_t) (Ibeta) * (sinVal)) >> 31);
+
+
+    /* Intermediate product is calculated by (Ialpha * sinVal) */
+    product3 = (q31_t) (((q63_t) (Ialpha) * (sinVal)) >> 31);
+
+    /* Intermediate product is calculated by (Ibeta * cosVal) */
+    product4 = (q31_t) (((q63_t) (Ibeta) * (cosVal)) >> 31);
+
+    /* Calculate pId by adding the two intermediate products 1 and 2 */
+    *pId = __QADD(product1, product2);
+
+    /* Calculate pIq by subtracting the two intermediate products 3 from 4 */
+    *pIq = __QSUB(product4, product3);
+  }
+
+  /**
+   * @} end of park group
+   */
+
+
+  /**
+   * @ingroup groupController
+   */
+
+  /**
+   * @defgroup inv_park Vector Inverse Park transform
+   * Inverse Park transform converts the input flux and torque components to two-coordinate vector.
+   *
+   * The function operates on a single sample of data and each call to the function returns the processed output.
+   * The library provides separate functions for Q31 and floating-point data types.
+   * \par Algorithm
+   * \image html parkInvFormula.gif
+   * where <code>pIalpha</code> and <code>pIbeta</code> are the stator vector components,
+   * <code>Id</code> and <code>Iq</code> are rotor vector components and <code>cosVal</code> and <code>sinVal</code> are the
+   * cosine and sine values of theta (rotor flux position).
+   * \par Fixed-Point Behavior
+   * Care must be taken when using the Q31 version of the Park transform.
+   * In particular, the overflow and saturation behavior of the accumulator used must be considered.
+   * Refer to the function specific documentation below for usage guidelines.
+   */
+
+  /**
+   * @addtogroup inv_park
+   * @{
+   */
+
+   /**
+   * @brief  Floating-point Inverse Park transform
+   * @param[in]  Id       input coordinate of rotor reference frame d
+   * @param[in]  Iq       input coordinate of rotor reference frame q
+   * @param[out] pIalpha  points to output two-phase orthogonal vector axis alpha
+   * @param[out] pIbeta   points to output two-phase orthogonal vector axis beta
+   * @param[in]  sinVal   sine value of rotation angle theta
+   * @param[in]  cosVal   cosine value of rotation angle theta
+   * @return     none
+   */
+  __STATIC_FORCEINLINE void arm_inv_park_f32(
+  float32_t Id,
+  float32_t Iq,
+  float32_t * pIalpha,
+  float32_t * pIbeta,
+  float32_t sinVal,
+  float32_t cosVal)
+  {
+    /* Calculate pIalpha using the equation, pIalpha = Id * cosVal - Iq * sinVal */
+    *pIalpha = Id * cosVal - Iq * sinVal;
+
+    /* Calculate pIbeta using the equation, pIbeta = Id * sinVal + Iq * cosVal */
+    *pIbeta = Id * sinVal + Iq * cosVal;
+  }
+
+
+/**
+  @brief  Inverse Park transform for   Q31 version
+  @param[in]  Id       input coordinate of rotor reference frame d
+  @param[in]  Iq       input coordinate of rotor reference frame q
+  @param[out] pIalpha  points to output two-phase orthogonal vector axis alpha
+  @param[out] pIbeta   points to output two-phase orthogonal vector axis beta
+  @param[in]  sinVal   sine value of rotation angle theta
+  @param[in]  cosVal   cosine value of rotation angle theta
+  @return     none
+
+  @par Scaling and Overflow Behavior
+         The function is implemented using an internal 32-bit accumulator.
+         The accumulator maintains 1.31 format by truncating lower 31 bits of the intermediate multiplication in 2.62 format.
+         There is saturation on the addition, hence there is no risk of overflow.
+ */
+__STATIC_FORCEINLINE void arm_inv_park_q31(
+  q31_t Id,
+  q31_t Iq,
+  q31_t * pIalpha,
+  q31_t * pIbeta,
+  q31_t sinVal,
+  q31_t cosVal)
+  {
+    q31_t product1, product2;                    /* Temporary variables used to store intermediate results */
+    q31_t product3, product4;                    /* Temporary variables used to store intermediate results */
+
+    /* Intermediate product is calculated by (Id * cosVal) */
+    product1 = (q31_t) (((q63_t) (Id) * (cosVal)) >> 31);
+
+    /* Intermediate product is calculated by (Iq * sinVal) */
+    product2 = (q31_t) (((q63_t) (Iq) * (sinVal)) >> 31);
+
+
+    /* Intermediate product is calculated by (Id * sinVal) */
+    product3 = (q31_t) (((q63_t) (Id) * (sinVal)) >> 31);
+
+    /* Intermediate product is calculated by (Iq * cosVal) */
+    product4 = (q31_t) (((q63_t) (Iq) * (cosVal)) >> 31);
+
+    /* Calculate pIalpha by using the two intermediate products 1 and 2 */
+    *pIalpha = __QSUB(product1, product2);
+
+    /* Calculate pIbeta by using the two intermediate products 3 and 4 */
+    *pIbeta = __QADD(product4, product3);
+  }
+
+  /**
+   * @} end of Inverse park group
+   */
+
+
+  /**
+   * @ingroup groupInterpolation
+   */
+
+  /**
+   * @defgroup LinearInterpolate Linear Interpolation
+   *
+   * Linear interpolation is a method of curve fitting using linear polynomials.
+   * Linear interpolation works by effectively drawing a straight line between two neighboring samples and returning the appropriate point along that line
+   *
+   * \par
+   * \image html LinearInterp.gif "Linear interpolation"
+   *
+   * \par
+   * A  Linear Interpolate function calculates an output value(y), for the input(x)
+   * using linear interpolation of the input values x0, x1( nearest input values) and the output values y0 and y1(nearest output values)
+   *
+   * \par Algorithm:
+   * <pre>
+   *       y = y0 + (x - x0) * ((y1 - y0)/(x1-x0))
+   *       where x0, x1 are nearest values of input x
+   *             y0, y1 are nearest values to output y
+   * </pre>
+   *
+   * \par
+   * This set of functions implements Linear interpolation process
+   * for Q7, Q15, Q31, and floating-point data types.  The functions operate on a single
+   * sample of data and each call to the function returns a single processed value.
+   * <code>S</code> points to an instance of the Linear Interpolate function data structure.
+   * <code>x</code> is the input sample value. The functions returns the output value.
+   *
+   * \par
+   * if x is outside of the table boundary, Linear interpolation returns first value of the table
+   * if x is below input range and returns last value of table if x is above range.
+   */
+
+  /**
+   * @addtogroup LinearInterpolate
+   * @{
+   */
+
+  /**
+   * @brief  Process function for the floating-point Linear Interpolation Function.
+   * @param[in,out] S  is an instance of the floating-point Linear Interpolation structure
+   * @param[in]     x  input sample to process
+   * @return y processed output sample.
+   *
+   */
+  __STATIC_FORCEINLINE float32_t arm_linear_interp_f32(
+  arm_linear_interp_instance_f32 * S,
+  float32_t x)
+  {
+    float32_t y;
+    float32_t x0, x1;                            /* Nearest input values */
+    float32_t y0, y1;                            /* Nearest output values */
+    float32_t xSpacing = S->xSpacing;            /* spacing between input values */
+    int32_t i;                                   /* Index variable */
+    float32_t *pYData = S->pYData;               /* pointer to output table */
+
+    /* Calculation of index */
+    i = (int32_t) ((x - S->x1) / xSpacing);
+
+    if (i < 0)
+    {
+      /* Iniatilize output for below specified range as least output value of table */
+      y = pYData[0];
+    }
+    else if ((uint32_t)i >= S->nValues)
+    {
+      /* Iniatilize output for above specified range as last output value of table */
+      y = pYData[S->nValues - 1];
+    }
+    else
+    {
+      /* Calculation of nearest input values */
+      x0 = S->x1 +  i      * xSpacing;
+      x1 = S->x1 + (i + 1) * xSpacing;
+
+      /* Read of nearest output values */
+      y0 = pYData[i];
+      y1 = pYData[i + 1];
+
+      /* Calculation of output */
+      y = y0 + (x - x0) * ((y1 - y0) / (x1 - x0));
+
+    }
+
+    /* returns output value */
+    return (y);
+  }
+
+
+   /**
+   *
+   * @brief  Process function for the Q31 Linear Interpolation Function.
+   * @param[in] pYData   pointer to Q31 Linear Interpolation table
+   * @param[in] x        input sample to process
+   * @param[in] nValues  number of table values
+   * @return y processed output sample.
+   *
+   * \par
+   * Input sample <code>x</code> is in 12.20 format which contains 12 bits for table index and 20 bits for fractional part.
+   * This function can support maximum of table size 2^12.
+   *
+   */
+  __STATIC_FORCEINLINE q31_t arm_linear_interp_q31(
+  q31_t * pYData,
+  q31_t x,
+  uint32_t nValues)
+  {
+    q31_t y;                                     /* output */
+    q31_t y0, y1;                                /* Nearest output values */
+    q31_t fract;                                 /* fractional part */
+    int32_t index;                               /* Index to read nearest output values */
+
+    /* Input is in 12.20 format */
+    /* 12 bits for the table index */
+    /* Index value calculation */
+    index = ((x & (q31_t)0xFFF00000) >> 20);
+
+    if (index >= (int32_t)(nValues - 1))
+    {
+      return (pYData[nValues - 1]);
+    }
+    else if (index < 0)
+    {
+      return (pYData[0]);
+    }
+    else
+    {
+      /* 20 bits for the fractional part */
+      /* shift left by 11 to keep fract in 1.31 format */
+      fract = (x & 0x000FFFFF) << 11;
+
+      /* Read two nearest output values from the index in 1.31(q31) format */
+      y0 = pYData[index];
+      y1 = pYData[index + 1];
+
+      /* Calculation of y0 * (1-fract) and y is in 2.30 format */
+      y = ((q31_t) ((q63_t) y0 * (0x7FFFFFFF - fract) >> 32));
+
+      /* Calculation of y0 * (1-fract) + y1 *fract and y is in 2.30 format */
+      y += ((q31_t) (((q63_t) y1 * fract) >> 32));
+
+      /* Convert y to 1.31 format */
+      return (y << 1U);
+    }
+  }
+
+
+  /**
+   *
+   * @brief  Process function for the Q15 Linear Interpolation Function.
+   * @param[in] pYData   pointer to Q15 Linear Interpolation table
+   * @param[in] x        input sample to process
+   * @param[in] nValues  number of table values
+   * @return y processed output sample.
+   *
+   * \par
+   * Input sample <code>x</code> is in 12.20 format which contains 12 bits for table index and 20 bits for fractional part.
+   * This function can support maximum of table size 2^12.
+   *
+   */
+  __STATIC_FORCEINLINE q15_t arm_linear_interp_q15(
+  q15_t * pYData,
+  q31_t x,
+  uint32_t nValues)
+  {
+    q63_t y;                                     /* output */
+    q15_t y0, y1;                                /* Nearest output values */
+    q31_t fract;                                 /* fractional part */
+    int32_t index;                               /* Index to read nearest output values */
+
+    /* Input is in 12.20 format */
+    /* 12 bits for the table index */
+    /* Index value calculation */
+    index = ((x & (int32_t)0xFFF00000) >> 20);
+
+    if (index >= (int32_t)(nValues - 1))
+    {
+      return (pYData[nValues - 1]);
+    }
+    else if (index < 0)
+    {
+      return (pYData[0]);
+    }
+    else
+    {
+      /* 20 bits for the fractional part */
+      /* fract is in 12.20 format */
+      fract = (x & 0x000FFFFF);
+
+      /* Read two nearest output values from the index */
+      y0 = pYData[index];
+      y1 = pYData[index + 1];
+
+      /* Calculation of y0 * (1-fract) and y is in 13.35 format */
+      y = ((q63_t) y0 * (0xFFFFF - fract));
+
+      /* Calculation of (y0 * (1-fract) + y1 * fract) and y is in 13.35 format */
+      y += ((q63_t) y1 * (fract));
+
+      /* convert y to 1.15 format */
+      return (q15_t) (y >> 20);
+    }
+  }
+
+
+  /**
+   *
+   * @brief  Process function for the Q7 Linear Interpolation Function.
+   * @param[in] pYData   pointer to Q7 Linear Interpolation table
+   * @param[in] x        input sample to process
+   * @param[in] nValues  number of table values
+   * @return y processed output sample.
+   *
+   * \par
+   * Input sample <code>x</code> is in 12.20 format which contains 12 bits for table index and 20 bits for fractional part.
+   * This function can support maximum of table size 2^12.
+   */
+  __STATIC_FORCEINLINE q7_t arm_linear_interp_q7(
+  q7_t * pYData,
+  q31_t x,
+  uint32_t nValues)
+  {
+    q31_t y;                                     /* output */
+    q7_t y0, y1;                                 /* Nearest output values */
+    q31_t fract;                                 /* fractional part */
+    uint32_t index;                              /* Index to read nearest output values */
+
+    /* Input is in 12.20 format */
+    /* 12 bits for the table index */
+    /* Index value calculation */
+    if (x < 0)
+    {
+      return (pYData[0]);
+    }
+    index = (x >> 20) & 0xfff;
+
+    if (index >= (nValues - 1))
+    {
+      return (pYData[nValues - 1]);
+    }
+    else
+    {
+      /* 20 bits for the fractional part */
+      /* fract is in 12.20 format */
+      fract = (x & 0x000FFFFF);
+
+      /* Read two nearest output values from the index and are in 1.7(q7) format */
+      y0 = pYData[index];
+      y1 = pYData[index + 1];
+
+      /* Calculation of y0 * (1-fract ) and y is in 13.27(q27) format */
+      y = ((y0 * (0xFFFFF - fract)));
+
+      /* Calculation of y1 * fract + y0 * (1-fract) and y is in 13.27(q27) format */
+      y += (y1 * fract);
+
+      /* convert y to 1.7(q7) format */
+      return (q7_t) (y >> 20);
+     }
+  }
+
+  /**
+   * @} end of LinearInterpolate group
+   */
+
+  /**
+   * @brief  Fast approximation to the trigonometric sine function for floating-point data.
+   * @param[in] x  input value in radians.
+   * @return  sin(x).
+   */
+  float32_t arm_sin_f32(
+  float32_t x);
+
+
+  /**
+   * @brief  Fast approximation to the trigonometric sine function for Q31 data.
+   * @param[in] x  Scaled input value in radians.
+   * @return  sin(x).
+   */
+  q31_t arm_sin_q31(
+  q31_t x);
+
+
+  /**
+   * @brief  Fast approximation to the trigonometric sine function for Q15 data.
+   * @param[in] x  Scaled input value in radians.
+   * @return  sin(x).
+   */
+  q15_t arm_sin_q15(
+  q15_t x);
+
+
+  /**
+   * @brief  Fast approximation to the trigonometric cosine function for floating-point data.
+   * @param[in] x  input value in radians.
+   * @return  cos(x).
+   */
+  float32_t arm_cos_f32(
+  float32_t x);
+
+
+  /**
+   * @brief Fast approximation to the trigonometric cosine function for Q31 data.
+   * @param[in] x  Scaled input value in radians.
+   * @return  cos(x).
+   */
+  q31_t arm_cos_q31(
+  q31_t x);
+
+
+  /**
+   * @brief  Fast approximation to the trigonometric cosine function for Q15 data.
+   * @param[in] x  Scaled input value in radians.
+   * @return  cos(x).
+   */
+  q15_t arm_cos_q15(
+  q15_t x);
+
+
+  /**
+   * @ingroup groupFastMath
+   */
+
+
+  /**
+   * @defgroup SQRT Square Root
+   *
+   * Computes the square root of a number.
+   * There are separate functions for Q15, Q31, and floating-point data types.
+   * The square root function is computed using the Newton-Raphson algorithm.
+   * This is an iterative algorithm of the form:
+   * <pre>
+   *      x1 = x0 - f(x0)/f'(x0)
+   * </pre>
+   * where <code>x1</code> is the current estimate,
+   * <code>x0</code> is the previous estimate, and
+   * <code>f'(x0)</code> is the derivative of <code>f()</code> evaluated at <code>x0</code>.
+   * For the square root function, the algorithm reduces to:
+   * <pre>
+   *     x0 = in/2                         [initial guess]
+   *     x1 = 1/2 * ( x0 + in / x0)        [each iteration]
+   * </pre>
+   */
+
+
+  /**
+   * @addtogroup SQRT
+   * @{
+   */
+
+/**
+  @brief         Floating-point square root function.
+  @param[in]     in    input value
+  @param[out]    pOut  square root of input value
+  @return        execution status
+                   - \ref ARM_MATH_SUCCESS        : input value is positive
+                   - \ref ARM_MATH_ARGUMENT_ERROR : input value is negative; *pOut is set to 0
+ */
+__STATIC_FORCEINLINE arm_status arm_sqrt_f32(
+  float32_t in,
+  float32_t * pOut)
+  {
+    if (in >= 0.0f)
+    {
+#if defined ( __CC_ARM )
+  #if defined __TARGET_FPU_VFP
+      *pOut = __sqrtf(in);
+  #else
+      *pOut = sqrtf(in);
+  #endif
+
+#elif defined ( __ICCARM__ )
+  #if defined __ARMVFP__
+      __ASM("VSQRT.F32 %0,%1" : "=t"(*pOut) : "t"(in));
+  #else
+      *pOut = sqrtf(in);
+  #endif
+
+#else
+      *pOut = sqrtf(in);
+#endif
+
+      return (ARM_MATH_SUCCESS);
+    }
+    else
+    {
+      *pOut = 0.0f;
+      return (ARM_MATH_ARGUMENT_ERROR);
+    }
+  }
+
+
+/**
+  @brief         Q31 square root function.
+  @param[in]     in    input value.  The range of the input value is [0 +1) or 0x00000000 to 0x7FFFFFFF
+  @param[out]    pOut  points to square root of input value
+  @return        execution status
+                   - \ref ARM_MATH_SUCCESS        : input value is positive
+                   - \ref ARM_MATH_ARGUMENT_ERROR : input value is negative; *pOut is set to 0
+ */
+arm_status arm_sqrt_q31(
+  q31_t in,
+  q31_t * pOut);
+
+
+/**
+  @brief         Q15 square root function.
+  @param[in]     in    input value.  The range of the input value is [0 +1) or 0x0000 to 0x7FFF
+  @param[out]    pOut  points to square root of input value
+  @return        execution status
+                   - \ref ARM_MATH_SUCCESS        : input value is positive
+                   - \ref ARM_MATH_ARGUMENT_ERROR : input value is negative; *pOut is set to 0
+ */
+arm_status arm_sqrt_q15(
+  q15_t in,
+  q15_t * pOut);
+
+  /**
+   * @} end of SQRT group
+   */
+
+
+  /**
+   * @brief floating-point Circular write function.
+   */
+  __STATIC_FORCEINLINE void arm_circularWrite_f32(
+  int32_t * circBuffer,
+  int32_t L,
+  uint16_t * writeOffset,
+  int32_t bufferInc,
+  const int32_t * src,
+  int32_t srcInc,
+  uint32_t blockSize)
+  {
+    uint32_t i = 0U;
+    int32_t wOffset;
+
+    /* Copy the value of Index pointer that points
+     * to the current location where the input samples to be copied */
+    wOffset = *writeOffset;
+
+    /* Loop over the blockSize */
+    i = blockSize;
+
+    while (i > 0U)
+    {
+      /* copy the input sample to the circular buffer */
+      circBuffer[wOffset] = *src;
+
+      /* Update the input pointer */
+      src += srcInc;
+
+      /* Circularly update wOffset.  Watch out for positive and negative value */
+      wOffset += bufferInc;
+      if (wOffset >= L)
+        wOffset -= L;
+
+      /* Decrement the loop counter */
+      i--;
+    }
+
+    /* Update the index pointer */
+    *writeOffset = (uint16_t)wOffset;
+  }
+
+
+
+  /**
+   * @brief floating-point Circular Read function.
+   */
+  __STATIC_FORCEINLINE void arm_circularRead_f32(
+  int32_t * circBuffer,
+  int32_t L,
+  int32_t * readOffset,
+  int32_t bufferInc,
+  int32_t * dst,
+  int32_t * dst_base,
+  int32_t dst_length,
+  int32_t dstInc,
+  uint32_t blockSize)
+  {
+    uint32_t i = 0U;
+    int32_t rOffset;
+    int32_t* dst_end;
+
+    /* Copy the value of Index pointer that points
+     * to the current location from where the input samples to be read */
+    rOffset = *readOffset;
+    dst_end = dst_base + dst_length;
+
+    /* Loop over the blockSize */
+    i = blockSize;
+
+    while (i > 0U)
+    {
+      /* copy the sample from the circular buffer to the destination buffer */
+      *dst = circBuffer[rOffset];
+
+      /* Update the input pointer */
+      dst += dstInc;
+
+      if (dst == dst_end)
+      {
+        dst = dst_base;
+      }
+
+      /* Circularly update rOffset.  Watch out for positive and negative value  */
+      rOffset += bufferInc;
+
+      if (rOffset >= L)
+      {
+        rOffset -= L;
+      }
+
+      /* Decrement the loop counter */
+      i--;
+    }
+
+    /* Update the index pointer */
+    *readOffset = rOffset;
+  }
+
+
+  /**
+   * @brief Q15 Circular write function.
+   */
+  __STATIC_FORCEINLINE void arm_circularWrite_q15(
+  q15_t * circBuffer,
+  int32_t L,
+  uint16_t * writeOffset,
+  int32_t bufferInc,
+  const q15_t * src,
+  int32_t srcInc,
+  uint32_t blockSize)
+  {
+    uint32_t i = 0U;
+    int32_t wOffset;
+
+    /* Copy the value of Index pointer that points
+     * to the current location where the input samples to be copied */
+    wOffset = *writeOffset;
+
+    /* Loop over the blockSize */
+    i = blockSize;
+
+    while (i > 0U)
+    {
+      /* copy the input sample to the circular buffer */
+      circBuffer[wOffset] = *src;
+
+      /* Update the input pointer */
+      src += srcInc;
+
+      /* Circularly update wOffset.  Watch out for positive and negative value */
+      wOffset += bufferInc;
+      if (wOffset >= L)
+        wOffset -= L;
+
+      /* Decrement the loop counter */
+      i--;
+    }
+
+    /* Update the index pointer */
+    *writeOffset = (uint16_t)wOffset;
+  }
+
+
+  /**
+   * @brief Q15 Circular Read function.
+   */
+  __STATIC_FORCEINLINE void arm_circularRead_q15(
+  q15_t * circBuffer,
+  int32_t L,
+  int32_t * readOffset,
+  int32_t bufferInc,
+  q15_t * dst,
+  q15_t * dst_base,
+  int32_t dst_length,
+  int32_t dstInc,
+  uint32_t blockSize)
+  {
+    uint32_t i = 0;
+    int32_t rOffset;
+    q15_t* dst_end;
+
+    /* Copy the value of Index pointer that points
+     * to the current location from where the input samples to be read */
+    rOffset = *readOffset;
+
+    dst_end = dst_base + dst_length;
+
+    /* Loop over the blockSize */
+    i = blockSize;
+
+    while (i > 0U)
+    {
+      /* copy the sample from the circular buffer to the destination buffer */
+      *dst = circBuffer[rOffset];
+
+      /* Update the input pointer */
+      dst += dstInc;
+
+      if (dst == dst_end)
+      {
+        dst = dst_base;
+      }
+
+      /* Circularly update wOffset.  Watch out for positive and negative value */
+      rOffset += bufferInc;
+
+      if (rOffset >= L)
+      {
+        rOffset -= L;
+      }
+
+      /* Decrement the loop counter */
+      i--;
+    }
+
+    /* Update the index pointer */
+    *readOffset = rOffset;
+  }
+
+
+  /**
+   * @brief Q7 Circular write function.
+   */
+  __STATIC_FORCEINLINE void arm_circularWrite_q7(
+  q7_t * circBuffer,
+  int32_t L,
+  uint16_t * writeOffset,
+  int32_t bufferInc,
+  const q7_t * src,
+  int32_t srcInc,
+  uint32_t blockSize)
+  {
+    uint32_t i = 0U;
+    int32_t wOffset;
+
+    /* Copy the value of Index pointer that points
+     * to the current location where the input samples to be copied */
+    wOffset = *writeOffset;
+
+    /* Loop over the blockSize */
+    i = blockSize;
+
+    while (i > 0U)
+    {
+      /* copy the input sample to the circular buffer */
+      circBuffer[wOffset] = *src;
+
+      /* Update the input pointer */
+      src += srcInc;
+
+      /* Circularly update wOffset.  Watch out for positive and negative value */
+      wOffset += bufferInc;
+      if (wOffset >= L)
+        wOffset -= L;
+
+      /* Decrement the loop counter */
+      i--;
+    }
+
+    /* Update the index pointer */
+    *writeOffset = (uint16_t)wOffset;
+  }
+
+
+  /**
+   * @brief Q7 Circular Read function.
+   */
+  __STATIC_FORCEINLINE void arm_circularRead_q7(
+  q7_t * circBuffer,
+  int32_t L,
+  int32_t * readOffset,
+  int32_t bufferInc,
+  q7_t * dst,
+  q7_t * dst_base,
+  int32_t dst_length,
+  int32_t dstInc,
+  uint32_t blockSize)
+  {
+    uint32_t i = 0;
+    int32_t rOffset;
+    q7_t* dst_end;
+
+    /* Copy the value of Index pointer that points
+     * to the current location from where the input samples to be read */
+    rOffset = *readOffset;
+
+    dst_end = dst_base + dst_length;
+
+    /* Loop over the blockSize */
+    i = blockSize;
+
+    while (i > 0U)
+    {
+      /* copy the sample from the circular buffer to the destination buffer */
+      *dst = circBuffer[rOffset];
+
+      /* Update the input pointer */
+      dst += dstInc;
+
+      if (dst == dst_end)
+      {
+        dst = dst_base;
+      }
+
+      /* Circularly update rOffset.  Watch out for positive and negative value */
+      rOffset += bufferInc;
+
+      if (rOffset >= L)
+      {
+        rOffset -= L;
+      }
+
+      /* Decrement the loop counter */
+      i--;
+    }
+
+    /* Update the index pointer */
+    *readOffset = rOffset;
+  }
+
+
+  /**
+   * @brief  Sum of the squares of the elements of a Q31 vector.
+   * @param[in]  pSrc       is input pointer
+   * @param[in]  blockSize  is the number of samples to process
+   * @param[out] pResult    is output value.
+   */
+  void arm_power_q31(
+  const q31_t * pSrc,
+        uint32_t blockSize,
+        q63_t * pResult);
+
+
+  /**
+   * @brief  Sum of the squares of the elements of a floating-point vector.
+   * @param[in]  pSrc       is input pointer
+   * @param[in]  blockSize  is the number of samples to process
+   * @param[out] pResult    is output value.
+   */
+  void arm_power_f32(
+  const float32_t * pSrc,
+        uint32_t blockSize,
+        float32_t * pResult);
+
+
+  /**
+   * @brief  Sum of the squares of the elements of a Q15 vector.
+   * @param[in]  pSrc       is input pointer
+   * @param[in]  blockSize  is the number of samples to process
+   * @param[out] pResult    is output value.
+   */
+  void arm_power_q15(
+  const q15_t * pSrc,
+        uint32_t blockSize,
+        q63_t * pResult);
+
+
+  /**
+   * @brief  Sum of the squares of the elements of a Q7 vector.
+   * @param[in]  pSrc       is input pointer
+   * @param[in]  blockSize  is the number of samples to process
+   * @param[out] pResult    is output value.
+   */
+  void arm_power_q7(
+  const q7_t * pSrc,
+        uint32_t blockSize,
+        q31_t * pResult);
+
+
+  /**
+   * @brief  Mean value of a Q7 vector.
+   * @param[in]  pSrc       is input pointer
+   * @param[in]  blockSize  is the number of samples to process
+   * @param[out] pResult    is output value.
+   */
+  void arm_mean_q7(
+  const q7_t * pSrc,
+        uint32_t blockSize,
+        q7_t * pResult);
+
+
+  /**
+   * @brief  Mean value of a Q15 vector.
+   * @param[in]  pSrc       is input pointer
+   * @param[in]  blockSize  is the number of samples to process
+   * @param[out] pResult    is output value.
+   */
+  void arm_mean_q15(
+  const q15_t * pSrc,
+        uint32_t blockSize,
+        q15_t * pResult);
+
+
+  /**
+   * @brief  Mean value of a Q31 vector.
+   * @param[in]  pSrc       is input pointer
+   * @param[in]  blockSize  is the number of samples to process
+   * @param[out] pResult    is output value.
+   */
+  void arm_mean_q31(
+  const q31_t * pSrc,
+        uint32_t blockSize,
+        q31_t * pResult);
+
+
+  /**
+   * @brief  Mean value of a floating-point vector.
+   * @param[in]  pSrc       is input pointer
+   * @param[in]  blockSize  is the number of samples to process
+   * @param[out] pResult    is output value.
+   */
+  void arm_mean_f32(
+  const float32_t * pSrc,
+        uint32_t blockSize,
+        float32_t * pResult);
+
+
+  /**
+   * @brief  Variance of the elements of a floating-point vector.
+   * @param[in]  pSrc       is input pointer
+   * @param[in]  blockSize  is the number of samples to process
+   * @param[out] pResult    is output value.
+   */
+  void arm_var_f32(
+  const float32_t * pSrc,
+        uint32_t blockSize,
+        float32_t * pResult);
+
+
+  /**
+   * @brief  Variance of the elements of a Q31 vector.
+   * @param[in]  pSrc       is input pointer
+   * @param[in]  blockSize  is the number of samples to process
+   * @param[out] pResult    is output value.
+   */
+  void arm_var_q31(
+  const q31_t * pSrc,
+        uint32_t blockSize,
+        q31_t * pResult);
+
+
+  /**
+   * @brief  Variance of the elements of a Q15 vector.
+   * @param[in]  pSrc       is input pointer
+   * @param[in]  blockSize  is the number of samples to process
+   * @param[out] pResult    is output value.
+   */
+  void arm_var_q15(
+  const q15_t * pSrc,
+        uint32_t blockSize,
+        q15_t * pResult);
+
+
+  /**
+   * @brief  Root Mean Square of the elements of a floating-point vector.
+   * @param[in]  pSrc       is input pointer
+   * @param[in]  blockSize  is the number of samples to process
+   * @param[out] pResult    is output value.
+   */
+  void arm_rms_f32(
+  const float32_t * pSrc,
+        uint32_t blockSize,
+        float32_t * pResult);
+
+
+  /**
+   * @brief  Root Mean Square of the elements of a Q31 vector.
+   * @param[in]  pSrc       is input pointer
+   * @param[in]  blockSize  is the number of samples to process
+   * @param[out] pResult    is output value.
+   */
+  void arm_rms_q31(
+  const q31_t * pSrc,
+        uint32_t blockSize,
+        q31_t * pResult);
+
+
+  /**
+   * @brief  Root Mean Square of the elements of a Q15 vector.
+   * @param[in]  pSrc       is input pointer
+   * @param[in]  blockSize  is the number of samples to process
+   * @param[out] pResult    is output value.
+   */
+  void arm_rms_q15(
+  const q15_t * pSrc,
+        uint32_t blockSize,
+        q15_t * pResult);
+
+
+  /**
+   * @brief  Standard deviation of the elements of a floating-point vector.
+   * @param[in]  pSrc       is input pointer
+   * @param[in]  blockSize  is the number of samples to process
+   * @param[out] pResult    is output value.
+   */
+  void arm_std_f32(
+  const float32_t * pSrc,
+        uint32_t blockSize,
+        float32_t * pResult);
+
+
+  /**
+   * @brief  Standard deviation of the elements of a Q31 vector.
+   * @param[in]  pSrc       is input pointer
+   * @param[in]  blockSize  is the number of samples to process
+   * @param[out] pResult    is output value.
+   */
+  void arm_std_q31(
+  const q31_t * pSrc,
+        uint32_t blockSize,
+        q31_t * pResult);
+
+
+  /**
+   * @brief  Standard deviation of the elements of a Q15 vector.
+   * @param[in]  pSrc       is input pointer
+   * @param[in]  blockSize  is the number of samples to process
+   * @param[out] pResult    is output value.
+   */
+  void arm_std_q15(
+  const q15_t * pSrc,
+        uint32_t blockSize,
+        q15_t * pResult);
+
+
+  /**
+   * @brief  Floating-point complex magnitude
+   * @param[in]  pSrc        points to the complex input vector
+   * @param[out] pDst        points to the real output vector
+   * @param[in]  numSamples  number of complex samples in the input vector
+   */
+  void arm_cmplx_mag_f32(
+  const float32_t * pSrc,
+        float32_t * pDst,
+        uint32_t numSamples);
+
+
+  /**
+   * @brief  Q31 complex magnitude
+   * @param[in]  pSrc        points to the complex input vector
+   * @param[out] pDst        points to the real output vector
+   * @param[in]  numSamples  number of complex samples in the input vector
+   */
+  void arm_cmplx_mag_q31(
+  const q31_t * pSrc,
+        q31_t * pDst,
+        uint32_t numSamples);
+
+
+  /**
+   * @brief  Q15 complex magnitude
+   * @param[in]  pSrc        points to the complex input vector
+   * @param[out] pDst        points to the real output vector
+   * @param[in]  numSamples  number of complex samples in the input vector
+   */
+  void arm_cmplx_mag_q15(
+  const q15_t * pSrc,
+        q15_t * pDst,
+        uint32_t numSamples);
+
+
+  /**
+   * @brief  Q15 complex dot product
+   * @param[in]  pSrcA       points to the first input vector
+   * @param[in]  pSrcB       points to the second input vector
+   * @param[in]  numSamples  number of complex samples in each vector
+   * @param[out] realResult  real part of the result returned here
+   * @param[out] imagResult  imaginary part of the result returned here
+   */
+  void arm_cmplx_dot_prod_q15(
+  const q15_t * pSrcA,
+  const q15_t * pSrcB,
+        uint32_t numSamples,
+        q31_t * realResult,
+        q31_t * imagResult);
+
+
+  /**
+   * @brief  Q31 complex dot product
+   * @param[in]  pSrcA       points to the first input vector
+   * @param[in]  pSrcB       points to the second input vector
+   * @param[in]  numSamples  number of complex samples in each vector
+   * @param[out] realResult  real part of the result returned here
+   * @param[out] imagResult  imaginary part of the result returned here
+   */
+  void arm_cmplx_dot_prod_q31(
+  const q31_t * pSrcA,
+  const q31_t * pSrcB,
+        uint32_t numSamples,
+        q63_t * realResult,
+        q63_t * imagResult);
+
+
+  /**
+   * @brief  Floating-point complex dot product
+   * @param[in]  pSrcA       points to the first input vector
+   * @param[in]  pSrcB       points to the second input vector
+   * @param[in]  numSamples  number of complex samples in each vector
+   * @param[out] realResult  real part of the result returned here
+   * @param[out] imagResult  imaginary part of the result returned here
+   */
+  void arm_cmplx_dot_prod_f32(
+  const float32_t * pSrcA,
+  const float32_t * pSrcB,
+        uint32_t numSamples,
+        float32_t * realResult,
+        float32_t * imagResult);
+
+
+  /**
+   * @brief  Q15 complex-by-real multiplication
+   * @param[in]  pSrcCmplx   points to the complex input vector
+   * @param[in]  pSrcReal    points to the real input vector
+   * @param[out] pCmplxDst   points to the complex output vector
+   * @param[in]  numSamples  number of samples in each vector
+   */
+  void arm_cmplx_mult_real_q15(
+  const q15_t * pSrcCmplx,
+  const q15_t * pSrcReal,
+        q15_t * pCmplxDst,
+        uint32_t numSamples);
+
+
+  /**
+   * @brief  Q31 complex-by-real multiplication
+   * @param[in]  pSrcCmplx   points to the complex input vector
+   * @param[in]  pSrcReal    points to the real input vector
+   * @param[out] pCmplxDst   points to the complex output vector
+   * @param[in]  numSamples  number of samples in each vector
+   */
+  void arm_cmplx_mult_real_q31(
+  const q31_t * pSrcCmplx,
+  const q31_t * pSrcReal,
+        q31_t * pCmplxDst,
+        uint32_t numSamples);
+
+
+  /**
+   * @brief  Floating-point complex-by-real multiplication
+   * @param[in]  pSrcCmplx   points to the complex input vector
+   * @param[in]  pSrcReal    points to the real input vector
+   * @param[out] pCmplxDst   points to the complex output vector
+   * @param[in]  numSamples  number of samples in each vector
+   */
+  void arm_cmplx_mult_real_f32(
+  const float32_t * pSrcCmplx,
+  const float32_t * pSrcReal,
+        float32_t * pCmplxDst,
+        uint32_t numSamples);
+
+
+  /**
+   * @brief  Minimum value of a Q7 vector.
+   * @param[in]  pSrc       is input pointer
+   * @param[in]  blockSize  is the number of samples to process
+   * @param[out] result     is output pointer
+   * @param[in]  index      is the array index of the minimum value in the input buffer.
+   */
+  void arm_min_q7(
+  const q7_t * pSrc,
+        uint32_t blockSize,
+        q7_t * result,
+        uint32_t * index);
+
+
+  /**
+   * @brief  Minimum value of a Q15 vector.
+   * @param[in]  pSrc       is input pointer
+   * @param[in]  blockSize  is the number of samples to process
+   * @param[out] pResult    is output pointer
+   * @param[in]  pIndex     is the array index of the minimum value in the input buffer.
+   */
+  void arm_min_q15(
+  const q15_t * pSrc,
+        uint32_t blockSize,
+        q15_t * pResult,
+        uint32_t * pIndex);
+
+
+  /**
+   * @brief  Minimum value of a Q31 vector.
+   * @param[in]  pSrc       is input pointer
+   * @param[in]  blockSize  is the number of samples to process
+   * @param[out] pResult    is output pointer
+   * @param[out] pIndex     is the array index of the minimum value in the input buffer.
+   */
+  void arm_min_q31(
+  const q31_t * pSrc,
+        uint32_t blockSize,
+        q31_t * pResult,
+        uint32_t * pIndex);
+
+
+  /**
+   * @brief  Minimum value of a floating-point vector.
+   * @param[in]  pSrc       is input pointer
+   * @param[in]  blockSize  is the number of samples to process
+   * @param[out] pResult    is output pointer
+   * @param[out] pIndex     is the array index of the minimum value in the input buffer.
+   */
+  void arm_min_f32(
+  const float32_t * pSrc,
+        uint32_t blockSize,
+        float32_t * pResult,
+        uint32_t * pIndex);
+
+
+/**
+ * @brief Maximum value of a Q7 vector.
+ * @param[in]  pSrc       points to the input buffer
+ * @param[in]  blockSize  length of the input vector
+ * @param[out] pResult    maximum value returned here
+ * @param[out] pIndex     index of maximum value returned here
+ */
+  void arm_max_q7(
+  const q7_t * pSrc,
+        uint32_t blockSize,
+        q7_t * pResult,
+        uint32_t * pIndex);
+
+
+/**
+ * @brief Maximum value of a Q15 vector.
+ * @param[in]  pSrc       points to the input buffer
+ * @param[in]  blockSize  length of the input vector
+ * @param[out] pResult    maximum value returned here
+ * @param[out] pIndex     index of maximum value returned here
+ */
+  void arm_max_q15(
+  const q15_t * pSrc,
+        uint32_t blockSize,
+        q15_t * pResult,
+        uint32_t * pIndex);
+
+
+/**
+ * @brief Maximum value of a Q31 vector.
+ * @param[in]  pSrc       points to the input buffer
+ * @param[in]  blockSize  length of the input vector
+ * @param[out] pResult    maximum value returned here
+ * @param[out] pIndex     index of maximum value returned here
+ */
+  void arm_max_q31(
+  const q31_t * pSrc,
+        uint32_t blockSize,
+        q31_t * pResult,
+        uint32_t * pIndex);
+
+
+/**
+ * @brief Maximum value of a floating-point vector.
+ * @param[in]  pSrc       points to the input buffer
+ * @param[in]  blockSize  length of the input vector
+ * @param[out] pResult    maximum value returned here
+ * @param[out] pIndex     index of maximum value returned here
+ */
+  void arm_max_f32(
+  const float32_t * pSrc,
+        uint32_t blockSize,
+        float32_t * pResult,
+        uint32_t * pIndex);
+
+
+  /**
+   * @brief  Q15 complex-by-complex multiplication
+   * @param[in]  pSrcA       points to the first input vector
+   * @param[in]  pSrcB       points to the second input vector
+   * @param[out] pDst        points to the output vector
+   * @param[in]  numSamples  number of complex samples in each vector
+   */
+  void arm_cmplx_mult_cmplx_q15(
+  const q15_t * pSrcA,
+  const q15_t * pSrcB,
+        q15_t * pDst,
+        uint32_t numSamples);
+
+
+  /**
+   * @brief  Q31 complex-by-complex multiplication
+   * @param[in]  pSrcA       points to the first input vector
+   * @param[in]  pSrcB       points to the second input vector
+   * @param[out] pDst        points to the output vector
+   * @param[in]  numSamples  number of complex samples in each vector
+   */
+  void arm_cmplx_mult_cmplx_q31(
+  const q31_t * pSrcA,
+  const q31_t * pSrcB,
+        q31_t * pDst,
+        uint32_t numSamples);
+
+
+  /**
+   * @brief  Floating-point complex-by-complex multiplication
+   * @param[in]  pSrcA       points to the first input vector
+   * @param[in]  pSrcB       points to the second input vector
+   * @param[out] pDst        points to the output vector
+   * @param[in]  numSamples  number of complex samples in each vector
+   */
+  void arm_cmplx_mult_cmplx_f32(
+  const float32_t * pSrcA,
+  const float32_t * pSrcB,
+        float32_t * pDst,
+        uint32_t numSamples);
+
+
+  /**
+   * @brief Converts the elements of the floating-point vector to Q31 vector.
+   * @param[in]  pSrc       points to the floating-point input vector
+   * @param[out] pDst       points to the Q31 output vector
+   * @param[in]  blockSize  length of the input vector
+   */
+  void arm_float_to_q31(
+  const float32_t * pSrc,
+        q31_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Converts the elements of the floating-point vector to Q15 vector.
+   * @param[in]  pSrc       points to the floating-point input vector
+   * @param[out] pDst       points to the Q15 output vector
+   * @param[in]  blockSize  length of the input vector
+   */
+  void arm_float_to_q15(
+  const float32_t * pSrc,
+        q15_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief Converts the elements of the floating-point vector to Q7 vector.
+   * @param[in]  pSrc       points to the floating-point input vector
+   * @param[out] pDst       points to the Q7 output vector
+   * @param[in]  blockSize  length of the input vector
+   */
+  void arm_float_to_q7(
+  const float32_t * pSrc,
+        q7_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief  Converts the elements of the Q31 vector to floating-point vector.
+   * @param[in]  pSrc       is input pointer
+   * @param[out] pDst       is output pointer
+   * @param[in]  blockSize  is the number of samples to process
+   */
+  void arm_q31_to_float(
+  const q31_t * pSrc,
+        float32_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief  Converts the elements of the Q31 vector to Q15 vector.
+   * @param[in]  pSrc       is input pointer
+   * @param[out] pDst       is output pointer
+   * @param[in]  blockSize  is the number of samples to process
+   */
+  void arm_q31_to_q15(
+  const q31_t * pSrc,
+        q15_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief  Converts the elements of the Q31 vector to Q7 vector.
+   * @param[in]  pSrc       is input pointer
+   * @param[out] pDst       is output pointer
+   * @param[in]  blockSize  is the number of samples to process
+   */
+  void arm_q31_to_q7(
+  const q31_t * pSrc,
+        q7_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief  Converts the elements of the Q15 vector to floating-point vector.
+   * @param[in]  pSrc       is input pointer
+   * @param[out] pDst       is output pointer
+   * @param[in]  blockSize  is the number of samples to process
+   */
+  void arm_q15_to_float(
+  const q15_t * pSrc,
+        float32_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief  Converts the elements of the Q15 vector to Q31 vector.
+   * @param[in]  pSrc       is input pointer
+   * @param[out] pDst       is output pointer
+   * @param[in]  blockSize  is the number of samples to process
+   */
+  void arm_q15_to_q31(
+  const q15_t * pSrc,
+        q31_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief  Converts the elements of the Q15 vector to Q7 vector.
+   * @param[in]  pSrc       is input pointer
+   * @param[out] pDst       is output pointer
+   * @param[in]  blockSize  is the number of samples to process
+   */
+  void arm_q15_to_q7(
+  const q15_t * pSrc,
+        q7_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief  Converts the elements of the Q7 vector to floating-point vector.
+   * @param[in]  pSrc       is input pointer
+   * @param[out] pDst       is output pointer
+   * @param[in]  blockSize  is the number of samples to process
+   */
+  void arm_q7_to_float(
+  const q7_t * pSrc,
+        float32_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief  Converts the elements of the Q7 vector to Q31 vector.
+   * @param[in]  pSrc       input pointer
+   * @param[out] pDst       output pointer
+   * @param[in]  blockSize  number of samples to process
+   */
+  void arm_q7_to_q31(
+  const q7_t * pSrc,
+        q31_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @brief  Converts the elements of the Q7 vector to Q15 vector.
+   * @param[in]  pSrc       input pointer
+   * @param[out] pDst       output pointer
+   * @param[in]  blockSize  number of samples to process
+   */
+  void arm_q7_to_q15(
+  const q7_t * pSrc,
+        q15_t * pDst,
+        uint32_t blockSize);
+
+
+  /**
+   * @ingroup groupInterpolation
+   */
+
+  /**
+   * @defgroup BilinearInterpolate Bilinear Interpolation
+   *
+   * Bilinear interpolation is an extension of linear interpolation applied to a two dimensional grid.
+   * The underlying function <code>f(x, y)</code> is sampled on a regular grid and the interpolation process
+   * determines values between the grid points.
+   * Bilinear interpolation is equivalent to two step linear interpolation, first in the x-dimension and then in the y-dimension.
+   * Bilinear interpolation is often used in image processing to rescale images.
+   * The CMSIS DSP library provides bilinear interpolation functions for Q7, Q15, Q31, and floating-point data types.
+   *
+   * <b>Algorithm</b>
+   * \par
+   * The instance structure used by the bilinear interpolation functions describes a two dimensional data table.
+   * For floating-point, the instance structure is defined as:
+   * <pre>
+   *   typedef struct
+   *   {
+   *     uint16_t numRows;
+   *     uint16_t numCols;
+   *     float32_t *pData;
+   * } arm_bilinear_interp_instance_f32;
+   * </pre>
+   *
+   * \par
+   * where <code>numRows</code> specifies the number of rows in the table;
+   * <code>numCols</code> specifies the number of columns in the table;
+   * and <code>pData</code> points to an array of size <code>numRows*numCols</code> values.
+   * The data table <code>pTable</code> is organized in row order and the supplied data values fall on integer indexes.
+   * That is, table element (x,y) is located at <code>pTable[x + y*numCols]</code> where x and y are integers.
+   *
+   * \par
+   * Let <code>(x, y)</code> specify the desired interpolation point.  Then define:
+   * <pre>
+   *     XF = floor(x)
+   *     YF = floor(y)
+   * </pre>
+   * \par
+   * The interpolated output point is computed as:
+   * <pre>
+   *  f(x, y) = f(XF, YF) * (1-(x-XF)) * (1-(y-YF))
+   *           + f(XF+1, YF) * (x-XF)*(1-(y-YF))
+   *           + f(XF, YF+1) * (1-(x-XF))*(y-YF)
+   *           + f(XF+1, YF+1) * (x-XF)*(y-YF)
+   * </pre>
+   * Note that the coordinates (x, y) contain integer and fractional components.
+   * The integer components specify which portion of the table to use while the
+   * fractional components control the interpolation processor.
+   *
+   * \par
+   * if (x,y) are outside of the table boundary, Bilinear interpolation returns zero output.
+   */
+
+
+  /**
+   * @addtogroup BilinearInterpolate
+   * @{
+   */
+
+  /**
+  * @brief  Floating-point bilinear interpolation.
+  * @param[in,out] S  points to an instance of the interpolation structure.
+  * @param[in]     X  interpolation coordinate.
+  * @param[in]     Y  interpolation coordinate.
+  * @return out interpolated value.
+  */
+  __STATIC_FORCEINLINE float32_t arm_bilinear_interp_f32(
+  const arm_bilinear_interp_instance_f32 * S,
+  float32_t X,
+  float32_t Y)
+  {
+    float32_t out;
+    float32_t f00, f01, f10, f11;
+    float32_t *pData = S->pData;
+    int32_t xIndex, yIndex, index;
+    float32_t xdiff, ydiff;
+    float32_t b1, b2, b3, b4;
+
+    xIndex = (int32_t) X;
+    yIndex = (int32_t) Y;
+
+    /* Care taken for table outside boundary */
+    /* Returns zero output when values are outside table boundary */
+    if (xIndex < 0 || xIndex > (S->numRows - 1) || yIndex < 0 || yIndex > (S->numCols - 1))
+    {
+      return (0);
+    }
+
+    /* Calculation of index for two nearest points in X-direction */
+    index = (xIndex - 1) + (yIndex - 1) * S->numCols;
+
+
+    /* Read two nearest points in X-direction */
+    f00 = pData[index];
+    f01 = pData[index + 1];
+
+    /* Calculation of index for two nearest points in Y-direction */
+    index = (xIndex - 1) + (yIndex) * S->numCols;
+
+
+    /* Read two nearest points in Y-direction */
+    f10 = pData[index];
+    f11 = pData[index + 1];
+
+    /* Calculation of intermediate values */
+    b1 = f00;
+    b2 = f01 - f00;
+    b3 = f10 - f00;
+    b4 = f00 - f01 - f10 + f11;
+
+    /* Calculation of fractional part in X */
+    xdiff = X - xIndex;
+
+    /* Calculation of fractional part in Y */
+    ydiff = Y - yIndex;
+
+    /* Calculation of bi-linear interpolated output */
+    out = b1 + b2 * xdiff + b3 * ydiff + b4 * xdiff * ydiff;
+
+    /* return to application */
+    return (out);
+  }
+
+
+  /**
+  * @brief  Q31 bilinear interpolation.
+  * @param[in,out] S  points to an instance of the interpolation structure.
+  * @param[in]     X  interpolation coordinate in 12.20 format.
+  * @param[in]     Y  interpolation coordinate in 12.20 format.
+  * @return out interpolated value.
+  */
+  __STATIC_FORCEINLINE q31_t arm_bilinear_interp_q31(
+  arm_bilinear_interp_instance_q31 * S,
+  q31_t X,
+  q31_t Y)
+  {
+    q31_t out;                                   /* Temporary output */
+    q31_t acc = 0;                               /* output */
+    q31_t xfract, yfract;                        /* X, Y fractional parts */
+    q31_t x1, x2, y1, y2;                        /* Nearest output values */
+    int32_t rI, cI;                              /* Row and column indices */
+    q31_t *pYData = S->pData;                    /* pointer to output table values */
+    uint32_t nCols = S->numCols;                 /* num of rows */
+
+    /* Input is in 12.20 format */
+    /* 12 bits for the table index */
+    /* Index value calculation */
+    rI = ((X & (q31_t)0xFFF00000) >> 20);
+
+    /* Input is in 12.20 format */
+    /* 12 bits for the table index */
+    /* Index value calculation */
+    cI = ((Y & (q31_t)0xFFF00000) >> 20);
+
+    /* Care taken for table outside boundary */
+    /* Returns zero output when values are outside table boundary */
+    if (rI < 0 || rI > (S->numRows - 1) || cI < 0 || cI > (S->numCols - 1))
+    {
+      return (0);
+    }
+
+    /* 20 bits for the fractional part */
+    /* shift left xfract by 11 to keep 1.31 format */
+    xfract = (X & 0x000FFFFF) << 11U;
+
+    /* Read two nearest output values from the index */
+    x1 = pYData[(rI) + (int32_t)nCols * (cI)    ];
+    x2 = pYData[(rI) + (int32_t)nCols * (cI) + 1];
+
+    /* 20 bits for the fractional part */
+    /* shift left yfract by 11 to keep 1.31 format */
+    yfract = (Y & 0x000FFFFF) << 11U;
+
+    /* Read two nearest output values from the index */
+    y1 = pYData[(rI) + (int32_t)nCols * (cI + 1)    ];
+    y2 = pYData[(rI) + (int32_t)nCols * (cI + 1) + 1];
+
+    /* Calculation of x1 * (1-xfract ) * (1-yfract) and acc is in 3.29(q29) format */
+    out = ((q31_t) (((q63_t) x1  * (0x7FFFFFFF - xfract)) >> 32));
+    acc = ((q31_t) (((q63_t) out * (0x7FFFFFFF - yfract)) >> 32));
+
+    /* x2 * (xfract) * (1-yfract)  in 3.29(q29) and adding to acc */
+    out = ((q31_t) ((q63_t) x2 * (0x7FFFFFFF - yfract) >> 32));
+    acc += ((q31_t) ((q63_t) out * (xfract) >> 32));
+
+    /* y1 * (1 - xfract) * (yfract)  in 3.29(q29) and adding to acc */
+    out = ((q31_t) ((q63_t) y1 * (0x7FFFFFFF - xfract) >> 32));
+    acc += ((q31_t) ((q63_t) out * (yfract) >> 32));
+
+    /* y2 * (xfract) * (yfract)  in 3.29(q29) and adding to acc */
+    out = ((q31_t) ((q63_t) y2 * (xfract) >> 32));
+    acc += ((q31_t) ((q63_t) out * (yfract) >> 32));
+
+    /* Convert acc to 1.31(q31) format */
+    return ((q31_t)(acc << 2));
+  }
+
+
+  /**
+  * @brief  Q15 bilinear interpolation.
+  * @param[in,out] S  points to an instance of the interpolation structure.
+  * @param[in]     X  interpolation coordinate in 12.20 format.
+  * @param[in]     Y  interpolation coordinate in 12.20 format.
+  * @return out interpolated value.
+  */
+  __STATIC_FORCEINLINE q15_t arm_bilinear_interp_q15(
+  arm_bilinear_interp_instance_q15 * S,
+  q31_t X,
+  q31_t Y)
+  {
+    q63_t acc = 0;                               /* output */
+    q31_t out;                                   /* Temporary output */
+    q15_t x1, x2, y1, y2;                        /* Nearest output values */
+    q31_t xfract, yfract;                        /* X, Y fractional parts */
+    int32_t rI, cI;                              /* Row and column indices */
+    q15_t *pYData = S->pData;                    /* pointer to output table values */
+    uint32_t nCols = S->numCols;                 /* num of rows */
+
+    /* Input is in 12.20 format */
+    /* 12 bits for the table index */
+    /* Index value calculation */
+    rI = ((X & (q31_t)0xFFF00000) >> 20);
+
+    /* Input is in 12.20 format */
+    /* 12 bits for the table index */
+    /* Index value calculation */
+    cI = ((Y & (q31_t)0xFFF00000) >> 20);
+
+    /* Care taken for table outside boundary */
+    /* Returns zero output when values are outside table boundary */
+    if (rI < 0 || rI > (S->numRows - 1) || cI < 0 || cI > (S->numCols - 1))
+    {
+      return (0);
+    }
+
+    /* 20 bits for the fractional part */
+    /* xfract should be in 12.20 format */
+    xfract = (X & 0x000FFFFF);
+
+    /* Read two nearest output values from the index */
+    x1 = pYData[((uint32_t)rI) + nCols * ((uint32_t)cI)    ];
+    x2 = pYData[((uint32_t)rI) + nCols * ((uint32_t)cI) + 1];
+
+    /* 20 bits for the fractional part */
+    /* yfract should be in 12.20 format */
+    yfract = (Y & 0x000FFFFF);
+
+    /* Read two nearest output values from the index */
+    y1 = pYData[((uint32_t)rI) + nCols * ((uint32_t)cI + 1)    ];
+    y2 = pYData[((uint32_t)rI) + nCols * ((uint32_t)cI + 1) + 1];
+
+    /* Calculation of x1 * (1-xfract ) * (1-yfract) and acc is in 13.51 format */
+
+    /* x1 is in 1.15(q15), xfract in 12.20 format and out is in 13.35 format */
+    /* convert 13.35 to 13.31 by right shifting  and out is in 1.31 */
+    out = (q31_t) (((q63_t) x1 * (0xFFFFF - xfract)) >> 4U);
+    acc = ((q63_t) out * (0xFFFFF - yfract));
+
+    /* x2 * (xfract) * (1-yfract)  in 1.51 and adding to acc */
+    out = (q31_t) (((q63_t) x2 * (0xFFFFF - yfract)) >> 4U);
+    acc += ((q63_t) out * (xfract));
+
+    /* y1 * (1 - xfract) * (yfract)  in 1.51 and adding to acc */
+    out = (q31_t) (((q63_t) y1 * (0xFFFFF - xfract)) >> 4U);
+    acc += ((q63_t) out * (yfract));
+
+    /* y2 * (xfract) * (yfract)  in 1.51 and adding to acc */
+    out = (q31_t) (((q63_t) y2 * (xfract)) >> 4U);
+    acc += ((q63_t) out * (yfract));
+
+    /* acc is in 13.51 format and down shift acc by 36 times */
+    /* Convert out to 1.15 format */
+    return ((q15_t)(acc >> 36));
+  }
+
+
+  /**
+  * @brief  Q7 bilinear interpolation.
+  * @param[in,out] S  points to an instance of the interpolation structure.
+  * @param[in]     X  interpolation coordinate in 12.20 format.
+  * @param[in]     Y  interpolation coordinate in 12.20 format.
+  * @return out interpolated value.
+  */
+  __STATIC_FORCEINLINE q7_t arm_bilinear_interp_q7(
+  arm_bilinear_interp_instance_q7 * S,
+  q31_t X,
+  q31_t Y)
+  {
+    q63_t acc = 0;                               /* output */
+    q31_t out;                                   /* Temporary output */
+    q31_t xfract, yfract;                        /* X, Y fractional parts */
+    q7_t x1, x2, y1, y2;                         /* Nearest output values */
+    int32_t rI, cI;                              /* Row and column indices */
+    q7_t *pYData = S->pData;                     /* pointer to output table values */
+    uint32_t nCols = S->numCols;                 /* num of rows */
+
+    /* Input is in 12.20 format */
+    /* 12 bits for the table index */
+    /* Index value calculation */
+    rI = ((X & (q31_t)0xFFF00000) >> 20);
+
+    /* Input is in 12.20 format */
+    /* 12 bits for the table index */
+    /* Index value calculation */
+    cI = ((Y & (q31_t)0xFFF00000) >> 20);
+
+    /* Care taken for table outside boundary */
+    /* Returns zero output when values are outside table boundary */
+    if (rI < 0 || rI > (S->numRows - 1) || cI < 0 || cI > (S->numCols - 1))
+    {
+      return (0);
+    }
+
+    /* 20 bits for the fractional part */
+    /* xfract should be in 12.20 format */
+    xfract = (X & (q31_t)0x000FFFFF);
+
+    /* Read two nearest output values from the index */
+    x1 = pYData[((uint32_t)rI) + nCols * ((uint32_t)cI)    ];
+    x2 = pYData[((uint32_t)rI) + nCols * ((uint32_t)cI) + 1];
+
+    /* 20 bits for the fractional part */
+    /* yfract should be in 12.20 format */
+    yfract = (Y & (q31_t)0x000FFFFF);
+
+    /* Read two nearest output values from the index */
+    y1 = pYData[((uint32_t)rI) + nCols * ((uint32_t)cI + 1)    ];
+    y2 = pYData[((uint32_t)rI) + nCols * ((uint32_t)cI + 1) + 1];
+
+    /* Calculation of x1 * (1-xfract ) * (1-yfract) and acc is in 16.47 format */
+    out = ((x1 * (0xFFFFF - xfract)));
+    acc = (((q63_t) out * (0xFFFFF - yfract)));
+
+    /* x2 * (xfract) * (1-yfract)  in 2.22 and adding to acc */
+    out = ((x2 * (0xFFFFF - yfract)));
+    acc += (((q63_t) out * (xfract)));
+
+    /* y1 * (1 - xfract) * (yfract)  in 2.22 and adding to acc */
+    out = ((y1 * (0xFFFFF - xfract)));
+    acc += (((q63_t) out * (yfract)));
+
+    /* y2 * (xfract) * (yfract)  in 2.22 and adding to acc */
+    out = ((y2 * (yfract)));
+    acc += (((q63_t) out * (xfract)));
+
+    /* acc in 16.47 format and down shift by 40 to convert to 1.7 format */
+    return ((q7_t)(acc >> 40));
+  }
+
+  /**
+   * @} end of BilinearInterpolate group
+   */
+
+
+/* SMMLAR */
+#define multAcc_32x32_keep32_R(a, x, y) \
+    a = (q31_t) (((((q63_t) a) << 32) + ((q63_t) x * y) + 0x80000000LL ) >> 32)
+
+/* SMMLSR */
+#define multSub_32x32_keep32_R(a, x, y) \
+    a = (q31_t) (((((q63_t) a) << 32) - ((q63_t) x * y) + 0x80000000LL ) >> 32)
+
+/* SMMULR */
+#define mult_32x32_keep32_R(a, x, y) \
+    a = (q31_t) (((q63_t) x * y + 0x80000000LL ) >> 32)
+
+/* SMMLA */
+#define multAcc_32x32_keep32(a, x, y) \
+    a += (q31_t) (((q63_t) x * y) >> 32)
+
+/* SMMLS */
+#define multSub_32x32_keep32(a, x, y) \
+    a -= (q31_t) (((q63_t) x * y) >> 32)
+
+/* SMMUL */
+#define mult_32x32_keep32(a, x, y) \
+    a = (q31_t) (((q63_t) x * y ) >> 32)
+
+
+#if   defined ( __CC_ARM )
+  /* Enter low optimization region - place directly above function definition */
+  #if defined( __ARM_ARCH_7EM__ )
+    #define LOW_OPTIMIZATION_ENTER \
+       _Pragma ("push")         \
+       _Pragma ("O1")
+  #else
+    #define LOW_OPTIMIZATION_ENTER
+  #endif
+
+  /* Exit low optimization region - place directly after end of function definition */
+  #if defined ( __ARM_ARCH_7EM__ )
+    #define LOW_OPTIMIZATION_EXIT \
+       _Pragma ("pop")
+  #else
+    #define LOW_OPTIMIZATION_EXIT
+  #endif
+
+  /* Enter low optimization region - place directly above function definition */
+  #define IAR_ONLY_LOW_OPTIMIZATION_ENTER
+
+  /* Exit low optimization region - place directly after end of function definition */
+  #define IAR_ONLY_LOW_OPTIMIZATION_EXIT
+
+#elif defined (__ARMCC_VERSION ) && ( __ARMCC_VERSION >= 6010050 )
+  #define LOW_OPTIMIZATION_ENTER
+  #define LOW_OPTIMIZATION_EXIT
+  #define IAR_ONLY_LOW_OPTIMIZATION_ENTER
+  #define IAR_ONLY_LOW_OPTIMIZATION_EXIT
+
+#elif defined ( __GNUC__ )
+  #define LOW_OPTIMIZATION_ENTER \
+       __attribute__(( optimize("-O1") ))
+  #define LOW_OPTIMIZATION_EXIT
+  #define IAR_ONLY_LOW_OPTIMIZATION_ENTER
+  #define IAR_ONLY_LOW_OPTIMIZATION_EXIT
+
+#elif defined ( __ICCARM__ )
+  /* Enter low optimization region - place directly above function definition */
+  #if defined ( __ARM_ARCH_7EM__ )
+    #define LOW_OPTIMIZATION_ENTER \
+       _Pragma ("optimize=low")
+  #else
+    #define LOW_OPTIMIZATION_ENTER
+  #endif
+
+  /* Exit low optimization region - place directly after end of function definition */
+  #define LOW_OPTIMIZATION_EXIT
+
+  /* Enter low optimization region - place directly above function definition */
+  #if defined ( __ARM_ARCH_7EM__ )
+    #define IAR_ONLY_LOW_OPTIMIZATION_ENTER \
+       _Pragma ("optimize=low")
+  #else
+    #define IAR_ONLY_LOW_OPTIMIZATION_ENTER
+  #endif
+
+  /* Exit low optimization region - place directly after end of function definition */
+  #define IAR_ONLY_LOW_OPTIMIZATION_EXIT
+
+#elif defined ( __TI_ARM__ )
+  #define LOW_OPTIMIZATION_ENTER
+  #define LOW_OPTIMIZATION_EXIT
+  #define IAR_ONLY_LOW_OPTIMIZATION_ENTER
+  #define IAR_ONLY_LOW_OPTIMIZATION_EXIT
+
+#elif defined ( __CSMC__ )
+  #define LOW_OPTIMIZATION_ENTER
+  #define LOW_OPTIMIZATION_EXIT
+  #define IAR_ONLY_LOW_OPTIMIZATION_ENTER
+  #define IAR_ONLY_LOW_OPTIMIZATION_EXIT
+
+#elif defined ( __TASKING__ )
+  #define LOW_OPTIMIZATION_ENTER
+  #define LOW_OPTIMIZATION_EXIT
+  #define IAR_ONLY_LOW_OPTIMIZATION_ENTER
+  #define IAR_ONLY_LOW_OPTIMIZATION_EXIT
+
+#endif
+
+
+#ifdef   __cplusplus
+}
+#endif
+
+/* Compiler specific diagnostic adjustment */
+#if   defined ( __CC_ARM )
+
+#elif defined ( __ARMCC_VERSION ) && ( __ARMCC_VERSION >= 6010050 )
+
+#elif defined ( __GNUC__ )
+#pragma GCC diagnostic pop
+
+#elif defined ( __ICCARM__ )
+
+#elif defined ( __TI_ARM__ )
+
+#elif defined ( __CSMC__ )
+
+#elif defined ( __TASKING__ )
+
+#else
+  #error Unknown compiler
+#endif
+
+#endif /* _ARM_MATH_H */
+
+/**
+ *
+ * End of file.
+ */
index f204e241c9293021e0d6642e7ac60c8b76616be1..f0fec127e1427afedb6c6f41716ac81bd93eff9e 100644 (file)
@@ -1,8 +1,8 @@
 /**************************************************************************//**\r
  * @file     cmsis_armcc.h\r
  * @brief    CMSIS compiler ARMCC (Arm Compiler 5) header file\r
- * @version  V5.0.4\r
- * @date     10. January 2018\r
+ * @version  V5.0.5\r
+ * @date     14. December 2018\r
  ******************************************************************************/\r
 /*\r
  * Copyright (c) 2009-2018 Arm Limited. All rights reserved.\r
   /* __ARM_ARCH_8M_BASE__  not applicable */\r
   /* __ARM_ARCH_8M_MAIN__  not applicable */\r
 \r
+/* CMSIS compiler control DSP macros */\r
+#if ((defined (__ARM_ARCH_7EM__) && (__ARM_ARCH_7EM__ == 1))     )\r
+  #define __ARM_FEATURE_DSP         1\r
+#endif\r
 \r
 /* CMSIS compiler specific defines */\r
 #ifndef   __ASM\r
@@ -337,8 +341,6 @@ __STATIC_INLINE void __set_FAULTMASK(uint32_t faultMask)
            (defined (__ARM_ARCH_7EM__) && (__ARM_ARCH_7EM__ == 1))     ) */\r
 \r
 \r
-#if ((defined (__ARM_ARCH_7EM__) && (__ARM_ARCH_7EM__ == 1))     )\r
-\r
 /**\r
   \brief   Get FPSCR\r
   \details Returns the current value of the Floating Point Status/Control register.\r
@@ -372,9 +374,6 @@ __STATIC_INLINE void __set_FPSCR(uint32_t fpscr)
 #endif\r
 }\r
 \r
-#endif /* ((defined (__ARM_ARCH_7EM__) && (__ARM_ARCH_7EM__ == 1))     ) */\r
-\r
-\r
 \r
 /*@} end of CMSIS_Core_RegAccFunctions */\r
 \r
index f79b4120d2f6e60f50ed574127ea1f99e9b09fd4..2b53fb003036e68cf17ecfa6682bb6caac5b15aa 100644 (file)
@@ -1,11 +1,11 @@
 /**************************************************************************//**\r
  * @file     cmsis_armclang.h\r
  * @brief    CMSIS compiler armclang (Arm Compiler 6) header file\r
- * @version  V5.0.4\r
- * @date     10. January 2018\r
+ * @version  V5.1.0\r
+ * @date     14. March 2019\r
  ******************************************************************************/\r
 /*\r
- * Copyright (c) 2009-2018 Arm Limited. All rights reserved.\r
+ * Copyright (c) 2009-2019 Arm Limited. All rights reserved.\r
  *\r
  * SPDX-License-Identifier: Apache-2.0\r
  *\r
@@ -43,9 +43,9 @@
 #ifndef   __STATIC_INLINE\r
   #define __STATIC_INLINE                        static __inline\r
 #endif\r
-#ifndef   __STATIC_FORCEINLINE                 \r
+#ifndef   __STATIC_FORCEINLINE\r
   #define __STATIC_FORCEINLINE                   __attribute__((always_inline)) static __inline\r
-#endif                                           \r
+#endif\r
 #ifndef   __NO_RETURN\r
   #define __NO_RETURN                            __attribute__((__noreturn__))\r
 #endif\r
@@ -237,7 +237,7 @@ __STATIC_FORCEINLINE uint32_t __get_xPSR(void)
  */\r
 __STATIC_FORCEINLINE uint32_t __get_PSP(void)\r
 {\r
-  register uint32_t result;\r
+  uint32_t result;\r
 \r
   __ASM volatile ("MRS %0, psp"  : "=r" (result) );\r
   return(result);\r
@@ -252,7 +252,7 @@ __STATIC_FORCEINLINE uint32_t __get_PSP(void)
  */\r
 __STATIC_FORCEINLINE uint32_t __TZ_get_PSP_NS(void)\r
 {\r
-  register uint32_t result;\r
+  uint32_t result;\r
 \r
   __ASM volatile ("MRS %0, psp_ns"  : "=r" (result) );\r
   return(result);\r
@@ -291,7 +291,7 @@ __STATIC_FORCEINLINE void __TZ_set_PSP_NS(uint32_t topOfProcStack)
  */\r
 __STATIC_FORCEINLINE uint32_t __get_MSP(void)\r
 {\r
-  register uint32_t result;\r
+  uint32_t result;\r
 \r
   __ASM volatile ("MRS %0, msp" : "=r" (result) );\r
   return(result);\r
@@ -306,7 +306,7 @@ __STATIC_FORCEINLINE uint32_t __get_MSP(void)
  */\r
 __STATIC_FORCEINLINE uint32_t __TZ_get_MSP_NS(void)\r
 {\r
-  register uint32_t result;\r
+  uint32_t result;\r
 \r
   __ASM volatile ("MRS %0, msp_ns" : "=r" (result) );\r
   return(result);\r
@@ -346,7 +346,7 @@ __STATIC_FORCEINLINE void __TZ_set_MSP_NS(uint32_t topOfMainStack)
  */\r
 __STATIC_FORCEINLINE uint32_t __TZ_get_SP_NS(void)\r
 {\r
-  register uint32_t result;\r
+  uint32_t result;\r
 \r
   __ASM volatile ("MRS %0, sp_ns" : "=r" (result) );\r
   return(result);\r
@@ -581,7 +581,7 @@ __STATIC_FORCEINLINE uint32_t __get_PSPLIM(void)
     // without main extensions, the non-secure PSPLIM is RAZ/WI\r
   return 0U;\r
 #else\r
-  register uint32_t result;\r
+  uint32_t result;\r
   __ASM volatile ("MRS %0, psplim"  : "=r" (result) );\r
   return result;\r
 #endif\r
@@ -603,7 +603,7 @@ __STATIC_FORCEINLINE uint32_t __TZ_get_PSPLIM_NS(void)
   // without main extensions, the non-secure PSPLIM is RAZ/WI\r
   return 0U;\r
 #else\r
-  register uint32_t result;\r
+  uint32_t result;\r
   __ASM volatile ("MRS %0, psplim_ns"  : "=r" (result) );\r
   return result;\r
 #endif\r
@@ -669,7 +669,7 @@ __STATIC_FORCEINLINE uint32_t __get_MSPLIM(void)
   // without main extensions, the non-secure MSPLIM is RAZ/WI\r
   return 0U;\r
 #else\r
-  register uint32_t result;\r
+  uint32_t result;\r
   __ASM volatile ("MRS %0, msplim" : "=r" (result) );\r
   return result;\r
 #endif\r
@@ -691,7 +691,7 @@ __STATIC_FORCEINLINE uint32_t __TZ_get_MSPLIM_NS(void)
   // without main extensions, the non-secure MSPLIM is RAZ/WI\r
   return 0U;\r
 #else\r
-  register uint32_t result;\r
+  uint32_t result;\r
   __ASM volatile ("MRS %0, msplim_ns" : "=r" (result) );\r
   return result;\r
 #endif\r
@@ -742,10 +742,6 @@ __STATIC_FORCEINLINE void __TZ_set_MSPLIM_NS(uint32_t MainStackPtrLimit)
 #endif /* ((defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1)) || \\r
            (defined (__ARM_ARCH_8M_BASE__ ) && (__ARM_ARCH_8M_BASE__ == 1))    ) */\r
 \r
-\r
-#if ((defined (__ARM_ARCH_7EM__     ) && (__ARM_ARCH_7EM__     == 1)) || \\r
-     (defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1))    )\r
-\r
 /**\r
   \brief   Get FPSCR\r
   \details Returns the current value of the Floating Point Status/Control register.\r
@@ -770,10 +766,6 @@ __STATIC_FORCEINLINE void __TZ_set_MSPLIM_NS(uint32_t MainStackPtrLimit)
 #define __set_FPSCR(x)      ((void)(x))\r
 #endif\r
 \r
-#endif /* ((defined (__ARM_ARCH_7EM__     ) && (__ARM_ARCH_7EM__     == 1)) || \\r
-           (defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1))    ) */\r
-\r
-\r
 \r
 /*@} end of CMSIS_Core_RegAccFunctions */\r
 \r
@@ -789,9 +781,11 @@ __STATIC_FORCEINLINE void __TZ_set_MSPLIM_NS(uint32_t MainStackPtrLimit)
  * Otherwise, use general registers, specified by constraint "r" */\r
 #if defined (__thumb__) && !defined (__thumb2__)\r
 #define __CMSIS_GCC_OUT_REG(r) "=l" (r)\r
+#define __CMSIS_GCC_RW_REG(r) "+l" (r)\r
 #define __CMSIS_GCC_USE_REG(r) "l" (r)\r
 #else\r
 #define __CMSIS_GCC_OUT_REG(r) "=r" (r)\r
+#define __CMSIS_GCC_RW_REG(r) "+r" (r)\r
 #define __CMSIS_GCC_USE_REG(r) "r" (r)\r
 #endif\r
 \r
@@ -829,14 +823,14 @@ __STATIC_FORCEINLINE void __TZ_set_MSPLIM_NS(uint32_t MainStackPtrLimit)
            so that all instructions following the ISB are fetched from cache or memory,\r
            after the instruction has been completed.\r
  */\r
-#define __ISB()        __builtin_arm_isb(0xF);\r
+#define __ISB()        __builtin_arm_isb(0xF)\r
 \r
 /**\r
   \brief   Data Synchronization Barrier\r
   \details Acts as a special kind of Data Memory Barrier.\r
            It completes when all explicit memory accesses before this instruction complete.\r
  */\r
-#define __DSB()        __builtin_arm_dsb(0xF);\r
+#define __DSB()        __builtin_arm_dsb(0xF)\r
 \r
 \r
 /**\r
@@ -844,7 +838,7 @@ __STATIC_FORCEINLINE void __TZ_set_MSPLIM_NS(uint32_t MainStackPtrLimit)
   \details Ensures the apparent order of the explicit memory operations before\r
            and after the instruction, without ensuring their completion.\r
  */\r
-#define __DMB()        __builtin_arm_dmb(0xF);\r
+#define __DMB()        __builtin_arm_dmb(0xF)\r
 \r
 \r
 /**\r
@@ -916,7 +910,23 @@ __STATIC_FORCEINLINE uint32_t __ROR(uint32_t op1, uint32_t op2)
   \param [in]  value  Value to count the leading zeros\r
   \return             number of leading zeros in value\r
  */\r
-#define __CLZ             (uint8_t)__builtin_clz\r
+__STATIC_FORCEINLINE uint8_t __CLZ(uint32_t value)\r
+{\r
+  /* Even though __builtin_clz produces a CLZ instruction on ARM, formally\r
+     __builtin_clz(0) is undefined behaviour, so handle this case specially.\r
+     This guarantees ARM-compatible results if happening to compile on a non-ARM\r
+     target, and ensures the compiler doesn't decide to activate any\r
+     optimisations using the logic "value was passed to __builtin_clz, so it\r
+     is non-zero".\r
+     ARM Compiler 6.10 and possibly earlier will optimise this test away, leaving a\r
+     single CLZ instruction.\r
+   */\r
+  if (value == 0U)\r
+  {\r
+    return 32U;\r
+  }\r
+  return __builtin_clz(value);\r
+}\r
 \r
 \r
 #if ((defined (__ARM_ARCH_7M__      ) && (__ARM_ARCH_7M__      == 1)) || \\r
@@ -1329,532 +1339,65 @@ __STATIC_FORCEINLINE void __STL(uint32_t value, volatile uint32_t *ptr)
 \r
 #if (defined (__ARM_FEATURE_DSP) && (__ARM_FEATURE_DSP == 1))\r
 \r
-__STATIC_FORCEINLINE uint32_t __SADD8(uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("sadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __QADD8(uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("qadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __SHADD8(uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("shadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __UADD8(uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("uadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __UQADD8(uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("uqadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __UHADD8(uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("uhadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-\r
-__STATIC_FORCEINLINE uint32_t __SSUB8(uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("ssub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __QSUB8(uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("qsub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __SHSUB8(uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("shsub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __USUB8(uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("usub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __UQSUB8(uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("uqsub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __UHSUB8(uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("uhsub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-\r
-__STATIC_FORCEINLINE uint32_t __SADD16(uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("sadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __QADD16(uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("qadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __SHADD16(uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("shadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __UADD16(uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("uadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __UQADD16(uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("uqadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __UHADD16(uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("uhadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __SSUB16(uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("ssub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __QSUB16(uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("qsub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __SHSUB16(uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("shsub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __USUB16(uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("usub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __UQSUB16(uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("uqsub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __UHSUB16(uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("uhsub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __SASX(uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("sasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __QASX(uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("qasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __SHASX(uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("shasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __UASX(uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("uasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __UQASX(uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("uqasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __UHASX(uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("uhasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __SSAX(uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("ssax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __QSAX(uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("qsax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __SHSAX(uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("shsax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __USAX(uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("usax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __UQSAX(uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("uqsax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __UHSAX(uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("uhsax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __USAD8(uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("usad8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __USADA8(uint32_t op1, uint32_t op2, uint32_t op3)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("usada8 %0, %1, %2, %3" : "=r" (result) : "r" (op1), "r" (op2), "r" (op3) );\r
-  return(result);\r
-}\r
-\r
-#define __SSAT16(ARG1,ARG2) \\r
-({                          \\r
-  int32_t __RES, __ARG1 = (ARG1); \\r
-  __ASM ("ssat16 %0, %1, %2" : "=r" (__RES) :  "I" (ARG2), "r" (__ARG1) ); \\r
-  __RES; \\r
- })\r
-\r
-#define __USAT16(ARG1,ARG2) \\r
-({                          \\r
-  uint32_t __RES, __ARG1 = (ARG1); \\r
-  __ASM ("usat16 %0, %1, %2" : "=r" (__RES) :  "I" (ARG2), "r" (__ARG1) ); \\r
-  __RES; \\r
- })\r
-\r
-__STATIC_FORCEINLINE uint32_t __UXTB16(uint32_t op1)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("uxtb16 %0, %1" : "=r" (result) : "r" (op1));\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __UXTAB16(uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("uxtab16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __SXTB16(uint32_t op1)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("sxtb16 %0, %1" : "=r" (result) : "r" (op1));\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __SXTAB16(uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("sxtab16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __SMUAD  (uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("smuad %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __SMUADX (uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("smuadx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __SMLAD (uint32_t op1, uint32_t op2, uint32_t op3)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("smlad %0, %1, %2, %3" : "=r" (result) : "r" (op1), "r" (op2), "r" (op3) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __SMLADX (uint32_t op1, uint32_t op2, uint32_t op3)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("smladx %0, %1, %2, %3" : "=r" (result) : "r" (op1), "r" (op2), "r" (op3) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint64_t __SMLALD (uint32_t op1, uint32_t op2, uint64_t acc)\r
-{\r
-  union llreg_u{\r
-    uint32_t w32[2];\r
-    uint64_t w64;\r
-  } llr;\r
-  llr.w64 = acc;\r
-\r
-#ifndef __ARMEB__   /* Little endian */\r
-  __ASM volatile ("smlald %0, %1, %2, %3" : "=r" (llr.w32[0]), "=r" (llr.w32[1]): "r" (op1), "r" (op2) , "0" (llr.w32[0]), "1" (llr.w32[1]) );\r
-#else               /* Big endian */\r
-  __ASM volatile ("smlald %0, %1, %2, %3" : "=r" (llr.w32[1]), "=r" (llr.w32[0]): "r" (op1), "r" (op2) , "0" (llr.w32[1]), "1" (llr.w32[0]) );\r
-#endif\r
-\r
-  return(llr.w64);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint64_t __SMLALDX (uint32_t op1, uint32_t op2, uint64_t acc)\r
-{\r
-  union llreg_u{\r
-    uint32_t w32[2];\r
-    uint64_t w64;\r
-  } llr;\r
-  llr.w64 = acc;\r
-\r
-#ifndef __ARMEB__   /* Little endian */\r
-  __ASM volatile ("smlaldx %0, %1, %2, %3" : "=r" (llr.w32[0]), "=r" (llr.w32[1]): "r" (op1), "r" (op2) , "0" (llr.w32[0]), "1" (llr.w32[1]) );\r
-#else               /* Big endian */\r
-  __ASM volatile ("smlaldx %0, %1, %2, %3" : "=r" (llr.w32[1]), "=r" (llr.w32[0]): "r" (op1), "r" (op2) , "0" (llr.w32[1]), "1" (llr.w32[0]) );\r
-#endif\r
-\r
-  return(llr.w64);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __SMUSD  (uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("smusd %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __SMUSDX (uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("smusdx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __SMLSD (uint32_t op1, uint32_t op2, uint32_t op3)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("smlsd %0, %1, %2, %3" : "=r" (result) : "r" (op1), "r" (op2), "r" (op3) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __SMLSDX (uint32_t op1, uint32_t op2, uint32_t op3)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("smlsdx %0, %1, %2, %3" : "=r" (result) : "r" (op1), "r" (op2), "r" (op3) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint64_t __SMLSLD (uint32_t op1, uint32_t op2, uint64_t acc)\r
-{\r
-  union llreg_u{\r
-    uint32_t w32[2];\r
-    uint64_t w64;\r
-  } llr;\r
-  llr.w64 = acc;\r
-\r
-#ifndef __ARMEB__   /* Little endian */\r
-  __ASM volatile ("smlsld %0, %1, %2, %3" : "=r" (llr.w32[0]), "=r" (llr.w32[1]): "r" (op1), "r" (op2) , "0" (llr.w32[0]), "1" (llr.w32[1]) );\r
-#else               /* Big endian */\r
-  __ASM volatile ("smlsld %0, %1, %2, %3" : "=r" (llr.w32[1]), "=r" (llr.w32[0]): "r" (op1), "r" (op2) , "0" (llr.w32[1]), "1" (llr.w32[0]) );\r
-#endif\r
-\r
-  return(llr.w64);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint64_t __SMLSLDX (uint32_t op1, uint32_t op2, uint64_t acc)\r
-{\r
-  union llreg_u{\r
-    uint32_t w32[2];\r
-    uint64_t w64;\r
-  } llr;\r
-  llr.w64 = acc;\r
-\r
-#ifndef __ARMEB__   /* Little endian */\r
-  __ASM volatile ("smlsldx %0, %1, %2, %3" : "=r" (llr.w32[0]), "=r" (llr.w32[1]): "r" (op1), "r" (op2) , "0" (llr.w32[0]), "1" (llr.w32[1]) );\r
-#else               /* Big endian */\r
-  __ASM volatile ("smlsldx %0, %1, %2, %3" : "=r" (llr.w32[1]), "=r" (llr.w32[0]): "r" (op1), "r" (op2) , "0" (llr.w32[1]), "1" (llr.w32[0]) );\r
-#endif\r
-\r
-  return(llr.w64);\r
-}\r
-\r
-__STATIC_FORCEINLINE uint32_t __SEL  (uint32_t op1, uint32_t op2)\r
-{\r
-  uint32_t result;\r
-\r
-  __ASM volatile ("sel %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE  int32_t __QADD( int32_t op1,  int32_t op2)\r
-{\r
-  int32_t result;\r
-\r
-  __ASM volatile ("qadd %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-__STATIC_FORCEINLINE  int32_t __QSUB( int32_t op1,  int32_t op2)\r
-{\r
-  int32_t result;\r
-\r
-  __ASM volatile ("qsub %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );\r
-  return(result);\r
-}\r
-\r
-#if 0\r
-#define __PKHBT(ARG1,ARG2,ARG3) \\r
-({                          \\r
-  uint32_t __RES, __ARG1 = (ARG1), __ARG2 = (ARG2); \\r
-  __ASM ("pkhbt %0, %1, %2, lsl %3" : "=r" (__RES) :  "r" (__ARG1), "r" (__ARG2), "I" (ARG3)  ); \\r
-  __RES; \\r
- })\r
-\r
-#define __PKHTB(ARG1,ARG2,ARG3) \\r
-({                          \\r
-  uint32_t __RES, __ARG1 = (ARG1), __ARG2 = (ARG2); \\r
-  if (ARG3 == 0) \\r
-    __ASM ("pkhtb %0, %1, %2" : "=r" (__RES) :  "r" (__ARG1), "r" (__ARG2)  ); \\r
-  else \\r
-    __ASM ("pkhtb %0, %1, %2, asr %3" : "=r" (__RES) :  "r" (__ARG1), "r" (__ARG2), "I" (ARG3)  ); \\r
-  __RES; \\r
- })\r
-#endif\r
+#define     __SADD8                 __builtin_arm_sadd8\r
+#define     __QADD8                 __builtin_arm_qadd8\r
+#define     __SHADD8                __builtin_arm_shadd8\r
+#define     __UADD8                 __builtin_arm_uadd8\r
+#define     __UQADD8                __builtin_arm_uqadd8\r
+#define     __UHADD8                __builtin_arm_uhadd8\r
+#define     __SSUB8                 __builtin_arm_ssub8\r
+#define     __QSUB8                 __builtin_arm_qsub8\r
+#define     __SHSUB8                __builtin_arm_shsub8\r
+#define     __USUB8                 __builtin_arm_usub8\r
+#define     __UQSUB8                __builtin_arm_uqsub8\r
+#define     __UHSUB8                __builtin_arm_uhsub8\r
+#define     __SADD16                __builtin_arm_sadd16\r
+#define     __QADD16                __builtin_arm_qadd16\r
+#define     __SHADD16               __builtin_arm_shadd16\r
+#define     __UADD16                __builtin_arm_uadd16\r
+#define     __UQADD16               __builtin_arm_uqadd16\r
+#define     __UHADD16               __builtin_arm_uhadd16\r
+#define     __SSUB16                __builtin_arm_ssub16\r
+#define     __QSUB16                __builtin_arm_qsub16\r
+#define     __SHSUB16               __builtin_arm_shsub16\r
+#define     __USUB16                __builtin_arm_usub16\r
+#define     __UQSUB16               __builtin_arm_uqsub16\r
+#define     __UHSUB16               __builtin_arm_uhsub16\r
+#define     __SASX                  __builtin_arm_sasx\r
+#define     __QASX                  __builtin_arm_qasx\r
+#define     __SHASX                 __builtin_arm_shasx\r
+#define     __UASX                  __builtin_arm_uasx\r
+#define     __UQASX                 __builtin_arm_uqasx\r
+#define     __UHASX                 __builtin_arm_uhasx\r
+#define     __SSAX                  __builtin_arm_ssax\r
+#define     __QSAX                  __builtin_arm_qsax\r
+#define     __SHSAX                 __builtin_arm_shsax\r
+#define     __USAX                  __builtin_arm_usax\r
+#define     __UQSAX                 __builtin_arm_uqsax\r
+#define     __UHSAX                 __builtin_arm_uhsax\r
+#define     __USAD8                 __builtin_arm_usad8\r
+#define     __USADA8                __builtin_arm_usada8\r
+#define     __SSAT16                __builtin_arm_ssat16\r
+#define     __USAT16                __builtin_arm_usat16\r
+#define     __UXTB16                __builtin_arm_uxtb16\r
+#define     __UXTAB16               __builtin_arm_uxtab16\r
+#define     __SXTB16                __builtin_arm_sxtb16\r
+#define     __SXTAB16               __builtin_arm_sxtab16\r
+#define     __SMUAD                 __builtin_arm_smuad\r
+#define     __SMUADX                __builtin_arm_smuadx\r
+#define     __SMLAD                 __builtin_arm_smlad\r
+#define     __SMLADX                __builtin_arm_smladx\r
+#define     __SMLALD                __builtin_arm_smlald\r
+#define     __SMLALDX               __builtin_arm_smlaldx\r
+#define     __SMUSD                 __builtin_arm_smusd\r
+#define     __SMUSDX                __builtin_arm_smusdx\r
+#define     __SMLSD                 __builtin_arm_smlsd\r
+#define     __SMLSDX                __builtin_arm_smlsdx\r
+#define     __SMLSLD                __builtin_arm_smlsld\r
+#define     __SMLSLDX               __builtin_arm_smlsldx\r
+#define     __SEL                   __builtin_arm_sel\r
+#define     __QADD                  __builtin_arm_qadd\r
+#define     __QSUB                  __builtin_arm_qsub\r
 \r
 #define __PKHBT(ARG1,ARG2,ARG3)          ( ((((uint32_t)(ARG1))          ) & 0x0000FFFFUL) |  \\r
                                            ((((uint32_t)(ARG2)) << (ARG3)) & 0xFFFF0000UL)  )\r
diff --git a/FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/CMSIS/cmsis_armclang_ltm.h b/FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/CMSIS/cmsis_armclang_ltm.h
new file mode 100644 (file)
index 0000000..e4002a3
--- /dev/null
@@ -0,0 +1,1866 @@
+/**************************************************************************//**
+ * @file     cmsis_armclang_ltm.h
+ * @brief    CMSIS compiler armclang (Arm Compiler 6) header file
+ * @version  V1.0.1
+ * @date     19. March 2019
+ ******************************************************************************/
+/*
+ * Copyright (c) 2018-2019 Arm Limited. All rights reserved.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ *
+ * Licensed under the Apache License, Version 2.0 (the License); you may
+ * not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ * www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an AS IS BASIS, WITHOUT
+ * WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ */
+
+/*lint -esym(9058, IRQn)*/ /* disable MISRA 2012 Rule 2.4 for IRQn */
+
+#ifndef __CMSIS_ARMCLANG_H
+#define __CMSIS_ARMCLANG_H
+
+#pragma clang system_header   /* treat file as system include file */
+
+#ifndef __ARM_COMPAT_H
+#include <arm_compat.h>    /* Compatibility header for Arm Compiler 5 intrinsics */
+#endif
+
+/* CMSIS compiler specific defines */
+#ifndef   __ASM
+  #define __ASM                                  __asm
+#endif
+#ifndef   __INLINE
+  #define __INLINE                               __inline
+#endif
+#ifndef   __STATIC_INLINE
+  #define __STATIC_INLINE                        static __inline
+#endif
+#ifndef   __STATIC_FORCEINLINE
+  #define __STATIC_FORCEINLINE                   __attribute__((always_inline)) static __inline
+#endif
+#ifndef   __NO_RETURN
+  #define __NO_RETURN                            __attribute__((__noreturn__))
+#endif
+#ifndef   __USED
+  #define __USED                                 __attribute__((used))
+#endif
+#ifndef   __WEAK
+  #define __WEAK                                 __attribute__((weak))
+#endif
+#ifndef   __PACKED
+  #define __PACKED                               __attribute__((packed, aligned(1)))
+#endif
+#ifndef   __PACKED_STRUCT
+  #define __PACKED_STRUCT                        struct __attribute__((packed, aligned(1)))
+#endif
+#ifndef   __PACKED_UNION
+  #define __PACKED_UNION                         union __attribute__((packed, aligned(1)))
+#endif
+#ifndef   __UNALIGNED_UINT32        /* deprecated */
+  #pragma clang diagnostic push
+  #pragma clang diagnostic ignored "-Wpacked"
+/*lint -esym(9058, T_UINT32)*/ /* disable MISRA 2012 Rule 2.4 for T_UINT32 */
+  struct __attribute__((packed)) T_UINT32 { uint32_t v; };
+  #pragma clang diagnostic pop
+  #define __UNALIGNED_UINT32(x)                  (((struct T_UINT32 *)(x))->v)
+#endif
+#ifndef   __UNALIGNED_UINT16_WRITE
+  #pragma clang diagnostic push
+  #pragma clang diagnostic ignored "-Wpacked"
+/*lint -esym(9058, T_UINT16_WRITE)*/ /* disable MISRA 2012 Rule 2.4 for T_UINT16_WRITE */
+  __PACKED_STRUCT T_UINT16_WRITE { uint16_t v; };
+  #pragma clang diagnostic pop
+  #define __UNALIGNED_UINT16_WRITE(addr, val)    (void)((((struct T_UINT16_WRITE *)(void *)(addr))->v) = (val))
+#endif
+#ifndef   __UNALIGNED_UINT16_READ
+  #pragma clang diagnostic push
+  #pragma clang diagnostic ignored "-Wpacked"
+/*lint -esym(9058, T_UINT16_READ)*/ /* disable MISRA 2012 Rule 2.4 for T_UINT16_READ */
+  __PACKED_STRUCT T_UINT16_READ { uint16_t v; };
+  #pragma clang diagnostic pop
+  #define __UNALIGNED_UINT16_READ(addr)          (((const struct T_UINT16_READ *)(const void *)(addr))->v)
+#endif
+#ifndef   __UNALIGNED_UINT32_WRITE
+  #pragma clang diagnostic push
+  #pragma clang diagnostic ignored "-Wpacked"
+/*lint -esym(9058, T_UINT32_WRITE)*/ /* disable MISRA 2012 Rule 2.4 for T_UINT32_WRITE */
+  __PACKED_STRUCT T_UINT32_WRITE { uint32_t v; };
+  #pragma clang diagnostic pop
+  #define __UNALIGNED_UINT32_WRITE(addr, val)    (void)((((struct T_UINT32_WRITE *)(void *)(addr))->v) = (val))
+#endif
+#ifndef   __UNALIGNED_UINT32_READ
+  #pragma clang diagnostic push
+  #pragma clang diagnostic ignored "-Wpacked"
+/*lint -esym(9058, T_UINT32_READ)*/ /* disable MISRA 2012 Rule 2.4 for T_UINT32_READ */
+  __PACKED_STRUCT T_UINT32_READ { uint32_t v; };
+  #pragma clang diagnostic pop
+  #define __UNALIGNED_UINT32_READ(addr)          (((const struct T_UINT32_READ *)(const void *)(addr))->v)
+#endif
+#ifndef   __ALIGNED
+  #define __ALIGNED(x)                           __attribute__((aligned(x)))
+#endif
+#ifndef   __RESTRICT
+  #define __RESTRICT                             __restrict
+#endif
+
+
+/* ###########################  Core Function Access  ########################### */
+/** \ingroup  CMSIS_Core_FunctionInterface
+    \defgroup CMSIS_Core_RegAccFunctions CMSIS Core Register Access Functions
+  @{
+ */
+
+/**
+  \brief   Enable IRQ Interrupts
+  \details Enables IRQ interrupts by clearing the I-bit in the CPSR.
+           Can only be executed in Privileged modes.
+ */
+/* intrinsic void __enable_irq();  see arm_compat.h */
+
+
+/**
+  \brief   Disable IRQ Interrupts
+  \details Disables IRQ interrupts by setting the I-bit in the CPSR.
+           Can only be executed in Privileged modes.
+ */
+/* intrinsic void __disable_irq();  see arm_compat.h */
+
+
+/**
+  \brief   Get Control Register
+  \details Returns the content of the Control Register.
+  \return               Control Register value
+ */
+__STATIC_FORCEINLINE uint32_t __get_CONTROL(void)
+{
+  uint32_t result;
+
+  __ASM volatile ("MRS %0, control" : "=r" (result) );
+  return(result);
+}
+
+
+#if (defined (__ARM_FEATURE_CMSE ) && (__ARM_FEATURE_CMSE == 3))
+/**
+  \brief   Get Control Register (non-secure)
+  \details Returns the content of the non-secure Control Register when in secure mode.
+  \return               non-secure Control Register value
+ */
+__STATIC_FORCEINLINE uint32_t __TZ_get_CONTROL_NS(void)
+{
+  uint32_t result;
+
+  __ASM volatile ("MRS %0, control_ns" : "=r" (result) );
+  return(result);
+}
+#endif
+
+
+/**
+  \brief   Set Control Register
+  \details Writes the given value to the Control Register.
+  \param [in]    control  Control Register value to set
+ */
+__STATIC_FORCEINLINE void __set_CONTROL(uint32_t control)
+{
+  __ASM volatile ("MSR control, %0" : : "r" (control) : "memory");
+}
+
+
+#if (defined (__ARM_FEATURE_CMSE ) && (__ARM_FEATURE_CMSE == 3))
+/**
+  \brief   Set Control Register (non-secure)
+  \details Writes the given value to the non-secure Control Register when in secure state.
+  \param [in]    control  Control Register value to set
+ */
+__STATIC_FORCEINLINE void __TZ_set_CONTROL_NS(uint32_t control)
+{
+  __ASM volatile ("MSR control_ns, %0" : : "r" (control) : "memory");
+}
+#endif
+
+
+/**
+  \brief   Get IPSR Register
+  \details Returns the content of the IPSR Register.
+  \return               IPSR Register value
+ */
+__STATIC_FORCEINLINE uint32_t __get_IPSR(void)
+{
+  uint32_t result;
+
+  __ASM volatile ("MRS %0, ipsr" : "=r" (result) );
+  return(result);
+}
+
+
+/**
+  \brief   Get APSR Register
+  \details Returns the content of the APSR Register.
+  \return               APSR Register value
+ */
+__STATIC_FORCEINLINE uint32_t __get_APSR(void)
+{
+  uint32_t result;
+
+  __ASM volatile ("MRS %0, apsr" : "=r" (result) );
+  return(result);
+}
+
+
+/**
+  \brief   Get xPSR Register
+  \details Returns the content of the xPSR Register.
+  \return               xPSR Register value
+ */
+__STATIC_FORCEINLINE uint32_t __get_xPSR(void)
+{
+  uint32_t result;
+
+  __ASM volatile ("MRS %0, xpsr" : "=r" (result) );
+  return(result);
+}
+
+
+/**
+  \brief   Get Process Stack Pointer
+  \details Returns the current value of the Process Stack Pointer (PSP).
+  \return               PSP Register value
+ */
+__STATIC_FORCEINLINE uint32_t __get_PSP(void)
+{
+  uint32_t result;
+
+  __ASM volatile ("MRS %0, psp"  : "=r" (result) );
+  return(result);
+}
+
+
+#if (defined (__ARM_FEATURE_CMSE ) && (__ARM_FEATURE_CMSE == 3))
+/**
+  \brief   Get Process Stack Pointer (non-secure)
+  \details Returns the current value of the non-secure Process Stack Pointer (PSP) when in secure state.
+  \return               PSP Register value
+ */
+__STATIC_FORCEINLINE uint32_t __TZ_get_PSP_NS(void)
+{
+  uint32_t result;
+
+  __ASM volatile ("MRS %0, psp_ns"  : "=r" (result) );
+  return(result);
+}
+#endif
+
+
+/**
+  \brief   Set Process Stack Pointer
+  \details Assigns the given value to the Process Stack Pointer (PSP).
+  \param [in]    topOfProcStack  Process Stack Pointer value to set
+ */
+__STATIC_FORCEINLINE void __set_PSP(uint32_t topOfProcStack)
+{
+  __ASM volatile ("MSR psp, %0" : : "r" (topOfProcStack) : );
+}
+
+
+#if (defined (__ARM_FEATURE_CMSE ) && (__ARM_FEATURE_CMSE == 3))
+/**
+  \brief   Set Process Stack Pointer (non-secure)
+  \details Assigns the given value to the non-secure Process Stack Pointer (PSP) when in secure state.
+  \param [in]    topOfProcStack  Process Stack Pointer value to set
+ */
+__STATIC_FORCEINLINE void __TZ_set_PSP_NS(uint32_t topOfProcStack)
+{
+  __ASM volatile ("MSR psp_ns, %0" : : "r" (topOfProcStack) : );
+}
+#endif
+
+
+/**
+  \brief   Get Main Stack Pointer
+  \details Returns the current value of the Main Stack Pointer (MSP).
+  \return               MSP Register value
+ */
+__STATIC_FORCEINLINE uint32_t __get_MSP(void)
+{
+  uint32_t result;
+
+  __ASM volatile ("MRS %0, msp" : "=r" (result) );
+  return(result);
+}
+
+
+#if (defined (__ARM_FEATURE_CMSE ) && (__ARM_FEATURE_CMSE == 3))
+/**
+  \brief   Get Main Stack Pointer (non-secure)
+  \details Returns the current value of the non-secure Main Stack Pointer (MSP) when in secure state.
+  \return               MSP Register value
+ */
+__STATIC_FORCEINLINE uint32_t __TZ_get_MSP_NS(void)
+{
+  uint32_t result;
+
+  __ASM volatile ("MRS %0, msp_ns" : "=r" (result) );
+  return(result);
+}
+#endif
+
+
+/**
+  \brief   Set Main Stack Pointer
+  \details Assigns the given value to the Main Stack Pointer (MSP).
+  \param [in]    topOfMainStack  Main Stack Pointer value to set
+ */
+__STATIC_FORCEINLINE void __set_MSP(uint32_t topOfMainStack)
+{
+  __ASM volatile ("MSR msp, %0" : : "r" (topOfMainStack) : );
+}
+
+
+#if (defined (__ARM_FEATURE_CMSE ) && (__ARM_FEATURE_CMSE == 3))
+/**
+  \brief   Set Main Stack Pointer (non-secure)
+  \details Assigns the given value to the non-secure Main Stack Pointer (MSP) when in secure state.
+  \param [in]    topOfMainStack  Main Stack Pointer value to set
+ */
+__STATIC_FORCEINLINE void __TZ_set_MSP_NS(uint32_t topOfMainStack)
+{
+  __ASM volatile ("MSR msp_ns, %0" : : "r" (topOfMainStack) : );
+}
+#endif
+
+
+#if (defined (__ARM_FEATURE_CMSE ) && (__ARM_FEATURE_CMSE == 3))
+/**
+  \brief   Get Stack Pointer (non-secure)
+  \details Returns the current value of the non-secure Stack Pointer (SP) when in secure state.
+  \return               SP Register value
+ */
+__STATIC_FORCEINLINE uint32_t __TZ_get_SP_NS(void)
+{
+  uint32_t result;
+
+  __ASM volatile ("MRS %0, sp_ns" : "=r" (result) );
+  return(result);
+}
+
+
+/**
+  \brief   Set Stack Pointer (non-secure)
+  \details Assigns the given value to the non-secure Stack Pointer (SP) when in secure state.
+  \param [in]    topOfStack  Stack Pointer value to set
+ */
+__STATIC_FORCEINLINE void __TZ_set_SP_NS(uint32_t topOfStack)
+{
+  __ASM volatile ("MSR sp_ns, %0" : : "r" (topOfStack) : );
+}
+#endif
+
+
+/**
+  \brief   Get Priority Mask
+  \details Returns the current state of the priority mask bit from the Priority Mask Register.
+  \return               Priority Mask value
+ */
+__STATIC_FORCEINLINE uint32_t __get_PRIMASK(void)
+{
+  uint32_t result;
+
+  __ASM volatile ("MRS %0, primask" : "=r" (result) );
+  return(result);
+}
+
+
+#if (defined (__ARM_FEATURE_CMSE ) && (__ARM_FEATURE_CMSE == 3))
+/**
+  \brief   Get Priority Mask (non-secure)
+  \details Returns the current state of the non-secure priority mask bit from the Priority Mask Register when in secure state.
+  \return               Priority Mask value
+ */
+__STATIC_FORCEINLINE uint32_t __TZ_get_PRIMASK_NS(void)
+{
+  uint32_t result;
+
+  __ASM volatile ("MRS %0, primask_ns" : "=r" (result) );
+  return(result);
+}
+#endif
+
+
+/**
+  \brief   Set Priority Mask
+  \details Assigns the given value to the Priority Mask Register.
+  \param [in]    priMask  Priority Mask
+ */
+__STATIC_FORCEINLINE void __set_PRIMASK(uint32_t priMask)
+{
+  __ASM volatile ("MSR primask, %0" : : "r" (priMask) : "memory");
+}
+
+
+#if (defined (__ARM_FEATURE_CMSE ) && (__ARM_FEATURE_CMSE == 3))
+/**
+  \brief   Set Priority Mask (non-secure)
+  \details Assigns the given value to the non-secure Priority Mask Register when in secure state.
+  \param [in]    priMask  Priority Mask
+ */
+__STATIC_FORCEINLINE void __TZ_set_PRIMASK_NS(uint32_t priMask)
+{
+  __ASM volatile ("MSR primask_ns, %0" : : "r" (priMask) : "memory");
+}
+#endif
+
+
+#if ((defined (__ARM_ARCH_7M__      ) && (__ARM_ARCH_7M__      == 1)) || \
+     (defined (__ARM_ARCH_7EM__     ) && (__ARM_ARCH_7EM__     == 1)) || \
+     (defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1))    )
+/**
+  \brief   Enable FIQ
+  \details Enables FIQ interrupts by clearing the F-bit in the CPSR.
+           Can only be executed in Privileged modes.
+ */
+#define __enable_fault_irq                __enable_fiq   /* see arm_compat.h */
+
+
+/**
+  \brief   Disable FIQ
+  \details Disables FIQ interrupts by setting the F-bit in the CPSR.
+           Can only be executed in Privileged modes.
+ */
+#define __disable_fault_irq               __disable_fiq   /* see arm_compat.h */
+
+
+/**
+  \brief   Get Base Priority
+  \details Returns the current value of the Base Priority register.
+  \return               Base Priority register value
+ */
+__STATIC_FORCEINLINE uint32_t __get_BASEPRI(void)
+{
+  uint32_t result;
+
+  __ASM volatile ("MRS %0, basepri" : "=r" (result) );
+  return(result);
+}
+
+
+#if (defined (__ARM_FEATURE_CMSE ) && (__ARM_FEATURE_CMSE == 3))
+/**
+  \brief   Get Base Priority (non-secure)
+  \details Returns the current value of the non-secure Base Priority register when in secure state.
+  \return               Base Priority register value
+ */
+__STATIC_FORCEINLINE uint32_t __TZ_get_BASEPRI_NS(void)
+{
+  uint32_t result;
+
+  __ASM volatile ("MRS %0, basepri_ns" : "=r" (result) );
+  return(result);
+}
+#endif
+
+
+/**
+  \brief   Set Base Priority
+  \details Assigns the given value to the Base Priority register.
+  \param [in]    basePri  Base Priority value to set
+ */
+__STATIC_FORCEINLINE void __set_BASEPRI(uint32_t basePri)
+{
+  __ASM volatile ("MSR basepri, %0" : : "r" (basePri) : "memory");
+}
+
+
+#if (defined (__ARM_FEATURE_CMSE ) && (__ARM_FEATURE_CMSE == 3))
+/**
+  \brief   Set Base Priority (non-secure)
+  \details Assigns the given value to the non-secure Base Priority register when in secure state.
+  \param [in]    basePri  Base Priority value to set
+ */
+__STATIC_FORCEINLINE void __TZ_set_BASEPRI_NS(uint32_t basePri)
+{
+  __ASM volatile ("MSR basepri_ns, %0" : : "r" (basePri) : "memory");
+}
+#endif
+
+
+/**
+  \brief   Set Base Priority with condition
+  \details Assigns the given value to the Base Priority register only if BASEPRI masking is disabled,
+           or the new value increases the BASEPRI priority level.
+  \param [in]    basePri  Base Priority value to set
+ */
+__STATIC_FORCEINLINE void __set_BASEPRI_MAX(uint32_t basePri)
+{
+  __ASM volatile ("MSR basepri_max, %0" : : "r" (basePri) : "memory");
+}
+
+
+/**
+  \brief   Get Fault Mask
+  \details Returns the current value of the Fault Mask register.
+  \return               Fault Mask register value
+ */
+__STATIC_FORCEINLINE uint32_t __get_FAULTMASK(void)
+{
+  uint32_t result;
+
+  __ASM volatile ("MRS %0, faultmask" : "=r" (result) );
+  return(result);
+}
+
+
+#if (defined (__ARM_FEATURE_CMSE ) && (__ARM_FEATURE_CMSE == 3))
+/**
+  \brief   Get Fault Mask (non-secure)
+  \details Returns the current value of the non-secure Fault Mask register when in secure state.
+  \return               Fault Mask register value
+ */
+__STATIC_FORCEINLINE uint32_t __TZ_get_FAULTMASK_NS(void)
+{
+  uint32_t result;
+
+  __ASM volatile ("MRS %0, faultmask_ns" : "=r" (result) );
+  return(result);
+}
+#endif
+
+
+/**
+  \brief   Set Fault Mask
+  \details Assigns the given value to the Fault Mask register.
+  \param [in]    faultMask  Fault Mask value to set
+ */
+__STATIC_FORCEINLINE void __set_FAULTMASK(uint32_t faultMask)
+{
+  __ASM volatile ("MSR faultmask, %0" : : "r" (faultMask) : "memory");
+}
+
+
+#if (defined (__ARM_FEATURE_CMSE ) && (__ARM_FEATURE_CMSE == 3))
+/**
+  \brief   Set Fault Mask (non-secure)
+  \details Assigns the given value to the non-secure Fault Mask register when in secure state.
+  \param [in]    faultMask  Fault Mask value to set
+ */
+__STATIC_FORCEINLINE void __TZ_set_FAULTMASK_NS(uint32_t faultMask)
+{
+  __ASM volatile ("MSR faultmask_ns, %0" : : "r" (faultMask) : "memory");
+}
+#endif
+
+#endif /* ((defined (__ARM_ARCH_7M__      ) && (__ARM_ARCH_7M__      == 1)) || \
+           (defined (__ARM_ARCH_7EM__     ) && (__ARM_ARCH_7EM__     == 1)) || \
+           (defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1))    ) */
+
+
+#if ((defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1)) || \
+     (defined (__ARM_ARCH_8M_BASE__ ) && (__ARM_ARCH_8M_BASE__ == 1))    )
+
+/**
+  \brief   Get Process Stack Pointer Limit
+  Devices without ARMv8-M Main Extensions (i.e. Cortex-M23) lack the non-secure
+  Stack Pointer Limit register hence zero is returned always in non-secure
+  mode.
+  
+  \details Returns the current value of the Process Stack Pointer Limit (PSPLIM).
+  \return               PSPLIM Register value
+ */
+__STATIC_FORCEINLINE uint32_t __get_PSPLIM(void)
+{
+#if (!(defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1)) && \
+    (!defined (__ARM_FEATURE_CMSE) || (__ARM_FEATURE_CMSE < 3)))
+    // without main extensions, the non-secure PSPLIM is RAZ/WI
+  return 0U;
+#else
+  uint32_t result;
+  __ASM volatile ("MRS %0, psplim"  : "=r" (result) );
+  return result;
+#endif
+}
+
+#if (defined (__ARM_FEATURE_CMSE) && (__ARM_FEATURE_CMSE == 3))
+/**
+  \brief   Get Process Stack Pointer Limit (non-secure)
+  Devices without ARMv8-M Main Extensions (i.e. Cortex-M23) lack the non-secure
+  Stack Pointer Limit register hence zero is returned always in non-secure
+  mode.
+
+  \details Returns the current value of the non-secure Process Stack Pointer Limit (PSPLIM) when in secure state.
+  \return               PSPLIM Register value
+ */
+__STATIC_FORCEINLINE uint32_t __TZ_get_PSPLIM_NS(void)
+{
+#if (!(defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1)))
+  // without main extensions, the non-secure PSPLIM is RAZ/WI
+  return 0U;
+#else
+  uint32_t result;
+  __ASM volatile ("MRS %0, psplim_ns"  : "=r" (result) );
+  return result;
+#endif
+}
+#endif
+
+
+/**
+  \brief   Set Process Stack Pointer Limit
+  Devices without ARMv8-M Main Extensions (i.e. Cortex-M23) lack the non-secure
+  Stack Pointer Limit register hence the write is silently ignored in non-secure
+  mode.
+  
+  \details Assigns the given value to the Process Stack Pointer Limit (PSPLIM).
+  \param [in]    ProcStackPtrLimit  Process Stack Pointer Limit value to set
+ */
+__STATIC_FORCEINLINE void __set_PSPLIM(uint32_t ProcStackPtrLimit)
+{
+#if (!(defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1)) && \
+    (!defined (__ARM_FEATURE_CMSE) || (__ARM_FEATURE_CMSE < 3)))
+  // without main extensions, the non-secure PSPLIM is RAZ/WI
+  (void)ProcStackPtrLimit;
+#else
+  __ASM volatile ("MSR psplim, %0" : : "r" (ProcStackPtrLimit));
+#endif
+}
+
+
+#if (defined (__ARM_FEATURE_CMSE  ) && (__ARM_FEATURE_CMSE   == 3))
+/**
+  \brief   Set Process Stack Pointer (non-secure)
+  Devices without ARMv8-M Main Extensions (i.e. Cortex-M23) lack the non-secure
+  Stack Pointer Limit register hence the write is silently ignored in non-secure
+  mode.
+
+  \details Assigns the given value to the non-secure Process Stack Pointer Limit (PSPLIM) when in secure state.
+  \param [in]    ProcStackPtrLimit  Process Stack Pointer Limit value to set
+ */
+__STATIC_FORCEINLINE void __TZ_set_PSPLIM_NS(uint32_t ProcStackPtrLimit)
+{
+#if (!(defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1)))
+  // without main extensions, the non-secure PSPLIM is RAZ/WI
+  (void)ProcStackPtrLimit;
+#else
+  __ASM volatile ("MSR psplim_ns, %0\n" : : "r" (ProcStackPtrLimit));
+#endif
+}
+#endif
+
+
+/**
+  \brief   Get Main Stack Pointer Limit
+  Devices without ARMv8-M Main Extensions (i.e. Cortex-M23) lack the non-secure
+  Stack Pointer Limit register hence zero is returned always.
+
+  \details Returns the current value of the Main Stack Pointer Limit (MSPLIM).
+  \return               MSPLIM Register value
+ */
+__STATIC_FORCEINLINE uint32_t __get_MSPLIM(void)
+{
+#if (!(defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1)) && \
+    (!defined (__ARM_FEATURE_CMSE) || (__ARM_FEATURE_CMSE < 3)))
+  // without main extensions, the non-secure MSPLIM is RAZ/WI
+  return 0U;
+#else
+  uint32_t result;
+  __ASM volatile ("MRS %0, msplim" : "=r" (result) );
+  return result;
+#endif
+}
+
+
+#if (defined (__ARM_FEATURE_CMSE  ) && (__ARM_FEATURE_CMSE   == 3))
+/**
+  \brief   Get Main Stack Pointer Limit (non-secure)
+  Devices without ARMv8-M Main Extensions (i.e. Cortex-M23) lack the non-secure
+  Stack Pointer Limit register hence zero is returned always.
+
+  \details Returns the current value of the non-secure Main Stack Pointer Limit(MSPLIM) when in secure state.
+  \return               MSPLIM Register value
+ */
+__STATIC_FORCEINLINE uint32_t __TZ_get_MSPLIM_NS(void)
+{
+#if (!(defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1)))
+  // without main extensions, the non-secure MSPLIM is RAZ/WI
+  return 0U;
+#else
+  uint32_t result;
+  __ASM volatile ("MRS %0, msplim_ns" : "=r" (result) );
+  return result;
+#endif
+}
+#endif
+
+
+/**
+  \brief   Set Main Stack Pointer Limit
+  Devices without ARMv8-M Main Extensions (i.e. Cortex-M23) lack the non-secure
+  Stack Pointer Limit register hence the write is silently ignored.
+
+  \details Assigns the given value to the Main Stack Pointer Limit (MSPLIM).
+  \param [in]    MainStackPtrLimit  Main Stack Pointer Limit value to set
+ */
+__STATIC_FORCEINLINE void __set_MSPLIM(uint32_t MainStackPtrLimit)
+{
+#if (!(defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1)) && \
+    (!defined (__ARM_FEATURE_CMSE) || (__ARM_FEATURE_CMSE < 3)))
+  // without main extensions, the non-secure MSPLIM is RAZ/WI
+  (void)MainStackPtrLimit;
+#else
+  __ASM volatile ("MSR msplim, %0" : : "r" (MainStackPtrLimit));
+#endif
+}
+
+
+#if (defined (__ARM_FEATURE_CMSE  ) && (__ARM_FEATURE_CMSE   == 3))
+/**
+  \brief   Set Main Stack Pointer Limit (non-secure)
+  Devices without ARMv8-M Main Extensions (i.e. Cortex-M23) lack the non-secure
+  Stack Pointer Limit register hence the write is silently ignored.
+
+  \details Assigns the given value to the non-secure Main Stack Pointer Limit (MSPLIM) when in secure state.
+  \param [in]    MainStackPtrLimit  Main Stack Pointer value to set
+ */
+__STATIC_FORCEINLINE void __TZ_set_MSPLIM_NS(uint32_t MainStackPtrLimit)
+{
+#if (!(defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1)))
+  // without main extensions, the non-secure MSPLIM is RAZ/WI
+  (void)MainStackPtrLimit;
+#else
+  __ASM volatile ("MSR msplim_ns, %0" : : "r" (MainStackPtrLimit));
+#endif
+}
+#endif
+
+#endif /* ((defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1)) || \
+           (defined (__ARM_ARCH_8M_BASE__ ) && (__ARM_ARCH_8M_BASE__ == 1))    ) */
+
+/**
+  \brief   Get FPSCR
+  \details Returns the current value of the Floating Point Status/Control register.
+  \return               Floating Point Status/Control register value
+ */
+#if ((defined (__FPU_PRESENT) && (__FPU_PRESENT == 1U)) && \
+     (defined (__FPU_USED   ) && (__FPU_USED    == 1U))     )
+#define __get_FPSCR      (uint32_t)__builtin_arm_get_fpscr
+#else
+#define __get_FPSCR()      ((uint32_t)0U)
+#endif
+
+/**
+  \brief   Set FPSCR
+  \details Assigns the given value to the Floating Point Status/Control register.
+  \param [in]    fpscr  Floating Point Status/Control value to set
+ */
+#if ((defined (__FPU_PRESENT) && (__FPU_PRESENT == 1U)) && \
+     (defined (__FPU_USED   ) && (__FPU_USED    == 1U))     )
+#define __set_FPSCR      __builtin_arm_set_fpscr
+#else
+#define __set_FPSCR(x)      ((void)(x))
+#endif
+
+
+/*@} end of CMSIS_Core_RegAccFunctions */
+
+
+/* ##########################  Core Instruction Access  ######################### */
+/** \defgroup CMSIS_Core_InstructionInterface CMSIS Core Instruction Interface
+  Access to dedicated instructions
+  @{
+*/
+
+/* Define macros for porting to both thumb1 and thumb2.
+ * For thumb1, use low register (r0-r7), specified by constraint "l"
+ * Otherwise, use general registers, specified by constraint "r" */
+#if defined (__thumb__) && !defined (__thumb2__)
+#define __CMSIS_GCC_OUT_REG(r) "=l" (r)
+#define __CMSIS_GCC_USE_REG(r) "l" (r)
+#else
+#define __CMSIS_GCC_OUT_REG(r) "=r" (r)
+#define __CMSIS_GCC_USE_REG(r) "r" (r)
+#endif
+
+/**
+  \brief   No Operation
+  \details No Operation does nothing. This instruction can be used for code alignment purposes.
+ */
+#define __NOP          __builtin_arm_nop
+
+/**
+  \brief   Wait For Interrupt
+  \details Wait For Interrupt is a hint instruction that suspends execution until one of a number of events occurs.
+ */
+#define __WFI          __builtin_arm_wfi
+
+
+/**
+  \brief   Wait For Event
+  \details Wait For Event is a hint instruction that permits the processor to enter
+           a low-power state until one of a number of events occurs.
+ */
+#define __WFE          __builtin_arm_wfe
+
+
+/**
+  \brief   Send Event
+  \details Send Event is a hint instruction. It causes an event to be signaled to the CPU.
+ */
+#define __SEV          __builtin_arm_sev
+
+
+/**
+  \brief   Instruction Synchronization Barrier
+  \details Instruction Synchronization Barrier flushes the pipeline in the processor,
+           so that all instructions following the ISB are fetched from cache or memory,
+           after the instruction has been completed.
+ */
+#define __ISB()        __builtin_arm_isb(0xF)
+
+/**
+  \brief   Data Synchronization Barrier
+  \details Acts as a special kind of Data Memory Barrier.
+           It completes when all explicit memory accesses before this instruction complete.
+ */
+#define __DSB()        __builtin_arm_dsb(0xF)
+
+
+/**
+  \brief   Data Memory Barrier
+  \details Ensures the apparent order of the explicit memory operations before
+           and after the instruction, without ensuring their completion.
+ */
+#define __DMB()        __builtin_arm_dmb(0xF)
+
+
+/**
+  \brief   Reverse byte order (32 bit)
+  \details Reverses the byte order in unsigned integer value. For example, 0x12345678 becomes 0x78563412.
+  \param [in]    value  Value to reverse
+  \return               Reversed value
+ */
+#define __REV(value)   __builtin_bswap32(value)
+
+
+/**
+  \brief   Reverse byte order (16 bit)
+  \details Reverses the byte order within each halfword of a word. For example, 0x12345678 becomes 0x34127856.
+  \param [in]    value  Value to reverse
+  \return               Reversed value
+ */
+#define __REV16(value) __ROR(__REV(value), 16)
+
+
+/**
+  \brief   Reverse byte order (16 bit)
+  \details Reverses the byte order in a 16-bit value and returns the signed 16-bit result. For example, 0x0080 becomes 0x8000.
+  \param [in]    value  Value to reverse
+  \return               Reversed value
+ */
+#define __REVSH(value) (int16_t)__builtin_bswap16(value)
+
+
+/**
+  \brief   Rotate Right in unsigned value (32 bit)
+  \details Rotate Right (immediate) provides the value of the contents of a register rotated by a variable number of bits.
+  \param [in]    op1  Value to rotate
+  \param [in]    op2  Number of Bits to rotate
+  \return               Rotated value
+ */
+__STATIC_FORCEINLINE uint32_t __ROR(uint32_t op1, uint32_t op2)
+{
+  op2 %= 32U;
+  if (op2 == 0U)
+  {
+    return op1;
+  }
+  return (op1 >> op2) | (op1 << (32U - op2));
+}
+
+
+/**
+  \brief   Breakpoint
+  \details Causes the processor to enter Debug state.
+           Debug tools can use this to investigate system state when the instruction at a particular address is reached.
+  \param [in]    value  is ignored by the processor.
+                 If required, a debugger can use it to store additional information about the breakpoint.
+ */
+#define __BKPT(value)     __ASM volatile ("bkpt "#value)
+
+
+/**
+  \brief   Reverse bit order of value
+  \details Reverses the bit order of the given value.
+  \param [in]    value  Value to reverse
+  \return               Reversed value
+ */
+#define __RBIT            __builtin_arm_rbit
+
+/**
+  \brief   Count leading zeros
+  \details Counts the number of leading zeros of a data value.
+  \param [in]  value  Value to count the leading zeros
+  \return             number of leading zeros in value
+ */
+__STATIC_FORCEINLINE uint8_t __CLZ(uint32_t value)
+{
+  /* Even though __builtin_clz produces a CLZ instruction on ARM, formally
+     __builtin_clz(0) is undefined behaviour, so handle this case specially.
+     This guarantees ARM-compatible results if happening to compile on a non-ARM
+     target, and ensures the compiler doesn't decide to activate any
+     optimisations using the logic "value was passed to __builtin_clz, so it
+     is non-zero".
+     ARM Compiler 6.10 and possibly earlier will optimise this test away, leaving a
+     single CLZ instruction.
+   */
+  if (value == 0U)
+  {
+    return 32U;
+  }
+  return __builtin_clz(value);
+}
+
+
+#if ((defined (__ARM_ARCH_7M__      ) && (__ARM_ARCH_7M__      == 1)) || \
+     (defined (__ARM_ARCH_7EM__     ) && (__ARM_ARCH_7EM__     == 1)) || \
+     (defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1)) || \
+     (defined (__ARM_ARCH_8M_BASE__ ) && (__ARM_ARCH_8M_BASE__ == 1))    )
+/**
+  \brief   LDR Exclusive (8 bit)
+  \details Executes a exclusive LDR instruction for 8 bit value.
+  \param [in]    ptr  Pointer to data
+  \return             value of type uint8_t at (*ptr)
+ */
+#define __LDREXB        (uint8_t)__builtin_arm_ldrex
+
+
+/**
+  \brief   LDR Exclusive (16 bit)
+  \details Executes a exclusive LDR instruction for 16 bit values.
+  \param [in]    ptr  Pointer to data
+  \return        value of type uint16_t at (*ptr)
+ */
+#define __LDREXH        (uint16_t)__builtin_arm_ldrex
+
+
+/**
+  \brief   LDR Exclusive (32 bit)
+  \details Executes a exclusive LDR instruction for 32 bit values.
+  \param [in]    ptr  Pointer to data
+  \return        value of type uint32_t at (*ptr)
+ */
+#define __LDREXW        (uint32_t)__builtin_arm_ldrex
+
+
+/**
+  \brief   STR Exclusive (8 bit)
+  \details Executes a exclusive STR instruction for 8 bit values.
+  \param [in]  value  Value to store
+  \param [in]    ptr  Pointer to location
+  \return          0  Function succeeded
+  \return          1  Function failed
+ */
+#define __STREXB        (uint32_t)__builtin_arm_strex
+
+
+/**
+  \brief   STR Exclusive (16 bit)
+  \details Executes a exclusive STR instruction for 16 bit values.
+  \param [in]  value  Value to store
+  \param [in]    ptr  Pointer to location
+  \return          0  Function succeeded
+  \return          1  Function failed
+ */
+#define __STREXH        (uint32_t)__builtin_arm_strex
+
+
+/**
+  \brief   STR Exclusive (32 bit)
+  \details Executes a exclusive STR instruction for 32 bit values.
+  \param [in]  value  Value to store
+  \param [in]    ptr  Pointer to location
+  \return          0  Function succeeded
+  \return          1  Function failed
+ */
+#define __STREXW        (uint32_t)__builtin_arm_strex
+
+
+/**
+  \brief   Remove the exclusive lock
+  \details Removes the exclusive lock which is created by LDREX.
+ */
+#define __CLREX             __builtin_arm_clrex
+
+#endif /* ((defined (__ARM_ARCH_7M__      ) && (__ARM_ARCH_7M__      == 1)) || \
+           (defined (__ARM_ARCH_7EM__     ) && (__ARM_ARCH_7EM__     == 1)) || \
+           (defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1)) || \
+           (defined (__ARM_ARCH_8M_BASE__ ) && (__ARM_ARCH_8M_BASE__ == 1))    ) */
+
+
+#if ((defined (__ARM_ARCH_7M__      ) && (__ARM_ARCH_7M__      == 1)) || \
+     (defined (__ARM_ARCH_7EM__     ) && (__ARM_ARCH_7EM__     == 1)) || \
+     (defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1))    )
+
+/**
+  \brief   Signed Saturate
+  \details Saturates a signed value.
+  \param [in]  value  Value to be saturated
+  \param [in]    sat  Bit position to saturate to (1..32)
+  \return             Saturated value
+ */
+#define __SSAT             __builtin_arm_ssat
+
+
+/**
+  \brief   Unsigned Saturate
+  \details Saturates an unsigned value.
+  \param [in]  value  Value to be saturated
+  \param [in]    sat  Bit position to saturate to (0..31)
+  \return             Saturated value
+ */
+#define __USAT             __builtin_arm_usat
+
+
+/**
+  \brief   Rotate Right with Extend (32 bit)
+  \details Moves each bit of a bitstring right by one bit.
+           The carry input is shifted in at the left end of the bitstring.
+  \param [in]    value  Value to rotate
+  \return               Rotated value
+ */
+__STATIC_FORCEINLINE uint32_t __RRX(uint32_t value)
+{
+  uint32_t result;
+
+  __ASM volatile ("rrx %0, %1" : __CMSIS_GCC_OUT_REG (result) : __CMSIS_GCC_USE_REG (value) );
+  return(result);
+}
+
+
+/**
+  \brief   LDRT Unprivileged (8 bit)
+  \details Executes a Unprivileged LDRT instruction for 8 bit value.
+  \param [in]    ptr  Pointer to data
+  \return             value of type uint8_t at (*ptr)
+ */
+__STATIC_FORCEINLINE uint8_t __LDRBT(volatile uint8_t *ptr)
+{
+  uint32_t result;
+
+  __ASM volatile ("ldrbt %0, %1" : "=r" (result) : "Q" (*ptr) );
+  return ((uint8_t) result);    /* Add explicit type cast here */
+}
+
+
+/**
+  \brief   LDRT Unprivileged (16 bit)
+  \details Executes a Unprivileged LDRT instruction for 16 bit values.
+  \param [in]    ptr  Pointer to data
+  \return        value of type uint16_t at (*ptr)
+ */
+__STATIC_FORCEINLINE uint16_t __LDRHT(volatile uint16_t *ptr)
+{
+  uint32_t result;
+
+  __ASM volatile ("ldrht %0, %1" : "=r" (result) : "Q" (*ptr) );
+  return ((uint16_t) result);    /* Add explicit type cast here */
+}
+
+
+/**
+  \brief   LDRT Unprivileged (32 bit)
+  \details Executes a Unprivileged LDRT instruction for 32 bit values.
+  \param [in]    ptr  Pointer to data
+  \return        value of type uint32_t at (*ptr)
+ */
+__STATIC_FORCEINLINE uint32_t __LDRT(volatile uint32_t *ptr)
+{
+  uint32_t result;
+
+  __ASM volatile ("ldrt %0, %1" : "=r" (result) : "Q" (*ptr) );
+  return(result);
+}
+
+
+/**
+  \brief   STRT Unprivileged (8 bit)
+  \details Executes a Unprivileged STRT instruction for 8 bit values.
+  \param [in]  value  Value to store
+  \param [in]    ptr  Pointer to location
+ */
+__STATIC_FORCEINLINE void __STRBT(uint8_t value, volatile uint8_t *ptr)
+{
+  __ASM volatile ("strbt %1, %0" : "=Q" (*ptr) : "r" ((uint32_t)value) );
+}
+
+
+/**
+  \brief   STRT Unprivileged (16 bit)
+  \details Executes a Unprivileged STRT instruction for 16 bit values.
+  \param [in]  value  Value to store
+  \param [in]    ptr  Pointer to location
+ */
+__STATIC_FORCEINLINE void __STRHT(uint16_t value, volatile uint16_t *ptr)
+{
+  __ASM volatile ("strht %1, %0" : "=Q" (*ptr) : "r" ((uint32_t)value) );
+}
+
+
+/**
+  \brief   STRT Unprivileged (32 bit)
+  \details Executes a Unprivileged STRT instruction for 32 bit values.
+  \param [in]  value  Value to store
+  \param [in]    ptr  Pointer to location
+ */
+__STATIC_FORCEINLINE void __STRT(uint32_t value, volatile uint32_t *ptr)
+{
+  __ASM volatile ("strt %1, %0" : "=Q" (*ptr) : "r" (value) );
+}
+
+#else  /* ((defined (__ARM_ARCH_7M__      ) && (__ARM_ARCH_7M__      == 1)) || \
+           (defined (__ARM_ARCH_7EM__     ) && (__ARM_ARCH_7EM__     == 1)) || \
+           (defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1))    ) */
+
+/**
+  \brief   Signed Saturate
+  \details Saturates a signed value.
+  \param [in]  value  Value to be saturated
+  \param [in]    sat  Bit position to saturate to (1..32)
+  \return             Saturated value
+ */
+__STATIC_FORCEINLINE int32_t __SSAT(int32_t val, uint32_t sat)
+{
+  if ((sat >= 1U) && (sat <= 32U))
+  {
+    const int32_t max = (int32_t)((1U << (sat - 1U)) - 1U);
+    const int32_t min = -1 - max ;
+    if (val > max)
+    {
+      return max;
+    }
+    else if (val < min)
+    {
+      return min;
+    }
+  }
+  return val;
+}
+
+/**
+  \brief   Unsigned Saturate
+  \details Saturates an unsigned value.
+  \param [in]  value  Value to be saturated
+  \param [in]    sat  Bit position to saturate to (0..31)
+  \return             Saturated value
+ */
+__STATIC_FORCEINLINE uint32_t __USAT(int32_t val, uint32_t sat)
+{
+  if (sat <= 31U)
+  {
+    const uint32_t max = ((1U << sat) - 1U);
+    if (val > (int32_t)max)
+    {
+      return max;
+    }
+    else if (val < 0)
+    {
+      return 0U;
+    }
+  }
+  return (uint32_t)val;
+}
+
+#endif /* ((defined (__ARM_ARCH_7M__      ) && (__ARM_ARCH_7M__      == 1)) || \
+           (defined (__ARM_ARCH_7EM__     ) && (__ARM_ARCH_7EM__     == 1)) || \
+           (defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1))    ) */
+
+
+#if ((defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1)) || \
+     (defined (__ARM_ARCH_8M_BASE__ ) && (__ARM_ARCH_8M_BASE__ == 1))    )
+/**
+  \brief   Load-Acquire (8 bit)
+  \details Executes a LDAB instruction for 8 bit value.
+  \param [in]    ptr  Pointer to data
+  \return             value of type uint8_t at (*ptr)
+ */
+__STATIC_FORCEINLINE uint8_t __LDAB(volatile uint8_t *ptr)
+{
+  uint32_t result;
+
+  __ASM volatile ("ldab %0, %1" : "=r" (result) : "Q" (*ptr) );
+  return ((uint8_t) result);
+}
+
+
+/**
+  \brief   Load-Acquire (16 bit)
+  \details Executes a LDAH instruction for 16 bit values.
+  \param [in]    ptr  Pointer to data
+  \return        value of type uint16_t at (*ptr)
+ */
+__STATIC_FORCEINLINE uint16_t __LDAH(volatile uint16_t *ptr)
+{
+  uint32_t result;
+
+  __ASM volatile ("ldah %0, %1" : "=r" (result) : "Q" (*ptr) );
+  return ((uint16_t) result);
+}
+
+
+/**
+  \brief   Load-Acquire (32 bit)
+  \details Executes a LDA instruction for 32 bit values.
+  \param [in]    ptr  Pointer to data
+  \return        value of type uint32_t at (*ptr)
+ */
+__STATIC_FORCEINLINE uint32_t __LDA(volatile uint32_t *ptr)
+{
+  uint32_t result;
+
+  __ASM volatile ("lda %0, %1" : "=r" (result) : "Q" (*ptr) );
+  return(result);
+}
+
+
+/**
+  \brief   Store-Release (8 bit)
+  \details Executes a STLB instruction for 8 bit values.
+  \param [in]  value  Value to store
+  \param [in]    ptr  Pointer to location
+ */
+__STATIC_FORCEINLINE void __STLB(uint8_t value, volatile uint8_t *ptr)
+{
+  __ASM volatile ("stlb %1, %0" : "=Q" (*ptr) : "r" ((uint32_t)value) );
+}
+
+
+/**
+  \brief   Store-Release (16 bit)
+  \details Executes a STLH instruction for 16 bit values.
+  \param [in]  value  Value to store
+  \param [in]    ptr  Pointer to location
+ */
+__STATIC_FORCEINLINE void __STLH(uint16_t value, volatile uint16_t *ptr)
+{
+  __ASM volatile ("stlh %1, %0" : "=Q" (*ptr) : "r" ((uint32_t)value) );
+}
+
+
+/**
+  \brief   Store-Release (32 bit)
+  \details Executes a STL instruction for 32 bit values.
+  \param [in]  value  Value to store
+  \param [in]    ptr  Pointer to location
+ */
+__STATIC_FORCEINLINE void __STL(uint32_t value, volatile uint32_t *ptr)
+{
+  __ASM volatile ("stl %1, %0" : "=Q" (*ptr) : "r" ((uint32_t)value) );
+}
+
+
+/**
+  \brief   Load-Acquire Exclusive (8 bit)
+  \details Executes a LDAB exclusive instruction for 8 bit value.
+  \param [in]    ptr  Pointer to data
+  \return             value of type uint8_t at (*ptr)
+ */
+#define     __LDAEXB                 (uint8_t)__builtin_arm_ldaex
+
+
+/**
+  \brief   Load-Acquire Exclusive (16 bit)
+  \details Executes a LDAH exclusive instruction for 16 bit values.
+  \param [in]    ptr  Pointer to data
+  \return        value of type uint16_t at (*ptr)
+ */
+#define     __LDAEXH                 (uint16_t)__builtin_arm_ldaex
+
+
+/**
+  \brief   Load-Acquire Exclusive (32 bit)
+  \details Executes a LDA exclusive instruction for 32 bit values.
+  \param [in]    ptr  Pointer to data
+  \return        value of type uint32_t at (*ptr)
+ */
+#define     __LDAEX                  (uint32_t)__builtin_arm_ldaex
+
+
+/**
+  \brief   Store-Release Exclusive (8 bit)
+  \details Executes a STLB exclusive instruction for 8 bit values.
+  \param [in]  value  Value to store
+  \param [in]    ptr  Pointer to location
+  \return          0  Function succeeded
+  \return          1  Function failed
+ */
+#define     __STLEXB                 (uint32_t)__builtin_arm_stlex
+
+
+/**
+  \brief   Store-Release Exclusive (16 bit)
+  \details Executes a STLH exclusive instruction for 16 bit values.
+  \param [in]  value  Value to store
+  \param [in]    ptr  Pointer to location
+  \return          0  Function succeeded
+  \return          1  Function failed
+ */
+#define     __STLEXH                 (uint32_t)__builtin_arm_stlex
+
+
+/**
+  \brief   Store-Release Exclusive (32 bit)
+  \details Executes a STL exclusive instruction for 32 bit values.
+  \param [in]  value  Value to store
+  \param [in]    ptr  Pointer to location
+  \return          0  Function succeeded
+  \return          1  Function failed
+ */
+#define     __STLEX                  (uint32_t)__builtin_arm_stlex
+
+#endif /* ((defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1)) || \
+           (defined (__ARM_ARCH_8M_BASE__ ) && (__ARM_ARCH_8M_BASE__ == 1))    ) */
+
+/*@}*/ /* end of group CMSIS_Core_InstructionInterface */
+
+
+/* ###################  Compiler specific Intrinsics  ########################### */
+/** \defgroup CMSIS_SIMD_intrinsics CMSIS SIMD Intrinsics
+  Access to dedicated SIMD instructions
+  @{
+*/
+
+#if (defined (__ARM_FEATURE_DSP) && (__ARM_FEATURE_DSP == 1))
+
+__STATIC_FORCEINLINE uint32_t __SADD8(uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("sadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __QADD8(uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("qadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __SHADD8(uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("shadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __UADD8(uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("uadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __UQADD8(uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("uqadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __UHADD8(uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("uhadd8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+
+__STATIC_FORCEINLINE uint32_t __SSUB8(uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("ssub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __QSUB8(uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("qsub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __SHSUB8(uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("shsub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __USUB8(uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("usub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __UQSUB8(uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("uqsub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __UHSUB8(uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("uhsub8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+
+__STATIC_FORCEINLINE uint32_t __SADD16(uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("sadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __QADD16(uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("qadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __SHADD16(uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("shadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __UADD16(uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("uadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __UQADD16(uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("uqadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __UHADD16(uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("uhadd16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __SSUB16(uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("ssub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __QSUB16(uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("qsub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __SHSUB16(uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("shsub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __USUB16(uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("usub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __UQSUB16(uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("uqsub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __UHSUB16(uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("uhsub16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __SASX(uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("sasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __QASX(uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("qasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __SHASX(uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("shasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __UASX(uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("uasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __UQASX(uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("uqasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __UHASX(uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("uhasx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __SSAX(uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("ssax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __QSAX(uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("qsax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __SHSAX(uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("shsax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __USAX(uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("usax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __UQSAX(uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("uqsax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __UHSAX(uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("uhsax %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __USAD8(uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("usad8 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __USADA8(uint32_t op1, uint32_t op2, uint32_t op3)
+{
+  uint32_t result;
+
+  __ASM volatile ("usada8 %0, %1, %2, %3" : "=r" (result) : "r" (op1), "r" (op2), "r" (op3) );
+  return(result);
+}
+
+#define __SSAT16(ARG1,ARG2) \
+({                          \
+  int32_t __RES, __ARG1 = (ARG1); \
+  __ASM ("ssat16 %0, %1, %2" : "=r" (__RES) :  "I" (ARG2), "r" (__ARG1) ); \
+  __RES; \
+ })
+
+#define __USAT16(ARG1,ARG2) \
+({                          \
+  uint32_t __RES, __ARG1 = (ARG1); \
+  __ASM ("usat16 %0, %1, %2" : "=r" (__RES) :  "I" (ARG2), "r" (__ARG1) ); \
+  __RES; \
+ })
+
+__STATIC_FORCEINLINE uint32_t __UXTB16(uint32_t op1)
+{
+  uint32_t result;
+
+  __ASM volatile ("uxtb16 %0, %1" : "=r" (result) : "r" (op1));
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __UXTAB16(uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("uxtab16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __SXTB16(uint32_t op1)
+{
+  uint32_t result;
+
+  __ASM volatile ("sxtb16 %0, %1" : "=r" (result) : "r" (op1));
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __SXTAB16(uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("sxtab16 %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __SMUAD  (uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("smuad %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __SMUADX (uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("smuadx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __SMLAD (uint32_t op1, uint32_t op2, uint32_t op3)
+{
+  uint32_t result;
+
+  __ASM volatile ("smlad %0, %1, %2, %3" : "=r" (result) : "r" (op1), "r" (op2), "r" (op3) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __SMLADX (uint32_t op1, uint32_t op2, uint32_t op3)
+{
+  uint32_t result;
+
+  __ASM volatile ("smladx %0, %1, %2, %3" : "=r" (result) : "r" (op1), "r" (op2), "r" (op3) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint64_t __SMLALD (uint32_t op1, uint32_t op2, uint64_t acc)
+{
+  union llreg_u{
+    uint32_t w32[2];
+    uint64_t w64;
+  } llr;
+  llr.w64 = acc;
+
+#ifndef __ARMEB__   /* Little endian */
+  __ASM volatile ("smlald %0, %1, %2, %3" : "=r" (llr.w32[0]), "=r" (llr.w32[1]): "r" (op1), "r" (op2) , "0" (llr.w32[0]), "1" (llr.w32[1]) );
+#else               /* Big endian */
+  __ASM volatile ("smlald %0, %1, %2, %3" : "=r" (llr.w32[1]), "=r" (llr.w32[0]): "r" (op1), "r" (op2) , "0" (llr.w32[1]), "1" (llr.w32[0]) );
+#endif
+
+  return(llr.w64);
+}
+
+__STATIC_FORCEINLINE uint64_t __SMLALDX (uint32_t op1, uint32_t op2, uint64_t acc)
+{
+  union llreg_u{
+    uint32_t w32[2];
+    uint64_t w64;
+  } llr;
+  llr.w64 = acc;
+
+#ifndef __ARMEB__   /* Little endian */
+  __ASM volatile ("smlaldx %0, %1, %2, %3" : "=r" (llr.w32[0]), "=r" (llr.w32[1]): "r" (op1), "r" (op2) , "0" (llr.w32[0]), "1" (llr.w32[1]) );
+#else               /* Big endian */
+  __ASM volatile ("smlaldx %0, %1, %2, %3" : "=r" (llr.w32[1]), "=r" (llr.w32[0]): "r" (op1), "r" (op2) , "0" (llr.w32[1]), "1" (llr.w32[0]) );
+#endif
+
+  return(llr.w64);
+}
+
+__STATIC_FORCEINLINE uint32_t __SMUSD  (uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("smusd %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __SMUSDX (uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("smusdx %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __SMLSD (uint32_t op1, uint32_t op2, uint32_t op3)
+{
+  uint32_t result;
+
+  __ASM volatile ("smlsd %0, %1, %2, %3" : "=r" (result) : "r" (op1), "r" (op2), "r" (op3) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint32_t __SMLSDX (uint32_t op1, uint32_t op2, uint32_t op3)
+{
+  uint32_t result;
+
+  __ASM volatile ("smlsdx %0, %1, %2, %3" : "=r" (result) : "r" (op1), "r" (op2), "r" (op3) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE uint64_t __SMLSLD (uint32_t op1, uint32_t op2, uint64_t acc)
+{
+  union llreg_u{
+    uint32_t w32[2];
+    uint64_t w64;
+  } llr;
+  llr.w64 = acc;
+
+#ifndef __ARMEB__   /* Little endian */
+  __ASM volatile ("smlsld %0, %1, %2, %3" : "=r" (llr.w32[0]), "=r" (llr.w32[1]): "r" (op1), "r" (op2) , "0" (llr.w32[0]), "1" (llr.w32[1]) );
+#else               /* Big endian */
+  __ASM volatile ("smlsld %0, %1, %2, %3" : "=r" (llr.w32[1]), "=r" (llr.w32[0]): "r" (op1), "r" (op2) , "0" (llr.w32[1]), "1" (llr.w32[0]) );
+#endif
+
+  return(llr.w64);
+}
+
+__STATIC_FORCEINLINE uint64_t __SMLSLDX (uint32_t op1, uint32_t op2, uint64_t acc)
+{
+  union llreg_u{
+    uint32_t w32[2];
+    uint64_t w64;
+  } llr;
+  llr.w64 = acc;
+
+#ifndef __ARMEB__   /* Little endian */
+  __ASM volatile ("smlsldx %0, %1, %2, %3" : "=r" (llr.w32[0]), "=r" (llr.w32[1]): "r" (op1), "r" (op2) , "0" (llr.w32[0]), "1" (llr.w32[1]) );
+#else               /* Big endian */
+  __ASM volatile ("smlsldx %0, %1, %2, %3" : "=r" (llr.w32[1]), "=r" (llr.w32[0]): "r" (op1), "r" (op2) , "0" (llr.w32[1]), "1" (llr.w32[0]) );
+#endif
+
+  return(llr.w64);
+}
+
+__STATIC_FORCEINLINE uint32_t __SEL  (uint32_t op1, uint32_t op2)
+{
+  uint32_t result;
+
+  __ASM volatile ("sel %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE  int32_t __QADD( int32_t op1,  int32_t op2)
+{
+  int32_t result;
+
+  __ASM volatile ("qadd %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+__STATIC_FORCEINLINE  int32_t __QSUB( int32_t op1,  int32_t op2)
+{
+  int32_t result;
+
+  __ASM volatile ("qsub %0, %1, %2" : "=r" (result) : "r" (op1), "r" (op2) );
+  return(result);
+}
+
+#define __PKHBT(ARG1,ARG2,ARG3)          ( ((((uint32_t)(ARG1))          ) & 0x0000FFFFUL) |  \
+                                           ((((uint32_t)(ARG2)) << (ARG3)) & 0xFFFF0000UL)  )
+
+#define __PKHTB(ARG1,ARG2,ARG3)          ( ((((uint32_t)(ARG1))          ) & 0xFFFF0000UL) |  \
+                                           ((((uint32_t)(ARG2)) >> (ARG3)) & 0x0000FFFFUL)  )
+
+__STATIC_FORCEINLINE int32_t __SMMLA (int32_t op1, int32_t op2, int32_t op3)
+{
+  int32_t result;
+
+  __ASM volatile ("smmla %0, %1, %2, %3" : "=r" (result): "r"  (op1), "r" (op2), "r" (op3) );
+  return(result);
+}
+
+#endif /* (__ARM_FEATURE_DSP == 1) */
+/*@} end of group CMSIS_SIMD_intrinsics */
+
+
+#endif /* __CMSIS_ARMCLANG_H */
index 79a2cac3639eac8abdc6f0b8f122cceaf8ce41be..2c8bb0458629d1c8b8ca039b6239b0f1e10626b9 100644 (file)
@@ -1,8 +1,8 @@
 /**************************************************************************//**\r
  * @file     cmsis_compiler.h\r
  * @brief    CMSIS compiler generic header file\r
- * @version  V5.0.4\r
- * @date     10. January 2018\r
+ * @version  V5.1.0\r
+ * @date     09. October 2018\r
  ******************************************************************************/\r
 /*\r
  * Copyright (c) 2009-2018 Arm Limited. All rights reserved.\r
 \r
 \r
 /*\r
- * Arm Compiler 6 (armclang)\r
+ * Arm Compiler 6.6 LTM (armclang)\r
  */\r
-#elif defined (__ARMCC_VERSION) && (__ARMCC_VERSION >= 6010050)\r
+#elif defined (__ARMCC_VERSION) && (__ARMCC_VERSION >= 6010050) && (__ARMCC_VERSION < 6100100)\r
+  #include "cmsis_armclang_ltm.h"\r
+\r
+  /*\r
+ * Arm Compiler above 6.10.1 (armclang)\r
+ */\r
+#elif defined (__ARMCC_VERSION) && (__ARMCC_VERSION >= 6100100)\r
   #include "cmsis_armclang.h"\r
 \r
 \r
     #define __ALIGNED(x)                           __attribute__((aligned(x)))\r
   #endif\r
   #ifndef   __RESTRICT\r
-    #warning No compiler specific solution for __RESTRICT. __RESTRICT is ignored.\r
-    #define __RESTRICT\r
+    #define __RESTRICT                             __restrict\r
   #endif\r
 \r
 \r
index a99a35927299d4df7422f71004f383c37f4af77e..6ab4511177a3bff60d501595095c1e2c854aef66 100644 (file)
@@ -1,11 +1,11 @@
 /**************************************************************************//**\r
  * @file     cmsis_gcc.h\r
  * @brief    CMSIS compiler GCC header file\r
- * @version  V5.0.3\r
- * @date     16. January 2018\r
+ * @version  V5.1.0\r
+ * @date     20. December 2018\r
  ******************************************************************************/\r
 /*\r
- * Copyright (c) 2009-2017 ARM Limited. All rights reserved.\r
+ * Copyright (c) 2009-2018 Arm Limited. All rights reserved.\r
  *\r
  * SPDX-License-Identifier: Apache-2.0\r
  *\r
@@ -246,7 +246,7 @@ __STATIC_FORCEINLINE uint32_t __get_xPSR(void)
  */\r
 __STATIC_FORCEINLINE uint32_t __get_PSP(void)\r
 {\r
-  register uint32_t result;\r
+  uint32_t result;\r
 \r
   __ASM volatile ("MRS %0, psp"  : "=r" (result) );\r
   return(result);\r
@@ -261,7 +261,7 @@ __STATIC_FORCEINLINE uint32_t __get_PSP(void)
  */\r
 __STATIC_FORCEINLINE uint32_t __TZ_get_PSP_NS(void)\r
 {\r
-  register uint32_t result;\r
+  uint32_t result;\r
 \r
   __ASM volatile ("MRS %0, psp_ns"  : "=r" (result) );\r
   return(result);\r
@@ -300,7 +300,7 @@ __STATIC_FORCEINLINE void __TZ_set_PSP_NS(uint32_t topOfProcStack)
  */\r
 __STATIC_FORCEINLINE uint32_t __get_MSP(void)\r
 {\r
-  register uint32_t result;\r
+  uint32_t result;\r
 \r
   __ASM volatile ("MRS %0, msp" : "=r" (result) );\r
   return(result);\r
@@ -315,7 +315,7 @@ __STATIC_FORCEINLINE uint32_t __get_MSP(void)
  */\r
 __STATIC_FORCEINLINE uint32_t __TZ_get_MSP_NS(void)\r
 {\r
-  register uint32_t result;\r
+  uint32_t result;\r
 \r
   __ASM volatile ("MRS %0, msp_ns" : "=r" (result) );\r
   return(result);\r
@@ -355,7 +355,7 @@ __STATIC_FORCEINLINE void __TZ_set_MSP_NS(uint32_t topOfMainStack)
  */\r
 __STATIC_FORCEINLINE uint32_t __TZ_get_SP_NS(void)\r
 {\r
-  register uint32_t result;\r
+  uint32_t result;\r
 \r
   __ASM volatile ("MRS %0, sp_ns" : "=r" (result) );\r
   return(result);\r
@@ -596,7 +596,7 @@ __STATIC_FORCEINLINE uint32_t __get_PSPLIM(void)
     // without main extensions, the non-secure PSPLIM is RAZ/WI\r
   return 0U;\r
 #else\r
-  register uint32_t result;\r
+  uint32_t result;\r
   __ASM volatile ("MRS %0, psplim"  : "=r" (result) );\r
   return result;\r
 #endif\r
@@ -617,7 +617,7 @@ __STATIC_FORCEINLINE uint32_t __TZ_get_PSPLIM_NS(void)
   // without main extensions, the non-secure PSPLIM is RAZ/WI\r
   return 0U;\r
 #else\r
-  register uint32_t result;\r
+  uint32_t result;\r
   __ASM volatile ("MRS %0, psplim_ns"  : "=r" (result) );\r
   return result;\r
 #endif\r
@@ -683,7 +683,7 @@ __STATIC_FORCEINLINE uint32_t __get_MSPLIM(void)
   // without main extensions, the non-secure MSPLIM is RAZ/WI\r
   return 0U;\r
 #else\r
-  register uint32_t result;\r
+  uint32_t result;\r
   __ASM volatile ("MRS %0, msplim" : "=r" (result) );\r
   return result;\r
 #endif\r
@@ -705,7 +705,7 @@ __STATIC_FORCEINLINE uint32_t __TZ_get_MSPLIM_NS(void)
   // without main extensions, the non-secure MSPLIM is RAZ/WI\r
   return 0U;\r
 #else\r
-  register uint32_t result;\r
+  uint32_t result;\r
   __ASM volatile ("MRS %0, msplim_ns" : "=r" (result) );\r
   return result;\r
 #endif\r
@@ -758,9 +758,6 @@ __STATIC_FORCEINLINE void __TZ_set_MSPLIM_NS(uint32_t MainStackPtrLimit)
            (defined (__ARM_ARCH_8M_BASE__ ) && (__ARM_ARCH_8M_BASE__ == 1))    ) */\r
 \r
 \r
-#if ((defined (__ARM_ARCH_7EM__     ) && (__ARM_ARCH_7EM__     == 1)) || \\r
-     (defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1))    )\r
-\r
 /**\r
   \brief   Get FPSCR\r
   \details Returns the current value of the Floating Point Status/Control register.\r
@@ -770,7 +767,9 @@ __STATIC_FORCEINLINE uint32_t __get_FPSCR(void)
 {\r
 #if ((defined (__FPU_PRESENT) && (__FPU_PRESENT == 1U)) && \\r
      (defined (__FPU_USED   ) && (__FPU_USED    == 1U))     )\r
-#if __has_builtin(__builtin_arm_get_fpscr) || (__GNUC__ > 7) || (__GNUC__ == 7 && __GNUC_MINOR__ >= 2)\r
+#if __has_builtin(__builtin_arm_get_fpscr) \r
+// Re-enable using built-in when GCC has been fixed\r
+// || (__GNUC__ > 7) || (__GNUC__ == 7 && __GNUC_MINOR__ >= 2)\r
   /* see https://gcc.gnu.org/ml/gcc-patches/2017-04/msg00443.html */\r
   return __builtin_arm_get_fpscr();\r
 #else\r
@@ -794,7 +793,9 @@ __STATIC_FORCEINLINE void __set_FPSCR(uint32_t fpscr)
 {\r
 #if ((defined (__FPU_PRESENT) && (__FPU_PRESENT == 1U)) && \\r
      (defined (__FPU_USED   ) && (__FPU_USED    == 1U))     )\r
-#if __has_builtin(__builtin_arm_set_fpscr) || (__GNUC__ > 7) || (__GNUC__ == 7 && __GNUC_MINOR__ >= 2)\r
+#if __has_builtin(__builtin_arm_set_fpscr)\r
+// Re-enable using built-in when GCC has been fixed\r
+// || (__GNUC__ > 7) || (__GNUC__ == 7 && __GNUC_MINOR__ >= 2)\r
   /* see https://gcc.gnu.org/ml/gcc-patches/2017-04/msg00443.html */\r
   __builtin_arm_set_fpscr(fpscr);\r
 #else\r
@@ -805,10 +806,6 @@ __STATIC_FORCEINLINE void __set_FPSCR(uint32_t fpscr)
 #endif\r
 }\r
 \r
-#endif /* ((defined (__ARM_ARCH_7EM__     ) && (__ARM_ARCH_7EM__     == 1)) || \\r
-           (defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1))    ) */\r
-\r
-\r
 \r
 /*@} end of CMSIS_Core_RegAccFunctions */\r
 \r
@@ -1011,7 +1008,23 @@ __STATIC_FORCEINLINE uint32_t __RBIT(uint32_t value)
   \param [in]  value  Value to count the leading zeros\r
   \return             number of leading zeros in value\r
  */\r
-#define __CLZ             (uint8_t)__builtin_clz\r
+__STATIC_FORCEINLINE uint8_t __CLZ(uint32_t value)\r
+{\r
+  /* Even though __builtin_clz produces a CLZ instruction on ARM, formally\r
+     __builtin_clz(0) is undefined behaviour, so handle this case specially.\r
+     This guarantees ARM-compatible results if happening to compile on a non-ARM\r
+     target, and ensures the compiler doesn't decide to activate any\r
+     optimisations using the logic "value was passed to __builtin_clz, so it\r
+     is non-zero".\r
+     ARM GCC 7.3 and possibly earlier will optimise this test away, leaving a\r
+     single CLZ instruction.\r
+   */\r
+  if (value == 0U)\r
+  {\r
+    return 32U;\r
+  }\r
+  return __builtin_clz(value);\r
+}\r
 \r
 \r
 #if ((defined (__ARM_ARCH_7M__      ) && (__ARM_ARCH_7M__      == 1)) || \\r
index 7b3f7f7681c4de9a7f4a044b27ad669cb957e0b7..682849e61032ee6721860f0d4257bc99478318f3 100644 (file)
@@ -1,8 +1,8 @@
 /**************************************************************************//**\r
  * @file     cmsis_iccarm.h\r
  * @brief    CMSIS compiler ICCARM (IAR Compiler for Arm) header file\r
- * @version  V5.0.5\r
- * @date     10. January 2018\r
+ * @version  V5.0.8\r
+ * @date     04. September 2018\r
  ******************************************************************************/\r
 \r
 //------------------------------------------------------------------------------\r
 #endif\r
 \r
 #ifndef   __RESTRICT\r
-  #define __RESTRICT            restrict\r
+  #if __ICCARM_V8\r
+    #define __RESTRICT            __restrict\r
+  #else\r
+    /* Needs IAR language extensions */\r
+    #define __RESTRICT            restrict\r
+  #endif\r
 #endif\r
 \r
 #ifndef   __STATIC_INLINE\r
@@ -340,8 +345,17 @@ __packed struct  __iar_u32 { uint32_t v; };
   #define __TZ_set_BASEPRI_NS(VALUE)  (__arm_wsr("BASEPRI_NS", (VALUE)))\r
   #define __TZ_get_FAULTMASK_NS()     (__arm_rsr("FAULTMASK_NS"))\r
   #define __TZ_set_FAULTMASK_NS(VALUE)(__arm_wsr("FAULTMASK_NS", (VALUE)))\r
-  #define __TZ_get_PSPLIM_NS()        (__arm_rsr("PSPLIM_NS"))\r
-  #define __TZ_set_PSPLIM_NS(VALUE)   (__arm_wsr("PSPLIM_NS", (VALUE)))\r
+\r
+  #if (!(defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1)) && \\r
+       (!defined (__ARM_FEATURE_CMSE) || (__ARM_FEATURE_CMSE < 3)))\r
+    // without main extensions, the non-secure PSPLIM is RAZ/WI\r
+    #define __TZ_get_PSPLIM_NS()      (0U)\r
+    #define __TZ_set_PSPLIM_NS(VALUE) ((void)(VALUE))\r
+  #else\r
+    #define __TZ_get_PSPLIM_NS()      (__arm_rsr("PSPLIM_NS"))\r
+    #define __TZ_set_PSPLIM_NS(VALUE) (__arm_wsr("PSPLIM_NS", (VALUE)))\r
+  #endif\r
+\r
   #define __TZ_get_MSPLIM_NS()        (__arm_rsr("MSPLIM_NS"))\r
   #define __TZ_set_MSPLIM_NS(VALUE)   (__arm_wsr("MSPLIM_NS", (VALUE)))\r
 \r
@@ -716,12 +730,25 @@ __packed struct  __iar_u32 { uint32_t v; };
     __IAR_FT uint32_t   __TZ_get_PSPLIM_NS(void)\r
     {\r
       uint32_t res;\r
+    #if (!(defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1)) && \\r
+         (!defined (__ARM_FEATURE_CMSE  ) || (__ARM_FEATURE_CMSE   < 3)))\r
+      // without main extensions, the non-secure PSPLIM is RAZ/WI\r
+      res = 0U;\r
+    #else\r
       __asm volatile("MRS      %0,PSPLIM_NS" : "=r" (res));\r
+    #endif\r
       return res;\r
     }\r
+\r
     __IAR_FT void   __TZ_set_PSPLIM_NS(uint32_t value)\r
     {\r
+    #if (!(defined (__ARM_ARCH_8M_MAIN__ ) && (__ARM_ARCH_8M_MAIN__ == 1)) && \\r
+         (!defined (__ARM_FEATURE_CMSE  ) || (__ARM_FEATURE_CMSE   < 3)))\r
+      // without main extensions, the non-secure PSPLIM is RAZ/WI\r
+      (void)value;\r
+    #else\r
       __asm volatile("MSR      PSPLIM_NS,%0" :: "r" (value));\r
+    #endif\r
     }\r
 \r
     __IAR_FT uint32_t   __TZ_get_MSPLIM_NS(void)\r
@@ -826,78 +853,78 @@ __packed struct  __iar_u32 { uint32_t v; };
   __IAR_FT uint8_t __LDAB(volatile uint8_t *ptr)\r
   {\r
     uint32_t res;\r
-    __ASM volatile ("LDAB %0, [%1]" : "=r" (res) : "r" (*ptr) : "memory");\r
+    __ASM volatile ("LDAB %0, [%1]" : "=r" (res) : "r" (ptr) : "memory");\r
     return ((uint8_t)res);\r
   }\r
 \r
   __IAR_FT uint16_t __LDAH(volatile uint16_t *ptr)\r
   {\r
     uint32_t res;\r
-    __ASM volatile ("LDAH %0, [%1]" : "=r" (res) : "r" (*ptr) : "memory");\r
+    __ASM volatile ("LDAH %0, [%1]" : "=r" (res) : "r" (ptr) : "memory");\r
     return ((uint16_t)res);\r
   }\r
 \r
   __IAR_FT uint32_t __LDA(volatile uint32_t *ptr)\r
   {\r
     uint32_t res;\r
-    __ASM volatile ("LDA %0, [%1]" : "=r" (res) : "r" (*ptr) : "memory");\r
+    __ASM volatile ("LDA %0, [%1]" : "=r" (res) : "r" (ptr) : "memory");\r
     return res;\r
   }\r
 \r
   __IAR_FT void __STLB(uint8_t value, volatile uint8_t *ptr)\r
   {\r
-    __ASM volatile ("STLB %1, [%0]" :: "r" (*ptr), "r" (value) : "memory");\r
+    __ASM volatile ("STLB %1, [%0]" :: "r" (ptr), "r" (value) : "memory");\r
   }\r
 \r
   __IAR_FT void __STLH(uint16_t value, volatile uint16_t *ptr)\r
   {\r
-    __ASM volatile ("STLH %1, [%0]" :: "r" (*ptr), "r" (value) : "memory");\r
+    __ASM volatile ("STLH %1, [%0]" :: "r" (ptr), "r" (value) : "memory");\r
   }\r
 \r
   __IAR_FT void __STL(uint32_t value, volatile uint32_t *ptr)\r
   {\r
-    __ASM volatile ("STL %1, [%0]" :: "r" (*ptr), "r" (value) : "memory");\r
+    __ASM volatile ("STL %1, [%0]" :: "r" (ptr), "r" (value) : "memory");\r
   }\r
 \r
   __IAR_FT uint8_t __LDAEXB(volatile uint8_t *ptr)\r
   {\r
     uint32_t res;\r
-    __ASM volatile ("LDAEXB %0, [%1]" : "=r" (res) : "r" (*ptr) : "memory");\r
+    __ASM volatile ("LDAEXB %0, [%1]" : "=r" (res) : "r" (ptr) : "memory");\r
     return ((uint8_t)res);\r
   }\r
 \r
   __IAR_FT uint16_t __LDAEXH(volatile uint16_t *ptr)\r
   {\r
     uint32_t res;\r
-    __ASM volatile ("LDAEXH %0, [%1]" : "=r" (res) : "r" (*ptr) : "memory");\r
+    __ASM volatile ("LDAEXH %0, [%1]" : "=r" (res) : "r" (ptr) : "memory");\r
     return ((uint16_t)res);\r
   }\r
 \r
   __IAR_FT uint32_t __LDAEX(volatile uint32_t *ptr)\r
   {\r
     uint32_t res;\r
-    __ASM volatile ("LDAEX %0, [%1]" : "=r" (res) : "r" (*ptr) : "memory");\r
+    __ASM volatile ("LDAEX %0, [%1]" : "=r" (res) : "r" (ptr) : "memory");\r
     return res;\r
   }\r
 \r
   __IAR_FT uint32_t __STLEXB(uint8_t value, volatile uint8_t *ptr)\r
   {\r
     uint32_t res;\r
-    __ASM volatile ("STLEXB %0, %2, [%1]" : "=r" (res) : "r" (*ptr), "r" (value) : "memory");\r
+    __ASM volatile ("STLEXB %0, %2, [%1]" : "=r" (res) : "r" (ptr), "r" (value) : "memory");\r
     return res;\r
   }\r
 \r
   __IAR_FT uint32_t __STLEXH(uint16_t value, volatile uint16_t *ptr)\r
   {\r
     uint32_t res;\r
-    __ASM volatile ("STLEXH %0, %2, [%1]" : "=r" (res) : "r" (*ptr), "r" (value) : "memory");\r
+    __ASM volatile ("STLEXH %0, %2, [%1]" : "=r" (res) : "r" (ptr), "r" (value) : "memory");\r
     return res;\r
   }\r
 \r
   __IAR_FT uint32_t __STLEX(uint32_t value, volatile uint32_t *ptr)\r
   {\r
     uint32_t res;\r
-    __ASM volatile ("STLEX %0, %2, [%1]" : "=r" (res) : "r" (*ptr), "r" (value) : "memory");\r
+    __ASM volatile ("STLEX %0, %2, [%1]" : "=r" (res) : "r" (ptr), "r" (value) : "memory");\r
     return res;\r
   }\r
 \r
diff --git a/FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/CMSIS/core_armv81mml.h b/FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/CMSIS/core_armv81mml.h
new file mode 100644 (file)
index 0000000..db6d9f2
--- /dev/null
@@ -0,0 +1,2967 @@
+/**************************************************************************//**
+ * @file     core_armv81mml.h
+ * @brief    CMSIS Armv8.1-M Mainline Core Peripheral Access Layer Header File
+ * @version  V1.0.0
+ * @date     15. March 2019
+ ******************************************************************************/
+/*
+ * Copyright (c) 2018-2019 Arm Limited. All rights reserved.
+ *
+ * SPDX-License-Identifier: Apache-2.0
+ *
+ * Licensed under the Apache License, Version 2.0 (the License); you may
+ * not use this file except in compliance with the License.
+ * You may obtain a copy of the License at
+ *
+ * www.apache.org/licenses/LICENSE-2.0
+ *
+ * Unless required by applicable law or agreed to in writing, software
+ * distributed under the License is distributed on an AS IS BASIS, WITHOUT
+ * WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+ * See the License for the specific language governing permissions and
+ * limitations under the License.
+ */
+
+#if   defined ( __ICCARM__ )
+  #pragma system_include         /* treat file as system include file for MISRA check */
+#elif defined (__clang__)
+  #pragma clang system_header   /* treat file as system include file */
+#endif
+
+#ifndef __CORE_ARMV81MML_H_GENERIC
+#define __CORE_ARMV81MML_H_GENERIC
+
+#include <stdint.h>
+
+#ifdef __cplusplus
+ extern "C" {
+#endif
+
+/**
+  \page CMSIS_MISRA_Exceptions  MISRA-C:2004 Compliance Exceptions
+  CMSIS violates the following MISRA-C:2004 rules:
+
+   \li Required Rule 8.5, object/function definition in header file.<br>
+     Function definitions in header files are used to allow 'inlining'.
+
+   \li Required Rule 18.4, declaration of union type or object of union type: '{...}'.<br>
+     Unions are used for effective representation of core registers.
+
+   \li Advisory Rule 19.7, Function-like macro defined.<br>
+     Function-like macros are used to allow more efficient code.
+ */
+
+
+/*******************************************************************************
+ *                 CMSIS definitions
+ ******************************************************************************/
+/**
+  \ingroup Cortex_ARMV81MML
+  @{
+ */
+
+#include "cmsis_version.h"
+#define __ARM_ARCH_8M_MAIN__    1  // patching for now
+/*  CMSIS ARMV81MML definitions */
+#define __ARMv81MML_CMSIS_VERSION_MAIN  (__CM_CMSIS_VERSION_MAIN)                   /*!< \deprecated [31:16] CMSIS HAL main version */
+#define __ARMv81MML_CMSIS_VERSION_SUB   (__CM_CMSIS_VERSION_SUB)                    /*!< \deprecated [15:0]  CMSIS HAL sub version */
+#define __ARMv81MML_CMSIS_VERSION       ((__ARMv81MML_CMSIS_VERSION_MAIN << 16U) | \
+                                         __ARMv81MML_CMSIS_VERSION_SUB           )  /*!< \deprecated CMSIS HAL version number */
+
+#define __CORTEX_M                     (81U)                                       /*!< Cortex-M Core */
+
+/** __FPU_USED indicates whether an FPU is used or not.
+    For this, __FPU_PRESENT has to be checked prior to making use of FPU specific registers and functions.
+*/
+#if defined ( __CC_ARM )
+  #if defined __TARGET_FPU_VFP
+    #if defined (__FPU_PRESENT) && (__FPU_PRESENT == 1U)
+      #define __FPU_USED       1U
+    #else
+      #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)"
+      #define __FPU_USED       0U
+    #endif
+  #else
+    #define __FPU_USED         0U
+  #endif
+
+  #if defined(__ARM_FEATURE_DSP)
+    #if defined(__DSP_PRESENT) && (__DSP_PRESENT == 1U)
+      #define __DSP_USED       1U
+    #else
+      #error "Compiler generates DSP (SIMD) instructions for a devices without DSP extensions (check __DSP_PRESENT)"
+      #define __DSP_USED         0U    
+    #endif
+  #else
+    #define __DSP_USED         0U
+  #endif
+  
+#elif defined (__ARMCC_VERSION) && (__ARMCC_VERSION >= 6010050)
+  #if defined __ARM_FP
+    #if defined (__FPU_PRESENT) && (__FPU_PRESENT == 1U)
+      #define __FPU_USED       1U
+    #else
+      #warning "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)"
+      #define __FPU_USED       0U
+    #endif
+  #else
+    #define __FPU_USED         0U
+  #endif
+
+  #if defined(__ARM_FEATURE_DSP)
+    #if defined(__DSP_PRESENT) && (__DSP_PRESENT == 1U)
+      #define __DSP_USED       1U
+    #else
+      #error "Compiler generates DSP (SIMD) instructions for a devices without DSP extensions (check __DSP_PRESENT)"
+      #define __DSP_USED         0U    
+    #endif
+  #else
+    #define __DSP_USED         0U
+  #endif
+
+#elif defined ( __GNUC__ )
+  #if defined (__VFP_FP__) && !defined(__SOFTFP__)
+    #if defined (__FPU_PRESENT) && (__FPU_PRESENT == 1U)
+      #define __FPU_USED       1U
+    #else
+      #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)"
+      #define __FPU_USED       0U
+    #endif
+  #else
+    #define __FPU_USED         0U
+  #endif
+  
+  #if defined(__ARM_FEATURE_DSP)
+    #if defined(__DSP_PRESENT) && (__DSP_PRESENT == 1U)
+      #define __DSP_USED       1U
+    #else
+      #error "Compiler generates DSP (SIMD) instructions for a devices without DSP extensions (check __DSP_PRESENT)"
+      #define __DSP_USED         0U    
+    #endif
+  #else
+    #define __DSP_USED         0U
+  #endif
+  
+#elif defined ( __ICCARM__ )
+  #if defined __ARMVFP__
+    #if defined (__FPU_PRESENT) && (__FPU_PRESENT == 1U)
+      #define __FPU_USED       1U
+    #else
+      #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)"
+      #define __FPU_USED       0U
+    #endif
+  #else
+    #define __FPU_USED         0U
+  #endif
+
+  #if defined(__ARM_FEATURE_DSP)
+    #if defined(__DSP_PRESENT) && (__DSP_PRESENT == 1U)
+      #define __DSP_USED       1U
+    #else
+      #error "Compiler generates DSP (SIMD) instructions for a devices without DSP extensions (check __DSP_PRESENT)"
+      #define __DSP_USED         0U    
+    #endif
+  #else
+    #define __DSP_USED         0U
+  #endif
+  
+#elif defined ( __TI_ARM__ )
+  #if defined __TI_VFP_SUPPORT__
+    #if defined (__FPU_PRESENT) && (__FPU_PRESENT == 1U)
+      #define __FPU_USED       1U
+    #else
+      #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)"
+      #define __FPU_USED       0U
+    #endif
+  #else
+    #define __FPU_USED         0U
+  #endif
+
+#elif defined ( __TASKING__ )
+  #if defined __FPU_VFP__
+    #if defined (__FPU_PRESENT) && (__FPU_PRESENT == 1U)
+      #define __FPU_USED       1U
+    #else
+      #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)"
+      #define __FPU_USED       0U
+    #endif
+  #else
+    #define __FPU_USED         0U
+  #endif
+
+#elif defined ( __CSMC__ )
+  #if ( __CSMC__ & 0x400U)
+    #if defined (__FPU_PRESENT) && (__FPU_PRESENT == 1U)
+      #define __FPU_USED       1U
+    #else
+      #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)"
+      #define __FPU_USED       0U
+    #endif
+  #else
+    #define __FPU_USED         0U
+  #endif
+
+#endif
+
+#include "cmsis_compiler.h"               /* CMSIS compiler specific defines */
+
+
+#ifdef __cplusplus
+}
+#endif
+
+#endif /* __CORE_ARMV81MML_H_GENERIC */
+
+#ifndef __CMSIS_GENERIC
+
+#ifndef __CORE_ARMV81MML_H_DEPENDANT
+#define __CORE_ARMV81MML_H_DEPENDANT
+
+#ifdef __cplusplus
+ extern "C" {
+#endif
+
+/* check device defines and use defaults */
+#if defined __CHECK_DEVICE_DEFINES
+  #ifndef __ARMv81MML_REV
+    #define __ARMv81MML_REV               0x0000U
+    #warning "__ARMv81MML_REV not defined in device header file; using default!"
+  #endif
+
+  #ifndef __FPU_PRESENT
+    #define __FPU_PRESENT             0U
+    #warning "__FPU_PRESENT not defined in device header file; using default!"
+  #endif
+
+  #ifndef __MPU_PRESENT
+    #define __MPU_PRESENT             0U
+    #warning "__MPU_PRESENT not defined in device header file; using default!"
+  #endif
+
+  #ifndef __SAUREGION_PRESENT
+    #define __SAUREGION_PRESENT       0U
+    #warning "__SAUREGION_PRESENT not defined in device header file; using default!"
+  #endif
+
+  #ifndef __DSP_PRESENT
+    #define __DSP_PRESENT             0U
+    #warning "__DSP_PRESENT not defined in device header file; using default!"
+  #endif
+
+  #ifndef __NVIC_PRIO_BITS
+    #define __NVIC_PRIO_BITS          3U
+    #warning "__NVIC_PRIO_BITS not defined in device header file; using default!"
+  #endif
+
+  #ifndef __Vendor_SysTickConfig
+    #define __Vendor_SysTickConfig    0U
+    #warning "__Vendor_SysTickConfig not defined in device header file; using default!"
+  #endif
+#endif
+
+/* IO definitions (access restrictions to peripheral registers) */
+/**
+    \defgroup CMSIS_glob_defs CMSIS Global Defines
+
+    <strong>IO Type Qualifiers</strong> are used
+    \li to specify the access to peripheral variables.
+    \li for automatic generation of peripheral register debug information.
+*/
+#ifdef __cplusplus
+  #define   __I     volatile             /*!< Defines 'read only' permissions */
+#else
+  #define   __I     volatile const       /*!< Defines 'read only' permissions */
+#endif
+#define     __O     volatile             /*!< Defines 'write only' permissions */
+#define     __IO    volatile             /*!< Defines 'read / write' permissions */
+
+/* following defines should be used for structure members */
+#define     __IM     volatile const      /*! Defines 'read only' structure member permissions */
+#define     __OM     volatile            /*! Defines 'write only' structure member permissions */
+#define     __IOM    volatile            /*! Defines 'read / write' structure member permissions */
+
+/*@} end of group ARMv81MML */
+
+
+
+/*******************************************************************************
+ *                 Register Abstraction
+  Core Register contain:
+  - Core Register
+  - Core NVIC Register
+  - Core SCB Register
+  - Core SysTick Register
+  - Core Debug Register
+  - Core MPU Register
+  - Core SAU Register
+  - Core FPU Register
+ ******************************************************************************/
+/**
+  \defgroup CMSIS_core_register Defines and Type Definitions
+  \brief Type definitions and defines for Cortex-M processor based devices.
+*/
+
+/**
+  \ingroup    CMSIS_core_register
+  \defgroup   CMSIS_CORE  Status and Control Registers
+  \brief      Core Register type definitions.
+  @{
+ */
+
+/**
+  \brief  Union type to access the Application Program Status Register (APSR).
+ */
+typedef union
+{
+  struct
+  {
+    uint32_t _reserved0:16;              /*!< bit:  0..15  Reserved */
+    uint32_t GE:4;                       /*!< bit: 16..19  Greater than or Equal flags */
+    uint32_t _reserved1:7;               /*!< bit: 20..26  Reserved */
+    uint32_t Q:1;                        /*!< bit:     27  Saturation condition flag */
+    uint32_t V:1;                        /*!< bit:     28  Overflow condition code flag */
+    uint32_t C:1;                        /*!< bit:     29  Carry condition code flag */
+    uint32_t Z:1;                        /*!< bit:     30  Zero condition code flag */
+    uint32_t N:1;                        /*!< bit:     31  Negative condition code flag */
+  } b;                                   /*!< Structure used for bit  access */
+  uint32_t w;                            /*!< Type      used for word access */
+} APSR_Type;
+
+/* APSR Register Definitions */
+#define APSR_N_Pos                         31U                                            /*!< APSR: N Position */
+#define APSR_N_Msk                         (1UL << APSR_N_Pos)                            /*!< APSR: N Mask */
+
+#define APSR_Z_Pos                         30U                                            /*!< APSR: Z Position */
+#define APSR_Z_Msk                         (1UL << APSR_Z_Pos)                            /*!< APSR: Z Mask */
+
+#define APSR_C_Pos                         29U                                            /*!< APSR: C Position */
+#define APSR_C_Msk                         (1UL << APSR_C_Pos)                            /*!< APSR: C Mask */
+
+#define APSR_V_Pos                         28U                                            /*!< APSR: V Position */
+#define APSR_V_Msk                         (1UL << APSR_V_Pos)                            /*!< APSR: V Mask */
+
+#define APSR_Q_Pos                         27U                                            /*!< APSR: Q Position */
+#define APSR_Q_Msk                         (1UL << APSR_Q_Pos)                            /*!< APSR: Q Mask */
+
+#define APSR_GE_Pos                        16U                                            /*!< APSR: GE Position */
+#define APSR_GE_Msk                        (0xFUL << APSR_GE_Pos)                         /*!< APSR: GE Mask */
+
+
+/**
+  \brief  Union type to access the Interrupt Program Status Register (IPSR).
+ */
+typedef union
+{
+  struct
+  {
+    uint32_t ISR:9;                      /*!< bit:  0.. 8  Exception number */
+    uint32_t _reserved0:23;              /*!< bit:  9..31  Reserved */
+  } b;                                   /*!< Structure used for bit  access */
+  uint32_t w;                            /*!< Type      used for word access */
+} IPSR_Type;
+
+/* IPSR Register Definitions */
+#define IPSR_ISR_Pos                        0U                                            /*!< IPSR: ISR Position */
+#define IPSR_ISR_Msk                       (0x1FFUL /*<< IPSR_ISR_Pos*/)                  /*!< IPSR: ISR Mask */
+
+
+/**
+  \brief  Union type to access the Special-Purpose Program Status Registers (xPSR).
+ */
+typedef union
+{
+  struct
+  {
+    uint32_t ISR:9;                      /*!< bit:  0.. 8  Exception number */
+    uint32_t _reserved0:7;               /*!< bit:  9..15  Reserved */
+    uint32_t GE:4;                       /*!< bit: 16..19  Greater than or Equal flags */
+    uint32_t _reserved1:4;               /*!< bit: 20..23  Reserved */
+    uint32_t T:1;                        /*!< bit:     24  Thumb bit        (read 0) */
+    uint32_t IT:2;                       /*!< bit: 25..26  saved IT state   (read 0) */
+    uint32_t Q:1;                        /*!< bit:     27  Saturation condition flag */
+    uint32_t V:1;                        /*!< bit:     28  Overflow condition code flag */
+    uint32_t C:1;                        /*!< bit:     29  Carry condition code flag */
+    uint32_t Z:1;                        /*!< bit:     30  Zero condition code flag */
+    uint32_t N:1;                        /*!< bit:     31  Negative condition code flag */
+  } b;                                   /*!< Structure used for bit  access */
+  uint32_t w;                            /*!< Type      used for word access */
+} xPSR_Type;
+
+/* xPSR Register Definitions */
+#define xPSR_N_Pos                         31U                                            /*!< xPSR: N Position */
+#define xPSR_N_Msk                         (1UL << xPSR_N_Pos)                            /*!< xPSR: N Mask */
+
+#define xPSR_Z_Pos                         30U                                            /*!< xPSR: Z Position */
+#define xPSR_Z_Msk                         (1UL << xPSR_Z_Pos)                            /*!< xPSR: Z Mask */
+
+#define xPSR_C_Pos                         29U                                            /*!< xPSR: C Position */
+#define xPSR_C_Msk                         (1UL << xPSR_C_Pos)                            /*!< xPSR: C Mask */
+
+#define xPSR_V_Pos                         28U                                            /*!< xPSR: V Position */
+#define xPSR_V_Msk                         (1UL << xPSR_V_Pos)                            /*!< xPSR: V Mask */
+
+#define xPSR_Q_Pos                         27U                                            /*!< xPSR: Q Position */
+#define xPSR_Q_Msk                         (1UL << xPSR_Q_Pos)                            /*!< xPSR: Q Mask */
+
+#define xPSR_IT_Pos                        25U                                            /*!< xPSR: IT Position */
+#define xPSR_IT_Msk                        (3UL << xPSR_IT_Pos)                           /*!< xPSR: IT Mask */
+
+#define xPSR_T_Pos                         24U                                            /*!< xPSR: T Position */
+#define xPSR_T_Msk                         (1UL << xPSR_T_Pos)                            /*!< xPSR: T Mask */
+
+#define xPSR_GE_Pos                        16U                                            /*!< xPSR: GE Position */
+#define xPSR_GE_Msk                        (0xFUL << xPSR_GE_Pos)                         /*!< xPSR: GE Mask */
+
+#define xPSR_ISR_Pos                        0U                                            /*!< xPSR: ISR Position */
+#define xPSR_ISR_Msk                       (0x1FFUL /*<< xPSR_ISR_Pos*/)                  /*!< xPSR: ISR Mask */
+
+
+/**
+  \brief  Union type to access the Control Registers (CONTROL).
+ */
+typedef union
+{
+  struct
+  {
+    uint32_t nPRIV:1;                    /*!< bit:      0  Execution privilege in Thread mode */
+    uint32_t SPSEL:1;                    /*!< bit:      1  Stack-pointer select */
+    uint32_t FPCA:1;                     /*!< bit:      2  Floating-point context active */
+    uint32_t SFPA:1;                     /*!< bit:      3  Secure floating-point active */
+    uint32_t _reserved1:28;              /*!< bit:  4..31  Reserved */
+  } b;                                   /*!< Structure used for bit  access */
+  uint32_t w;                            /*!< Type      used for word access */
+} CONTROL_Type;
+
+/* CONTROL Register Definitions */
+#define CONTROL_SFPA_Pos                    3U                                            /*!< CONTROL: SFPA Position */
+#define CONTROL_SFPA_Msk                   (1UL << CONTROL_SFPA_Pos)                      /*!< CONTROL: SFPA Mask */
+
+#define CONTROL_FPCA_Pos                    2U                                            /*!< CONTROL: FPCA Position */
+#define CONTROL_FPCA_Msk                   (1UL << CONTROL_FPCA_Pos)                      /*!< CONTROL: FPCA Mask */
+
+#define CONTROL_SPSEL_Pos                   1U                                            /*!< CONTROL: SPSEL Position */
+#define CONTROL_SPSEL_Msk                  (1UL << CONTROL_SPSEL_Pos)                     /*!< CONTROL: SPSEL Mask */
+
+#define CONTROL_nPRIV_Pos                   0U                                            /*!< CONTROL: nPRIV Position */
+#define CONTROL_nPRIV_Msk                  (1UL /*<< CONTROL_nPRIV_Pos*/)                 /*!< CONTROL: nPRIV Mask */
+
+/*@} end of group CMSIS_CORE */
+
+
+/**
+  \ingroup    CMSIS_core_register
+  \defgroup   CMSIS_NVIC  Nested Vectored Interrupt Controller (NVIC)
+  \brief      Type definitions for the NVIC Registers
+  @{
+ */
+
+/**
+  \brief  Structure type to access the Nested Vectored Interrupt Controller (NVIC).
+ */
+typedef struct
+{
+  __IOM uint32_t ISER[16U];              /*!< Offset: 0x000 (R/W)  Interrupt Set Enable Register */
+        uint32_t RESERVED0[16U];
+  __IOM uint32_t ICER[16U];              /*!< Offset: 0x080 (R/W)  Interrupt Clear Enable Register */
+        uint32_t RSERVED1[16U];
+  __IOM uint32_t ISPR[16U];              /*!< Offset: 0x100 (R/W)  Interrupt Set Pending Register */
+        uint32_t RESERVED2[16U];
+  __IOM uint32_t ICPR[16U];              /*!< Offset: 0x180 (R/W)  Interrupt Clear Pending Register */
+        uint32_t RESERVED3[16U];
+  __IOM uint32_t IABR[16U];              /*!< Offset: 0x200 (R/W)  Interrupt Active bit Register */
+        uint32_t RESERVED4[16U];
+  __IOM uint32_t ITNS[16U];              /*!< Offset: 0x280 (R/W)  Interrupt Non-Secure State Register */
+        uint32_t RESERVED5[16U];
+  __IOM uint8_t  IPR[496U];              /*!< Offset: 0x300 (R/W)  Interrupt Priority Register (8Bit wide) */
+        uint32_t RESERVED6[580U];
+  __OM  uint32_t STIR;                   /*!< Offset: 0xE00 ( /W)  Software Trigger Interrupt Register */
+}  NVIC_Type;
+
+/* Software Triggered Interrupt Register Definitions */
+#define NVIC_STIR_INTID_Pos                 0U                                         /*!< STIR: INTLINESNUM Position */
+#define NVIC_STIR_INTID_Msk                (0x1FFUL /*<< NVIC_STIR_INTID_Pos*/)        /*!< STIR: INTLINESNUM Mask */
+
+/*@} end of group CMSIS_NVIC */
+
+
+/**
+  \ingroup  CMSIS_core_register
+  \defgroup CMSIS_SCB     System Control Block (SCB)
+  \brief    Type definitions for the System Control Block Registers
+  @{
+ */
+
+/**
+  \brief  Structure type to access the System Control Block (SCB).
+ */
+typedef struct
+{
+  __IM  uint32_t CPUID;                  /*!< Offset: 0x000 (R/ )  CPUID Base Register */
+  __IOM uint32_t ICSR;                   /*!< Offset: 0x004 (R/W)  Interrupt Control and State Register */
+  __IOM uint32_t VTOR;                   /*!< Offset: 0x008 (R/W)  Vector Table Offset Register */
+  __IOM uint32_t AIRCR;                  /*!< Offset: 0x00C (R/W)  Application Interrupt and Reset Control Register */
+  __IOM uint32_t SCR;                    /*!< Offset: 0x010 (R/W)  System Control Register */
+  __IOM uint32_t CCR;                    /*!< Offset: 0x014 (R/W)  Configuration Control Register */
+  __IOM uint8_t  SHPR[12U];              /*!< Offset: 0x018 (R/W)  System Handlers Priority Registers (4-7, 8-11, 12-15) */
+  __IOM uint32_t SHCSR;                  /*!< Offset: 0x024 (R/W)  System Handler Control and State Register */
+  __IOM uint32_t CFSR;                   /*!< Offset: 0x028 (R/W)  Configurable Fault Status Register */
+  __IOM uint32_t HFSR;                   /*!< Offset: 0x02C (R/W)  HardFault Status Register */
+  __IOM uint32_t DFSR;                   /*!< Offset: 0x030 (R/W)  Debug Fault Status Register */
+  __IOM uint32_t MMFAR;                  /*!< Offset: 0x034 (R/W)  MemManage Fault Address Register */
+  __IOM uint32_t BFAR;                   /*!< Offset: 0x038 (R/W)  BusFault Address Register */
+  __IOM uint32_t AFSR;                   /*!< Offset: 0x03C (R/W)  Auxiliary Fault Status Register */
+  __IM  uint32_t ID_PFR[2U];             /*!< Offset: 0x040 (R/ )  Processor Feature Register */
+  __IM  uint32_t ID_DFR;                 /*!< Offset: 0x048 (R/ )  Debug Feature Register */
+  __IM  uint32_t ID_ADR;                 /*!< Offset: 0x04C (R/ )  Auxiliary Feature Register */
+  __IM  uint32_t ID_MMFR[4U];            /*!< Offset: 0x050 (R/ )  Memory Model Feature Register */
+  __IM  uint32_t ID_ISAR[6U];            /*!< Offset: 0x060 (R/ )  Instruction Set Attributes Register */
+  __IM  uint32_t CLIDR;                  /*!< Offset: 0x078 (R/ )  Cache Level ID register */
+  __IM  uint32_t CTR;                    /*!< Offset: 0x07C (R/ )  Cache Type register */
+  __IM  uint32_t CCSIDR;                 /*!< Offset: 0x080 (R/ )  Cache Size ID Register */
+  __IOM uint32_t CSSELR;                 /*!< Offset: 0x084 (R/W)  Cache Size Selection Register */
+  __IOM uint32_t CPACR;                  /*!< Offset: 0x088 (R/W)  Coprocessor Access Control Register */
+  __IOM uint32_t NSACR;                  /*!< Offset: 0x08C (R/W)  Non-Secure Access Control Register */
+        uint32_t RESERVED3[92U];
+  __OM  uint32_t STIR;                   /*!< Offset: 0x200 ( /W)  Software Triggered Interrupt Register */
+        uint32_t RESERVED4[15U];
+  __IM  uint32_t MVFR0;                  /*!< Offset: 0x240 (R/ )  Media and VFP Feature Register 0 */
+  __IM  uint32_t MVFR1;                  /*!< Offset: 0x244 (R/ )  Media and VFP Feature Register 1 */
+  __IM  uint32_t MVFR2;                  /*!< Offset: 0x248 (R/ )  Media and VFP Feature Register 2 */
+        uint32_t RESERVED5[1U];
+  __OM  uint32_t ICIALLU;                /*!< Offset: 0x250 ( /W)  I-Cache Invalidate All to PoU */
+        uint32_t RESERVED6[1U];
+  __OM  uint32_t ICIMVAU;                /*!< Offset: 0x258 ( /W)  I-Cache Invalidate by MVA to PoU */
+  __OM  uint32_t DCIMVAC;                /*!< Offset: 0x25C ( /W)  D-Cache Invalidate by MVA to PoC */
+  __OM  uint32_t DCISW;                  /*!< Offset: 0x260 ( /W)  D-Cache Invalidate by Set-way */
+  __OM  uint32_t DCCMVAU;                /*!< Offset: 0x264 ( /W)  D-Cache Clean by MVA to PoU */
+  __OM  uint32_t DCCMVAC;                /*!< Offset: 0x268 ( /W)  D-Cache Clean by MVA to PoC */
+  __OM  uint32_t DCCSW;                  /*!< Offset: 0x26C ( /W)  D-Cache Clean by Set-way */
+  __OM  uint32_t DCCIMVAC;               /*!< Offset: 0x270 ( /W)  D-Cache Clean and Invalidate by MVA to PoC */
+  __OM  uint32_t DCCISW;                 /*!< Offset: 0x274 ( /W)  D-Cache Clean and Invalidate by Set-way */
+        uint32_t RESERVED7[6U];
+  __IOM uint32_t ITCMCR;                 /*!< Offset: 0x290 (R/W)  Instruction Tightly-Coupled Memory Control Register */
+  __IOM uint32_t DTCMCR;                 /*!< Offset: 0x294 (R/W)  Data Tightly-Coupled Memory Control Registers */
+  __IOM uint32_t AHBPCR;                 /*!< Offset: 0x298 (R/W)  AHBP Control Register */
+  __IOM uint32_t CACR;                   /*!< Offset: 0x29C (R/W)  L1 Cache Control Register */
+  __IOM uint32_t AHBSCR;                 /*!< Offset: 0x2A0 (R/W)  AHB Slave Control Register */
+        uint32_t RESERVED8[1U];
+  __IOM uint32_t ABFSR;                  /*!< Offset: 0x2A8 (R/W)  Auxiliary Bus Fault Status Register */
+} SCB_Type;
+
+/* SCB CPUID Register Definitions */
+#define SCB_CPUID_IMPLEMENTER_Pos          24U                                            /*!< SCB CPUID: IMPLEMENTER Position */
+#define SCB_CPUID_IMPLEMENTER_Msk          (0xFFUL << SCB_CPUID_IMPLEMENTER_Pos)          /*!< SCB CPUID: IMPLEMENTER Mask */
+
+#define SCB_CPUID_VARIANT_Pos              20U                                            /*!< SCB CPUID: VARIANT Position */
+#define SCB_CPUID_VARIANT_Msk              (0xFUL << SCB_CPUID_VARIANT_Pos)               /*!< SCB CPUID: VARIANT Mask */
+
+#define SCB_CPUID_ARCHITECTURE_Pos         16U                                            /*!< SCB CPUID: ARCHITECTURE Position */
+#define SCB_CPUID_ARCHITECTURE_Msk         (0xFUL << SCB_CPUID_ARCHITECTURE_Pos)          /*!< SCB CPUID: ARCHITECTURE Mask */
+
+#define SCB_CPUID_PARTNO_Pos                4U                                            /*!< SCB CPUID: PARTNO Position */
+#define SCB_CPUID_PARTNO_Msk               (0xFFFUL << SCB_CPUID_PARTNO_Pos)              /*!< SCB CPUID: PARTNO Mask */
+
+#define SCB_CPUID_REVISION_Pos              0U                                            /*!< SCB CPUID: REVISION Position */
+#define SCB_CPUID_REVISION_Msk             (0xFUL /*<< SCB_CPUID_REVISION_Pos*/)          /*!< SCB CPUID: REVISION Mask */
+
+/* SCB Interrupt Control State Register Definitions */
+#define SCB_ICSR_PENDNMISET_Pos            31U                                            /*!< SCB ICSR: PENDNMISET Position */
+#define SCB_ICSR_PENDNMISET_Msk            (1UL << SCB_ICSR_PENDNMISET_Pos)               /*!< SCB ICSR: PENDNMISET Mask */
+
+#define SCB_ICSR_NMIPENDSET_Pos            SCB_ICSR_PENDNMISET_Pos                        /*!< SCB ICSR: NMIPENDSET Position, backward compatibility */
+#define SCB_ICSR_NMIPENDSET_Msk            SCB_ICSR_PENDNMISET_Msk                        /*!< SCB ICSR: NMIPENDSET Mask, backward compatibility */
+
+#define SCB_ICSR_PENDNMICLR_Pos            30U                                            /*!< SCB ICSR: PENDNMICLR Position */
+#define SCB_ICSR_PENDNMICLR_Msk            (1UL << SCB_ICSR_PENDNMICLR_Pos)               /*!< SCB ICSR: PENDNMICLR Mask */
+
+#define SCB_ICSR_PENDSVSET_Pos             28U                                            /*!< SCB ICSR: PENDSVSET Position */
+#define SCB_ICSR_PENDSVSET_Msk             (1UL << SCB_ICSR_PENDSVSET_Pos)                /*!< SCB ICSR: PENDSVSET Mask */
+
+#define SCB_ICSR_PENDSVCLR_Pos             27U                                            /*!< SCB ICSR: PENDSVCLR Position */
+#define SCB_ICSR_PENDSVCLR_Msk             (1UL << SCB_ICSR_PENDSVCLR_Pos)                /*!< SCB ICSR: PENDSVCLR Mask */
+
+#define SCB_ICSR_PENDSTSET_Pos             26U                                            /*!< SCB ICSR: PENDSTSET Position */
+#define SCB_ICSR_PENDSTSET_Msk             (1UL << SCB_ICSR_PENDSTSET_Pos)                /*!< SCB ICSR: PENDSTSET Mask */
+
+#define SCB_ICSR_PENDSTCLR_Pos             25U                                            /*!< SCB ICSR: PENDSTCLR Position */
+#define SCB_ICSR_PENDSTCLR_Msk             (1UL << SCB_ICSR_PENDSTCLR_Pos)                /*!< SCB ICSR: PENDSTCLR Mask */
+
+#define SCB_ICSR_STTNS_Pos                 24U                                            /*!< SCB ICSR: STTNS Position (Security Extension) */
+#define SCB_ICSR_STTNS_Msk                 (1UL << SCB_ICSR_STTNS_Pos)                    /*!< SCB ICSR: STTNS Mask (Security Extension) */
+
+#define SCB_ICSR_ISRPREEMPT_Pos            23U                                            /*!< SCB ICSR: ISRPREEMPT Position */
+#define SCB_ICSR_ISRPREEMPT_Msk            (1UL << SCB_ICSR_ISRPREEMPT_Pos)               /*!< SCB ICSR: ISRPREEMPT Mask */
+
+#define SCB_ICSR_ISRPENDING_Pos            22U                                            /*!< SCB ICSR: ISRPENDING Position */
+#define SCB_ICSR_ISRPENDING_Msk            (1UL << SCB_ICSR_ISRPENDING_Pos)               /*!< SCB ICSR: ISRPENDING Mask */
+
+#define SCB_ICSR_VECTPENDING_Pos           12U                                            /*!< SCB ICSR: VECTPENDING Position */
+#define SCB_ICSR_VECTPENDING_Msk           (0x1FFUL << SCB_ICSR_VECTPENDING_Pos)          /*!< SCB ICSR: VECTPENDING Mask */
+
+#define SCB_ICSR_RETTOBASE_Pos             11U                                            /*!< SCB ICSR: RETTOBASE Position */
+#define SCB_ICSR_RETTOBASE_Msk             (1UL << SCB_ICSR_RETTOBASE_Pos)                /*!< SCB ICSR: RETTOBASE Mask */
+
+#define SCB_ICSR_VECTACTIVE_Pos             0U                                            /*!< SCB ICSR: VECTACTIVE Position */
+#define SCB_ICSR_VECTACTIVE_Msk            (0x1FFUL /*<< SCB_ICSR_VECTACTIVE_Pos*/)       /*!< SCB ICSR: VECTACTIVE Mask */
+
+/* SCB Vector Table Offset Register Definitions */
+#define SCB_VTOR_TBLOFF_Pos                 7U                                            /*!< SCB VTOR: TBLOFF Position */
+#define SCB_VTOR_TBLOFF_Msk                (0x1FFFFFFUL << SCB_VTOR_TBLOFF_Pos)           /*!< SCB VTOR: TBLOFF Mask */
+
+/* SCB Application Interrupt and Reset Control Register Definitions */
+#define SCB_AIRCR_VECTKEY_Pos              16U                                            /*!< SCB AIRCR: VECTKEY Position */
+#define SCB_AIRCR_VECTKEY_Msk              (0xFFFFUL << SCB_AIRCR_VECTKEY_Pos)            /*!< SCB AIRCR: VECTKEY Mask */
+
+#define SCB_AIRCR_VECTKEYSTAT_Pos          16U                                            /*!< SCB AIRCR: VECTKEYSTAT Position */
+#define SCB_AIRCR_VECTKEYSTAT_Msk          (0xFFFFUL << SCB_AIRCR_VECTKEYSTAT_Pos)        /*!< SCB AIRCR: VECTKEYSTAT Mask */
+
+#define SCB_AIRCR_ENDIANESS_Pos            15U                                            /*!< SCB AIRCR: ENDIANESS Position */
+#define SCB_AIRCR_ENDIANESS_Msk            (1UL << SCB_AIRCR_ENDIANESS_Pos)               /*!< SCB AIRCR: ENDIANESS Mask */
+
+#define SCB_AIRCR_PRIS_Pos                 14U                                            /*!< SCB AIRCR: PRIS Position */
+#define SCB_AIRCR_PRIS_Msk                 (1UL << SCB_AIRCR_PRIS_Pos)                    /*!< SCB AIRCR: PRIS Mask */
+
+#define SCB_AIRCR_BFHFNMINS_Pos            13U                                            /*!< SCB AIRCR: BFHFNMINS Position */
+#define SCB_AIRCR_BFHFNMINS_Msk            (1UL << SCB_AIRCR_BFHFNMINS_Pos)               /*!< SCB AIRCR: BFHFNMINS Mask */
+
+#define SCB_AIRCR_PRIGROUP_Pos              8U                                            /*!< SCB AIRCR: PRIGROUP Position */
+#define SCB_AIRCR_PRIGROUP_Msk             (7UL << SCB_AIRCR_PRIGROUP_Pos)                /*!< SCB AIRCR: PRIGROUP Mask */
+
+#define SCB_AIRCR_SYSRESETREQS_Pos          3U                                            /*!< SCB AIRCR: SYSRESETREQS Position */
+#define SCB_AIRCR_SYSRESETREQS_Msk         (1UL << SCB_AIRCR_SYSRESETREQS_Pos)            /*!< SCB AIRCR: SYSRESETREQS Mask */
+
+#define SCB_AIRCR_SYSRESETREQ_Pos           2U                                            /*!< SCB AIRCR: SYSRESETREQ Position */
+#define SCB_AIRCR_SYSRESETREQ_Msk          (1UL << SCB_AIRCR_SYSRESETREQ_Pos)             /*!< SCB AIRCR: SYSRESETREQ Mask */
+
+#define SCB_AIRCR_VECTCLRACTIVE_Pos         1U                                            /*!< SCB AIRCR: VECTCLRACTIVE Position */
+#define SCB_AIRCR_VECTCLRACTIVE_Msk        (1UL << SCB_AIRCR_VECTCLRACTIVE_Pos)           /*!< SCB AIRCR: VECTCLRACTIVE Mask */
+
+/* SCB System Control Register Definitions */
+#define SCB_SCR_SEVONPEND_Pos               4U                                            /*!< SCB SCR: SEVONPEND Position */
+#define SCB_SCR_SEVONPEND_Msk              (1UL << SCB_SCR_SEVONPEND_Pos)                 /*!< SCB SCR: SEVONPEND Mask */
+
+#define SCB_SCR_SLEEPDEEPS_Pos              3U                                            /*!< SCB SCR: SLEEPDEEPS Position */
+#define SCB_SCR_SLEEPDEEPS_Msk             (1UL << SCB_SCR_SLEEPDEEPS_Pos)                /*!< SCB SCR: SLEEPDEEPS Mask */
+
+#define SCB_SCR_SLEEPDEEP_Pos               2U                                            /*!< SCB SCR: SLEEPDEEP Position */
+#define SCB_SCR_SLEEPDEEP_Msk              (1UL << SCB_SCR_SLEEPDEEP_Pos)                 /*!< SCB SCR: SLEEPDEEP Mask */
+
+#define SCB_SCR_SLEEPONEXIT_Pos             1U                                            /*!< SCB SCR: SLEEPONEXIT Position */
+#define SCB_SCR_SLEEPONEXIT_Msk            (1UL << SCB_SCR_SLEEPONEXIT_Pos)               /*!< SCB SCR: SLEEPONEXIT Mask */
+
+/* SCB Configuration Control Register Definitions */
+#define SCB_CCR_BP_Pos                     18U                                            /*!< SCB CCR: BP Position */
+#define SCB_CCR_BP_Msk                     (1UL << SCB_CCR_BP_Pos)                        /*!< SCB CCR: BP Mask */
+
+#define SCB_CCR_IC_Pos                     17U                                            /*!< SCB CCR: IC Position */
+#define SCB_CCR_IC_Msk                     (1UL << SCB_CCR_IC_Pos)                        /*!< SCB CCR: IC Mask */
+
+#define SCB_CCR_DC_Pos                     16U                                            /*!< SCB CCR: DC Position */
+#define SCB_CCR_DC_Msk                     (1UL << SCB_CCR_DC_Pos)                        /*!< SCB CCR: DC Mask */
+
+#define SCB_CCR_STKOFHFNMIGN_Pos           10U                                            /*!< SCB CCR: STKOFHFNMIGN Position */
+#define SCB_CCR_STKOFHFNMIGN_Msk           (1UL << SCB_CCR_STKOFHFNMIGN_Pos)              /*!< SCB CCR: STKOFHFNMIGN Mask */
+
+#define SCB_CCR_BFHFNMIGN_Pos               8U                                            /*!< SCB CCR: BFHFNMIGN Position */
+#define SCB_CCR_BFHFNMIGN_Msk              (1UL << SCB_CCR_BFHFNMIGN_Pos)                 /*!< SCB CCR: BFHFNMIGN Mask */
+
+#define SCB_CCR_DIV_0_TRP_Pos               4U                                            /*!< SCB CCR: DIV_0_TRP Position */
+#define SCB_CCR_DIV_0_TRP_Msk              (1UL << SCB_CCR_DIV_0_TRP_Pos)                 /*!< SCB CCR: DIV_0_TRP Mask */
+
+#define SCB_CCR_UNALIGN_TRP_Pos             3U                                            /*!< SCB CCR: UNALIGN_TRP Position */
+#define SCB_CCR_UNALIGN_TRP_Msk            (1UL << SCB_CCR_UNALIGN_TRP_Pos)               /*!< SCB CCR: UNALIGN_TRP Mask */
+
+#define SCB_CCR_USERSETMPEND_Pos            1U                                            /*!< SCB CCR: USERSETMPEND Position */
+#define SCB_CCR_USERSETMPEND_Msk           (1UL << SCB_CCR_USERSETMPEND_Pos)              /*!< SCB CCR: USERSETMPEND Mask */
+
+/* SCB System Handler Control and State Register Definitions */
+#define SCB_SHCSR_HARDFAULTPENDED_Pos      21U                                            /*!< SCB SHCSR: HARDFAULTPENDED Position */
+#define SCB_SHCSR_HARDFAULTPENDED_Msk      (1UL << SCB_SHCSR_HARDFAULTPENDED_Pos)         /*!< SCB SHCSR: HARDFAULTPENDED Mask */
+
+#define SCB_SHCSR_SECUREFAULTPENDED_Pos    20U                                            /*!< SCB SHCSR: SECUREFAULTPENDED Position */
+#define SCB_SHCSR_SECUREFAULTPENDED_Msk    (1UL << SCB_SHCSR_SECUREFAULTPENDED_Pos)       /*!< SCB SHCSR: SECUREFAULTPENDED Mask */
+
+#define SCB_SHCSR_SECUREFAULTENA_Pos       19U                                            /*!< SCB SHCSR: SECUREFAULTENA Position */
+#define SCB_SHCSR_SECUREFAULTENA_Msk       (1UL << SCB_SHCSR_SECUREFAULTENA_Pos)          /*!< SCB SHCSR: SECUREFAULTENA Mask */
+
+#define SCB_SHCSR_USGFAULTENA_Pos          18U                                            /*!< SCB SHCSR: USGFAULTENA Position */
+#define SCB_SHCSR_USGFAULTENA_Msk          (1UL << SCB_SHCSR_USGFAULTENA_Pos)             /*!< SCB SHCSR: USGFAULTENA Mask */
+
+#define SCB_SHCSR_BUSFAULTENA_Pos          17U                                            /*!< SCB SHCSR: BUSFAULTENA Position */
+#define SCB_SHCSR_BUSFAULTENA_Msk          (1UL << SCB_SHCSR_BUSFAULTENA_Pos)             /*!< SCB SHCSR: BUSFAULTENA Mask */
+
+#define SCB_SHCSR_MEMFAULTENA_Pos          16U                                            /*!< SCB SHCSR: MEMFAULTENA Position */
+#define SCB_SHCSR_MEMFAULTENA_Msk          (1UL << SCB_SHCSR_MEMFAULTENA_Pos)             /*!< SCB SHCSR: MEMFAULTENA Mask */
+
+#define SCB_SHCSR_SVCALLPENDED_Pos         15U                                            /*!< SCB SHCSR: SVCALLPENDED Position */
+#define SCB_SHCSR_SVCALLPENDED_Msk         (1UL << SCB_SHCSR_SVCALLPENDED_Pos)            /*!< SCB SHCSR: SVCALLPENDED Mask */
+
+#define SCB_SHCSR_BUSFAULTPENDED_Pos       14U                                            /*!< SCB SHCSR: BUSFAULTPENDED Position */
+#define SCB_SHCSR_BUSFAULTPENDED_Msk       (1UL << SCB_SHCSR_BUSFAULTPENDED_Pos)          /*!< SCB SHCSR: BUSFAULTPENDED Mask */
+
+#define SCB_SHCSR_MEMFAULTPENDED_Pos       13U                                            /*!< SCB SHCSR: MEMFAULTPENDED Position */
+#define SCB_SHCSR_MEMFAULTPENDED_Msk       (1UL << SCB_SHCSR_MEMFAULTPENDED_Pos)          /*!< SCB SHCSR: MEMFAULTPENDED Mask */
+
+#define SCB_SHCSR_USGFAULTPENDED_Pos       12U                                            /*!< SCB SHCSR: USGFAULTPENDED Position */
+#define SCB_SHCSR_USGFAULTPENDED_Msk       (1UL << SCB_SHCSR_USGFAULTPENDED_Pos)          /*!< SCB SHCSR: USGFAULTPENDED Mask */
+
+#define SCB_SHCSR_SYSTICKACT_Pos           11U                                            /*!< SCB SHCSR: SYSTICKACT Position */
+#define SCB_SHCSR_SYSTICKACT_Msk           (1UL << SCB_SHCSR_SYSTICKACT_Pos)              /*!< SCB SHCSR: SYSTICKACT Mask */
+
+#define SCB_SHCSR_PENDSVACT_Pos            10U                                            /*!< SCB SHCSR: PENDSVACT Position */
+#define SCB_SHCSR_PENDSVACT_Msk            (1UL << SCB_SHCSR_PENDSVACT_Pos)               /*!< SCB SHCSR: PENDSVACT Mask */
+
+#define SCB_SHCSR_MONITORACT_Pos            8U                                            /*!< SCB SHCSR: MONITORACT Position */
+#define SCB_SHCSR_MONITORACT_Msk           (1UL << SCB_SHCSR_MONITORACT_Pos)              /*!< SCB SHCSR: MONITORACT Mask */
+
+#define SCB_SHCSR_SVCALLACT_Pos             7U                                            /*!< SCB SHCSR: SVCALLACT Position */
+#define SCB_SHCSR_SVCALLACT_Msk            (1UL << SCB_SHCSR_SVCALLACT_Pos)               /*!< SCB SHCSR: SVCALLACT Mask */
+
+#define SCB_SHCSR_NMIACT_Pos                5U                                            /*!< SCB SHCSR: NMIACT Position */
+#define SCB_SHCSR_NMIACT_Msk               (1UL << SCB_SHCSR_NMIACT_Pos)                  /*!< SCB SHCSR: NMIACT Mask */
+
+#define SCB_SHCSR_SECUREFAULTACT_Pos        4U                                            /*!< SCB SHCSR: SECUREFAULTACT Position */
+#define SCB_SHCSR_SECUREFAULTACT_Msk       (1UL << SCB_SHCSR_SECUREFAULTACT_Pos)          /*!< SCB SHCSR: SECUREFAULTACT Mask */
+
+#define SCB_SHCSR_USGFAULTACT_Pos           3U                                            /*!< SCB SHCSR: USGFAULTACT Position */
+#define SCB_SHCSR_USGFAULTACT_Msk          (1UL << SCB_SHCSR_USGFAULTACT_Pos)             /*!< SCB SHCSR: USGFAULTACT Mask */
+
+#define SCB_SHCSR_HARDFAULTACT_Pos          2U                                            /*!< SCB SHCSR: HARDFAULTACT Position */
+#define SCB_SHCSR_HARDFAULTACT_Msk         (1UL << SCB_SHCSR_HARDFAULTACT_Pos)            /*!< SCB SHCSR: HARDFAULTACT Mask */
+
+#define SCB_SHCSR_BUSFAULTACT_Pos           1U                                            /*!< SCB SHCSR: BUSFAULTACT Position */
+#define SCB_SHCSR_BUSFAULTACT_Msk          (1UL << SCB_SHCSR_BUSFAULTACT_Pos)             /*!< SCB SHCSR: BUSFAULTACT Mask */
+
+#define SCB_SHCSR_MEMFAULTACT_Pos           0U                                            /*!< SCB SHCSR: MEMFAULTACT Position */
+#define SCB_SHCSR_MEMFAULTACT_Msk          (1UL /*<< SCB_SHCSR_MEMFAULTACT_Pos*/)         /*!< SCB SHCSR: MEMFAULTACT Mask */
+
+/* SCB Configurable Fault Status Register Definitions */
+#define SCB_CFSR_USGFAULTSR_Pos            16U                                            /*!< SCB CFSR: Usage Fault Status Register Position */
+#define SCB_CFSR_USGFAULTSR_Msk            (0xFFFFUL << SCB_CFSR_USGFAULTSR_Pos)          /*!< SCB CFSR: Usage Fault Status Register Mask */
+
+#define SCB_CFSR_BUSFAULTSR_Pos             8U                                            /*!< SCB CFSR: Bus Fault Status Register Position */
+#define SCB_CFSR_BUSFAULTSR_Msk            (0xFFUL << SCB_CFSR_BUSFAULTSR_Pos)            /*!< SCB CFSR: Bus Fault Status Register Mask */
+
+#define SCB_CFSR_MEMFAULTSR_Pos             0U                                            /*!< SCB CFSR: Memory Manage Fault Status Register Position */
+#define SCB_CFSR_MEMFAULTSR_Msk            (0xFFUL /*<< SCB_CFSR_MEMFAULTSR_Pos*/)        /*!< SCB CFSR: Memory Manage Fault Status Register Mask */
+
+/* MemManage Fault Status Register (part of SCB Configurable Fault Status Register) */
+#define SCB_CFSR_MMARVALID_Pos             (SCB_SHCSR_MEMFAULTACT_Pos + 7U)               /*!< SCB CFSR (MMFSR): MMARVALID Position */
+#define SCB_CFSR_MMARVALID_Msk             (1UL << SCB_CFSR_MMARVALID_Pos)                /*!< SCB CFSR (MMFSR): MMARVALID Mask */
+
+#define SCB_CFSR_MLSPERR_Pos               (SCB_SHCSR_MEMFAULTACT_Pos + 5U)               /*!< SCB CFSR (MMFSR): MLSPERR Position */
+#define SCB_CFSR_MLSPERR_Msk               (1UL << SCB_CFSR_MLSPERR_Pos)                  /*!< SCB CFSR (MMFSR): MLSPERR Mask */
+
+#define SCB_CFSR_MSTKERR_Pos               (SCB_SHCSR_MEMFAULTACT_Pos + 4U)               /*!< SCB CFSR (MMFSR): MSTKERR Position */
+#define SCB_CFSR_MSTKERR_Msk               (1UL << SCB_CFSR_MSTKERR_Pos)                  /*!< SCB CFSR (MMFSR): MSTKERR Mask */
+
+#define SCB_CFSR_MUNSTKERR_Pos             (SCB_SHCSR_MEMFAULTACT_Pos + 3U)               /*!< SCB CFSR (MMFSR): MUNSTKERR Position */
+#define SCB_CFSR_MUNSTKERR_Msk             (1UL << SCB_CFSR_MUNSTKERR_Pos)                /*!< SCB CFSR (MMFSR): MUNSTKERR Mask */
+
+#define SCB_CFSR_DACCVIOL_Pos              (SCB_SHCSR_MEMFAULTACT_Pos + 1U)               /*!< SCB CFSR (MMFSR): DACCVIOL Position */
+#define SCB_CFSR_DACCVIOL_Msk              (1UL << SCB_CFSR_DACCVIOL_Pos)                 /*!< SCB CFSR (MMFSR): DACCVIOL Mask */
+
+#define SCB_CFSR_IACCVIOL_Pos              (SCB_SHCSR_MEMFAULTACT_Pos + 0U)               /*!< SCB CFSR (MMFSR): IACCVIOL Position */
+#define SCB_CFSR_IACCVIOL_Msk              (1UL /*<< SCB_CFSR_IACCVIOL_Pos*/)             /*!< SCB CFSR (MMFSR): IACCVIOL Mask */
+
+/* BusFault Status Register (part of SCB Configurable Fault Status Register) */
+#define SCB_CFSR_BFARVALID_Pos            (SCB_CFSR_BUSFAULTSR_Pos + 7U)                  /*!< SCB CFSR (BFSR): BFARVALID Position */
+#define SCB_CFSR_BFARVALID_Msk            (1UL << SCB_CFSR_BFARVALID_Pos)                 /*!< SCB CFSR (BFSR): BFARVALID Mask */
+
+#define SCB_CFSR_LSPERR_Pos               (SCB_CFSR_BUSFAULTSR_Pos + 5U)                  /*!< SCB CFSR (BFSR): LSPERR Position */
+#define SCB_CFSR_LSPERR_Msk               (1UL << SCB_CFSR_LSPERR_Pos)                    /*!< SCB CFSR (BFSR): LSPERR Mask */
+
+#define SCB_CFSR_STKERR_Pos               (SCB_CFSR_BUSFAULTSR_Pos + 4U)                  /*!< SCB CFSR (BFSR): STKERR Position */
+#define SCB_CFSR_STKERR_Msk               (1UL << SCB_CFSR_STKERR_Pos)                    /*!< SCB CFSR (BFSR): STKERR Mask */
+
+#define SCB_CFSR_UNSTKERR_Pos             (SCB_CFSR_BUSFAULTSR_Pos + 3U)                  /*!< SCB CFSR (BFSR): UNSTKERR Position */
+#define SCB_CFSR_UNSTKERR_Msk             (1UL << SCB_CFSR_UNSTKERR_Pos)                  /*!< SCB CFSR (BFSR): UNSTKERR Mask */
+
+#define SCB_CFSR_IMPRECISERR_Pos          (SCB_CFSR_BUSFAULTSR_Pos + 2U)                  /*!< SCB CFSR (BFSR): IMPRECISERR Position */
+#define SCB_CFSR_IMPRECISERR_Msk          (1UL << SCB_CFSR_IMPRECISERR_Pos)               /*!< SCB CFSR (BFSR): IMPRECISERR Mask */
+
+#define SCB_CFSR_PRECISERR_Pos            (SCB_CFSR_BUSFAULTSR_Pos + 1U)                  /*!< SCB CFSR (BFSR): PRECISERR Position */
+#define SCB_CFSR_PRECISERR_Msk            (1UL << SCB_CFSR_PRECISERR_Pos)                 /*!< SCB CFSR (BFSR): PRECISERR Mask */
+
+#define SCB_CFSR_IBUSERR_Pos              (SCB_CFSR_BUSFAULTSR_Pos + 0U)                  /*!< SCB CFSR (BFSR): IBUSERR Position */
+#define SCB_CFSR_IBUSERR_Msk              (1UL << SCB_CFSR_IBUSERR_Pos)                   /*!< SCB CFSR (BFSR): IBUSERR Mask */
+
+/* UsageFault Status Register (part of SCB Configurable Fault Status Register) */
+#define SCB_CFSR_DIVBYZERO_Pos            (SCB_CFSR_USGFAULTSR_Pos + 9U)                  /*!< SCB CFSR (UFSR): DIVBYZERO Position */
+#define SCB_CFSR_DIVBYZERO_Msk            (1UL << SCB_CFSR_DIVBYZERO_Pos)                 /*!< SCB CFSR (UFSR): DIVBYZERO Mask */
+
+#define SCB_CFSR_UNALIGNED_Pos            (SCB_CFSR_USGFAULTSR_Pos + 8U)                  /*!< SCB CFSR (UFSR): UNALIGNED Position */
+#define SCB_CFSR_UNALIGNED_Msk            (1UL << SCB_CFSR_UNALIGNED_Pos)                 /*!< SCB CFSR (UFSR): UNALIGNED Mask */
+
+#define SCB_CFSR_STKOF_Pos                (SCB_CFSR_USGFAULTSR_Pos + 4U)                  /*!< SCB CFSR (UFSR): STKOF Position */
+#define SCB_CFSR_STKOF_Msk                (1UL << SCB_CFSR_STKOF_Pos)                     /*!< SCB CFSR (UFSR): STKOF Mask */
+
+#define SCB_CFSR_NOCP_Pos                 (SCB_CFSR_USGFAULTSR_Pos + 3U)                  /*!< SCB CFSR (UFSR): NOCP Position */
+#define SCB_CFSR_NOCP_Msk                 (1UL << SCB_CFSR_NOCP_Pos)                      /*!< SCB CFSR (UFSR): NOCP Mask */
+
+#define SCB_CFSR_INVPC_Pos                (SCB_CFSR_USGFAULTSR_Pos + 2U)                  /*!< SCB CFSR (UFSR): INVPC Position */
+#define SCB_CFSR_INVPC_Msk                (1UL << SCB_CFSR_INVPC_Pos)                     /*!< SCB CFSR (UFSR): INVPC Mask */
+
+#define SCB_CFSR_INVSTATE_Pos             (SCB_CFSR_USGFAULTSR_Pos + 1U)                  /*!< SCB CFSR (UFSR): INVSTATE Position */
+#define SCB_CFSR_INVSTATE_Msk             (1UL << SCB_CFSR_INVSTATE_Pos)                  /*!< SCB CFSR (UFSR): INVSTATE Mask */
+
+#define SCB_CFSR_UNDEFINSTR_Pos           (SCB_CFSR_USGFAULTSR_Pos + 0U)                  /*!< SCB CFSR (UFSR): UNDEFINSTR Position */
+#define SCB_CFSR_UNDEFINSTR_Msk           (1UL << SCB_CFSR_UNDEFINSTR_Pos)                /*!< SCB CFSR (UFSR): UNDEFINSTR Mask */
+
+/* SCB Hard Fault Status Register Definitions */
+#define SCB_HFSR_DEBUGEVT_Pos              31U                                            /*!< SCB HFSR: DEBUGEVT Position */
+#define SCB_HFSR_DEBUGEVT_Msk              (1UL << SCB_HFSR_DEBUGEVT_Pos)                 /*!< SCB HFSR: DEBUGEVT Mask */
+
+#define SCB_HFSR_FORCED_Pos                30U                                            /*!< SCB HFSR: FORCED Position */
+#define SCB_HFSR_FORCED_Msk                (1UL << SCB_HFSR_FORCED_Pos)                   /*!< SCB HFSR: FORCED Mask */
+
+#define SCB_HFSR_VECTTBL_Pos                1U                                            /*!< SCB HFSR: VECTTBL Position */
+#define SCB_HFSR_VECTTBL_Msk               (1UL << SCB_HFSR_VECTTBL_Pos)                  /*!< SCB HFSR: VECTTBL Mask */
+
+/* SCB Debug Fault Status Register Definitions */
+#define SCB_DFSR_EXTERNAL_Pos               4U                                            /*!< SCB DFSR: EXTERNAL Position */
+#define SCB_DFSR_EXTERNAL_Msk              (1UL << SCB_DFSR_EXTERNAL_Pos)                 /*!< SCB DFSR: EXTERNAL Mask */
+
+#define SCB_DFSR_VCATCH_Pos                 3U                                            /*!< SCB DFSR: VCATCH Position */
+#define SCB_DFSR_VCATCH_Msk                (1UL << SCB_DFSR_VCATCH_Pos)                   /*!< SCB DFSR: VCATCH Mask */
+
+#define SCB_DFSR_DWTTRAP_Pos                2U                                            /*!< SCB DFSR: DWTTRAP Position */
+#define SCB_DFSR_DWTTRAP_Msk               (1UL << SCB_DFSR_DWTTRAP_Pos)                  /*!< SCB DFSR: DWTTRAP Mask */
+
+#define SCB_DFSR_BKPT_Pos                   1U                                            /*!< SCB DFSR: BKPT Position */
+#define SCB_DFSR_BKPT_Msk                  (1UL << SCB_DFSR_BKPT_Pos)                     /*!< SCB DFSR: BKPT Mask */
+
+#define SCB_DFSR_HALTED_Pos                 0U                                            /*!< SCB DFSR: HALTED Position */
+#define SCB_DFSR_HALTED_Msk                (1UL /*<< SCB_DFSR_HALTED_Pos*/)               /*!< SCB DFSR: HALTED Mask */
+
+/* SCB Non-Secure Access Control Register Definitions */
+#define SCB_NSACR_CP11_Pos                 11U                                            /*!< SCB NSACR: CP11 Position */
+#define SCB_NSACR_CP11_Msk                 (1UL << SCB_NSACR_CP11_Pos)                    /*!< SCB NSACR: CP11 Mask */
+
+#define SCB_NSACR_CP10_Pos                 10U                                            /*!< SCB NSACR: CP10 Position */
+#define SCB_NSACR_CP10_Msk                 (1UL << SCB_NSACR_CP10_Pos)                    /*!< SCB NSACR: CP10 Mask */
+
+#define SCB_NSACR_CPn_Pos                   0U                                            /*!< SCB NSACR: CPn Position */
+#define SCB_NSACR_CPn_Msk                  (1UL /*<< SCB_NSACR_CPn_Pos*/)                 /*!< SCB NSACR: CPn Mask */
+
+/* SCB Cache Level ID Register Definitions */
+#define SCB_CLIDR_LOUU_Pos                 27U                                            /*!< SCB CLIDR: LoUU Position */
+#define SCB_CLIDR_LOUU_Msk                 (7UL << SCB_CLIDR_LOUU_Pos)                    /*!< SCB CLIDR: LoUU Mask */
+
+#define SCB_CLIDR_LOC_Pos                  24U                                            /*!< SCB CLIDR: LoC Position */
+#define SCB_CLIDR_LOC_Msk                  (7UL << SCB_CLIDR_LOC_Pos)                     /*!< SCB CLIDR: LoC Mask */
+
+/* SCB Cache Type Register Definitions */
+#define SCB_CTR_FORMAT_Pos                 29U                                            /*!< SCB CTR: Format Position */
+#define SCB_CTR_FORMAT_Msk                 (7UL << SCB_CTR_FORMAT_Pos)                    /*!< SCB CTR: Format Mask */
+
+#define SCB_CTR_CWG_Pos                    24U                                            /*!< SCB CTR: CWG Position */
+#define SCB_CTR_CWG_Msk                    (0xFUL << SCB_CTR_CWG_Pos)                     /*!< SCB CTR: CWG Mask */
+
+#define SCB_CTR_ERG_Pos                    20U                                            /*!< SCB CTR: ERG Position */
+#define SCB_CTR_ERG_Msk                    (0xFUL << SCB_CTR_ERG_Pos)                     /*!< SCB CTR: ERG Mask */
+
+#define SCB_CTR_DMINLINE_Pos               16U                                            /*!< SCB CTR: DminLine Position */
+#define SCB_CTR_DMINLINE_Msk               (0xFUL << SCB_CTR_DMINLINE_Pos)                /*!< SCB CTR: DminLine Mask */
+
+#define SCB_CTR_IMINLINE_Pos                0U                                            /*!< SCB CTR: ImInLine Position */
+#define SCB_CTR_IMINLINE_Msk               (0xFUL /*<< SCB_CTR_IMINLINE_Pos*/)            /*!< SCB CTR: ImInLine Mask */
+
+/* SCB Cache Size ID Register Definitions */
+#define SCB_CCSIDR_WT_Pos                  31U                                            /*!< SCB CCSIDR: WT Position */
+#define SCB_CCSIDR_WT_Msk                  (1UL << SCB_CCSIDR_WT_Pos)                     /*!< SCB CCSIDR: WT Mask */
+
+#define SCB_CCSIDR_WB_Pos                  30U                                            /*!< SCB CCSIDR: WB Position */
+#define SCB_CCSIDR_WB_Msk                  (1UL << SCB_CCSIDR_WB_Pos)                     /*!< SCB CCSIDR: WB Mask */
+
+#define SCB_CCSIDR_RA_Pos                  29U                                            /*!< SCB CCSIDR: RA Position */
+#define SCB_CCSIDR_RA_Msk                  (1UL << SCB_CCSIDR_RA_Pos)                     /*!< SCB CCSIDR: RA Mask */
+
+#define SCB_CCSIDR_WA_Pos                  28U                                            /*!< SCB CCSIDR: WA Position */
+#define SCB_CCSIDR_WA_Msk                  (1UL << SCB_CCSIDR_WA_Pos)                     /*!< SCB CCSIDR: WA Mask */
+
+#define SCB_CCSIDR_NUMSETS_Pos             13U                                            /*!< SCB CCSIDR: NumSets Position */
+#define SCB_CCSIDR_NUMSETS_Msk             (0x7FFFUL << SCB_CCSIDR_NUMSETS_Pos)           /*!< SCB CCSIDR: NumSets Mask */
+
+#define SCB_CCSIDR_ASSOCIATIVITY_Pos        3U                                            /*!< SCB CCSIDR: Associativity Position */
+#define SCB_CCSIDR_ASSOCIATIVITY_Msk       (0x3FFUL << SCB_CCSIDR_ASSOCIATIVITY_Pos)      /*!< SCB CCSIDR: Associativity Mask */
+
+#define SCB_CCSIDR_LINESIZE_Pos             0U                                            /*!< SCB CCSIDR: LineSize Position */
+#define SCB_CCSIDR_LINESIZE_Msk            (7UL /*<< SCB_CCSIDR_LINESIZE_Pos*/)           /*!< SCB CCSIDR: LineSize Mask */
+
+/* SCB Cache Size Selection Register Definitions */
+#define SCB_CSSELR_LEVEL_Pos                1U                                            /*!< SCB CSSELR: Level Position */
+#define SCB_CSSELR_LEVEL_Msk               (7UL << SCB_CSSELR_LEVEL_Pos)                  /*!< SCB CSSELR: Level Mask */
+
+#define SCB_CSSELR_IND_Pos                  0U                                            /*!< SCB CSSELR: InD Position */
+#define SCB_CSSELR_IND_Msk                 (1UL /*<< SCB_CSSELR_IND_Pos*/)                /*!< SCB CSSELR: InD Mask */
+
+/* SCB Software Triggered Interrupt Register Definitions */
+#define SCB_STIR_INTID_Pos                  0U                                            /*!< SCB STIR: INTID Position */
+#define SCB_STIR_INTID_Msk                 (0x1FFUL /*<< SCB_STIR_INTID_Pos*/)            /*!< SCB STIR: INTID Mask */
+
+/* SCB D-Cache Invalidate by Set-way Register Definitions */
+#define SCB_DCISW_WAY_Pos                  30U                                            /*!< SCB DCISW: Way Position */
+#define SCB_DCISW_WAY_Msk                  (3UL << SCB_DCISW_WAY_Pos)                     /*!< SCB DCISW: Way Mask */
+
+#define SCB_DCISW_SET_Pos                   5U                                            /*!< SCB DCISW: Set Position */
+#define SCB_DCISW_SET_Msk                  (0x1FFUL << SCB_DCISW_SET_Pos)                 /*!< SCB DCISW: Set Mask */
+
+/* SCB D-Cache Clean by Set-way Register Definitions */
+#define SCB_DCCSW_WAY_Pos                  30U                                            /*!< SCB DCCSW: Way Position */
+#define SCB_DCCSW_WAY_Msk                  (3UL << SCB_DCCSW_WAY_Pos)                     /*!< SCB DCCSW: Way Mask */
+
+#define SCB_DCCSW_SET_Pos                   5U                                            /*!< SCB DCCSW: Set Position */
+#define SCB_DCCSW_SET_Msk                  (0x1FFUL << SCB_DCCSW_SET_Pos)                 /*!< SCB DCCSW: Set Mask */
+
+/* SCB D-Cache Clean and Invalidate by Set-way Register Definitions */
+#define SCB_DCCISW_WAY_Pos                 30U                                            /*!< SCB DCCISW: Way Position */
+#define SCB_DCCISW_WAY_Msk                 (3UL << SCB_DCCISW_WAY_Pos)                    /*!< SCB DCCISW: Way Mask */
+
+#define SCB_DCCISW_SET_Pos                  5U                                            /*!< SCB DCCISW: Set Position */
+#define SCB_DCCISW_SET_Msk                 (0x1FFUL << SCB_DCCISW_SET_Pos)                /*!< SCB DCCISW: Set Mask */
+
+/* Instruction Tightly-Coupled Memory Control Register Definitions */
+#define SCB_ITCMCR_SZ_Pos                   3U                                            /*!< SCB ITCMCR: SZ Position */
+#define SCB_ITCMCR_SZ_Msk                  (0xFUL << SCB_ITCMCR_SZ_Pos)                   /*!< SCB ITCMCR: SZ Mask */
+
+#define SCB_ITCMCR_RETEN_Pos                2U                                            /*!< SCB ITCMCR: RETEN Position */
+#define SCB_ITCMCR_RETEN_Msk               (1UL << SCB_ITCMCR_RETEN_Pos)                  /*!< SCB ITCMCR: RETEN Mask */
+
+#define SCB_ITCMCR_RMW_Pos                  1U                                            /*!< SCB ITCMCR: RMW Position */
+#define SCB_ITCMCR_RMW_Msk                 (1UL << SCB_ITCMCR_RMW_Pos)                    /*!< SCB ITCMCR: RMW Mask */
+
+#define SCB_ITCMCR_EN_Pos                   0U                                            /*!< SCB ITCMCR: EN Position */
+#define SCB_ITCMCR_EN_Msk                  (1UL /*<< SCB_ITCMCR_EN_Pos*/)                 /*!< SCB ITCMCR: EN Mask */
+
+/* Data Tightly-Coupled Memory Control Register Definitions */
+#define SCB_DTCMCR_SZ_Pos                   3U                                            /*!< SCB DTCMCR: SZ Position */
+#define SCB_DTCMCR_SZ_Msk                  (0xFUL << SCB_DTCMCR_SZ_Pos)                   /*!< SCB DTCMCR: SZ Mask */
+
+#define SCB_DTCMCR_RETEN_Pos                2U                                            /*!< SCB DTCMCR: RETEN Position */
+#define SCB_DTCMCR_RETEN_Msk               (1UL << SCB_DTCMCR_RETEN_Pos)                   /*!< SCB DTCMCR: RETEN Mask */
+
+#define SCB_DTCMCR_RMW_Pos                  1U                                            /*!< SCB DTCMCR: RMW Position */
+#define SCB_DTCMCR_RMW_Msk                 (1UL << SCB_DTCMCR_RMW_Pos)                    /*!< SCB DTCMCR: RMW Mask */
+
+#define SCB_DTCMCR_EN_Pos                   0U                                            /*!< SCB DTCMCR: EN Position */
+#define SCB_DTCMCR_EN_Msk                  (1UL /*<< SCB_DTCMCR_EN_Pos*/)                 /*!< SCB DTCMCR: EN Mask */
+
+/* AHBP Control Register Definitions */
+#define SCB_AHBPCR_SZ_Pos                   1U                                            /*!< SCB AHBPCR: SZ Position */
+#define SCB_AHBPCR_SZ_Msk                  (7UL << SCB_AHBPCR_SZ_Pos)                     /*!< SCB AHBPCR: SZ Mask */
+
+#define SCB_AHBPCR_EN_Pos                   0U                                            /*!< SCB AHBPCR: EN Position */
+#define SCB_AHBPCR_EN_Msk                  (1UL /*<< SCB_AHBPCR_EN_Pos*/)                 /*!< SCB AHBPCR: EN Mask */
+
+/* L1 Cache Control Register Definitions */
+#define SCB_CACR_FORCEWT_Pos                2U                                            /*!< SCB CACR: FORCEWT Position */
+#define SCB_CACR_FORCEWT_Msk               (1UL << SCB_CACR_FORCEWT_Pos)                  /*!< SCB CACR: FORCEWT Mask */
+
+#define SCB_CACR_ECCEN_Pos                  1U                                            /*!< SCB CACR: ECCEN Position */
+#define SCB_CACR_ECCEN_Msk                 (1UL << SCB_CACR_ECCEN_Pos)                    /*!< SCB CACR: ECCEN Mask */
+
+#define SCB_CACR_SIWT_Pos                   0U                                            /*!< SCB CACR: SIWT Position */
+#define SCB_CACR_SIWT_Msk                  (1UL /*<< SCB_CACR_SIWT_Pos*/)                 /*!< SCB CACR: SIWT Mask */
+
+/* AHBS Control Register Definitions */
+#define SCB_AHBSCR_INITCOUNT_Pos           11U                                            /*!< SCB AHBSCR: INITCOUNT Position */
+#define SCB_AHBSCR_INITCOUNT_Msk           (0x1FUL << SCB_AHBPCR_INITCOUNT_Pos)           /*!< SCB AHBSCR: INITCOUNT Mask */
+
+#define SCB_AHBSCR_TPRI_Pos                 2U                                            /*!< SCB AHBSCR: TPRI Position */
+#define SCB_AHBSCR_TPRI_Msk                (0x1FFUL << SCB_AHBPCR_TPRI_Pos)               /*!< SCB AHBSCR: TPRI Mask */
+
+#define SCB_AHBSCR_CTL_Pos                  0U                                            /*!< SCB AHBSCR: CTL Position*/
+#define SCB_AHBSCR_CTL_Msk                 (3UL /*<< SCB_AHBPCR_CTL_Pos*/)                /*!< SCB AHBSCR: CTL Mask */
+
+/* Auxiliary Bus Fault Status Register Definitions */
+#define SCB_ABFSR_AXIMTYPE_Pos              8U                                            /*!< SCB ABFSR: AXIMTYPE Position*/
+#define SCB_ABFSR_AXIMTYPE_Msk             (3UL << SCB_ABFSR_AXIMTYPE_Pos)                /*!< SCB ABFSR: AXIMTYPE Mask */
+
+#define SCB_ABFSR_EPPB_Pos                  4U                                            /*!< SCB ABFSR: EPPB Position*/
+#define SCB_ABFSR_EPPB_Msk                 (1UL << SCB_ABFSR_EPPB_Pos)                    /*!< SCB ABFSR: EPPB Mask */
+
+#define SCB_ABFSR_AXIM_Pos                  3U                                            /*!< SCB ABFSR: AXIM Position*/
+#define SCB_ABFSR_AXIM_Msk                 (1UL << SCB_ABFSR_AXIM_Pos)                    /*!< SCB ABFSR: AXIM Mask */
+
+#define SCB_ABFSR_AHBP_Pos                  2U                                            /*!< SCB ABFSR: AHBP Position*/
+#define SCB_ABFSR_AHBP_Msk                 (1UL << SCB_ABFSR_AHBP_Pos)                    /*!< SCB ABFSR: AHBP Mask */
+
+#define SCB_ABFSR_DTCM_Pos                  1U                                            /*!< SCB ABFSR: DTCM Position*/
+#define SCB_ABFSR_DTCM_Msk                 (1UL << SCB_ABFSR_DTCM_Pos)                    /*!< SCB ABFSR: DTCM Mask */
+
+#define SCB_ABFSR_ITCM_Pos                  0U                                            /*!< SCB ABFSR: ITCM Position*/
+#define SCB_ABFSR_ITCM_Msk                 (1UL /*<< SCB_ABFSR_ITCM_Pos*/)                /*!< SCB ABFSR: ITCM Mask */
+
+/*@} end of group CMSIS_SCB */
+
+
+/**
+  \ingroup  CMSIS_core_register
+  \defgroup CMSIS_SCnSCB System Controls not in SCB (SCnSCB)
+  \brief    Type definitions for the System Control and ID Register not in the SCB
+  @{
+ */
+
+/**
+  \brief  Structure type to access the System Control and ID Register not in the SCB.
+ */
+typedef struct
+{
+        uint32_t RESERVED0[1U];
+  __IM  uint32_t ICTR;                   /*!< Offset: 0x004 (R/ )  Interrupt Controller Type Register */
+  __IOM uint32_t ACTLR;                  /*!< Offset: 0x008 (R/W)  Auxiliary Control Register */
+  __IOM uint32_t CPPWR;                  /*!< Offset: 0x00C (R/W)  Coprocessor Power Control  Register */
+} SCnSCB_Type;
+
+/* Interrupt Controller Type Register Definitions */
+#define SCnSCB_ICTR_INTLINESNUM_Pos         0U                                         /*!< ICTR: INTLINESNUM Position */
+#define SCnSCB_ICTR_INTLINESNUM_Msk        (0xFUL /*<< SCnSCB_ICTR_INTLINESNUM_Pos*/)  /*!< ICTR: INTLINESNUM Mask */
+
+/*@} end of group CMSIS_SCnotSCB */
+
+
+/**
+  \ingroup  CMSIS_core_register
+  \defgroup CMSIS_SysTick     System Tick Timer (SysTick)
+  \brief    Type definitions for the System Timer Registers.
+  @{
+ */
+
+/**
+  \brief  Structure type to access the System Timer (SysTick).
+ */
+typedef struct
+{
+  __IOM uint32_t CTRL;                   /*!< Offset: 0x000 (R/W)  SysTick Control and Status Register */
+  __IOM uint32_t LOAD;                   /*!< Offset: 0x004 (R/W)  SysTick Reload Value Register */
+  __IOM uint32_t VAL;                    /*!< Offset: 0x008 (R/W)  SysTick Current Value Register */
+  __IM  uint32_t CALIB;                  /*!< Offset: 0x00C (R/ )  SysTick Calibration Register */
+} SysTick_Type;
+
+/* SysTick Control / Status Register Definitions */
+#define SysTick_CTRL_COUNTFLAG_Pos         16U                                            /*!< SysTick CTRL: COUNTFLAG Position */
+#define SysTick_CTRL_COUNTFLAG_Msk         (1UL << SysTick_CTRL_COUNTFLAG_Pos)            /*!< SysTick CTRL: COUNTFLAG Mask */
+
+#define SysTick_CTRL_CLKSOURCE_Pos          2U                                            /*!< SysTick CTRL: CLKSOURCE Position */
+#define SysTick_CTRL_CLKSOURCE_Msk         (1UL << SysTick_CTRL_CLKSOURCE_Pos)            /*!< SysTick CTRL: CLKSOURCE Mask */
+
+#define SysTick_CTRL_TICKINT_Pos            1U                                            /*!< SysTick CTRL: TICKINT Position */
+#define SysTick_CTRL_TICKINT_Msk           (1UL << SysTick_CTRL_TICKINT_Pos)              /*!< SysTick CTRL: TICKINT Mask */
+
+#define SysTick_CTRL_ENABLE_Pos             0U                                            /*!< SysTick CTRL: ENABLE Position */
+#define SysTick_CTRL_ENABLE_Msk            (1UL /*<< SysTick_CTRL_ENABLE_Pos*/)           /*!< SysTick CTRL: ENABLE Mask */
+
+/* SysTick Reload Register Definitions */
+#define SysTick_LOAD_RELOAD_Pos             0U                                            /*!< SysTick LOAD: RELOAD Position */
+#define SysTick_LOAD_RELOAD_Msk            (0xFFFFFFUL /*<< SysTick_LOAD_RELOAD_Pos*/)    /*!< SysTick LOAD: RELOAD Mask */
+
+/* SysTick Current Register Definitions */
+#define SysTick_VAL_CURRENT_Pos             0U                                            /*!< SysTick VAL: CURRENT Position */
+#define SysTick_VAL_CURRENT_Msk            (0xFFFFFFUL /*<< SysTick_VAL_CURRENT_Pos*/)    /*!< SysTick VAL: CURRENT Mask */
+
+/* SysTick Calibration Register Definitions */
+#define SysTick_CALIB_NOREF_Pos            31U                                            /*!< SysTick CALIB: NOREF Position */
+#define SysTick_CALIB_NOREF_Msk            (1UL << SysTick_CALIB_NOREF_Pos)               /*!< SysTick CALIB: NOREF Mask */
+
+#define SysTick_CALIB_SKEW_Pos             30U                                            /*!< SysTick CALIB: SKEW Position */
+#define SysTick_CALIB_SKEW_Msk             (1UL << SysTick_CALIB_SKEW_Pos)                /*!< SysTick CALIB: SKEW Mask */
+
+#define SysTick_CALIB_TENMS_Pos             0U                                            /*!< SysTick CALIB: TENMS Position */
+#define SysTick_CALIB_TENMS_Msk            (0xFFFFFFUL /*<< SysTick_CALIB_TENMS_Pos*/)    /*!< SysTick CALIB: TENMS Mask */
+
+/*@} end of group CMSIS_SysTick */
+
+
+/**
+  \ingroup  CMSIS_core_register
+  \defgroup CMSIS_ITM     Instrumentation Trace Macrocell (ITM)
+  \brief    Type definitions for the Instrumentation Trace Macrocell (ITM)
+  @{
+ */
+
+/**
+  \brief  Structure type to access the Instrumentation Trace Macrocell Register (ITM).
+ */
+typedef struct
+{
+  __OM  union
+  {
+    __OM  uint8_t    u8;                 /*!< Offset: 0x000 ( /W)  ITM Stimulus Port 8-bit */
+    __OM  uint16_t   u16;                /*!< Offset: 0x000 ( /W)  ITM Stimulus Port 16-bit */
+    __OM  uint32_t   u32;                /*!< Offset: 0x000 ( /W)  ITM Stimulus Port 32-bit */
+  }  PORT [32U];                         /*!< Offset: 0x000 ( /W)  ITM Stimulus Port Registers */
+        uint32_t RESERVED0[864U];
+  __IOM uint32_t TER;                    /*!< Offset: 0xE00 (R/W)  ITM Trace Enable Register */
+        uint32_t RESERVED1[15U];
+  __IOM uint32_t TPR;                    /*!< Offset: 0xE40 (R/W)  ITM Trace Privilege Register */
+        uint32_t RESERVED2[15U];
+  __IOM uint32_t TCR;                    /*!< Offset: 0xE80 (R/W)  ITM Trace Control Register */
+        uint32_t RESERVED3[29U];
+  __OM  uint32_t IWR;                    /*!< Offset: 0xEF8 ( /W)  ITM Integration Write Register */
+  __IM  uint32_t IRR;                    /*!< Offset: 0xEFC (R/ )  ITM Integration Read Register */
+  __IOM uint32_t IMCR;                   /*!< Offset: 0xF00 (R/W)  ITM Integration Mode Control Register */
+        uint32_t RESERVED4[43U];
+  __OM  uint32_t LAR;                    /*!< Offset: 0xFB0 ( /W)  ITM Lock Access Register */
+  __IM  uint32_t LSR;                    /*!< Offset: 0xFB4 (R/ )  ITM Lock Status Register */
+        uint32_t RESERVED5[1U];
+  __IM  uint32_t DEVARCH;                /*!< Offset: 0xFBC (R/ )  ITM Device Architecture Register */
+        uint32_t RESERVED6[4U];
+  __IM  uint32_t PID4;                   /*!< Offset: 0xFD0 (R/ )  ITM Peripheral Identification Register #4 */
+  __IM  uint32_t PID5;                   /*!< Offset: 0xFD4 (R/ )  ITM Peripheral Identification Register #5 */
+  __IM  uint32_t PID6;                   /*!< Offset: 0xFD8 (R/ )  ITM Peripheral Identification Register #6 */
+  __IM  uint32_t PID7;                   /*!< Offset: 0xFDC (R/ )  ITM Peripheral Identification Register #7 */
+  __IM  uint32_t PID0;                   /*!< Offset: 0xFE0 (R/ )  ITM Peripheral Identification Register #0 */
+  __IM  uint32_t PID1;                   /*!< Offset: 0xFE4 (R/ )  ITM Peripheral Identification Register #1 */
+  __IM  uint32_t PID2;                   /*!< Offset: 0xFE8 (R/ )  ITM Peripheral Identification Register #2 */
+  __IM  uint32_t PID3;                   /*!< Offset: 0xFEC (R/ )  ITM Peripheral Identification Register #3 */
+  __IM  uint32_t CID0;                   /*!< Offset: 0xFF0 (R/ )  ITM Component  Identification Register #0 */
+  __IM  uint32_t CID1;                   /*!< Offset: 0xFF4 (R/ )  ITM Component  Identification Register #1 */
+  __IM  uint32_t CID2;                   /*!< Offset: 0xFF8 (R/ )  ITM Component  Identification Register #2 */
+  __IM  uint32_t CID3;                   /*!< Offset: 0xFFC (R/ )  ITM Component  Identification Register #3 */
+} ITM_Type;
+
+/* ITM Stimulus Port Register Definitions */
+#define ITM_STIM_DISABLED_Pos               1U                                            /*!< ITM STIM: DISABLED Position */
+#define ITM_STIM_DISABLED_Msk              (0x1UL << ITM_STIM_DISABLED_Pos)               /*!< ITM STIM: DISABLED Mask */
+
+#define ITM_STIM_FIFOREADY_Pos              0U                                            /*!< ITM STIM: FIFOREADY Position */
+#define ITM_STIM_FIFOREADY_Msk             (0x1UL /*<< ITM_STIM_FIFOREADY_Pos*/)          /*!< ITM STIM: FIFOREADY Mask */
+
+/* ITM Trace Privilege Register Definitions */
+#define ITM_TPR_PRIVMASK_Pos                0U                                            /*!< ITM TPR: PRIVMASK Position */
+#define ITM_TPR_PRIVMASK_Msk               (0xFUL /*<< ITM_TPR_PRIVMASK_Pos*/)            /*!< ITM TPR: PRIVMASK Mask */
+
+/* ITM Trace Control Register Definitions */
+#define ITM_TCR_BUSY_Pos                   23U                                            /*!< ITM TCR: BUSY Position */
+#define ITM_TCR_BUSY_Msk                   (1UL << ITM_TCR_BUSY_Pos)                      /*!< ITM TCR: BUSY Mask */
+
+#define ITM_TCR_TRACEBUSID_Pos             16U                                            /*!< ITM TCR: ATBID Position */
+#define ITM_TCR_TRACEBUSID_Msk             (0x7FUL << ITM_TCR_TRACEBUSID_Pos)             /*!< ITM TCR: ATBID Mask */
+
+#define ITM_TCR_GTSFREQ_Pos                10U                                            /*!< ITM TCR: Global timestamp frequency Position */
+#define ITM_TCR_GTSFREQ_Msk                (3UL << ITM_TCR_GTSFREQ_Pos)                   /*!< ITM TCR: Global timestamp frequency Mask */
+
+#define ITM_TCR_TSPRESCALE_Pos              8U                                            /*!< ITM TCR: TSPRESCALE Position */
+#define ITM_TCR_TSPRESCALE_Msk             (3UL << ITM_TCR_TSPRESCALE_Pos)                /*!< ITM TCR: TSPRESCALE Mask */
+
+#define ITM_TCR_STALLENA_Pos                5U                                            /*!< ITM TCR: STALLENA Position */
+#define ITM_TCR_STALLENA_Msk               (1UL << ITM_TCR_STALLENA_Pos)                  /*!< ITM TCR: STALLENA Mask */
+
+#define ITM_TCR_SWOENA_Pos                  4U                                            /*!< ITM TCR: SWOENA Position */
+#define ITM_TCR_SWOENA_Msk                 (1UL << ITM_TCR_SWOENA_Pos)                    /*!< ITM TCR: SWOENA Mask */
+
+#define ITM_TCR_DWTENA_Pos                  3U                                            /*!< ITM TCR: DWTENA Position */
+#define ITM_TCR_DWTENA_Msk                 (1UL << ITM_TCR_DWTENA_Pos)                    /*!< ITM TCR: DWTENA Mask */
+
+#define ITM_TCR_SYNCENA_Pos                 2U                                            /*!< ITM TCR: SYNCENA Position */
+#define ITM_TCR_SYNCENA_Msk                (1UL << ITM_TCR_SYNCENA_Pos)                   /*!< ITM TCR: SYNCENA Mask */
+
+#define ITM_TCR_TSENA_Pos                   1U                                            /*!< ITM TCR: TSENA Position */
+#define ITM_TCR_TSENA_Msk                  (1UL << ITM_TCR_TSENA_Pos)                     /*!< ITM TCR: TSENA Mask */
+
+#define ITM_TCR_ITMENA_Pos                  0U                                            /*!< ITM TCR: ITM Enable bit Position */
+#define ITM_TCR_ITMENA_Msk                 (1UL /*<< ITM_TCR_ITMENA_Pos*/)                /*!< ITM TCR: ITM Enable bit Mask */
+
+/* ITM Integration Write Register Definitions */
+#define ITM_IWR_ATVALIDM_Pos                0U                                            /*!< ITM IWR: ATVALIDM Position */
+#define ITM_IWR_ATVALIDM_Msk               (1UL /*<< ITM_IWR_ATVALIDM_Pos*/)              /*!< ITM IWR: ATVALIDM Mask */
+
+/* ITM Integration Read Register Definitions */
+#define ITM_IRR_ATREADYM_Pos                0U                                            /*!< ITM IRR: ATREADYM Position */
+#define ITM_IRR_ATREADYM_Msk               (1UL /*<< ITM_IRR_ATREADYM_Pos*/)              /*!< ITM IRR: ATREADYM Mask */
+
+/* ITM Integration Mode Control Register Definitions */
+#define ITM_IMCR_INTEGRATION_Pos            0U                                            /*!< ITM IMCR: INTEGRATION Position */
+#define ITM_IMCR_INTEGRATION_Msk           (1UL /*<< ITM_IMCR_INTEGRATION_Pos*/)          /*!< ITM IMCR: INTEGRATION Mask */
+
+/* ITM Lock Status Register Definitions */
+#define ITM_LSR_ByteAcc_Pos                 2U                                            /*!< ITM LSR: ByteAcc Position */
+#define ITM_LSR_ByteAcc_Msk                (1UL << ITM_LSR_ByteAcc_Pos)                   /*!< ITM LSR: ByteAcc Mask */
+
+#define ITM_LSR_Access_Pos                  1U                                            /*!< ITM LSR: Access Position */
+#define ITM_LSR_Access_Msk                 (1UL << ITM_LSR_Access_Pos)                    /*!< ITM LSR: Access Mask */
+
+#define ITM_LSR_Present_Pos                 0U                                            /*!< ITM LSR: Present Position */
+#define ITM_LSR_Present_Msk                (1UL /*<< ITM_LSR_Present_Pos*/)               /*!< ITM LSR: Present Mask */
+
+/*@}*/ /* end of group CMSIS_ITM */
+
+
+/**
+  \ingroup  CMSIS_core_register
+  \defgroup CMSIS_DWT     Data Watchpoint and Trace (DWT)
+  \brief    Type definitions for the Data Watchpoint and Trace (DWT)
+  @{
+ */
+
+/**
+  \brief  Structure type to access the Data Watchpoint and Trace Register (DWT).
+ */
+typedef struct
+{
+  __IOM uint32_t CTRL;                   /*!< Offset: 0x000 (R/W)  Control Register */
+  __IOM uint32_t CYCCNT;                 /*!< Offset: 0x004 (R/W)  Cycle Count Register */
+  __IOM uint32_t CPICNT;                 /*!< Offset: 0x008 (R/W)  CPI Count Register */
+  __IOM uint32_t EXCCNT;                 /*!< Offset: 0x00C (R/W)  Exception Overhead Count Register */
+  __IOM uint32_t SLEEPCNT;               /*!< Offset: 0x010 (R/W)  Sleep Count Register */
+  __IOM uint32_t LSUCNT;                 /*!< Offset: 0x014 (R/W)  LSU Count Register */
+  __IOM uint32_t FOLDCNT;                /*!< Offset: 0x018 (R/W)  Folded-instruction Count Register */
+  __IM  uint32_t PCSR;                   /*!< Offset: 0x01C (R/ )  Program Counter Sample Register */
+  __IOM uint32_t COMP0;                  /*!< Offset: 0x020 (R/W)  Comparator Register 0 */
+        uint32_t RESERVED1[1U];
+  __IOM uint32_t FUNCTION0;              /*!< Offset: 0x028 (R/W)  Function Register 0 */
+        uint32_t RESERVED2[1U];
+  __IOM uint32_t COMP1;                  /*!< Offset: 0x030 (R/W)  Comparator Register 1 */
+        uint32_t RESERVED3[1U];
+  __IOM uint32_t FUNCTION1;              /*!< Offset: 0x038 (R/W)  Function Register 1 */
+        uint32_t RESERVED4[1U];
+  __IOM uint32_t COMP2;                  /*!< Offset: 0x040 (R/W)  Comparator Register 2 */
+        uint32_t RESERVED5[1U];
+  __IOM uint32_t FUNCTION2;              /*!< Offset: 0x048 (R/W)  Function Register 2 */
+        uint32_t RESERVED6[1U];
+  __IOM uint32_t COMP3;                  /*!< Offset: 0x050 (R/W)  Comparator Register 3 */
+        uint32_t RESERVED7[1U];
+  __IOM uint32_t FUNCTION3;              /*!< Offset: 0x058 (R/W)  Function Register 3 */
+        uint32_t RESERVED8[1U];
+  __IOM uint32_t COMP4;                  /*!< Offset: 0x060 (R/W)  Comparator Register 4 */
+        uint32_t RESERVED9[1U];
+  __IOM uint32_t FUNCTION4;              /*!< Offset: 0x068 (R/W)  Function Register 4 */
+        uint32_t RESERVED10[1U];
+  __IOM uint32_t COMP5;                  /*!< Offset: 0x070 (R/W)  Comparator Register 5 */
+        uint32_t RESERVED11[1U];
+  __IOM uint32_t FUNCTION5;              /*!< Offset: 0x078 (R/W)  Function Register 5 */
+        uint32_t RESERVED12[1U];
+  __IOM uint32_t COMP6;                  /*!< Offset: 0x080 (R/W)  Comparator Register 6 */
+        uint32_t RESERVED13[1U];
+  __IOM uint32_t FUNCTION6;              /*!< Offset: 0x088 (R/W)  Function Register 6 */
+        uint32_t RESERVED14[1U];
+  __IOM uint32_t COMP7;                  /*!< Offset: 0x090 (R/W)  Comparator Register 7 */
+        uint32_t RESERVED15[1U];
+  __IOM uint32_t FUNCTION7;              /*!< Offset: 0x098 (R/W)  Function Register 7 */
+        uint32_t RESERVED16[1U];
+  __IOM uint32_t COMP8;                  /*!< Offset: 0x0A0 (R/W)  Comparator Register 8 */
+        uint32_t RESERVED17[1U];
+  __IOM uint32_t FUNCTION8;              /*!< Offset: 0x0A8 (R/W)  Function Register 8 */
+        uint32_t RESERVED18[1U];
+  __IOM uint32_t COMP9;                  /*!< Offset: 0x0B0 (R/W)  Comparator Register 9 */
+        uint32_t RESERVED19[1U];
+  __IOM uint32_t FUNCTION9;              /*!< Offset: 0x0B8 (R/W)  Function Register 9 */
+        uint32_t RESERVED20[1U];
+  __IOM uint32_t COMP10;                 /*!< Offset: 0x0C0 (R/W)  Comparator Register 10 */
+        uint32_t RESERVED21[1U];
+  __IOM uint32_t FUNCTION10;             /*!< Offset: 0x0C8 (R/W)  Function Register 10 */
+        uint32_t RESERVED22[1U];
+  __IOM uint32_t COMP11;                 /*!< Offset: 0x0D0 (R/W)  Comparator Register 11 */
+        uint32_t RESERVED23[1U];
+  __IOM uint32_t FUNCTION11;             /*!< Offset: 0x0D8 (R/W)  Function Register 11 */
+        uint32_t RESERVED24[1U];
+  __IOM uint32_t COMP12;                 /*!< Offset: 0x0E0 (R/W)  Comparator Register 12 */
+        uint32_t RESERVED25[1U];
+  __IOM uint32_t FUNCTION12;             /*!< Offset: 0x0E8 (R/W)  Function Register 12 */
+        uint32_t RESERVED26[1U];
+  __IOM uint32_t COMP13;                 /*!< Offset: 0x0F0 (R/W)  Comparator Register 13 */
+        uint32_t RESERVED27[1U];
+  __IOM uint32_t FUNCTION13;             /*!< Offset: 0x0F8 (R/W)  Function Register 13 */
+        uint32_t RESERVED28[1U];
+  __IOM uint32_t COMP14;                 /*!< Offset: 0x100 (R/W)  Comparator Register 14 */
+        uint32_t RESERVED29[1U];
+  __IOM uint32_t FUNCTION14;             /*!< Offset: 0x108 (R/W)  Function Register 14 */
+        uint32_t RESERVED30[1U];
+  __IOM uint32_t COMP15;                 /*!< Offset: 0x110 (R/W)  Comparator Register 15 */
+        uint32_t RESERVED31[1U];
+  __IOM uint32_t FUNCTION15;             /*!< Offset: 0x118 (R/W)  Function Register 15 */
+        uint32_t RESERVED32[934U];
+  __IM  uint32_t LSR;                    /*!< Offset: 0xFB4 (R  )  Lock Status Register */
+        uint32_t RESERVED33[1U];
+  __IM  uint32_t DEVARCH;                /*!< Offset: 0xFBC (R/ )  Device Architecture Register */
+} DWT_Type;
+
+/* DWT Control Register Definitions */
+#define DWT_CTRL_NUMCOMP_Pos               28U                                         /*!< DWT CTRL: NUMCOMP Position */
+#define DWT_CTRL_NUMCOMP_Msk               (0xFUL << DWT_CTRL_NUMCOMP_Pos)             /*!< DWT CTRL: NUMCOMP Mask */
+
+#define DWT_CTRL_NOTRCPKT_Pos              27U                                         /*!< DWT CTRL: NOTRCPKT Position */
+#define DWT_CTRL_NOTRCPKT_Msk              (0x1UL << DWT_CTRL_NOTRCPKT_Pos)            /*!< DWT CTRL: NOTRCPKT Mask */
+
+#define DWT_CTRL_NOEXTTRIG_Pos             26U                                         /*!< DWT CTRL: NOEXTTRIG Position */
+#define DWT_CTRL_NOEXTTRIG_Msk             (0x1UL << DWT_CTRL_NOEXTTRIG_Pos)           /*!< DWT CTRL: NOEXTTRIG Mask */
+
+#define DWT_CTRL_NOCYCCNT_Pos              25U                                         /*!< DWT CTRL: NOCYCCNT Position */
+#define DWT_CTRL_NOCYCCNT_Msk              (0x1UL << DWT_CTRL_NOCYCCNT_Pos)            /*!< DWT CTRL: NOCYCCNT Mask */
+
+#define DWT_CTRL_NOPRFCNT_Pos              24U                                         /*!< DWT CTRL: NOPRFCNT Position */
+#define DWT_CTRL_NOPRFCNT_Msk              (0x1UL << DWT_CTRL_NOPRFCNT_Pos)            /*!< DWT CTRL: NOPRFCNT Mask */
+
+#define DWT_CTRL_CYCDISS_Pos               23U                                         /*!< DWT CTRL: CYCDISS Position */
+#define DWT_CTRL_CYCDISS_Msk               (0x1UL << DWT_CTRL_CYCDISS_Pos)             /*!< DWT CTRL: CYCDISS Mask */
+
+#define DWT_CTRL_CYCEVTENA_Pos             22U                                         /*!< DWT CTRL: CYCEVTENA Position */
+#define DWT_CTRL_CYCEVTENA_Msk             (0x1UL << DWT_CTRL_CYCEVTENA_Pos)           /*!< DWT CTRL: CYCEVTENA Mask */
+
+#define DWT_CTRL_FOLDEVTENA_Pos            21U                                         /*!< DWT CTRL: FOLDEVTENA Position */
+#define DWT_CTRL_FOLDEVTENA_Msk            (0x1UL << DWT_CTRL_FOLDEVTENA_Pos)          /*!< DWT CTRL: FOLDEVTENA Mask */
+
+#define DWT_CTRL_LSUEVTENA_Pos             20U                                         /*!< DWT CTRL: LSUEVTENA Position */
+#define DWT_CTRL_LSUEVTENA_Msk             (0x1UL << DWT_CTRL_LSUEVTENA_Pos)           /*!< DWT CTRL: LSUEVTENA Mask */
+
+#define DWT_CTRL_SLEEPEVTENA_Pos           19U                                         /*!< DWT CTRL: SLEEPEVTENA Position */
+#define DWT_CTRL_SLEEPEVTENA_Msk           (0x1UL << DWT_CTRL_SLEEPEVTENA_Pos)         /*!< DWT CTRL: SLEEPEVTENA Mask */
+
+#define DWT_CTRL_EXCEVTENA_Pos             18U                                         /*!< DWT CTRL: EXCEVTENA Position */
+#define DWT_CTRL_EXCEVTENA_Msk             (0x1UL << DWT_CTRL_EXCEVTENA_Pos)           /*!< DWT CTRL: EXCEVTENA Mask */
+
+#define DWT_CTRL_CPIEVTENA_Pos             17U                                         /*!< DWT CTRL: CPIEVTENA Position */
+#define DWT_CTRL_CPIEVTENA_Msk             (0x1UL << DWT_CTRL_CPIEVTENA_Pos)           /*!< DWT CTRL: CPIEVTENA Mask */
+
+#define DWT_CTRL_EXCTRCENA_Pos             16U                                         /*!< DWT CTRL: EXCTRCENA Position */
+#define DWT_CTRL_EXCTRCENA_Msk             (0x1UL << DWT_CTRL_EXCTRCENA_Pos)           /*!< DWT CTRL: EXCTRCENA Mask */
+
+#define DWT_CTRL_PCSAMPLENA_Pos            12U                                         /*!< DWT CTRL: PCSAMPLENA Position */
+#define DWT_CTRL_PCSAMPLENA_Msk            (0x1UL << DWT_CTRL_PCSAMPLENA_Pos)          /*!< DWT CTRL: PCSAMPLENA Mask */
+
+#define DWT_CTRL_SYNCTAP_Pos               10U                                         /*!< DWT CTRL: SYNCTAP Position */
+#define DWT_CTRL_SYNCTAP_Msk               (0x3UL << DWT_CTRL_SYNCTAP_Pos)             /*!< DWT CTRL: SYNCTAP Mask */
+
+#define DWT_CTRL_CYCTAP_Pos                 9U                                         /*!< DWT CTRL: CYCTAP Position */
+#define DWT_CTRL_CYCTAP_Msk                (0x1UL << DWT_CTRL_CYCTAP_Pos)              /*!< DWT CTRL: CYCTAP Mask */
+
+#define DWT_CTRL_POSTINIT_Pos               5U                                         /*!< DWT CTRL: POSTINIT Position */
+#define DWT_CTRL_POSTINIT_Msk              (0xFUL << DWT_CTRL_POSTINIT_Pos)            /*!< DWT CTRL: POSTINIT Mask */
+
+#define DWT_CTRL_POSTPRESET_Pos             1U                                         /*!< DWT CTRL: POSTPRESET Position */
+#define DWT_CTRL_POSTPRESET_Msk            (0xFUL << DWT_CTRL_POSTPRESET_Pos)          /*!< DWT CTRL: POSTPRESET Mask */
+
+#define DWT_CTRL_CYCCNTENA_Pos              0U                                         /*!< DWT CTRL: CYCCNTENA Position */
+#define DWT_CTRL_CYCCNTENA_Msk             (0x1UL /*<< DWT_CTRL_CYCCNTENA_Pos*/)       /*!< DWT CTRL: CYCCNTENA Mask */
+
+/* DWT CPI Count Register Definitions */
+#define DWT_CPICNT_CPICNT_Pos               0U                                         /*!< DWT CPICNT: CPICNT Position */
+#define DWT_CPICNT_CPICNT_Msk              (0xFFUL /*<< DWT_CPICNT_CPICNT_Pos*/)       /*!< DWT CPICNT: CPICNT Mask */
+
+/* DWT Exception Overhead Count Register Definitions */
+#define DWT_EXCCNT_EXCCNT_Pos               0U                                         /*!< DWT EXCCNT: EXCCNT Position */
+#define DWT_EXCCNT_EXCCNT_Msk              (0xFFUL /*<< DWT_EXCCNT_EXCCNT_Pos*/)       /*!< DWT EXCCNT: EXCCNT Mask */
+
+/* DWT Sleep Count Register Definitions */
+#define DWT_SLEEPCNT_SLEEPCNT_Pos           0U                                         /*!< DWT SLEEPCNT: SLEEPCNT Position */
+#define DWT_SLEEPCNT_SLEEPCNT_Msk          (0xFFUL /*<< DWT_SLEEPCNT_SLEEPCNT_Pos*/)   /*!< DWT SLEEPCNT: SLEEPCNT Mask */
+
+/* DWT LSU Count Register Definitions */
+#define DWT_LSUCNT_LSUCNT_Pos               0U                                         /*!< DWT LSUCNT: LSUCNT Position */
+#define DWT_LSUCNT_LSUCNT_Msk              (0xFFUL /*<< DWT_LSUCNT_LSUCNT_Pos*/)       /*!< DWT LSUCNT: LSUCNT Mask */
+
+/* DWT Folded-instruction Count Register Definitions */
+#define DWT_FOLDCNT_FOLDCNT_Pos             0U                                         /*!< DWT FOLDCNT: FOLDCNT Position */
+#define DWT_FOLDCNT_FOLDCNT_Msk            (0xFFUL /*<< DWT_FOLDCNT_FOLDCNT_Pos*/)     /*!< DWT FOLDCNT: FOLDCNT Mask */
+
+/* DWT Comparator Function Register Definitions */
+#define DWT_FUNCTION_ID_Pos                27U                                         /*!< DWT FUNCTION: ID Position */
+#define DWT_FUNCTION_ID_Msk                (0x1FUL << DWT_FUNCTION_ID_Pos)             /*!< DWT FUNCTION: ID Mask */
+
+#define DWT_FUNCTION_MATCHED_Pos           24U                                         /*!< DWT FUNCTION: MATCHED Position */
+#define DWT_FUNCTION_MATCHED_Msk           (0x1UL << DWT_FUNCTION_MATCHED_Pos)         /*!< DWT FUNCTION: MATCHED Mask */
+
+#define DWT_FUNCTION_DATAVSIZE_Pos         10U                                         /*!< DWT FUNCTION: DATAVSIZE Position */
+#define DWT_FUNCTION_DATAVSIZE_Msk         (0x3UL << DWT_FUNCTION_DATAVSIZE_Pos)       /*!< DWT FUNCTION: DATAVSIZE Mask */
+
+#define DWT_FUNCTION_ACTION_Pos             4U                                         /*!< DWT FUNCTION: ACTION Position */
+#define DWT_FUNCTION_ACTION_Msk            (0x1UL << DWT_FUNCTION_ACTION_Pos)          /*!< DWT FUNCTION: ACTION Mask */
+
+#define DWT_FUNCTION_MATCH_Pos              0U                                         /*!< DWT FUNCTION: MATCH Position */
+#define DWT_FUNCTION_MATCH_Msk             (0xFUL /*<< DWT_FUNCTION_MATCH_Pos*/)       /*!< DWT FUNCTION: MATCH Mask */
+
+/*@}*/ /* end of group CMSIS_DWT */
+
+
+/**
+  \ingroup  CMSIS_core_register
+  \defgroup CMSIS_TPI     Trace Port Interface (TPI)
+  \brief    Type definitions for the Trace Port Interface (TPI)
+  @{
+ */
+
+/**
+  \brief  Structure type to access the Trace Port Interface Register (TPI).
+ */
+typedef struct
+{
+  __IM  uint32_t SSPSR;                  /*!< Offset: 0x000 (R/ )  Supported Parallel Port Sizes Register */
+  __IOM uint32_t CSPSR;                  /*!< Offset: 0x004 (R/W)  Current Parallel Port Sizes Register */
+        uint32_t RESERVED0[2U];
+  __IOM uint32_t ACPR;                   /*!< Offset: 0x010 (R/W)  Asynchronous Clock Prescaler Register */
+        uint32_t RESERVED1[55U];
+  __IOM uint32_t SPPR;                   /*!< Offset: 0x0F0 (R/W)  Selected Pin Protocol Register */
+        uint32_t RESERVED2[131U];
+  __IM  uint32_t FFSR;                   /*!< Offset: 0x300 (R/ )  Formatter and Flush Status Register */
+  __IOM uint32_t FFCR;                   /*!< Offset: 0x304 (R/W)  Formatter and Flush Control Register */
+  __IM  uint32_t FSCR;                   /*!< Offset: 0x308 (R/ )  Formatter Synchronization Counter Register */
+        uint32_t RESERVED3[759U];
+  __IM  uint32_t TRIGGER;                /*!< Offset: 0xEE8 (R/ )  TRIGGER */
+  __IM  uint32_t FIFO0;                  /*!< Offset: 0xEEC (R/ )  Integration ETM Data */
+  __IM  uint32_t ITATBCTR2;              /*!< Offset: 0xEF0 (R/ )  ITATBCTR2 */
+        uint32_t RESERVED4[1U];
+  __IM  uint32_t ITATBCTR0;              /*!< Offset: 0xEF8 (R/ )  ITATBCTR0 */
+  __IM  uint32_t FIFO1;                  /*!< Offset: 0xEFC (R/ )  Integration ITM Data */
+  __IOM uint32_t ITCTRL;                 /*!< Offset: 0xF00 (R/W)  Integration Mode Control */
+        uint32_t RESERVED5[39U];
+  __IOM uint32_t CLAIMSET;               /*!< Offset: 0xFA0 (R/W)  Claim tag set */
+  __IOM uint32_t CLAIMCLR;               /*!< Offset: 0xFA4 (R/W)  Claim tag clear */
+        uint32_t RESERVED7[8U];
+  __IM  uint32_t DEVID;                  /*!< Offset: 0xFC8 (R/ )  TPIU_DEVID */
+  __IM  uint32_t DEVTYPE;                /*!< Offset: 0xFCC (R/ )  TPIU_DEVTYPE */
+} TPI_Type;
+
+/* TPI Asynchronous Clock Prescaler Register Definitions */
+#define TPI_ACPR_PRESCALER_Pos              0U                                         /*!< TPI ACPR: PRESCALER Position */
+#define TPI_ACPR_PRESCALER_Msk             (0x1FFFUL /*<< TPI_ACPR_PRESCALER_Pos*/)    /*!< TPI ACPR: PRESCALER Mask */
+
+/* TPI Selected Pin Protocol Register Definitions */
+#define TPI_SPPR_TXMODE_Pos                 0U                                         /*!< TPI SPPR: TXMODE Position */
+#define TPI_SPPR_TXMODE_Msk                (0x3UL /*<< TPI_SPPR_TXMODE_Pos*/)          /*!< TPI SPPR: TXMODE Mask */
+
+/* TPI Formatter and Flush Status Register Definitions */
+#define TPI_FFSR_FtNonStop_Pos              3U                                         /*!< TPI FFSR: FtNonStop Position */
+#define TPI_FFSR_FtNonStop_Msk             (0x1UL << TPI_FFSR_FtNonStop_Pos)           /*!< TPI FFSR: FtNonStop Mask */
+
+#define TPI_FFSR_TCPresent_Pos              2U                                         /*!< TPI FFSR: TCPresent Position */
+#define TPI_FFSR_TCPresent_Msk             (0x1UL << TPI_FFSR_TCPresent_Pos)           /*!< TPI FFSR: TCPresent Mask */
+
+#define TPI_FFSR_FtStopped_Pos              1U                                         /*!< TPI FFSR: FtStopped Position */
+#define TPI_FFSR_FtStopped_Msk             (0x1UL << TPI_FFSR_FtStopped_Pos)           /*!< TPI FFSR: FtStopped Mask */
+
+#define TPI_FFSR_FlInProg_Pos               0U                                         /*!< TPI FFSR: FlInProg Position */
+#define TPI_FFSR_FlInProg_Msk              (0x1UL /*<< TPI_FFSR_FlInProg_Pos*/)        /*!< TPI FFSR: FlInProg Mask */
+
+/* TPI Formatter and Flush Control Register Definitions */
+#define TPI_FFCR_TrigIn_Pos                 8U                                         /*!< TPI FFCR: TrigIn Position */
+#define TPI_FFCR_TrigIn_Msk                (0x1UL << TPI_FFCR_TrigIn_Pos)              /*!< TPI FFCR: TrigIn Mask */
+
+#define TPI_FFCR_EnFCont_Pos                1U                                         /*!< TPI FFCR: EnFCont Position */
+#define TPI_FFCR_EnFCont_Msk               (0x1UL << TPI_FFCR_EnFCont_Pos)             /*!< TPI FFCR: EnFCont Mask */
+
+/* TPI TRIGGER Register Definitions */
+#define TPI_TRIGGER_TRIGGER_Pos             0U                                         /*!< TPI TRIGGER: TRIGGER Position */
+#define TPI_TRIGGER_TRIGGER_Msk            (0x1UL /*<< TPI_TRIGGER_TRIGGER_Pos*/)      /*!< TPI TRIGGER: TRIGGER Mask */
+
+/* TPI Integration ETM Data Register Definitions (FIFO0) */
+#define TPI_FIFO0_ITM_ATVALID_Pos          29U                                         /*!< TPI FIFO0: ITM_ATVALID Position */
+#define TPI_FIFO0_ITM_ATVALID_Msk          (0x3UL << TPI_FIFO0_ITM_ATVALID_Pos)        /*!< TPI FIFO0: ITM_ATVALID Mask */
+
+#define TPI_FIFO0_ITM_bytecount_Pos        27U                                         /*!< TPI FIFO0: ITM_bytecount Position */
+#define TPI_FIFO0_ITM_bytecount_Msk        (0x3UL << TPI_FIFO0_ITM_bytecount_Pos)      /*!< TPI FIFO0: ITM_bytecount Mask */
+
+#define TPI_FIFO0_ETM_ATVALID_Pos          26U                                         /*!< TPI FIFO0: ETM_ATVALID Position */
+#define TPI_FIFO0_ETM_ATVALID_Msk          (0x3UL << TPI_FIFO0_ETM_ATVALID_Pos)        /*!< TPI FIFO0: ETM_ATVALID Mask */
+
+#define TPI_FIFO0_ETM_bytecount_Pos        24U                                         /*!< TPI FIFO0: ETM_bytecount Position */
+#define TPI_FIFO0_ETM_bytecount_Msk        (0x3UL << TPI_FIFO0_ETM_bytecount_Pos)      /*!< TPI FIFO0: ETM_bytecount Mask */
+
+#define TPI_FIFO0_ETM2_Pos                 16U                                         /*!< TPI FIFO0: ETM2 Position */
+#define TPI_FIFO0_ETM2_Msk                 (0xFFUL << TPI_FIFO0_ETM2_Pos)              /*!< TPI FIFO0: ETM2 Mask */
+
+#define TPI_FIFO0_ETM1_Pos                  8U                                         /*!< TPI FIFO0: ETM1 Position */
+#define TPI_FIFO0_ETM1_Msk                 (0xFFUL << TPI_FIFO0_ETM1_Pos)              /*!< TPI FIFO0: ETM1 Mask */
+
+#define TPI_FIFO0_ETM0_Pos                  0U                                         /*!< TPI FIFO0: ETM0 Position */
+#define TPI_FIFO0_ETM0_Msk                 (0xFFUL /*<< TPI_FIFO0_ETM0_Pos*/)          /*!< TPI FIFO0: ETM0 Mask */
+
+/* TPI ITATBCTR2 Register Definitions */
+#define TPI_ITATBCTR2_ATREADY_Pos           0U                                         /*!< TPI ITATBCTR2: ATREADY Position */
+#define TPI_ITATBCTR2_ATREADY_Msk          (0x1UL /*<< TPI_ITATBCTR2_ATREADY_Pos*/)    /*!< TPI ITATBCTR2: ATREADY Mask */
+
+/* TPI Integration ITM Data Register Definitions (FIFO1) */
+#define TPI_FIFO1_ITM_ATVALID_Pos          29U                                         /*!< TPI FIFO1: ITM_ATVALID Position */
+#define TPI_FIFO1_ITM_ATVALID_Msk          (0x3UL << TPI_FIFO1_ITM_ATVALID_Pos)        /*!< TPI FIFO1: ITM_ATVALID Mask */
+
+#define TPI_FIFO1_ITM_bytecount_Pos        27U                                         /*!< TPI FIFO1: ITM_bytecount Position */
+#define TPI_FIFO1_ITM_bytecount_Msk        (0x3UL << TPI_FIFO1_ITM_bytecount_Pos)      /*!< TPI FIFO1: ITM_bytecount Mask */
+
+#define TPI_FIFO1_ETM_ATVALID_Pos          26U                                         /*!< TPI FIFO1: ETM_ATVALID Position */
+#define TPI_FIFO1_ETM_ATVALID_Msk          (0x3UL << TPI_FIFO1_ETM_ATVALID_Pos)        /*!< TPI FIFO1: ETM_ATVALID Mask */
+
+#define TPI_FIFO1_ETM_bytecount_Pos        24U                                         /*!< TPI FIFO1: ETM_bytecount Position */
+#define TPI_FIFO1_ETM_bytecount_Msk        (0x3UL << TPI_FIFO1_ETM_bytecount_Pos)      /*!< TPI FIFO1: ETM_bytecount Mask */
+
+#define TPI_FIFO1_ITM2_Pos                 16U                                         /*!< TPI FIFO1: ITM2 Position */
+#define TPI_FIFO1_ITM2_Msk                 (0xFFUL << TPI_FIFO1_ITM2_Pos)              /*!< TPI FIFO1: ITM2 Mask */
+
+#define TPI_FIFO1_ITM1_Pos                  8U                                         /*!< TPI FIFO1: ITM1 Position */
+#define TPI_FIFO1_ITM1_Msk                 (0xFFUL << TPI_FIFO1_ITM1_Pos)              /*!< TPI FIFO1: ITM1 Mask */
+
+#define TPI_FIFO1_ITM0_Pos                  0U                                         /*!< TPI FIFO1: ITM0 Position */
+#define TPI_FIFO1_ITM0_Msk                 (0xFFUL /*<< TPI_FIFO1_ITM0_Pos*/)          /*!< TPI FIFO1: ITM0 Mask */
+
+/* TPI ITATBCTR0 Register Definitions */
+#define TPI_ITATBCTR0_ATREADY_Pos           0U                                         /*!< TPI ITATBCTR0: ATREADY Position */
+#define TPI_ITATBCTR0_ATREADY_Msk          (0x1UL /*<< TPI_ITATBCTR0_ATREADY_Pos*/)    /*!< TPI ITATBCTR0: ATREADY Mask */
+
+/* TPI Integration Mode Control Register Definitions */
+#define TPI_ITCTRL_Mode_Pos                 0U                                         /*!< TPI ITCTRL: Mode Position */
+#define TPI_ITCTRL_Mode_Msk                (0x1UL /*<< TPI_ITCTRL_Mode_Pos*/)          /*!< TPI ITCTRL: Mode Mask */
+
+/* TPI DEVID Register Definitions */
+#define TPI_DEVID_NRZVALID_Pos             11U                                         /*!< TPI DEVID: NRZVALID Position */
+#define TPI_DEVID_NRZVALID_Msk             (0x1UL << TPI_DEVID_NRZVALID_Pos)           /*!< TPI DEVID: NRZVALID Mask */
+
+#define TPI_DEVID_MANCVALID_Pos            10U                                         /*!< TPI DEVID: MANCVALID Position */
+#define TPI_DEVID_MANCVALID_Msk            (0x1UL << TPI_DEVID_MANCVALID_Pos)          /*!< TPI DEVID: MANCVALID Mask */
+
+#define TPI_DEVID_PTINVALID_Pos             9U                                         /*!< TPI DEVID: PTINVALID Position */
+#define TPI_DEVID_PTINVALID_Msk            (0x1UL << TPI_DEVID_PTINVALID_Pos)          /*!< TPI DEVID: PTINVALID Mask */
+
+#define TPI_DEVID_MinBufSz_Pos              6U                                         /*!< TPI DEVID: MinBufSz Position */
+#define TPI_DEVID_MinBufSz_Msk             (0x7UL << TPI_DEVID_MinBufSz_Pos)           /*!< TPI DEVID: MinBufSz Mask */
+
+#define TPI_DEVID_AsynClkIn_Pos             5U                                         /*!< TPI DEVID: AsynClkIn Position */
+#define TPI_DEVID_AsynClkIn_Msk            (0x1UL << TPI_DEVID_AsynClkIn_Pos)          /*!< TPI DEVID: AsynClkIn Mask */
+
+#define TPI_DEVID_NrTraceInput_Pos          0U                                         /*!< TPI DEVID: NrTraceInput Position */
+#define TPI_DEVID_NrTraceInput_Msk         (0x1FUL /*<< TPI_DEVID_NrTraceInput_Pos*/)  /*!< TPI DEVID: NrTraceInput Mask */
+
+/* TPI DEVTYPE Register Definitions */
+#define TPI_DEVTYPE_MajorType_Pos           4U                                         /*!< TPI DEVTYPE: MajorType Position */
+#define TPI_DEVTYPE_MajorType_Msk          (0xFUL << TPI_DEVTYPE_MajorType_Pos)        /*!< TPI DEVTYPE: MajorType Mask */
+
+#define TPI_DEVTYPE_SubType_Pos             0U                                         /*!< TPI DEVTYPE: SubType Position */
+#define TPI_DEVTYPE_SubType_Msk            (0xFUL /*<< TPI_DEVTYPE_SubType_Pos*/)      /*!< TPI DEVTYPE: SubType Mask */
+
+/*@}*/ /* end of group CMSIS_TPI */
+
+
+#if defined (__MPU_PRESENT) && (__MPU_PRESENT == 1U)
+/**
+  \ingroup  CMSIS_core_register
+  \defgroup CMSIS_MPU     Memory Protection Unit (MPU)
+  \brief    Type definitions for the Memory Protection Unit (MPU)
+  @{
+ */
+
+/**
+  \brief  Structure type to access the Memory Protection Unit (MPU).
+ */
+typedef struct
+{
+  __IM  uint32_t TYPE;                   /*!< Offset: 0x000 (R/ )  MPU Type Register */
+  __IOM uint32_t CTRL;                   /*!< Offset: 0x004 (R/W)  MPU Control Register */
+  __IOM uint32_t RNR;                    /*!< Offset: 0x008 (R/W)  MPU Region Number Register */
+  __IOM uint32_t RBAR;                   /*!< Offset: 0x00C (R/W)  MPU Region Base Address Register */
+  __IOM uint32_t RLAR;                   /*!< Offset: 0x010 (R/W)  MPU Region Limit Address Register */
+  __IOM uint32_t RBAR_A1;                /*!< Offset: 0x014 (R/W)  MPU Region Base Address Register Alias 1 */
+  __IOM uint32_t RLAR_A1;                /*!< Offset: 0x018 (R/W)  MPU Region Limit Address Register Alias 1 */
+  __IOM uint32_t RBAR_A2;                /*!< Offset: 0x01C (R/W)  MPU Region Base Address Register Alias 2 */
+  __IOM uint32_t RLAR_A2;                /*!< Offset: 0x020 (R/W)  MPU Region Limit Address Register Alias 2 */
+  __IOM uint32_t RBAR_A3;                /*!< Offset: 0x024 (R/W)  MPU Region Base Address Register Alias 3 */
+  __IOM uint32_t RLAR_A3;                /*!< Offset: 0x028 (R/W)  MPU Region Limit Address Register Alias 3 */
+        uint32_t RESERVED0[1];
+  union {
+  __IOM uint32_t MAIR[2];
+  struct {
+  __IOM uint32_t MAIR0;                  /*!< Offset: 0x030 (R/W)  MPU Memory Attribute Indirection Register 0 */
+  __IOM uint32_t MAIR1;                  /*!< Offset: 0x034 (R/W)  MPU Memory Attribute Indirection Register 1 */
+  };
+  };
+} MPU_Type;
+
+#define MPU_TYPE_RALIASES                  4U
+
+/* MPU Type Register Definitions */
+#define MPU_TYPE_IREGION_Pos               16U                                            /*!< MPU TYPE: IREGION Position */
+#define MPU_TYPE_IREGION_Msk               (0xFFUL << MPU_TYPE_IREGION_Pos)               /*!< MPU TYPE: IREGION Mask */
+
+#define MPU_TYPE_DREGION_Pos                8U                                            /*!< MPU TYPE: DREGION Position */
+#define MPU_TYPE_DREGION_Msk               (0xFFUL << MPU_TYPE_DREGION_Pos)               /*!< MPU TYPE: DREGION Mask */
+
+#define MPU_TYPE_SEPARATE_Pos               0U                                            /*!< MPU TYPE: SEPARATE Position */
+#define MPU_TYPE_SEPARATE_Msk              (1UL /*<< MPU_TYPE_SEPARATE_Pos*/)             /*!< MPU TYPE: SEPARATE Mask */
+
+/* MPU Control Register Definitions */
+#define MPU_CTRL_PRIVDEFENA_Pos             2U                                            /*!< MPU CTRL: PRIVDEFENA Position */
+#define MPU_CTRL_PRIVDEFENA_Msk            (1UL << MPU_CTRL_PRIVDEFENA_Pos)               /*!< MPU CTRL: PRIVDEFENA Mask */
+
+#define MPU_CTRL_HFNMIENA_Pos               1U                                            /*!< MPU CTRL: HFNMIENA Position */
+#define MPU_CTRL_HFNMIENA_Msk              (1UL << MPU_CTRL_HFNMIENA_Pos)                 /*!< MPU CTRL: HFNMIENA Mask */
+
+#define MPU_CTRL_ENABLE_Pos                 0U                                            /*!< MPU CTRL: ENABLE Position */
+#define MPU_CTRL_ENABLE_Msk                (1UL /*<< MPU_CTRL_ENABLE_Pos*/)               /*!< MPU CTRL: ENABLE Mask */
+
+/* MPU Region Number Register Definitions */
+#define MPU_RNR_REGION_Pos                  0U                                            /*!< MPU RNR: REGION Position */
+#define MPU_RNR_REGION_Msk                 (0xFFUL /*<< MPU_RNR_REGION_Pos*/)             /*!< MPU RNR: REGION Mask */
+
+/* MPU Region Base Address Register Definitions */
+#define MPU_RBAR_ADDR_Pos                   5U                                            /*!< MPU RBAR: ADDR Position */
+#define MPU_RBAR_ADDR_Msk                  (0x7FFFFFFUL << MPU_RBAR_ADDR_Pos)             /*!< MPU RBAR: ADDR Mask */
+
+#define MPU_RBAR_SH_Pos                     3U                                            /*!< MPU RBAR: SH Position */
+#define MPU_RBAR_SH_Msk                    (0x3UL << MPU_RBAR_SH_Pos)                     /*!< MPU RBAR: SH Mask */
+
+#define MPU_RBAR_AP_Pos                     1U                                            /*!< MPU RBAR: AP Position */
+#define MPU_RBAR_AP_Msk                    (0x3UL << MPU_RBAR_AP_Pos)                     /*!< MPU RBAR: AP Mask */
+
+#define MPU_RBAR_XN_Pos                     0U                                            /*!< MPU RBAR: XN Position */
+#define MPU_RBAR_XN_Msk                    (01UL /*<< MPU_RBAR_XN_Pos*/)                  /*!< MPU RBAR: XN Mask */
+
+/* MPU Region Limit Address Register Definitions */
+#define MPU_RLAR_LIMIT_Pos                  5U                                            /*!< MPU RLAR: LIMIT Position */
+#define MPU_RLAR_LIMIT_Msk                 (0x7FFFFFFUL << MPU_RLAR_LIMIT_Pos)            /*!< MPU RLAR: LIMIT Mask */
+
+#define MPU_RLAR_PXN_Pos                    4U                                            /*!< MPU RLAR: PXN Position */
+#define MPU_RLAR_PXN_Msk                   (0x1UL << MPU_RLAR_PXN_Pos)                    /*!< MPU RLAR: PXN Mask */
+
+#define MPU_RLAR_AttrIndx_Pos               1U                                            /*!< MPU RLAR: AttrIndx Position */
+#define MPU_RLAR_AttrIndx_Msk              (0x7UL << MPU_RLAR_AttrIndx_Pos)               /*!< MPU RLAR: AttrIndx Mask */
+
+#define MPU_RLAR_EN_Pos                     0U                                            /*!< MPU RLAR: Region enable bit Position */
+#define MPU_RLAR_EN_Msk                    (1UL /*<< MPU_RLAR_EN_Pos*/)                   /*!< MPU RLAR: Region enable bit Disable Mask */
+
+/* MPU Memory Attribute Indirection Register 0 Definitions */
+#define MPU_MAIR0_Attr3_Pos                24U                                            /*!< MPU MAIR0: Attr3 Position */
+#define MPU_MAIR0_Attr3_Msk                (0xFFUL << MPU_MAIR0_Attr3_Pos)                /*!< MPU MAIR0: Attr3 Mask */
+
+#define MPU_MAIR0_Attr2_Pos                16U                                            /*!< MPU MAIR0: Attr2 Position */
+#define MPU_MAIR0_Attr2_Msk                (0xFFUL << MPU_MAIR0_Attr2_Pos)                /*!< MPU MAIR0: Attr2 Mask */
+
+#define MPU_MAIR0_Attr1_Pos                 8U                                            /*!< MPU MAIR0: Attr1 Position */
+#define MPU_MAIR0_Attr1_Msk                (0xFFUL << MPU_MAIR0_Attr1_Pos)                /*!< MPU MAIR0: Attr1 Mask */
+
+#define MPU_MAIR0_Attr0_Pos                 0U                                            /*!< MPU MAIR0: Attr0 Position */
+#define MPU_MAIR0_Attr0_Msk                (0xFFUL /*<< MPU_MAIR0_Attr0_Pos*/)            /*!< MPU MAIR0: Attr0 Mask */
+
+/* MPU Memory Attribute Indirection Register 1 Definitions */
+#define MPU_MAIR1_Attr7_Pos                24U                                            /*!< MPU MAIR1: Attr7 Position */
+#define MPU_MAIR1_Attr7_Msk                (0xFFUL << MPU_MAIR1_Attr7_Pos)                /*!< MPU MAIR1: Attr7 Mask */
+
+#define MPU_MAIR1_Attr6_Pos                16U                                            /*!< MPU MAIR1: Attr6 Position */
+#define MPU_MAIR1_Attr6_Msk                (0xFFUL << MPU_MAIR1_Attr6_Pos)                /*!< MPU MAIR1: Attr6 Mask */
+
+#define MPU_MAIR1_Attr5_Pos                 8U                                            /*!< MPU MAIR1: Attr5 Position */
+#define MPU_MAIR1_Attr5_Msk                (0xFFUL << MPU_MAIR1_Attr5_Pos)                /*!< MPU MAIR1: Attr5 Mask */
+
+#define MPU_MAIR1_Attr4_Pos                 0U                                            /*!< MPU MAIR1: Attr4 Position */
+#define MPU_MAIR1_Attr4_Msk                (0xFFUL /*<< MPU_MAIR1_Attr4_Pos*/)            /*!< MPU MAIR1: Attr4 Mask */
+
+/*@} end of group CMSIS_MPU */
+#endif
+
+
+#if defined (__ARM_FEATURE_CMSE) && (__ARM_FEATURE_CMSE == 3U)
+/**
+  \ingroup  CMSIS_core_register
+  \defgroup CMSIS_SAU     Security Attribution Unit (SAU)
+  \brief    Type definitions for the Security Attribution Unit (SAU)
+  @{
+ */
+
+/**
+  \brief  Structure type to access the Security Attribution Unit (SAU).
+ */
+typedef struct
+{
+  __IOM uint32_t CTRL;                   /*!< Offset: 0x000 (R/W)  SAU Control Register */
+  __IM  uint32_t TYPE;                   /*!< Offset: 0x004 (R/ )  SAU Type Register */
+#if defined (__SAUREGION_PRESENT) && (__SAUREGION_PRESENT == 1U)
+  __IOM uint32_t RNR;                    /*!< Offset: 0x008 (R/W)  SAU Region Number Register */
+  __IOM uint32_t RBAR;                   /*!< Offset: 0x00C (R/W)  SAU Region Base Address Register */
+  __IOM uint32_t RLAR;                   /*!< Offset: 0x010 (R/W)  SAU Region Limit Address Register */
+#else
+        uint32_t RESERVED0[3];
+#endif
+  __IOM uint32_t SFSR;                   /*!< Offset: 0x014 (R/W)  Secure Fault Status Register */
+  __IOM uint32_t SFAR;                   /*!< Offset: 0x018 (R/W)  Secure Fault Address Register */
+} SAU_Type;
+
+/* SAU Control Register Definitions */
+#define SAU_CTRL_ALLNS_Pos                  1U                                            /*!< SAU CTRL: ALLNS Position */
+#define SAU_CTRL_ALLNS_Msk                 (1UL << SAU_CTRL_ALLNS_Pos)                    /*!< SAU CTRL: ALLNS Mask */
+
+#define SAU_CTRL_ENABLE_Pos                 0U                                            /*!< SAU CTRL: ENABLE Position */
+#define SAU_CTRL_ENABLE_Msk                (1UL /*<< SAU_CTRL_ENABLE_Pos*/)               /*!< SAU CTRL: ENABLE Mask */
+
+/* SAU Type Register Definitions */
+#define SAU_TYPE_SREGION_Pos                0U                                            /*!< SAU TYPE: SREGION Position */
+#define SAU_TYPE_SREGION_Msk               (0xFFUL /*<< SAU_TYPE_SREGION_Pos*/)           /*!< SAU TYPE: SREGION Mask */
+
+#if defined (__SAUREGION_PRESENT) && (__SAUREGION_PRESENT == 1U)
+/* SAU Region Number Register Definitions */
+#define SAU_RNR_REGION_Pos                  0U                                            /*!< SAU RNR: REGION Position */
+#define SAU_RNR_REGION_Msk                 (0xFFUL /*<< SAU_RNR_REGION_Pos*/)             /*!< SAU RNR: REGION Mask */
+
+/* SAU Region Base Address Register Definitions */
+#define SAU_RBAR_BADDR_Pos                  5U                                            /*!< SAU RBAR: BADDR Position */
+#define SAU_RBAR_BADDR_Msk                 (0x7FFFFFFUL << SAU_RBAR_BADDR_Pos)            /*!< SAU RBAR: BADDR Mask */
+
+/* SAU Region Limit Address Register Definitions */
+#define SAU_RLAR_LADDR_Pos                  5U                                            /*!< SAU RLAR: LADDR Position */
+#define SAU_RLAR_LADDR_Msk                 (0x7FFFFFFUL << SAU_RLAR_LADDR_Pos)            /*!< SAU RLAR: LADDR Mask */
+
+#define SAU_RLAR_NSC_Pos                    1U                                            /*!< SAU RLAR: NSC Position */
+#define SAU_RLAR_NSC_Msk                   (1UL << SAU_RLAR_NSC_Pos)                      /*!< SAU RLAR: NSC Mask */
+
+#define SAU_RLAR_ENABLE_Pos                 0U                                            /*!< SAU RLAR: ENABLE Position */
+#define SAU_RLAR_ENABLE_Msk                (1UL /*<< SAU_RLAR_ENABLE_Pos*/)               /*!< SAU RLAR: ENABLE Mask */
+
+#endif /* defined (__SAUREGION_PRESENT) && (__SAUREGION_PRESENT == 1U) */
+
+/* Secure Fault Status Register Definitions */
+#define SAU_SFSR_LSERR_Pos                  7U                                            /*!< SAU SFSR: LSERR Position */
+#define SAU_SFSR_LSERR_Msk                 (1UL << SAU_SFSR_LSERR_Pos)                    /*!< SAU SFSR: LSERR Mask */
+
+#define SAU_SFSR_SFARVALID_Pos              6U                                            /*!< SAU SFSR: SFARVALID Position */
+#define SAU_SFSR_SFARVALID_Msk             (1UL << SAU_SFSR_SFARVALID_Pos)                /*!< SAU SFSR: SFARVALID Mask */
+
+#define SAU_SFSR_LSPERR_Pos                 5U                                            /*!< SAU SFSR: LSPERR Position */
+#define SAU_SFSR_LSPERR_Msk                (1UL << SAU_SFSR_LSPERR_Pos)                   /*!< SAU SFSR: LSPERR Mask */
+
+#define SAU_SFSR_INVTRAN_Pos                4U                                            /*!< SAU SFSR: INVTRAN Position */
+#define SAU_SFSR_INVTRAN_Msk               (1UL << SAU_SFSR_INVTRAN_Pos)                  /*!< SAU SFSR: INVTRAN Mask */
+
+#define SAU_SFSR_AUVIOL_Pos                 3U                                            /*!< SAU SFSR: AUVIOL Position */
+#define SAU_SFSR_AUVIOL_Msk                (1UL << SAU_SFSR_AUVIOL_Pos)                   /*!< SAU SFSR: AUVIOL Mask */
+
+#define SAU_SFSR_INVER_Pos                  2U                                            /*!< SAU SFSR: INVER Position */
+#define SAU_SFSR_INVER_Msk                 (1UL << SAU_SFSR_INVER_Pos)                    /*!< SAU SFSR: INVER Mask */
+
+#define SAU_SFSR_INVIS_Pos                  1U                                            /*!< SAU SFSR: INVIS Position */
+#define SAU_SFSR_INVIS_Msk                 (1UL << SAU_SFSR_INVIS_Pos)                    /*!< SAU SFSR: INVIS Mask */
+
+#define SAU_SFSR_INVEP_Pos                  0U                                            /*!< SAU SFSR: INVEP Position */
+#define SAU_SFSR_INVEP_Msk                 (1UL /*<< SAU_SFSR_INVEP_Pos*/)                /*!< SAU SFSR: INVEP Mask */
+
+/*@} end of group CMSIS_SAU */
+#endif /* defined (__ARM_FEATURE_CMSE) && (__ARM_FEATURE_CMSE == 3U) */
+
+
+/**
+  \ingroup  CMSIS_core_register
+  \defgroup CMSIS_FPU     Floating Point Unit (FPU)
+  \brief    Type definitions for the Floating Point Unit (FPU)
+  @{
+ */
+
+/**
+  \brief  Structure type to access the Floating Point Unit (FPU).
+ */
+typedef struct
+{
+        uint32_t RESERVED0[1U];
+  __IOM uint32_t FPCCR;                  /*!< Offset: 0x004 (R/W)  Floating-Point Context Control Register */
+  __IOM uint32_t FPCAR;                  /*!< Offset: 0x008 (R/W)  Floating-Point Context Address Register */
+  __IOM uint32_t FPDSCR;                 /*!< Offset: 0x00C (R/W)  Floating-Point Default Status Control Register */
+  __IM  uint32_t MVFR0;                  /*!< Offset: 0x010 (R/ )  Media and FP Feature Register 0 */
+  __IM  uint32_t MVFR1;                  /*!< Offset: 0x014 (R/ )  Media and FP Feature Register 1 */
+} FPU_Type;
+
+/* Floating-Point Context Control Register Definitions */
+#define FPU_FPCCR_ASPEN_Pos                31U                                            /*!< FPCCR: ASPEN bit Position */
+#define FPU_FPCCR_ASPEN_Msk                (1UL << FPU_FPCCR_ASPEN_Pos)                   /*!< FPCCR: ASPEN bit Mask */
+
+#define FPU_FPCCR_LSPEN_Pos                30U                                            /*!< FPCCR: LSPEN Position */
+#define FPU_FPCCR_LSPEN_Msk                (1UL << FPU_FPCCR_LSPEN_Pos)                   /*!< FPCCR: LSPEN bit Mask */
+
+#define FPU_FPCCR_LSPENS_Pos               29U                                            /*!< FPCCR: LSPENS Position */
+#define FPU_FPCCR_LSPENS_Msk               (1UL << FPU_FPCCR_LSPENS_Pos)                  /*!< FPCCR: LSPENS bit Mask */
+
+#define FPU_FPCCR_CLRONRET_Pos             28U                                            /*!< FPCCR: CLRONRET Position */
+#define FPU_FPCCR_CLRONRET_Msk             (1UL << FPU_FPCCR_CLRONRET_Pos)                /*!< FPCCR: CLRONRET bit Mask */
+
+#define FPU_FPCCR_CLRONRETS_Pos            27U                                            /*!< FPCCR: CLRONRETS Position */
+#define FPU_FPCCR_CLRONRETS_Msk            (1UL << FPU_FPCCR_CLRONRETS_Pos)               /*!< FPCCR: CLRONRETS bit Mask */
+
+#define FPU_FPCCR_TS_Pos                   26U                                            /*!< FPCCR: TS Position */
+#define FPU_FPCCR_TS_Msk                   (1UL << FPU_FPCCR_TS_Pos)                      /*!< FPCCR: TS bit Mask */
+
+#define FPU_FPCCR_UFRDY_Pos                10U                                            /*!< FPCCR: UFRDY Position */
+#define FPU_FPCCR_UFRDY_Msk                (1UL << FPU_FPCCR_UFRDY_Pos)                   /*!< FPCCR: UFRDY bit Mask */
+
+#define FPU_FPCCR_SPLIMVIOL_Pos             9U                                            /*!< FPCCR: SPLIMVIOL Position */
+#define FPU_FPCCR_SPLIMVIOL_Msk            (1UL << FPU_FPCCR_SPLIMVIOL_Pos)               /*!< FPCCR: SPLIMVIOL bit Mask */
+
+#define FPU_FPCCR_MONRDY_Pos                8U                                            /*!< FPCCR: MONRDY Position */
+#define FPU_FPCCR_MONRDY_Msk               (1UL << FPU_FPCCR_MONRDY_Pos)                  /*!< FPCCR: MONRDY bit Mask */
+
+#define FPU_FPCCR_SFRDY_Pos                 7U                                            /*!< FPCCR: SFRDY Position */
+#define FPU_FPCCR_SFRDY_Msk                (1UL << FPU_FPCCR_SFRDY_Pos)                   /*!< FPCCR: SFRDY bit Mask */
+
+#define FPU_FPCCR_BFRDY_Pos                 6U                                            /*!< FPCCR: BFRDY Position */
+#define FPU_FPCCR_BFRDY_Msk                (1UL << FPU_FPCCR_BFRDY_Pos)                   /*!< FPCCR: BFRDY bit Mask */
+
+#define FPU_FPCCR_MMRDY_Pos                 5U                                            /*!< FPCCR: MMRDY Position */
+#define FPU_FPCCR_MMRDY_Msk                (1UL << FPU_FPCCR_MMRDY_Pos)                   /*!< FPCCR: MMRDY bit Mask */
+
+#define FPU_FPCCR_HFRDY_Pos                 4U                                            /*!< FPCCR: HFRDY Position */
+#define FPU_FPCCR_HFRDY_Msk                (1UL << FPU_FPCCR_HFRDY_Pos)                   /*!< FPCCR: HFRDY bit Mask */
+
+#define FPU_FPCCR_THREAD_Pos                3U                                            /*!< FPCCR: processor mode bit Position */
+#define FPU_FPCCR_THREAD_Msk               (1UL << FPU_FPCCR_THREAD_Pos)                  /*!< FPCCR: processor mode active bit Mask */
+
+#define FPU_FPCCR_S_Pos                     2U                                            /*!< FPCCR: Security status of the FP context bit Position */
+#define FPU_FPCCR_S_Msk                    (1UL << FPU_FPCCR_S_Pos)                       /*!< FPCCR: Security status of the FP context bit Mask */
+
+#define FPU_FPCCR_USER_Pos                  1U                                            /*!< FPCCR: privilege level bit Position */
+#define FPU_FPCCR_USER_Msk                 (1UL << FPU_FPCCR_USER_Pos)                    /*!< FPCCR: privilege level bit Mask */
+
+#define FPU_FPCCR_LSPACT_Pos                0U                                            /*!< FPCCR: Lazy state preservation active bit Position */
+#define FPU_FPCCR_LSPACT_Msk               (1UL /*<< FPU_FPCCR_LSPACT_Pos*/)              /*!< FPCCR: Lazy state preservation active bit Mask */
+
+/* Floating-Point Context Address Register Definitions */
+#define FPU_FPCAR_ADDRESS_Pos               3U                                            /*!< FPCAR: ADDRESS bit Position */
+#define FPU_FPCAR_ADDRESS_Msk              (0x1FFFFFFFUL << FPU_FPCAR_ADDRESS_Pos)        /*!< FPCAR: ADDRESS bit Mask */
+
+/* Floating-Point Default Status Control Register Definitions */
+#define FPU_FPDSCR_AHP_Pos                 26U                                            /*!< FPDSCR: AHP bit Position */
+#define FPU_FPDSCR_AHP_Msk                 (1UL << FPU_FPDSCR_AHP_Pos)                    /*!< FPDSCR: AHP bit Mask */
+
+#define FPU_FPDSCR_DN_Pos                  25U                                            /*!< FPDSCR: DN bit Position */
+#define FPU_FPDSCR_DN_Msk                  (1UL << FPU_FPDSCR_DN_Pos)                     /*!< FPDSCR: DN bit Mask */
+
+#define FPU_FPDSCR_FZ_Pos                  24U                                            /*!< FPDSCR: FZ bit Position */
+#define FPU_FPDSCR_FZ_Msk                  (1UL << FPU_FPDSCR_FZ_Pos)                     /*!< FPDSCR: FZ bit Mask */
+
+#define FPU_FPDSCR_RMode_Pos               22U                                            /*!< FPDSCR: RMode bit Position */
+#define FPU_FPDSCR_RMode_Msk               (3UL << FPU_FPDSCR_RMode_Pos)                  /*!< FPDSCR: RMode bit Mask */
+
+/* Media and FP Feature Register 0 Definitions */
+#define FPU_MVFR0_FP_rounding_modes_Pos    28U                                            /*!< MVFR0: FP rounding modes bits Position */
+#define FPU_MVFR0_FP_rounding_modes_Msk    (0xFUL << FPU_MVFR0_FP_rounding_modes_Pos)     /*!< MVFR0: FP rounding modes bits Mask */
+
+#define FPU_MVFR0_Short_vectors_Pos        24U                                            /*!< MVFR0: Short vectors bits Position */
+#define FPU_MVFR0_Short_vectors_Msk        (0xFUL << FPU_MVFR0_Short_vectors_Pos)         /*!< MVFR0: Short vectors bits Mask */
+
+#define FPU_MVFR0_Square_root_Pos          20U                                            /*!< MVFR0: Square root bits Position */
+#define FPU_MVFR0_Square_root_Msk          (0xFUL << FPU_MVFR0_Square_root_Pos)           /*!< MVFR0: Square root bits Mask */
+
+#define FPU_MVFR0_Divide_Pos               16U                                            /*!< MVFR0: Divide bits Position */
+#define FPU_MVFR0_Divide_Msk               (0xFUL << FPU_MVFR0_Divide_Pos)                /*!< MVFR0: Divide bits Mask */
+
+#define FPU_MVFR0_FP_excep_trapping_Pos    12U                                            /*!< MVFR0: FP exception trapping bits Position */
+#define FPU_MVFR0_FP_excep_trapping_Msk    (0xFUL << FPU_MVFR0_FP_excep_trapping_Pos)     /*!< MVFR0: FP exception trapping bits Mask */
+
+#define FPU_MVFR0_Double_precision_Pos      8U                                            /*!< MVFR0: Double-precision bits Position */
+#define FPU_MVFR0_Double_precision_Msk     (0xFUL << FPU_MVFR0_Double_precision_Pos)      /*!< MVFR0: Double-precision bits Mask */
+
+#define FPU_MVFR0_Single_precision_Pos      4U                                            /*!< MVFR0: Single-precision bits Position */
+#define FPU_MVFR0_Single_precision_Msk     (0xFUL << FPU_MVFR0_Single_precision_Pos)      /*!< MVFR0: Single-precision bits Mask */
+
+#define FPU_MVFR0_A_SIMD_registers_Pos      0U                                            /*!< MVFR0: A_SIMD registers bits Position */
+#define FPU_MVFR0_A_SIMD_registers_Msk     (0xFUL /*<< FPU_MVFR0_A_SIMD_registers_Pos*/)  /*!< MVFR0: A_SIMD registers bits Mask */
+
+/* Media and FP Feature Register 1 Definitions */
+#define FPU_MVFR1_FP_fused_MAC_Pos         28U                                            /*!< MVFR1: FP fused MAC bits Position */
+#define FPU_MVFR1_FP_fused_MAC_Msk         (0xFUL << FPU_MVFR1_FP_fused_MAC_Pos)          /*!< MVFR1: FP fused MAC bits Mask */
+
+#define FPU_MVFR1_FP_HPFP_Pos              24U                                            /*!< MVFR1: FP HPFP bits Position */
+#define FPU_MVFR1_FP_HPFP_Msk              (0xFUL << FPU_MVFR1_FP_HPFP_Pos)               /*!< MVFR1: FP HPFP bits Mask */
+
+#define FPU_MVFR1_D_NaN_mode_Pos            4U                                            /*!< MVFR1: D_NaN mode bits Position */
+#define FPU_MVFR1_D_NaN_mode_Msk           (0xFUL << FPU_MVFR1_D_NaN_mode_Pos)            /*!< MVFR1: D_NaN mode bits Mask */
+
+#define FPU_MVFR1_FtZ_mode_Pos              0U                                            /*!< MVFR1: FtZ mode bits Position */
+#define FPU_MVFR1_FtZ_mode_Msk             (0xFUL /*<< FPU_MVFR1_FtZ_mode_Pos*/)          /*!< MVFR1: FtZ mode bits Mask */
+
+/*@} end of group CMSIS_FPU */
+
+
+/**
+  \ingroup  CMSIS_core_register
+  \defgroup CMSIS_CoreDebug       Core Debug Registers (CoreDebug)
+  \brief    Type definitions for the Core Debug Registers
+  @{
+ */
+
+/**
+  \brief  Structure type to access the Core Debug Register (CoreDebug).
+ */
+typedef struct
+{
+  __IOM uint32_t DHCSR;                  /*!< Offset: 0x000 (R/W)  Debug Halting Control and Status Register */
+  __OM  uint32_t DCRSR;                  /*!< Offset: 0x004 ( /W)  Debug Core Register Selector Register */
+  __IOM uint32_t DCRDR;                  /*!< Offset: 0x008 (R/W)  Debug Core Register Data Register */
+  __IOM uint32_t DEMCR;                  /*!< Offset: 0x00C (R/W)  Debug Exception and Monitor Control Register */
+        uint32_t RESERVED4[1U];
+  __IOM uint32_t DAUTHCTRL;              /*!< Offset: 0x014 (R/W)  Debug Authentication Control Register */
+  __IOM uint32_t DSCSR;                  /*!< Offset: 0x018 (R/W)  Debug Security Control and Status Register */
+} CoreDebug_Type;
+
+/* Debug Halting Control and Status Register Definitions */
+#define CoreDebug_DHCSR_DBGKEY_Pos         16U                                            /*!< CoreDebug DHCSR: DBGKEY Position */
+#define CoreDebug_DHCSR_DBGKEY_Msk         (0xFFFFUL << CoreDebug_DHCSR_DBGKEY_Pos)       /*!< CoreDebug DHCSR: DBGKEY Mask */
+
+#define CoreDebug_DHCSR_S_RESTART_ST_Pos   26U                                            /*!< CoreDebug DHCSR: S_RESTART_ST Position */
+#define CoreDebug_DHCSR_S_RESTART_ST_Msk   (1UL << CoreDebug_DHCSR_S_RESTART_ST_Pos)      /*!< CoreDebug DHCSR: S_RESTART_ST Mask */
+
+#define CoreDebug_DHCSR_S_RESET_ST_Pos     25U                                            /*!< CoreDebug DHCSR: S_RESET_ST Position */
+#define CoreDebug_DHCSR_S_RESET_ST_Msk     (1UL << CoreDebug_DHCSR_S_RESET_ST_Pos)        /*!< CoreDebug DHCSR: S_RESET_ST Mask */
+
+#define CoreDebug_DHCSR_S_RETIRE_ST_Pos    24U                                            /*!< CoreDebug DHCSR: S_RETIRE_ST Position */
+#define CoreDebug_DHCSR_S_RETIRE_ST_Msk    (1UL << CoreDebug_DHCSR_S_RETIRE_ST_Pos)       /*!< CoreDebug DHCSR: S_RETIRE_ST Mask */
+
+#define CoreDebug_DHCSR_S_LOCKUP_Pos       19U                                            /*!< CoreDebug DHCSR: S_LOCKUP Position */
+#define CoreDebug_DHCSR_S_LOCKUP_Msk       (1UL << CoreDebug_DHCSR_S_LOCKUP_Pos)          /*!< CoreDebug DHCSR: S_LOCKUP Mask */
+
+#define CoreDebug_DHCSR_S_SLEEP_Pos        18U                                            /*!< CoreDebug DHCSR: S_SLEEP Position */
+#define CoreDebug_DHCSR_S_SLEEP_Msk        (1UL << CoreDebug_DHCSR_S_SLEEP_Pos)           /*!< CoreDebug DHCSR: S_SLEEP Mask */
+
+#define CoreDebug_DHCSR_S_HALT_Pos         17U                                            /*!< CoreDebug DHCSR: S_HALT Position */
+#define CoreDebug_DHCSR_S_HALT_Msk         (1UL << CoreDebug_DHCSR_S_HALT_Pos)            /*!< CoreDebug DHCSR: S_HALT Mask */
+
+#define CoreDebug_DHCSR_S_REGRDY_Pos       16U                                            /*!< CoreDebug DHCSR: S_REGRDY Position */
+#define CoreDebug_DHCSR_S_REGRDY_Msk       (1UL << CoreDebug_DHCSR_S_REGRDY_Pos)          /*!< CoreDebug DHCSR: S_REGRDY Mask */
+
+#define CoreDebug_DHCSR_C_SNAPSTALL_Pos     5U                                            /*!< CoreDebug DHCSR: C_SNAPSTALL Position */
+#define CoreDebug_DHCSR_C_SNAPSTALL_Msk    (1UL << CoreDebug_DHCSR_C_SNAPSTALL_Pos)       /*!< CoreDebug DHCSR: C_SNAPSTALL Mask */
+
+#define CoreDebug_DHCSR_C_MASKINTS_Pos      3U                                            /*!< CoreDebug DHCSR: C_MASKINTS Position */
+#define CoreDebug_DHCSR_C_MASKINTS_Msk     (1UL << CoreDebug_DHCSR_C_MASKINTS_Pos)        /*!< CoreDebug DHCSR: C_MASKINTS Mask */
+
+#define CoreDebug_DHCSR_C_STEP_Pos          2U                                            /*!< CoreDebug DHCSR: C_STEP Position */
+#define CoreDebug_DHCSR_C_STEP_Msk         (1UL << CoreDebug_DHCSR_C_STEP_Pos)            /*!< CoreDebug DHCSR: C_STEP Mask */
+
+#define CoreDebug_DHCSR_C_HALT_Pos          1U                                            /*!< CoreDebug DHCSR: C_HALT Position */
+#define CoreDebug_DHCSR_C_HALT_Msk         (1UL << CoreDebug_DHCSR_C_HALT_Pos)            /*!< CoreDebug DHCSR: C_HALT Mask */
+
+#define CoreDebug_DHCSR_C_DEBUGEN_Pos       0U                                            /*!< CoreDebug DHCSR: C_DEBUGEN Position */
+#define CoreDebug_DHCSR_C_DEBUGEN_Msk      (1UL /*<< CoreDebug_DHCSR_C_DEBUGEN_Pos*/)     /*!< CoreDebug DHCSR: C_DEBUGEN Mask */
+
+/* Debug Core Register Selector Register Definitions */
+#define CoreDebug_DCRSR_REGWnR_Pos         16U                                            /*!< CoreDebug DCRSR: REGWnR Position */
+#define CoreDebug_DCRSR_REGWnR_Msk         (1UL << CoreDebug_DCRSR_REGWnR_Pos)            /*!< CoreDebug DCRSR: REGWnR Mask */
+
+#define CoreDebug_DCRSR_REGSEL_Pos          0U                                            /*!< CoreDebug DCRSR: REGSEL Position */
+#define CoreDebug_DCRSR_REGSEL_Msk         (0x1FUL /*<< CoreDebug_DCRSR_REGSEL_Pos*/)     /*!< CoreDebug DCRSR: REGSEL Mask */
+
+/* Debug Exception and Monitor Control Register Definitions */
+#define CoreDebug_DEMCR_TRCENA_Pos         24U                                            /*!< CoreDebug DEMCR: TRCENA Position */
+#define CoreDebug_DEMCR_TRCENA_Msk         (1UL << CoreDebug_DEMCR_TRCENA_Pos)            /*!< CoreDebug DEMCR: TRCENA Mask */
+
+#define CoreDebug_DEMCR_MON_REQ_Pos        19U                                            /*!< CoreDebug DEMCR: MON_REQ Position */
+#define CoreDebug_DEMCR_MON_REQ_Msk        (1UL << CoreDebug_DEMCR_MON_REQ_Pos)           /*!< CoreDebug DEMCR: MON_REQ Mask */
+
+#define CoreDebug_DEMCR_MON_STEP_Pos       18U                                            /*!< CoreDebug DEMCR: MON_STEP Position */
+#define CoreDebug_DEMCR_MON_STEP_Msk       (1UL << CoreDebug_DEMCR_MON_STEP_Pos)          /*!< CoreDebug DEMCR: MON_STEP Mask */
+
+#define CoreDebug_DEMCR_MON_PEND_Pos       17U                                            /*!< CoreDebug DEMCR: MON_PEND Position */
+#define CoreDebug_DEMCR_MON_PEND_Msk       (1UL << CoreDebug_DEMCR_MON_PEND_Pos)          /*!< CoreDebug DEMCR: MON_PEND Mask */
+
+#define CoreDebug_DEMCR_MON_EN_Pos         16U                                            /*!< CoreDebug DEMCR: MON_EN Position */
+#define CoreDebug_DEMCR_MON_EN_Msk         (1UL << CoreDebug_DEMCR_MON_EN_Pos)            /*!< CoreDebug DEMCR: MON_EN Mask */
+
+#define CoreDebug_DEMCR_VC_HARDERR_Pos     10U                                            /*!< CoreDebug DEMCR: VC_HARDERR Position */
+#define CoreDebug_DEMCR_VC_HARDERR_Msk     (1UL << CoreDebug_DEMCR_VC_HARDERR_Pos)        /*!< CoreDebug DEMCR: VC_HARDERR Mask */
+
+#define CoreDebug_DEMCR_VC_INTERR_Pos       9U                                            /*!< CoreDebug DEMCR: VC_INTERR Position */
+#define CoreDebug_DEMCR_VC_INTERR_Msk      (1UL << CoreDebug_DEMCR_VC_INTERR_Pos)         /*!< CoreDebug DEMCR: VC_INTERR Mask */
+
+#define CoreDebug_DEMCR_VC_BUSERR_Pos       8U                                            /*!< CoreDebug DEMCR: VC_BUSERR Position */
+#define CoreDebug_DEMCR_VC_BUSERR_Msk      (1UL << CoreDebug_DEMCR_VC_BUSERR_Pos)         /*!< CoreDebug DEMCR: VC_BUSERR Mask */
+
+#define CoreDebug_DEMCR_VC_STATERR_Pos      7U                                            /*!< CoreDebug DEMCR: VC_STATERR Position */
+#define CoreDebug_DEMCR_VC_STATERR_Msk     (1UL << CoreDebug_DEMCR_VC_STATERR_Pos)        /*!< CoreDebug DEMCR: VC_STATERR Mask */
+
+#define CoreDebug_DEMCR_VC_CHKERR_Pos       6U                                            /*!< CoreDebug DEMCR: VC_CHKERR Position */
+#define CoreDebug_DEMCR_VC_CHKERR_Msk      (1UL << CoreDebug_DEMCR_VC_CHKERR_Pos)         /*!< CoreDebug DEMCR: VC_CHKERR Mask */
+
+#define CoreDebug_DEMCR_VC_NOCPERR_Pos      5U                                            /*!< CoreDebug DEMCR: VC_NOCPERR Position */
+#define CoreDebug_DEMCR_VC_NOCPERR_Msk     (1UL << CoreDebug_DEMCR_VC_NOCPERR_Pos)        /*!< CoreDebug DEMCR: VC_NOCPERR Mask */
+
+#define CoreDebug_DEMCR_VC_MMERR_Pos        4U                                            /*!< CoreDebug DEMCR: VC_MMERR Position */
+#define CoreDebug_DEMCR_VC_MMERR_Msk       (1UL << CoreDebug_DEMCR_VC_MMERR_Pos)          /*!< CoreDebug DEMCR: VC_MMERR Mask */
+
+#define CoreDebug_DEMCR_VC_CORERESET_Pos    0U                                            /*!< CoreDebug DEMCR: VC_CORERESET Position */
+#define CoreDebug_DEMCR_VC_CORERESET_Msk   (1UL /*<< CoreDebug_DEMCR_VC_CORERESET_Pos*/)  /*!< CoreDebug DEMCR: VC_CORERESET Mask */
+
+/* Debug Authentication Control Register Definitions */
+#define CoreDebug_DAUTHCTRL_INTSPNIDEN_Pos  3U                                            /*!< CoreDebug DAUTHCTRL: INTSPNIDEN, Position */
+#define CoreDebug_DAUTHCTRL_INTSPNIDEN_Msk (1UL << CoreDebug_DAUTHCTRL_INTSPNIDEN_Pos)    /*!< CoreDebug DAUTHCTRL: INTSPNIDEN, Mask */
+
+#define CoreDebug_DAUTHCTRL_SPNIDENSEL_Pos  2U                                            /*!< CoreDebug DAUTHCTRL: SPNIDENSEL Position */
+#define CoreDebug_DAUTHCTRL_SPNIDENSEL_Msk (1UL << CoreDebug_DAUTHCTRL_SPNIDENSEL_Pos)    /*!< CoreDebug DAUTHCTRL: SPNIDENSEL Mask */
+
+#define CoreDebug_DAUTHCTRL_INTSPIDEN_Pos   1U                                            /*!< CoreDebug DAUTHCTRL: INTSPIDEN Position */
+#define CoreDebug_DAUTHCTRL_INTSPIDEN_Msk  (1UL << CoreDebug_DAUTHCTRL_INTSPIDEN_Pos)     /*!< CoreDebug DAUTHCTRL: INTSPIDEN Mask */
+
+#define CoreDebug_DAUTHCTRL_SPIDENSEL_Pos   0U                                            /*!< CoreDebug DAUTHCTRL: SPIDENSEL Position */
+#define CoreDebug_DAUTHCTRL_SPIDENSEL_Msk  (1UL /*<< CoreDebug_DAUTHCTRL_SPIDENSEL_Pos*/) /*!< CoreDebug DAUTHCTRL: SPIDENSEL Mask */
+
+/* Debug Security Control and Status Register Definitions */
+#define CoreDebug_DSCSR_CDS_Pos            16U                                            /*!< CoreDebug DSCSR: CDS Position */
+#define CoreDebug_DSCSR_CDS_Msk            (1UL << CoreDebug_DSCSR_CDS_Pos)               /*!< CoreDebug DSCSR: CDS Mask */
+
+#define CoreDebug_DSCSR_SBRSEL_Pos          1U                                            /*!< CoreDebug DSCSR: SBRSEL Position */
+#define CoreDebug_DSCSR_SBRSEL_Msk         (1UL << CoreDebug_DSCSR_SBRSEL_Pos)            /*!< CoreDebug DSCSR: SBRSEL Mask */
+
+#define CoreDebug_DSCSR_SBRSELEN_Pos        0U                                            /*!< CoreDebug DSCSR: SBRSELEN Position */
+#define CoreDebug_DSCSR_SBRSELEN_Msk       (1UL /*<< CoreDebug_DSCSR_SBRSELEN_Pos*/)      /*!< CoreDebug DSCSR: SBRSELEN Mask */
+
+/*@} end of group CMSIS_CoreDebug */
+
+
+/**
+  \ingroup    CMSIS_core_register
+  \defgroup   CMSIS_core_bitfield     Core register bit field macros
+  \brief      Macros for use with bit field definitions (xxx_Pos, xxx_Msk).
+  @{
+ */
+
+/**
+  \brief   Mask and shift a bit field value for use in a register bit range.
+  \param[in] field  Name of the register bit field.
+  \param[in] value  Value of the bit field. This parameter is interpreted as an uint32_t type.
+  \return           Masked and shifted value.
+*/
+#define _VAL2FLD(field, value)    (((uint32_t)(value) << field ## _Pos) & field ## _Msk)
+
+/**
+  \brief     Mask and shift a register value to extract a bit filed value.
+  \param[in] field  Name of the register bit field.
+  \param[in] value  Value of register. This parameter is interpreted as an uint32_t type.
+  \return           Masked and shifted bit field value.
+*/
+#define _FLD2VAL(field, value)    (((uint32_t)(value) & field ## _Msk) >> field ## _Pos)
+
+/*@} end of group CMSIS_core_bitfield */
+
+
+/**
+  \ingroup    CMSIS_core_register
+  \defgroup   CMSIS_core_base     Core Definitions
+  \brief      Definitions for base addresses, unions, and structures.
+  @{
+ */
+
+/* Memory mapping of Core Hardware */
+  #define SCS_BASE            (0xE000E000UL)                             /*!< System Control Space Base Address */
+  #define ITM_BASE            (0xE0000000UL)                             /*!< ITM Base Address */
+  #define DWT_BASE            (0xE0001000UL)                             /*!< DWT Base Address */
+  #define TPI_BASE            (0xE0040000UL)                             /*!< TPI Base Address */
+  #define CoreDebug_BASE      (0xE000EDF0UL)                             /*!< Core Debug Base Address */
+  #define SysTick_BASE        (SCS_BASE +  0x0010UL)                     /*!< SysTick Base Address */
+  #define NVIC_BASE           (SCS_BASE +  0x0100UL)                     /*!< NVIC Base Address */
+  #define SCB_BASE            (SCS_BASE +  0x0D00UL)                     /*!< System Control Block Base Address */
+
+  #define SCnSCB              ((SCnSCB_Type    *)     SCS_BASE         ) /*!< System control Register not in SCB */
+  #define SCB                 ((SCB_Type       *)     SCB_BASE         ) /*!< SCB configuration struct */
+  #define SysTick             ((SysTick_Type   *)     SysTick_BASE     ) /*!< SysTick configuration struct */
+  #define NVIC                ((NVIC_Type      *)     NVIC_BASE        ) /*!< NVIC configuration struct */
+  #define ITM                 ((ITM_Type       *)     ITM_BASE         ) /*!< ITM configuration struct */
+  #define DWT                 ((DWT_Type       *)     DWT_BASE         ) /*!< DWT configuration struct */
+  #define TPI                 ((TPI_Type       *)     TPI_BASE         ) /*!< TPI configuration struct */
+  #define CoreDebug           ((CoreDebug_Type *)     CoreDebug_BASE   ) /*!< Core Debug configuration struct */
+
+  #if defined (__MPU_PRESENT) && (__MPU_PRESENT == 1U)
+    #define MPU_BASE          (SCS_BASE +  0x0D90UL)                     /*!< Memory Protection Unit */
+    #define MPU               ((MPU_Type       *)     MPU_BASE         ) /*!< Memory Protection Unit */
+  #endif
+
+  #if defined (__ARM_FEATURE_CMSE) && (__ARM_FEATURE_CMSE == 3U)
+    #define SAU_BASE          (SCS_BASE +  0x0DD0UL)                     /*!< Security Attribution Unit */
+    #define SAU               ((SAU_Type       *)     SAU_BASE         ) /*!< Security Attribution Unit */
+  #endif
+
+  #define FPU_BASE            (SCS_BASE +  0x0F30UL)                     /*!< Floating Point Unit */
+  #define FPU                 ((FPU_Type       *)     FPU_BASE         ) /*!< Floating Point Unit */
+
+#if defined (__ARM_FEATURE_CMSE) && (__ARM_FEATURE_CMSE == 3U)
+  #define SCS_BASE_NS         (0xE002E000UL)                             /*!< System Control Space Base Address (non-secure address space) */
+  #define CoreDebug_BASE_NS   (0xE002EDF0UL)                             /*!< Core Debug Base Address           (non-secure address space) */
+  #define SysTick_BASE_NS     (SCS_BASE_NS +  0x0010UL)                  /*!< SysTick Base Address              (non-secure address space) */
+  #define NVIC_BASE_NS        (SCS_BASE_NS +  0x0100UL)                  /*!< NVIC Base Address                 (non-secure address space) */
+  #define SCB_BASE_NS         (SCS_BASE_NS +  0x0D00UL)                  /*!< System Control Block Base Address (non-secure address space) */
+
+  #define SCnSCB_NS           ((SCnSCB_Type    *)     SCS_BASE_NS      ) /*!< System control Register not in SCB(non-secure address space) */
+  #define SCB_NS              ((SCB_Type       *)     SCB_BASE_NS      ) /*!< SCB configuration struct          (non-secure address space) */
+  #define SysTick_NS          ((SysTick_Type   *)     SysTick_BASE_NS  ) /*!< SysTick configuration struct      (non-secure address space) */
+  #define NVIC_NS             ((NVIC_Type      *)     NVIC_BASE_NS     ) /*!< NVIC configuration struct         (non-secure address space) */
+  #define CoreDebug_NS        ((CoreDebug_Type *)     CoreDebug_BASE_NS) /*!< Core Debug configuration struct   (non-secure address space) */
+
+  #if defined (__MPU_PRESENT) && (__MPU_PRESENT == 1U)
+    #define MPU_BASE_NS       (SCS_BASE_NS +  0x0D90UL)                  /*!< Memory Protection Unit            (non-secure address space) */
+    #define MPU_NS            ((MPU_Type       *)     MPU_BASE_NS      ) /*!< Memory Protection Unit            (non-secure address space) */
+  #endif
+
+  #define FPU_BASE_NS         (SCS_BASE_NS +  0x0F30UL)                  /*!< Floating Point Unit               (non-secure address space) */
+  #define FPU_NS              ((FPU_Type       *)     FPU_BASE_NS      ) /*!< Floating Point Unit               (non-secure address space) */
+
+#endif /* defined (__ARM_FEATURE_CMSE) && (__ARM_FEATURE_CMSE == 3U) */
+/*@} */
+
+
+
+/*******************************************************************************
+ *                Hardware Abstraction Layer
+  Core Function Interface contains:
+  - Core NVIC Functions
+  - Core SysTick Functions
+  - Core Debug Functions
+  - Core Register Access Functions
+ ******************************************************************************/
+/**
+  \defgroup CMSIS_Core_FunctionInterface Functions and Instructions Reference
+*/
+
+
+
+/* ##########################   NVIC functions  #################################### */
+/**
+  \ingroup  CMSIS_Core_FunctionInterface
+  \defgroup CMSIS_Core_NVICFunctions NVIC Functions
+  \brief    Functions that manage interrupts and exceptions via the NVIC.
+  @{
+ */
+
+#ifdef CMSIS_NVIC_VIRTUAL
+  #ifndef CMSIS_NVIC_VIRTUAL_HEADER_FILE
+    #define CMSIS_NVIC_VIRTUAL_HEADER_FILE "cmsis_nvic_virtual.h"
+  #endif
+  #include CMSIS_NVIC_VIRTUAL_HEADER_FILE
+#else
+  #define NVIC_SetPriorityGrouping    __NVIC_SetPriorityGrouping
+  #define NVIC_GetPriorityGrouping    __NVIC_GetPriorityGrouping
+  #define NVIC_EnableIRQ              __NVIC_EnableIRQ
+  #define NVIC_GetEnableIRQ           __NVIC_GetEnableIRQ
+  #define NVIC_DisableIRQ             __NVIC_DisableIRQ
+  #define NVIC_GetPendingIRQ          __NVIC_GetPendingIRQ
+  #define NVIC_SetPendingIRQ          __NVIC_SetPendingIRQ
+  #define NVIC_ClearPendingIRQ        __NVIC_ClearPendingIRQ
+  #define NVIC_GetActive              __NVIC_GetActive
+  #define NVIC_SetPriority            __NVIC_SetPriority
+  #define NVIC_GetPriority            __NVIC_GetPriority
+  #define NVIC_SystemReset            __NVIC_SystemReset
+#endif /* CMSIS_NVIC_VIRTUAL */
+
+#ifdef CMSIS_VECTAB_VIRTUAL
+  #ifndef CMSIS_VECTAB_VIRTUAL_HEADER_FILE
+    #define CMSIS_VECTAB_VIRTUAL_HEADER_FILE "cmsis_vectab_virtual.h"
+  #endif
+  #include CMSIS_VECTAB_VIRTUAL_HEADER_FILE
+#else
+  #define NVIC_SetVector              __NVIC_SetVector
+  #define NVIC_GetVector              __NVIC_GetVector
+#endif  /* (CMSIS_VECTAB_VIRTUAL) */
+
+#define NVIC_USER_IRQ_OFFSET          16
+
+
+
+/**
+  \brief   Set Priority Grouping
+  \details Sets the priority grouping field using the required unlock sequence.
+           The parameter PriorityGroup is assigned to the field SCB->AIRCR [10:8] PRIGROUP field.
+           Only values from 0..7 are used.
+           In case of a conflict between priority grouping and available
+           priority bits (__NVIC_PRIO_BITS), the smallest possible priority group is set.
+  \param [in]      PriorityGroup  Priority grouping field.
+ */
+__STATIC_INLINE void __NVIC_SetPriorityGrouping(uint32_t PriorityGroup)
+{
+  uint32_t reg_value;
+  uint32_t PriorityGroupTmp = (PriorityGroup & (uint32_t)0x07UL);             /* only values 0..7 are used          */
+
+  reg_value  =  SCB->AIRCR;                                                   /* read old register configuration    */
+  reg_value &= ~((uint32_t)(SCB_AIRCR_VECTKEY_Msk | SCB_AIRCR_PRIGROUP_Msk)); /* clear bits to change               */
+  reg_value  =  (reg_value                                   |
+                ((uint32_t)0x5FAUL << SCB_AIRCR_VECTKEY_Pos) |
+                (PriorityGroupTmp << 8U)                      );              /* Insert write key and priorty group */
+  SCB->AIRCR =  reg_value;
+}
+
+
+/**
+  \brief   Get Priority Grouping
+  \details Reads the priority grouping field from the NVIC Interrupt Controller.
+  \return                Priority grouping field (SCB->AIRCR [10:8] PRIGROUP field).
+ */
+__STATIC_INLINE uint32_t __NVIC_GetPriorityGrouping(void)
+{
+  return ((uint32_t)((SCB->AIRCR & SCB_AIRCR_PRIGROUP_Msk) >> SCB_AIRCR_PRIGROUP_Pos));
+}
+
+
+/**
+  \brief   Enable Interrupt
+  \details Enables a device specific interrupt in the NVIC interrupt controller.
+  \param [in]      IRQn  Device specific interrupt number.
+  \note    IRQn must not be negative.
+ */
+__STATIC_INLINE void __NVIC_EnableIRQ(IRQn_Type IRQn)
+{
+  if ((int32_t)(IRQn) >= 0)
+  {
+    NVIC->ISER[(((uint32_t)IRQn) >> 5UL)] = (uint32_t)(1UL << (((uint32_t)IRQn) & 0x1FUL));
+  }
+}
+
+
+/**
+  \brief   Get Interrupt Enable status
+  \details Returns a device specific interrupt enable status from the NVIC interrupt controller.
+  \param [in]      IRQn  Device specific interrupt number.
+  \return             0  Interrupt is not enabled.
+  \return             1  Interrupt is enabled.
+  \note    IRQn must not be negative.
+ */
+__STATIC_INLINE uint32_t __NVIC_GetEnableIRQ(IRQn_Type IRQn)
+{
+  if ((int32_t)(IRQn) >= 0)
+  {
+    return((uint32_t)(((NVIC->ISER[(((uint32_t)IRQn) >> 5UL)] & (1UL << (((uint32_t)IRQn) & 0x1FUL))) != 0UL) ? 1UL : 0UL));
+  }
+  else
+  {
+    return(0U);
+  }
+}
+
+
+/**
+  \brief   Disable Interrupt
+  \details Disables a device specific interrupt in the NVIC interrupt controller.
+  \param [in]      IRQn  Device specific interrupt number.
+  \note    IRQn must not be negative.
+ */
+__STATIC_INLINE void __NVIC_DisableIRQ(IRQn_Type IRQn)
+{
+  if ((int32_t)(IRQn) >= 0)
+  {
+    NVIC->ICER[(((uint32_t)IRQn) >> 5UL)] = (uint32_t)(1UL << (((uint32_t)IRQn) & 0x1FUL));
+    __DSB();
+    __ISB();
+  }
+}
+
+
+/**
+  \brief   Get Pending Interrupt
+  \details Reads the NVIC pending register and returns the pending bit for the specified device specific interrupt.
+  \param [in]      IRQn  Device specific interrupt number.
+  \return             0  Interrupt status is not pending.
+  \return             1  Interrupt status is pending.
+  \note    IRQn must not be negative.
+ */
+__STATIC_INLINE uint32_t __NVIC_GetPendingIRQ(IRQn_Type IRQn)
+{
+  if ((int32_t)(IRQn) >= 0)
+  {
+    return((uint32_t)(((NVIC->ISPR[(((uint32_t)IRQn) >> 5UL)] & (1UL << (((uint32_t)IRQn) & 0x1FUL))) != 0UL) ? 1UL : 0UL));
+  }
+  else
+  {
+    return(0U);
+  }
+}
+
+
+/**
+  \brief   Set Pending Interrupt
+  \details Sets the pending bit of a device specific interrupt in the NVIC pending register.
+  \param [in]      IRQn  Device specific interrupt number.
+  \note    IRQn must not be negative.
+ */
+__STATIC_INLINE void __NVIC_SetPendingIRQ(IRQn_Type IRQn)
+{
+  if ((int32_t)(IRQn) >= 0)
+  {
+    NVIC->ISPR[(((uint32_t)IRQn) >> 5UL)] = (uint32_t)(1UL << (((uint32_t)IRQn) & 0x1FUL));
+  }
+}
+
+
+/**
+  \brief   Clear Pending Interrupt
+  \details Clears the pending bit of a device specific interrupt in the NVIC pending register.
+  \param [in]      IRQn  Device specific interrupt number.
+  \note    IRQn must not be negative.
+ */
+__STATIC_INLINE void __NVIC_ClearPendingIRQ(IRQn_Type IRQn)
+{
+  if ((int32_t)(IRQn) >= 0)
+  {
+    NVIC->ICPR[(((uint32_t)IRQn) >> 5UL)] = (uint32_t)(1UL << (((uint32_t)IRQn) & 0x1FUL));
+  }
+}
+
+
+/**
+  \brief   Get Active Interrupt
+  \details Reads the active register in the NVIC and returns the active bit for the device specific interrupt.
+  \param [in]      IRQn  Device specific interrupt number.
+  \return             0  Interrupt status is not active.
+  \return             1  Interrupt status is active.
+  \note    IRQn must not be negative.
+ */
+__STATIC_INLINE uint32_t __NVIC_GetActive(IRQn_Type IRQn)
+{
+  if ((int32_t)(IRQn) >= 0)
+  {
+    return((uint32_t)(((NVIC->IABR[(((uint32_t)IRQn) >> 5UL)] & (1UL << (((uint32_t)IRQn) & 0x1FUL))) != 0UL) ? 1UL : 0UL));
+  }
+  else
+  {
+    return(0U);
+  }
+}
+
+
+#if defined (__ARM_FEATURE_CMSE) && (__ARM_FEATURE_CMSE == 3U)
+/**
+  \brief   Get Interrupt Target State
+  \details Reads the interrupt target field in the NVIC and returns the interrupt target bit for the device specific interrupt.
+  \param [in]      IRQn  Device specific interrupt number.
+  \return             0  if interrupt is assigned to Secure
+  \return             1  if interrupt is assigned to Non Secure
+  \note    IRQn must not be negative.
+ */
+__STATIC_INLINE uint32_t NVIC_GetTargetState(IRQn_Type IRQn)
+{
+  if ((int32_t)(IRQn) >= 0)
+  {
+    return((uint32_t)(((NVIC->ITNS[(((uint32_t)IRQn) >> 5UL)] & (1UL << (((uint32_t)IRQn) & 0x1FUL))) != 0UL) ? 1UL : 0UL));
+  }
+  else
+  {
+    return(0U);
+  }
+}
+
+
+/**
+  \brief   Set Interrupt Target State
+  \details Sets the interrupt target field in the NVIC and returns the interrupt target bit for the device specific interrupt.
+  \param [in]      IRQn  Device specific interrupt number.
+  \return             0  if interrupt is assigned to Secure
+                      1  if interrupt is assigned to Non Secure
+  \note    IRQn must not be negative.
+ */
+__STATIC_INLINE uint32_t NVIC_SetTargetState(IRQn_Type IRQn)
+{
+  if ((int32_t)(IRQn) >= 0)
+  {
+    NVIC->ITNS[(((uint32_t)IRQn) >> 5UL)] |=  ((uint32_t)(1UL << (((uint32_t)IRQn) & 0x1FUL)));
+    return((uint32_t)(((NVIC->ITNS[(((uint32_t)IRQn) >> 5UL)] & (1UL << (((uint32_t)IRQn) & 0x1FUL))) != 0UL) ? 1UL : 0UL));
+  }
+  else
+  {
+    return(0U);
+  }
+}
+
+
+/**
+  \brief   Clear Interrupt Target State
+  \details Clears the interrupt target field in the NVIC and returns the interrupt target bit for the device specific interrupt.
+  \param [in]      IRQn  Device specific interrupt number.
+  \return             0  if interrupt is assigned to Secure
+                      1  if interrupt is assigned to Non Secure
+  \note    IRQn must not be negative.
+ */
+__STATIC_INLINE uint32_t NVIC_ClearTargetState(IRQn_Type IRQn)
+{
+  if ((int32_t)(IRQn) >= 0)
+  {
+    NVIC->ITNS[(((uint32_t)IRQn) >> 5UL)] &= ~((uint32_t)(1UL << (((uint32_t)IRQn) & 0x1FUL)));
+    return((uint32_t)(((NVIC->ITNS[(((uint32_t)IRQn) >> 5UL)] & (1UL << (((uint32_t)IRQn) & 0x1FUL))) != 0UL) ? 1UL : 0UL));
+  }
+  else
+  {
+    return(0U);
+  }
+}
+#endif /* defined (__ARM_FEATURE_CMSE) && (__ARM_FEATURE_CMSE == 3U) */
+
+
+/**
+  \brief   Set Interrupt Priority
+  \details Sets the priority of a device specific interrupt or a processor exception.
+           The interrupt number can be positive to specify a device specific interrupt,
+           or negative to specify a processor exception.
+  \param [in]      IRQn  Interrupt number.
+  \param [in]  priority  Priority to set.
+  \note    The priority cannot be set for every processor exception.
+ */
+__STATIC_INLINE void __NVIC_SetPriority(IRQn_Type IRQn, uint32_t priority)
+{
+  if ((int32_t)(IRQn) >= 0)
+  {
+    NVIC->IPR[((uint32_t)IRQn)]               = (uint8_t)((priority << (8U - __NVIC_PRIO_BITS)) & (uint32_t)0xFFUL);
+  }
+  else
+  {
+    SCB->SHPR[(((uint32_t)IRQn) & 0xFUL)-4UL] = (uint8_t)((priority << (8U - __NVIC_PRIO_BITS)) & (uint32_t)0xFFUL);
+  }
+}
+
+
+/**
+  \brief   Get Interrupt Priority
+  \details Reads the priority of a device specific interrupt or a processor exception.
+           The interrupt number can be positive to specify a device specific interrupt,
+           or negative to specify a processor exception.
+  \param [in]   IRQn  Interrupt number.
+  \return             Interrupt Priority.
+                      Value is aligned automatically to the implemented priority bits of the microcontroller.
+ */
+__STATIC_INLINE uint32_t __NVIC_GetPriority(IRQn_Type IRQn)
+{
+
+  if ((int32_t)(IRQn) >= 0)
+  {
+    return(((uint32_t)NVIC->IPR[((uint32_t)IRQn)]               >> (8U - __NVIC_PRIO_BITS)));
+  }
+  else
+  {
+    return(((uint32_t)SCB->SHPR[(((uint32_t)IRQn) & 0xFUL)-4UL] >> (8U - __NVIC_PRIO_BITS)));
+  }
+}
+
+
+/**
+  \brief   Encode Priority
+  \details Encodes the priority for an interrupt with the given priority group,
+           preemptive priority value, and subpriority value.
+           In case of a conflict between priority grouping and available
+           priority bits (__NVIC_PRIO_BITS), the smallest possible priority group is set.
+  \param [in]     PriorityGroup  Used priority group.
+  \param [in]   PreemptPriority  Preemptive priority value (starting from 0).
+  \param [in]       SubPriority  Subpriority value (starting from 0).
+  \return                        Encoded priority. Value can be used in the function \ref NVIC_SetPriority().
+ */
+__STATIC_INLINE uint32_t NVIC_EncodePriority (uint32_t PriorityGroup, uint32_t PreemptPriority, uint32_t SubPriority)
+{
+  uint32_t PriorityGroupTmp = (PriorityGroup & (uint32_t)0x07UL);   /* only values 0..7 are used          */
+  uint32_t PreemptPriorityBits;
+  uint32_t SubPriorityBits;
+
+  PreemptPriorityBits = ((7UL - PriorityGroupTmp) > (uint32_t)(__NVIC_PRIO_BITS)) ? (uint32_t)(__NVIC_PRIO_BITS) : (uint32_t)(7UL - PriorityGroupTmp);
+  SubPriorityBits     = ((PriorityGroupTmp + (uint32_t)(__NVIC_PRIO_BITS)) < (uint32_t)7UL) ? (uint32_t)0UL : (uint32_t)((PriorityGroupTmp - 7UL) + (uint32_t)(__NVIC_PRIO_BITS));
+
+  return (
+           ((PreemptPriority & (uint32_t)((1UL << (PreemptPriorityBits)) - 1UL)) << SubPriorityBits) |
+           ((SubPriority     & (uint32_t)((1UL << (SubPriorityBits    )) - 1UL)))
+         );
+}
+
+
+/**
+  \brief   Decode Priority
+  \details Decodes an interrupt priority value with a given priority group to
+           preemptive priority value and subpriority value.
+           In case of a conflict between priority grouping and available
+           priority bits (__NVIC_PRIO_BITS) the smallest possible priority group is set.
+  \param [in]         Priority   Priority value, which can be retrieved with the function \ref NVIC_GetPriority().
+  \param [in]     PriorityGroup  Used priority group.
+  \param [out] pPreemptPriority  Preemptive priority value (starting from 0).
+  \param [out]     pSubPriority  Subpriority value (starting from 0).
+ */
+__STATIC_INLINE void NVIC_DecodePriority (uint32_t Priority, uint32_t PriorityGroup, uint32_t* const pPreemptPriority, uint32_t* const pSubPriority)
+{
+  uint32_t PriorityGroupTmp = (PriorityGroup & (uint32_t)0x07UL);   /* only values 0..7 are used          */
+  uint32_t PreemptPriorityBits;
+  uint32_t SubPriorityBits;
+
+  PreemptPriorityBits = ((7UL - PriorityGroupTmp) > (uint32_t)(__NVIC_PRIO_BITS)) ? (uint32_t)(__NVIC_PRIO_BITS) : (uint32_t)(7UL - PriorityGroupTmp);
+  SubPriorityBits     = ((PriorityGroupTmp + (uint32_t)(__NVIC_PRIO_BITS)) < (uint32_t)7UL) ? (uint32_t)0UL : (uint32_t)((PriorityGroupTmp - 7UL) + (uint32_t)(__NVIC_PRIO_BITS));
+
+  *pPreemptPriority = (Priority >> SubPriorityBits) & (uint32_t)((1UL << (PreemptPriorityBits)) - 1UL);
+  *pSubPriority     = (Priority                   ) & (uint32_t)((1UL << (SubPriorityBits    )) - 1UL);
+}
+
+
+/**
+  \brief   Set Interrupt Vector
+  \details Sets an interrupt vector in SRAM based interrupt vector table.
+           The interrupt number can be positive to specify a device specific interrupt,
+           or negative to specify a processor exception.
+           VTOR must been relocated to SRAM before.
+  \param [in]   IRQn      Interrupt number
+  \param [in]   vector    Address of interrupt handler function
+ */
+__STATIC_INLINE void __NVIC_SetVector(IRQn_Type IRQn, uint32_t vector)
+{
+  uint32_t *vectors = (uint32_t *)SCB->VTOR;
+  vectors[(int32_t)IRQn + NVIC_USER_IRQ_OFFSET] = vector;
+}
+
+
+/**
+  \brief   Get Interrupt Vector
+  \details Reads an interrupt vector from interrupt vector table.
+           The interrupt number can be positive to specify a device specific interrupt,
+           or negative to specify a processor exception.
+  \param [in]   IRQn      Interrupt number.
+  \return                 Address of interrupt handler function
+ */
+__STATIC_INLINE uint32_t __NVIC_GetVector(IRQn_Type IRQn)
+{
+  uint32_t *vectors = (uint32_t *)SCB->VTOR;
+  return vectors[(int32_t)IRQn + NVIC_USER_IRQ_OFFSET];
+}
+
+
+/**
+  \brief   System Reset
+  \details Initiates a system reset request to reset the MCU.
+ */
+__NO_RETURN __STATIC_INLINE void __NVIC_SystemReset(void)
+{
+  __DSB();                                                          /* Ensure all outstanding memory accesses included
+                                                                       buffered write are completed before reset */
+  SCB->AIRCR  = (uint32_t)((0x5FAUL << SCB_AIRCR_VECTKEY_Pos)    |
+                           (SCB->AIRCR & SCB_AIRCR_PRIGROUP_Msk) |
+                            SCB_AIRCR_SYSRESETREQ_Msk    );         /* Keep priority group unchanged */
+  __DSB();                                                          /* Ensure completion of memory access */
+
+  for(;;)                                                           /* wait until reset */
+  {
+    __NOP();
+  }
+}
+
+#if defined (__ARM_FEATURE_CMSE) && (__ARM_FEATURE_CMSE == 3U)
+/**
+  \brief   Set Priority Grouping (non-secure)
+  \details Sets the non-secure priority grouping field when in secure state using the required unlock sequence.
+           The parameter PriorityGroup is assigned to the field SCB->AIRCR [10:8] PRIGROUP field.
+           Only values from 0..7 are used.
+           In case of a conflict between priority grouping and available
+           priority bits (__NVIC_PRIO_BITS), the smallest possible priority group is set.
+  \param [in]      PriorityGroup  Priority grouping field.
+ */
+__STATIC_INLINE void TZ_NVIC_SetPriorityGrouping_NS(uint32_t PriorityGroup)
+{
+  uint32_t reg_value;
+  uint32_t PriorityGroupTmp = (PriorityGroup & (uint32_t)0x07UL);             /* only values 0..7 are used          */
+
+  reg_value  =  SCB_NS->AIRCR;                                                   /* read old register configuration    */
+  reg_value &= ~((uint32_t)(SCB_AIRCR_VECTKEY_Msk | SCB_AIRCR_PRIGROUP_Msk));             /* clear bits to change               */
+  reg_value  =  (reg_value                                   |
+                ((uint32_t)0x5FAUL << SCB_AIRCR_VECTKEY_Pos) |
+                (PriorityGroupTmp << 8U)                      );              /* Insert write key and priorty group */
+  SCB_NS->AIRCR =  reg_value;
+}
+
+
+/**
+  \brief   Get Priority Grouping (non-secure)
+  \details Reads the priority grouping field from the non-secure NVIC when in secure state.
+  \return                Priority grouping field (SCB->AIRCR [10:8] PRIGROUP field).
+ */
+__STATIC_INLINE uint32_t TZ_NVIC_GetPriorityGrouping_NS(void)
+{
+  return ((uint32_t)((SCB_NS->AIRCR & SCB_AIRCR_PRIGROUP_Msk) >> SCB_AIRCR_PRIGROUP_Pos));
+}
+
+
+/**
+  \brief   Enable Interrupt (non-secure)
+  \details Enables a device specific interrupt in the non-secure NVIC interrupt controller when in secure state.
+  \param [in]      IRQn  Device specific interrupt number.
+  \note    IRQn must not be negative.
+ */
+__STATIC_INLINE void TZ_NVIC_EnableIRQ_NS(IRQn_Type IRQn)
+{
+  if ((int32_t)(IRQn) >= 0)
+  {
+    NVIC_NS->ISER[(((uint32_t)IRQn) >> 5UL)] = (uint32_t)(1UL << (((uint32_t)IRQn) & 0x1FUL));
+  }
+}
+
+
+/**
+  \brief   Get Interrupt Enable status (non-secure)
+  \details Returns a device specific interrupt enable status from the non-secure NVIC interrupt controller when in secure state.
+  \param [in]      IRQn  Device specific interrupt number.
+  \return             0  Interrupt is not enabled.
+  \return             1  Interrupt is enabled.
+  \note    IRQn must not be negative.
+ */
+__STATIC_INLINE uint32_t TZ_NVIC_GetEnableIRQ_NS(IRQn_Type IRQn)
+{
+  if ((int32_t)(IRQn) >= 0)
+  {
+    return((uint32_t)(((NVIC_NS->ISER[(((uint32_t)IRQn) >> 5UL)] & (1UL << (((uint32_t)IRQn) & 0x1FUL))) != 0UL) ? 1UL : 0UL));
+  }
+  else
+  {
+    return(0U);
+  }
+}
+
+
+/**
+  \brief   Disable Interrupt (non-secure)
+  \details Disables a device specific interrupt in the non-secure NVIC interrupt controller when in secure state.
+  \param [in]      IRQn  Device specific interrupt number.
+  \note    IRQn must not be negative.
+ */
+__STATIC_INLINE void TZ_NVIC_DisableIRQ_NS(IRQn_Type IRQn)
+{
+  if ((int32_t)(IRQn) >= 0)
+  {
+    NVIC_NS->ICER[(((uint32_t)IRQn) >> 5UL)] = (uint32_t)(1UL << (((uint32_t)IRQn) & 0x1FUL));
+  }
+}
+
+
+/**
+  \brief   Get Pending Interrupt (non-secure)
+  \details Reads the NVIC pending register in the non-secure NVIC when in secure state and returns the pending bit for the specified device specific interrupt.
+  \param [in]      IRQn  Device specific interrupt number.
+  \return             0  Interrupt status is not pending.
+  \return             1  Interrupt status is pending.
+  \note    IRQn must not be negative.
+ */
+__STATIC_INLINE uint32_t TZ_NVIC_GetPendingIRQ_NS(IRQn_Type IRQn)
+{
+  if ((int32_t)(IRQn) >= 0)
+  {
+    return((uint32_t)(((NVIC_NS->ISPR[(((uint32_t)IRQn) >> 5UL)] & (1UL << (((uint32_t)IRQn) & 0x1FUL))) != 0UL) ? 1UL : 0UL));
+  }
+  else
+  {
+    return(0U);
+  }
+}
+
+
+/**
+  \brief   Set Pending Interrupt (non-secure)
+  \details Sets the pending bit of a device specific interrupt in the non-secure NVIC pending register when in secure state.
+  \param [in]      IRQn  Device specific interrupt number.
+  \note    IRQn must not be negative.
+ */
+__STATIC_INLINE void TZ_NVIC_SetPendingIRQ_NS(IRQn_Type IRQn)
+{
+  if ((int32_t)(IRQn) >= 0)
+  {
+    NVIC_NS->ISPR[(((uint32_t)IRQn) >> 5UL)] = (uint32_t)(1UL << (((uint32_t)IRQn) & 0x1FUL));
+  }
+}
+
+
+/**
+  \brief   Clear Pending Interrupt (non-secure)
+  \details Clears the pending bit of a device specific interrupt in the non-secure NVIC pending register when in secure state.
+  \param [in]      IRQn  Device specific interrupt number.
+  \note    IRQn must not be negative.
+ */
+__STATIC_INLINE void TZ_NVIC_ClearPendingIRQ_NS(IRQn_Type IRQn)
+{
+  if ((int32_t)(IRQn) >= 0)
+  {
+    NVIC_NS->ICPR[(((uint32_t)IRQn) >> 5UL)] = (uint32_t)(1UL << (((uint32_t)IRQn) & 0x1FUL));
+  }
+}
+
+
+/**
+  \brief   Get Active Interrupt (non-secure)
+  \details Reads the active register in non-secure NVIC when in secure state and returns the active bit for the device specific interrupt.
+  \param [in]      IRQn  Device specific interrupt number.
+  \return             0  Interrupt status is not active.
+  \return             1  Interrupt status is active.
+  \note    IRQn must not be negative.
+ */
+__STATIC_INLINE uint32_t TZ_NVIC_GetActive_NS(IRQn_Type IRQn)
+{
+  if ((int32_t)(IRQn) >= 0)
+  {
+    return((uint32_t)(((NVIC_NS->IABR[(((uint32_t)IRQn) >> 5UL)] & (1UL << (((uint32_t)IRQn) & 0x1FUL))) != 0UL) ? 1UL : 0UL));
+  }
+  else
+  {
+    return(0U);
+  }
+}
+
+
+/**
+  \brief   Set Interrupt Priority (non-secure)
+  \details Sets the priority of a non-secure device specific interrupt or a non-secure processor exception when in secure state.
+           The interrupt number can be positive to specify a device specific interrupt,
+           or negative to specify a processor exception.
+  \param [in]      IRQn  Interrupt number.
+  \param [in]  priority  Priority to set.
+  \note    The priority cannot be set for every non-secure processor exception.
+ */
+__STATIC_INLINE void TZ_NVIC_SetPriority_NS(IRQn_Type IRQn, uint32_t priority)
+{
+  if ((int32_t)(IRQn) >= 0)
+  {
+    NVIC_NS->IPR[((uint32_t)IRQn)]               = (uint8_t)((priority << (8U - __NVIC_PRIO_BITS)) & (uint32_t)0xFFUL);
+  }
+  else
+  {
+    SCB_NS->SHPR[(((uint32_t)IRQn) & 0xFUL)-4UL] = (uint8_t)((priority << (8U - __NVIC_PRIO_BITS)) & (uint32_t)0xFFUL);
+  }
+}
+
+
+/**
+  \brief   Get Interrupt Priority (non-secure)
+  \details Reads the priority of a non-secure device specific interrupt or a non-secure processor exception when in secure state.
+           The interrupt number can be positive to specify a device specific interrupt,
+           or negative to specify a processor exception.
+  \param [in]   IRQn  Interrupt number.
+  \return             Interrupt Priority. Value is aligned automatically to the implemented priority bits of the microcontroller.
+ */
+__STATIC_INLINE uint32_t TZ_NVIC_GetPriority_NS(IRQn_Type IRQn)
+{
+
+  if ((int32_t)(IRQn) >= 0)
+  {
+    return(((uint32_t)NVIC_NS->IPR[((uint32_t)IRQn)]               >> (8U - __NVIC_PRIO_BITS)));
+  }
+  else
+  {
+    return(((uint32_t)SCB_NS->SHPR[(((uint32_t)IRQn) & 0xFUL)-4UL] >> (8U - __NVIC_PRIO_BITS)));
+  }
+}
+#endif /*  defined (__ARM_FEATURE_CMSE) &&(__ARM_FEATURE_CMSE == 3U) */
+
+/*@} end of CMSIS_Core_NVICFunctions */
+
+/* ##########################  MPU functions  #################################### */
+
+#if defined (__MPU_PRESENT) && (__MPU_PRESENT == 1U)
+
+#include "mpu_armv8.h"
+
+#endif
+
+/* ##########################  FPU functions  #################################### */
+/**
+  \ingroup  CMSIS_Core_FunctionInterface
+  \defgroup CMSIS_Core_FpuFunctions FPU Functions
+  \brief    Function that provides FPU type.
+  @{
+ */
+
+/**
+  \brief   get FPU type
+  \details returns the FPU type
+  \returns
+   - \b  0: No FPU
+   - \b  1: Single precision FPU
+   - \b  2: Double + Single precision FPU
+ */
+__STATIC_INLINE uint32_t SCB_GetFPUType(void)
+{
+  uint32_t mvfr0;
+
+  mvfr0 = FPU->MVFR0;
+  if      ((mvfr0 & (FPU_MVFR0_Single_precision_Msk | FPU_MVFR0_Double_precision_Msk)) == 0x220U)
+  {
+    return 2U;           /* Double + Single precision FPU */
+  }
+  else if ((mvfr0 & (FPU_MVFR0_Single_precision_Msk | FPU_MVFR0_Double_precision_Msk)) == 0x020U)
+  {
+    return 1U;           /* Single precision FPU */
+  }
+  else
+  {
+    return 0U;           /* No FPU */
+  }
+}
+
+
+/*@} end of CMSIS_Core_FpuFunctions */
+
+
+
+/* ##########################   SAU functions  #################################### */
+/**
+  \ingroup  CMSIS_Core_FunctionInterface
+  \defgroup CMSIS_Core_SAUFunctions SAU Functions
+  \brief    Functions that configure the SAU.
+  @{
+ */
+
+#if defined (__ARM_FEATURE_CMSE) && (__ARM_FEATURE_CMSE == 3U)
+
+/**
+  \brief   Enable SAU
+  \details Enables the Security Attribution Unit (SAU).
+ */
+__STATIC_INLINE void TZ_SAU_Enable(void)
+{
+    SAU->CTRL |=  (SAU_CTRL_ENABLE_Msk);
+}
+
+
+
+/**
+  \brief   Disable SAU
+  \details Disables the Security Attribution Unit (SAU).
+ */
+__STATIC_INLINE void TZ_SAU_Disable(void)
+{
+    SAU->CTRL &= ~(SAU_CTRL_ENABLE_Msk);
+}
+
+#endif /* defined (__ARM_FEATURE_CMSE) && (__ARM_FEATURE_CMSE == 3U) */
+
+/*@} end of CMSIS_Core_SAUFunctions */
+
+
+
+
+/* ##################################    SysTick function  ############################################ */
+/**
+  \ingroup  CMSIS_Core_FunctionInterface
+  \defgroup CMSIS_Core_SysTickFunctions SysTick Functions
+  \brief    Functions that configure the System.
+  @{
+ */
+
+#if defined (__Vendor_SysTickConfig) && (__Vendor_SysTickConfig == 0U)
+
+/**
+  \brief   System Tick Configuration
+  \details Initializes the System Timer and its interrupt, and starts the System Tick Timer.
+           Counter is in free running mode to generate periodic interrupts.
+  \param [in]  ticks  Number of ticks between two interrupts.
+  \return          0  Function succeeded.
+  \return          1  Function failed.
+  \note    When the variable <b>__Vendor_SysTickConfig</b> is set to 1, then the
+           function <b>SysTick_Config</b> is not included. In this case, the file <b><i>device</i>.h</b>
+           must contain a vendor-specific implementation of this function.
+ */
+__STATIC_INLINE uint32_t SysTick_Config(uint32_t ticks)
+{
+  if ((ticks - 1UL) > SysTick_LOAD_RELOAD_Msk)
+  {
+    return (1UL);                                                   /* Reload value impossible */
+  }
+
+  SysTick->LOAD  = (uint32_t)(ticks - 1UL);                         /* set reload register */
+  NVIC_SetPriority (SysTick_IRQn, (1UL << __NVIC_PRIO_BITS) - 1UL); /* set Priority for Systick Interrupt */
+  SysTick->VAL   = 0UL;                                             /* Load the SysTick Counter Value */
+  SysTick->CTRL  = SysTick_CTRL_CLKSOURCE_Msk |
+                   SysTick_CTRL_TICKINT_Msk   |
+                   SysTick_CTRL_ENABLE_Msk;                         /* Enable SysTick IRQ and SysTick Timer */
+  return (0UL);                                                     /* Function successful */
+}
+
+#if defined (__ARM_FEATURE_CMSE) && (__ARM_FEATURE_CMSE == 3U)
+/**
+  \brief   System Tick Configuration (non-secure)
+  \details Initializes the non-secure System Timer and its interrupt when in secure state, and starts the System Tick Timer.
+           Counter is in free running mode to generate periodic interrupts.
+  \param [in]  ticks  Number of ticks between two interrupts.
+  \return          0  Function succeeded.
+  \return          1  Function failed.
+  \note    When the variable <b>__Vendor_SysTickConfig</b> is set to 1, then the
+           function <b>TZ_SysTick_Config_NS</b> is not included. In this case, the file <b><i>device</i>.h</b>
+           must contain a vendor-specific implementation of this function.
+
+ */
+__STATIC_INLINE uint32_t TZ_SysTick_Config_NS(uint32_t ticks)
+{
+  if ((ticks - 1UL) > SysTick_LOAD_RELOAD_Msk)
+  {
+    return (1UL);                                                         /* Reload value impossible */
+  }
+
+  SysTick_NS->LOAD  = (uint32_t)(ticks - 1UL);                            /* set reload register */
+  TZ_NVIC_SetPriority_NS (SysTick_IRQn, (1UL << __NVIC_PRIO_BITS) - 1UL); /* set Priority for Systick Interrupt */
+  SysTick_NS->VAL   = 0UL;                                                /* Load the SysTick Counter Value */
+  SysTick_NS->CTRL  = SysTick_CTRL_CLKSOURCE_Msk |
+                      SysTick_CTRL_TICKINT_Msk   |
+                      SysTick_CTRL_ENABLE_Msk;                            /* Enable SysTick IRQ and SysTick Timer */
+  return (0UL);                                                           /* Function successful */
+}
+#endif /* defined (__ARM_FEATURE_CMSE) && (__ARM_FEATURE_CMSE == 3U) */
+
+#endif
+
+/*@} end of CMSIS_Core_SysTickFunctions */
+
+
+
+/* ##################################### Debug In/Output function ########################################### */
+/**
+  \ingroup  CMSIS_Core_FunctionInterface
+  \defgroup CMSIS_core_DebugFunctions ITM Functions
+  \brief    Functions that access the ITM debug interface.
+  @{
+ */
+
+extern volatile int32_t ITM_RxBuffer;                              /*!< External variable to receive characters. */
+#define                 ITM_RXBUFFER_EMPTY  ((int32_t)0x5AA55AA5U) /*!< Value identifying \ref ITM_RxBuffer is ready for next character. */
+
+
+/**
+  \brief   ITM Send Character
+  \details Transmits a character via the ITM channel 0, and
+           \li Just returns when no debugger is connected that has booked the output.
+           \li Is blocking when a debugger is connected, but the previous character sent has not been transmitted.
+  \param [in]     ch  Character to transmit.
+  \returns            Character to transmit.
+ */
+__STATIC_INLINE uint32_t ITM_SendChar (uint32_t ch)
+{
+  if (((ITM->TCR & ITM_TCR_ITMENA_Msk) != 0UL) &&      /* ITM enabled */
+      ((ITM->TER & 1UL               ) != 0UL)   )     /* ITM Port #0 enabled */
+  {
+    while (ITM->PORT[0U].u32 == 0UL)
+    {
+      __NOP();
+    }
+    ITM->PORT[0U].u8 = (uint8_t)ch;
+  }
+  return (ch);
+}
+
+
+/**
+  \brief   ITM Receive Character
+  \details Inputs a character via the external variable \ref ITM_RxBuffer.
+  \return             Received character.
+  \return         -1  No character pending.
+ */
+__STATIC_INLINE int32_t ITM_ReceiveChar (void)
+{
+  int32_t ch = -1;                           /* no character available */
+
+  if (ITM_RxBuffer != ITM_RXBUFFER_EMPTY)
+  {
+    ch = ITM_RxBuffer;
+    ITM_RxBuffer = ITM_RXBUFFER_EMPTY;       /* ready for next character */
+  }
+
+  return (ch);
+}
+
+
+/**
+  \brief   ITM Check Character
+  \details Checks whether a character is pending for reading in the variable \ref ITM_RxBuffer.
+  \return          0  No character available.
+  \return          1  Character available.
+ */
+__STATIC_INLINE int32_t ITM_CheckChar (void)
+{
+
+  if (ITM_RxBuffer == ITM_RXBUFFER_EMPTY)
+  {
+    return (0);                              /* no character available */
+  }
+  else
+  {
+    return (1);                              /*    character available */
+  }
+}
+
+/*@} end of CMSIS_core_DebugFunctions */
+
+
+
+
+#ifdef __cplusplus
+}
+#endif
+
+#endif /* __CORE_ARMV81MML_H_DEPENDANT */
+
+#endif /* __CMSIS_GENERIC */
index f5b2e720a0d33b2394abbaff433e8207c955b244..6789cba09609851dc80f7a0cea820ca34a2c1c0d 100644 (file)
@@ -1,8 +1,8 @@
 /**************************************************************************//**\r
  * @file     core_armv8mbl.h\r
  * @brief    CMSIS Armv8-M Baseline Core Peripheral Access Layer Header File\r
- * @version  V5.0.4\r
- * @date     10. January 2018\r
+ * @version  V5.0.8\r
+ * @date     12. November 2018\r
  ******************************************************************************/\r
 /*\r
  * Copyright (c) 2009-2018 Arm Limited. All rights reserved.\r
@@ -59,7 +59,7 @@
   \ingroup Cortex_ARMv8MBL\r
   @{\r
  */\r
\r
+\r
 #include "cmsis_version.h"\r
 \r
 /*  CMSIS definitions */\r
@@ -81,7 +81,7 @@
   #endif\r
 \r
 #elif defined (__ARMCC_VERSION) && (__ARMCC_VERSION >= 6010050)\r
-  #if defined __ARM_PCS_VFP\r
+  #if defined __ARM_FP\r
     #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)"\r
   #endif\r
 \r
@@ -415,6 +415,9 @@ typedef struct
 #define SCB_ICSR_PENDNMISET_Pos            31U                                            /*!< SCB ICSR: PENDNMISET Position */\r
 #define SCB_ICSR_PENDNMISET_Msk            (1UL << SCB_ICSR_PENDNMISET_Pos)               /*!< SCB ICSR: PENDNMISET Mask */\r
 \r
+#define SCB_ICSR_NMIPENDSET_Pos            SCB_ICSR_PENDNMISET_Pos                        /*!< SCB ICSR: NMIPENDSET Position, backward compatibility */\r
+#define SCB_ICSR_NMIPENDSET_Msk            SCB_ICSR_PENDNMISET_Msk                        /*!< SCB ICSR: NMIPENDSET Mask, backward compatibility */\r
+\r
 #define SCB_ICSR_PENDNMICLR_Pos            30U                                            /*!< SCB ICSR: PENDNMICLR Position */\r
 #define SCB_ICSR_PENDNMICLR_Msk            (1UL << SCB_ICSR_PENDNMICLR_Pos)               /*!< SCB ICSR: PENDNMICLR Mask */\r
 \r
@@ -721,8 +724,8 @@ typedef struct
  */\r
 typedef struct\r
 {\r
-  __IOM uint32_t SSPSR;                  /*!< Offset: 0x000 (R/ )  Supported Parallel Port Size Register */\r
-  __IOM uint32_t CSPSR;                  /*!< Offset: 0x004 (R/W)  Current Parallel Port Size Register */\r
+  __IM  uint32_t SSPSR;                  /*!< Offset: 0x000 (R/ )  Supported Parallel Port Sizes Register */\r
+  __IOM uint32_t CSPSR;                  /*!< Offset: 0x004 (R/W)  Current Parallel Port Sizes Register */\r
         uint32_t RESERVED0[2U];\r
   __IOM uint32_t ACPR;                   /*!< Offset: 0x010 (R/W)  Asynchronous Clock Prescaler Register */\r
         uint32_t RESERVED1[55U];\r
@@ -730,26 +733,18 @@ typedef struct
         uint32_t RESERVED2[131U];\r
   __IM  uint32_t FFSR;                   /*!< Offset: 0x300 (R/ )  Formatter and Flush Status Register */\r
   __IOM uint32_t FFCR;                   /*!< Offset: 0x304 (R/W)  Formatter and Flush Control Register */\r
-  __IM  uint32_t FSCR;                   /*!< Offset: 0x308 (R/ )  Formatter Synchronization Counter Register */\r
-        uint32_t RESERVED3[759U];\r
-  __IM  uint32_t TRIGGER;                /*!< Offset: 0xEE8 (R/ )  TRIGGER */\r
-  __IM  uint32_t FIFO0;                  /*!< Offset: 0xEEC (R/ )  Integration ETM Data */\r
-  __IM  uint32_t ITATBCTR2;              /*!< Offset: 0xEF0 (R/ )  ITATBCTR2 */\r
-        uint32_t RESERVED4[1U];\r
-  __IM  uint32_t ITATBCTR0;              /*!< Offset: 0xEF8 (R/ )  ITATBCTR0 */\r
-  __IM  uint32_t FIFO1;                  /*!< Offset: 0xEFC (R/ )  Integration ITM Data */\r
-  __IOM uint32_t ITCTRL;                 /*!< Offset: 0xF00 (R/W)  Integration Mode Control */\r
-        uint32_t RESERVED5[39U];\r
-  __IOM uint32_t CLAIMSET;               /*!< Offset: 0xFA0 (R/W)  Claim tag set */\r
-  __IOM uint32_t CLAIMCLR;               /*!< Offset: 0xFA4 (R/W)  Claim tag clear */\r
-        uint32_t RESERVED7[8U];\r
-  __IM  uint32_t DEVID;                  /*!< Offset: 0xFC8 (R/ )  TPIU_DEVID */\r
-  __IM  uint32_t DEVTYPE;                /*!< Offset: 0xFCC (R/ )  TPIU_DEVTYPE */\r
+  __IOM uint32_t PSCR;                   /*!< Offset: 0x308 (R/W)  Periodic Synchronization Control Register */\r
+        uint32_t RESERVED3[809U];\r
+  __OM  uint32_t LAR;                    /*!< Offset: 0xFB0 ( /W)  Software Lock Access Register */\r
+  __IM  uint32_t LSR;                    /*!< Offset: 0xFB4 (R/ )  Software Lock Status Register */\r
+        uint32_t RESERVED4[4U];\r
+  __IM  uint32_t TYPE;                   /*!< Offset: 0xFC8 (R/ )  Device Identifier Register */\r
+  __IM  uint32_t DEVTYPE;                /*!< Offset: 0xFCC (R/ )  Device Type Register */\r
 } TPI_Type;\r
 \r
 /* TPI Asynchronous Clock Prescaler Register Definitions */\r
-#define TPI_ACPR_PRESCALER_Pos              0U                                         /*!< TPI ACPR: PRESCALER Position */\r
-#define TPI_ACPR_PRESCALER_Msk             (0x1FFFUL /*<< TPI_ACPR_PRESCALER_Pos*/)    /*!< TPI ACPR: PRESCALER Mask */\r
+#define TPI_ACPR_SWOSCALER_Pos              0U                                         /*!< TPI ACPR: SWOSCALER Position */\r
+#define TPI_ACPR_SWOSCALER_Msk             (0xFFFFUL /*<< TPI_ACPR_SWOSCALER_Pos*/)    /*!< TPI ACPR: SWOSCALER Mask */\r
 \r
 /* TPI Selected Pin Protocol Register Definitions */\r
 #define TPI_SPPR_TXMODE_Pos                 0U                                         /*!< TPI SPPR: TXMODE Position */\r
@@ -772,68 +767,25 @@ typedef struct
 #define TPI_FFCR_TrigIn_Pos                 8U                                         /*!< TPI FFCR: TrigIn Position */\r
 #define TPI_FFCR_TrigIn_Msk                (0x1UL << TPI_FFCR_TrigIn_Pos)              /*!< TPI FFCR: TrigIn Mask */\r
 \r
+#define TPI_FFCR_FOnMan_Pos                 6U                                         /*!< TPI FFCR: FOnMan Position */\r
+#define TPI_FFCR_FOnMan_Msk                (0x1UL << TPI_FFCR_FOnMan_Pos)              /*!< TPI FFCR: FOnMan Mask */\r
+\r
 #define TPI_FFCR_EnFCont_Pos                1U                                         /*!< TPI FFCR: EnFCont Position */\r
 #define TPI_FFCR_EnFCont_Msk               (0x1UL << TPI_FFCR_EnFCont_Pos)             /*!< TPI FFCR: EnFCont Mask */\r
 \r
-/* TPI TRIGGER Register Definitions */\r
-#define TPI_TRIGGER_TRIGGER_Pos             0U                                         /*!< TPI TRIGGER: TRIGGER Position */\r
-#define TPI_TRIGGER_TRIGGER_Msk            (0x1UL /*<< TPI_TRIGGER_TRIGGER_Pos*/)      /*!< TPI TRIGGER: TRIGGER Mask */\r
-\r
-/* TPI Integration ETM Data Register Definitions (FIFO0) */\r
-#define TPI_FIFO0_ITM_ATVALID_Pos          29U                                         /*!< TPI FIFO0: ITM_ATVALID Position */\r
-#define TPI_FIFO0_ITM_ATVALID_Msk          (0x3UL << TPI_FIFO0_ITM_ATVALID_Pos)        /*!< TPI FIFO0: ITM_ATVALID Mask */\r
-\r
-#define TPI_FIFO0_ITM_bytecount_Pos        27U                                         /*!< TPI FIFO0: ITM_bytecount Position */\r
-#define TPI_FIFO0_ITM_bytecount_Msk        (0x3UL << TPI_FIFO0_ITM_bytecount_Pos)      /*!< TPI FIFO0: ITM_bytecount Mask */\r
-\r
-#define TPI_FIFO0_ETM_ATVALID_Pos          26U                                         /*!< TPI FIFO0: ETM_ATVALID Position */\r
-#define TPI_FIFO0_ETM_ATVALID_Msk          (0x3UL << TPI_FIFO0_ETM_ATVALID_Pos)        /*!< TPI FIFO0: ETM_ATVALID Mask */\r
-\r
-#define TPI_FIFO0_ETM_bytecount_Pos        24U                                         /*!< TPI FIFO0: ETM_bytecount Position */\r
-#define TPI_FIFO0_ETM_bytecount_Msk        (0x3UL << TPI_FIFO0_ETM_bytecount_Pos)      /*!< TPI FIFO0: ETM_bytecount Mask */\r
-\r
-#define TPI_FIFO0_ETM2_Pos                 16U                                         /*!< TPI FIFO0: ETM2 Position */\r
-#define TPI_FIFO0_ETM2_Msk                 (0xFFUL << TPI_FIFO0_ETM2_Pos)              /*!< TPI FIFO0: ETM2 Mask */\r
-\r
-#define TPI_FIFO0_ETM1_Pos                  8U                                         /*!< TPI FIFO0: ETM1 Position */\r
-#define TPI_FIFO0_ETM1_Msk                 (0xFFUL << TPI_FIFO0_ETM1_Pos)              /*!< TPI FIFO0: ETM1 Mask */\r
-\r
-#define TPI_FIFO0_ETM0_Pos                  0U                                         /*!< TPI FIFO0: ETM0 Position */\r
-#define TPI_FIFO0_ETM0_Msk                 (0xFFUL /*<< TPI_FIFO0_ETM0_Pos*/)          /*!< TPI FIFO0: ETM0 Mask */\r
-\r
-/* TPI ITATBCTR2 Register Definitions */\r
-#define TPI_ITATBCTR2_ATREADY_Pos           0U                                         /*!< TPI ITATBCTR2: ATREADY Position */\r
-#define TPI_ITATBCTR2_ATREADY_Msk          (0x1UL /*<< TPI_ITATBCTR2_ATREADY_Pos*/)    /*!< TPI ITATBCTR2: ATREADY Mask */\r
+/* TPI Periodic Synchronization Control Register Definitions */\r
+#define TPI_PSCR_PSCount_Pos                0U                                         /*!< TPI PSCR: PSCount Position */\r
+#define TPI_PSCR_PSCount_Msk               (0x1FUL /*<< TPI_PSCR_PSCount_Pos*/)        /*!< TPI PSCR: TPSCount Mask */\r
 \r
-/* TPI Integration ITM Data Register Definitions (FIFO1) */\r
-#define TPI_FIFO1_ITM_ATVALID_Pos          29U                                         /*!< TPI FIFO1: ITM_ATVALID Position */\r
-#define TPI_FIFO1_ITM_ATVALID_Msk          (0x3UL << TPI_FIFO1_ITM_ATVALID_Pos)        /*!< TPI FIFO1: ITM_ATVALID Mask */\r
+/* TPI Software Lock Status Register Definitions */\r
+#define TPI_LSR_nTT_Pos                     1U                                         /*!< TPI LSR: Not thirty-two bit. Position */\r
+#define TPI_LSR_nTT_Msk                    (0x1UL << TPI_LSR_nTT_Pos)                  /*!< TPI LSR: Not thirty-two bit. Mask */\r
 \r
-#define TPI_FIFO1_ITM_bytecount_Pos        27U                                         /*!< TPI FIFO1: ITM_bytecount Position */\r
-#define TPI_FIFO1_ITM_bytecount_Msk        (0x3UL << TPI_FIFO1_ITM_bytecount_Pos)      /*!< TPI FIFO1: ITM_bytecount Mask */\r
+#define TPI_LSR_SLK_Pos                     1U                                         /*!< TPI LSR: Software Lock status Position */\r
+#define TPI_LSR_SLK_Msk                    (0x1UL << TPI_LSR_SLK_Pos)                  /*!< TPI LSR: Software Lock status Mask */\r
 \r
-#define TPI_FIFO1_ETM_ATVALID_Pos          26U                                         /*!< TPI FIFO1: ETM_ATVALID Position */\r
-#define TPI_FIFO1_ETM_ATVALID_Msk          (0x3UL << TPI_FIFO1_ETM_ATVALID_Pos)        /*!< TPI FIFO1: ETM_ATVALID Mask */\r
-\r
-#define TPI_FIFO1_ETM_bytecount_Pos        24U                                         /*!< TPI FIFO1: ETM_bytecount Position */\r
-#define TPI_FIFO1_ETM_bytecount_Msk        (0x3UL << TPI_FIFO1_ETM_bytecount_Pos)      /*!< TPI FIFO1: ETM_bytecount Mask */\r
-\r
-#define TPI_FIFO1_ITM2_Pos                 16U                                         /*!< TPI FIFO1: ITM2 Position */\r
-#define TPI_FIFO1_ITM2_Msk                 (0xFFUL << TPI_FIFO1_ITM2_Pos)              /*!< TPI FIFO1: ITM2 Mask */\r
-\r
-#define TPI_FIFO1_ITM1_Pos                  8U                                         /*!< TPI FIFO1: ITM1 Position */\r
-#define TPI_FIFO1_ITM1_Msk                 (0xFFUL << TPI_FIFO1_ITM1_Pos)              /*!< TPI FIFO1: ITM1 Mask */\r
-\r
-#define TPI_FIFO1_ITM0_Pos                  0U                                         /*!< TPI FIFO1: ITM0 Position */\r
-#define TPI_FIFO1_ITM0_Msk                 (0xFFUL /*<< TPI_FIFO1_ITM0_Pos*/)          /*!< TPI FIFO1: ITM0 Mask */\r
-\r
-/* TPI ITATBCTR0 Register Definitions */\r
-#define TPI_ITATBCTR0_ATREADY_Pos           0U                                         /*!< TPI ITATBCTR0: ATREADY Position */\r
-#define TPI_ITATBCTR0_ATREADY_Msk          (0x1UL /*<< TPI_ITATBCTR0_ATREADY_Pos*/)    /*!< TPI ITATBCTR0: ATREADY Mask */\r
-\r
-/* TPI Integration Mode Control Register Definitions */\r
-#define TPI_ITCTRL_Mode_Pos                 0U                                         /*!< TPI ITCTRL: Mode Position */\r
-#define TPI_ITCTRL_Mode_Msk                (0x1UL /*<< TPI_ITCTRL_Mode_Pos*/)          /*!< TPI ITCTRL: Mode Mask */\r
+#define TPI_LSR_SLI_Pos                     0U                                         /*!< TPI LSR: Software Lock implemented Position */\r
+#define TPI_LSR_SLI_Msk                    (0x1UL /*<< TPI_LSR_SLI_Pos*/)              /*!< TPI LSR: Software Lock implemented Mask */\r
 \r
 /* TPI DEVID Register Definitions */\r
 #define TPI_DEVID_NRZVALID_Pos             11U                                         /*!< TPI DEVID: NRZVALID Position */\r
@@ -845,22 +797,16 @@ typedef struct
 #define TPI_DEVID_PTINVALID_Pos             9U                                         /*!< TPI DEVID: PTINVALID Position */\r
 #define TPI_DEVID_PTINVALID_Msk            (0x1UL << TPI_DEVID_PTINVALID_Pos)          /*!< TPI DEVID: PTINVALID Mask */\r
 \r
-#define TPI_DEVID_MinBufSz_Pos              6U                                         /*!< TPI DEVID: MinBufSz Position */\r
-#define TPI_DEVID_MinBufSz_Msk             (0x7UL << TPI_DEVID_MinBufSz_Pos)           /*!< TPI DEVID: MinBufSz Mask */\r
-\r
-#define TPI_DEVID_AsynClkIn_Pos             5U                                         /*!< TPI DEVID: AsynClkIn Position */\r
-#define TPI_DEVID_AsynClkIn_Msk            (0x1UL << TPI_DEVID_AsynClkIn_Pos)          /*!< TPI DEVID: AsynClkIn Mask */\r
-\r
-#define TPI_DEVID_NrTraceInput_Pos          0U                                         /*!< TPI DEVID: NrTraceInput Position */\r
-#define TPI_DEVID_NrTraceInput_Msk         (0x1FUL /*<< TPI_DEVID_NrTraceInput_Pos*/)  /*!< TPI DEVID: NrTraceInput Mask */\r
+#define TPI_DEVID_FIFOSZ_Pos                6U                                         /*!< TPI DEVID: FIFO depth Position */\r
+#define TPI_DEVID_FIFOSZ_Msk               (0x7UL << TPI_DEVID_FIFOSZ_Pos)             /*!< TPI DEVID: FIFO depth Mask */\r
 \r
 /* TPI DEVTYPE Register Definitions */\r
-#define TPI_DEVTYPE_MajorType_Pos           4U                                         /*!< TPI DEVTYPE: MajorType Position */\r
-#define TPI_DEVTYPE_MajorType_Msk          (0xFUL << TPI_DEVTYPE_MajorType_Pos)        /*!< TPI DEVTYPE: MajorType Mask */\r
-\r
-#define TPI_DEVTYPE_SubType_Pos             0U                                         /*!< TPI DEVTYPE: SubType Position */\r
+#define TPI_DEVTYPE_SubType_Pos             4U                                         /*!< TPI DEVTYPE: SubType Position */\r
 #define TPI_DEVTYPE_SubType_Msk            (0xFUL /*<< TPI_DEVTYPE_SubType_Pos*/)      /*!< TPI DEVTYPE: SubType Mask */\r
 \r
+#define TPI_DEVTYPE_MajorType_Pos           0U                                         /*!< TPI DEVTYPE: MajorType Position */\r
+#define TPI_DEVTYPE_MajorType_Msk          (0xFUL << TPI_DEVTYPE_MajorType_Pos)        /*!< TPI DEVTYPE: MajorType Mask */\r
+\r
 /*@}*/ /* end of group CMSIS_TPI */\r
 \r
 \r
@@ -1239,8 +1185,8 @@ typedef struct
   #endif\r
   #include CMSIS_NVIC_VIRTUAL_HEADER_FILE\r
 #else\r
-/*#define NVIC_SetPriorityGrouping    __NVIC_SetPriorityGrouping   not available for Armv8-M Baseline */\r
-/*#define NVIC_GetPriorityGrouping    __NVIC_GetPriorityGrouping   not available for Armv8-M Baseline */\r
+  #define NVIC_SetPriorityGrouping    __NVIC_SetPriorityGrouping\r
+  #define NVIC_GetPriorityGrouping    __NVIC_GetPriorityGrouping\r
   #define NVIC_EnableIRQ              __NVIC_EnableIRQ\r
   #define NVIC_GetEnableIRQ           __NVIC_GetEnableIRQ\r
   #define NVIC_DisableIRQ             __NVIC_DisableIRQ\r
@@ -1266,12 +1212,36 @@ typedef struct
 #define NVIC_USER_IRQ_OFFSET          16\r
 \r
 \r
+/* Special LR values for Secure/Non-Secure call handling and exception handling                                               */\r
+\r
+/* Function Return Payload (from ARMv8-M Architecture Reference Manual) LR value on entry from Secure BLXNS                   */\r
+#define FNC_RETURN                 (0xFEFFFFFFUL)     /* bit [0] ignored when processing a branch                             */\r
+\r
+/* The following EXC_RETURN mask values are used to evaluate the LR on exception entry */\r
+#define EXC_RETURN_PREFIX          (0xFF000000UL)     /* bits [31:24] set to indicate an EXC_RETURN value                     */\r
+#define EXC_RETURN_S               (0x00000040UL)     /* bit [6] stack used to push registers: 0=Non-secure 1=Secure          */\r
+#define EXC_RETURN_DCRS            (0x00000020UL)     /* bit [5] stacking rules for called registers: 0=skipped 1=saved       */\r
+#define EXC_RETURN_FTYPE           (0x00000010UL)     /* bit [4] allocate stack for floating-point context: 0=done 1=skipped  */\r
+#define EXC_RETURN_MODE            (0x00000008UL)     /* bit [3] processor mode for return: 0=Handler mode 1=Thread mode      */\r
+#define EXC_RETURN_SPSEL           (0x00000004UL)     /* bit [2] stack pointer used to restore context: 0=MSP 1=PSP           */\r
+#define EXC_RETURN_ES              (0x00000001UL)     /* bit [0] security state exception was taken to: 0=Non-secure 1=Secure */\r
+\r
+/* Integrity Signature (from ARMv8-M Architecture Reference Manual) for exception context stacking                            */\r
+#if defined (__FPU_PRESENT) && (__FPU_PRESENT == 1U)  /* Value for processors with floating-point extension:                  */\r
+#define EXC_INTEGRITY_SIGNATURE     (0xFEFA125AUL)     /* bit [0] SFTC must match LR bit[4] EXC_RETURN_FTYPE                   */\r
+#else\r
+#define EXC_INTEGRITY_SIGNATURE     (0xFEFA125BUL)     /* Value for processors without floating-point extension                */\r
+#endif\r
+\r
+\r
 /* Interrupt Priorities are WORD accessible only under Armv6-M                  */\r
 /* The following MACROS handle generation of the register offset and byte masks */\r
 #define _BIT_SHIFT(IRQn)         (  ((((uint32_t)(int32_t)(IRQn))         )      &  0x03UL) * 8UL)\r
 #define _SHP_IDX(IRQn)           ( (((((uint32_t)(int32_t)(IRQn)) & 0x0FUL)-8UL) >>    2UL)      )\r
 #define _IP_IDX(IRQn)            (   (((uint32_t)(int32_t)(IRQn))                >>    2UL)      )\r
 \r
+#define __NVIC_SetPriorityGrouping(X) (void)(X)\r
+#define __NVIC_GetPriorityGrouping()  (0U)\r
 \r
 /**\r
   \brief   Enable Interrupt\r
@@ -1512,6 +1482,58 @@ __STATIC_INLINE uint32_t __NVIC_GetPriority(IRQn_Type IRQn)
 }\r
 \r
 \r
+/**\r
+  \brief   Encode Priority\r
+  \details Encodes the priority for an interrupt with the given priority group,\r
+           preemptive priority value, and subpriority value.\r
+           In case of a conflict between priority grouping and available\r
+           priority bits (__NVIC_PRIO_BITS), the smallest possible priority group is set.\r
+  \param [in]     PriorityGroup  Used priority group.\r
+  \param [in]   PreemptPriority  Preemptive priority value (starting from 0).\r
+  \param [in]       SubPriority  Subpriority value (starting from 0).\r
+  \return                        Encoded priority. Value can be used in the function \ref NVIC_SetPriority().\r
+ */\r
+__STATIC_INLINE uint32_t NVIC_EncodePriority (uint32_t PriorityGroup, uint32_t PreemptPriority, uint32_t SubPriority)\r
+{\r
+  uint32_t PriorityGroupTmp = (PriorityGroup & (uint32_t)0x07UL);   /* only values 0..7 are used          */\r
+  uint32_t PreemptPriorityBits;\r
+  uint32_t SubPriorityBits;\r
+\r
+  PreemptPriorityBits = ((7UL - PriorityGroupTmp) > (uint32_t)(__NVIC_PRIO_BITS)) ? (uint32_t)(__NVIC_PRIO_BITS) : (uint32_t)(7UL - PriorityGroupTmp);\r
+  SubPriorityBits     = ((PriorityGroupTmp + (uint32_t)(__NVIC_PRIO_BITS)) < (uint32_t)7UL) ? (uint32_t)0UL : (uint32_t)((PriorityGroupTmp - 7UL) + (uint32_t)(__NVIC_PRIO_BITS));\r
+\r
+  return (\r
+           ((PreemptPriority & (uint32_t)((1UL << (PreemptPriorityBits)) - 1UL)) << SubPriorityBits) |\r
+           ((SubPriority     & (uint32_t)((1UL << (SubPriorityBits    )) - 1UL)))\r
+         );\r
+}\r
+\r
+\r
+/**\r
+  \brief   Decode Priority\r
+  \details Decodes an interrupt priority value with a given priority group to\r
+           preemptive priority value and subpriority value.\r
+           In case of a conflict between priority grouping and available\r
+           priority bits (__NVIC_PRIO_BITS) the smallest possible priority group is set.\r
+  \param [in]         Priority   Priority value, which can be retrieved with the function \ref NVIC_GetPriority().\r
+  \param [in]     PriorityGroup  Used priority group.\r
+  \param [out] pPreemptPriority  Preemptive priority value (starting from 0).\r
+  \param [out]     pSubPriority  Subpriority value (starting from 0).\r
+ */\r
+__STATIC_INLINE void NVIC_DecodePriority (uint32_t Priority, uint32_t PriorityGroup, uint32_t* const pPreemptPriority, uint32_t* const pSubPriority)\r
+{\r
+  uint32_t PriorityGroupTmp = (PriorityGroup & (uint32_t)0x07UL);   /* only values 0..7 are used          */\r
+  uint32_t PreemptPriorityBits;\r
+  uint32_t SubPriorityBits;\r
+\r
+  PreemptPriorityBits = ((7UL - PriorityGroupTmp) > (uint32_t)(__NVIC_PRIO_BITS)) ? (uint32_t)(__NVIC_PRIO_BITS) : (uint32_t)(7UL - PriorityGroupTmp);\r
+  SubPriorityBits     = ((PriorityGroupTmp + (uint32_t)(__NVIC_PRIO_BITS)) < (uint32_t)7UL) ? (uint32_t)0UL : (uint32_t)((PriorityGroupTmp - 7UL) + (uint32_t)(__NVIC_PRIO_BITS));\r
+\r
+  *pPreemptPriority = (Priority >> SubPriorityBits) & (uint32_t)((1UL << (PreemptPriorityBits)) - 1UL);\r
+  *pSubPriority     = (Priority                   ) & (uint32_t)((1UL << (SubPriorityBits    )) - 1UL);\r
+}\r
+\r
+\r
 /**\r
   \brief   Set Interrupt Vector\r
   \details Sets an interrupt vector in SRAM based interrupt vector table.\r
@@ -1556,7 +1578,7 @@ __STATIC_INLINE uint32_t __NVIC_GetVector(IRQn_Type IRQn)
   \brief   System Reset\r
   \details Initiates a system reset request to reset the MCU.\r
  */\r
-__STATIC_INLINE void __NVIC_SystemReset(void)\r
+__NO_RETURN __STATIC_INLINE void __NVIC_SystemReset(void)\r
 {\r
   __DSB();                                                          /* Ensure all outstanding memory accesses included\r
                                                                        buffered write are completed before reset */\r
index fe93b23a91155457e3f4047acdbe240dfe150cd4..03455841664d2abaa2ec401c90b1a5716502fb9f 100644 (file)
@@ -1,8 +1,8 @@
 /**************************************************************************//**\r
  * @file     core_armv8mml.h\r
  * @brief    CMSIS Armv8-M Mainline Core Peripheral Access Layer Header File\r
- * @version  V5.0.4\r
- * @date     10. January 2018\r
+ * @version  V5.1.0\r
+ * @date     12. September 2018\r
  ******************************************************************************/\r
 /*\r
  * Copyright (c) 2009-2018 Arm Limited. All rights reserved.\r
@@ -61,7 +61,7 @@
  */\r
 \r
 #include "cmsis_version.h"\r
\r
+\r
 /*  CMSIS Armv8MML definitions */\r
 #define __ARMv8MML_CMSIS_VERSION_MAIN  (__CM_CMSIS_VERSION_MAIN)                   /*!< \deprecated [31:16] CMSIS HAL main version */\r
 #define __ARMv8MML_CMSIS_VERSION_SUB   (__CM_CMSIS_VERSION_SUB)                    /*!< \deprecated [15:0]  CMSIS HAL sub version */\r
       #define __DSP_USED       1U\r
     #else\r
       #error "Compiler generates DSP (SIMD) instructions for a devices without DSP extensions (check __DSP_PRESENT)"\r
-      #define __DSP_USED         0U    \r
+      #define __DSP_USED         0U\r
     #endif\r
   #else\r
     #define __DSP_USED         0U\r
   #endif\r
-  \r
+\r
 #elif defined (__ARMCC_VERSION) && (__ARMCC_VERSION >= 6010050)\r
-  #if defined __ARM_PCS_VFP\r
+  #if defined __ARM_FP\r
     #if defined (__FPU_PRESENT) && (__FPU_PRESENT == 1U)\r
       #define __FPU_USED       1U\r
     #else\r
       #define __DSP_USED       1U\r
     #else\r
       #error "Compiler generates DSP (SIMD) instructions for a devices without DSP extensions (check __DSP_PRESENT)"\r
-      #define __DSP_USED         0U    \r
+      #define __DSP_USED         0U\r
     #endif\r
   #else\r
     #define __DSP_USED         0U\r
   #else\r
     #define __FPU_USED         0U\r
   #endif\r
-  \r
+\r
   #if defined(__ARM_FEATURE_DSP)\r
     #if defined(__DSP_PRESENT) && (__DSP_PRESENT == 1U)\r
       #define __DSP_USED       1U\r
     #else\r
       #error "Compiler generates DSP (SIMD) instructions for a devices without DSP extensions (check __DSP_PRESENT)"\r
-      #define __DSP_USED         0U    \r
+      #define __DSP_USED         0U\r
     #endif\r
   #else\r
     #define __DSP_USED         0U\r
   #endif\r
-  \r
+\r
 #elif defined ( __ICCARM__ )\r
   #if defined __ARMVFP__\r
     #if defined (__FPU_PRESENT) && (__FPU_PRESENT == 1U)\r
       #define __DSP_USED       1U\r
     #else\r
       #error "Compiler generates DSP (SIMD) instructions for a devices without DSP extensions (check __DSP_PRESENT)"\r
-      #define __DSP_USED         0U    \r
+      #define __DSP_USED         0U\r
     #endif\r
   #else\r
     #define __DSP_USED         0U\r
   #endif\r
-  \r
+\r
 #elif defined ( __TI_ARM__ )\r
   #if defined __TI_VFP_SUPPORT__\r
     #if defined (__FPU_PRESENT) && (__FPU_PRESENT == 1U)\r
@@ -538,14 +538,6 @@ typedef struct
   __OM  uint32_t DCCSW;                  /*!< Offset: 0x26C ( /W)  D-Cache Clean by Set-way */\r
   __OM  uint32_t DCCIMVAC;               /*!< Offset: 0x270 ( /W)  D-Cache Clean and Invalidate by MVA to PoC */\r
   __OM  uint32_t DCCISW;                 /*!< Offset: 0x274 ( /W)  D-Cache Clean and Invalidate by Set-way */\r
-        uint32_t RESERVED7[6U];\r
-  __IOM uint32_t ITCMCR;                 /*!< Offset: 0x290 (R/W)  Instruction Tightly-Coupled Memory Control Register */\r
-  __IOM uint32_t DTCMCR;                 /*!< Offset: 0x294 (R/W)  Data Tightly-Coupled Memory Control Registers */\r
-  __IOM uint32_t AHBPCR;                 /*!< Offset: 0x298 (R/W)  AHBP Control Register */\r
-  __IOM uint32_t CACR;                   /*!< Offset: 0x29C (R/W)  L1 Cache Control Register */\r
-  __IOM uint32_t AHBSCR;                 /*!< Offset: 0x2A0 (R/W)  AHB Slave Control Register */\r
-        uint32_t RESERVED8[1U];\r
-  __IOM uint32_t ABFSR;                  /*!< Offset: 0x2A8 (R/W)  Auxiliary Bus Fault Status Register */\r
 } SCB_Type;\r
 \r
 /* SCB CPUID Register Definitions */\r
@@ -568,6 +560,9 @@ typedef struct
 #define SCB_ICSR_PENDNMISET_Pos            31U                                            /*!< SCB ICSR: PENDNMISET Position */\r
 #define SCB_ICSR_PENDNMISET_Msk            (1UL << SCB_ICSR_PENDNMISET_Pos)               /*!< SCB ICSR: PENDNMISET Mask */\r
 \r
+#define SCB_ICSR_NMIPENDSET_Pos            SCB_ICSR_PENDNMISET_Pos                        /*!< SCB ICSR: NMIPENDSET Position, backward compatibility */\r
+#define SCB_ICSR_NMIPENDSET_Msk            SCB_ICSR_PENDNMISET_Msk                        /*!< SCB ICSR: NMIPENDSET Mask, backward compatibility */\r
+\r
 #define SCB_ICSR_PENDNMICLR_Pos            30U                                            /*!< SCB ICSR: PENDNMICLR Position */\r
 #define SCB_ICSR_PENDNMICLR_Msk            (1UL << SCB_ICSR_PENDNMICLR_Pos)               /*!< SCB ICSR: PENDNMICLR Mask */\r
 \r
@@ -918,78 +913,6 @@ typedef struct
 #define SCB_DCCISW_SET_Pos                  5U                                            /*!< SCB DCCISW: Set Position */\r
 #define SCB_DCCISW_SET_Msk                 (0x1FFUL << SCB_DCCISW_SET_Pos)                /*!< SCB DCCISW: Set Mask */\r
 \r
-/* Instruction Tightly-Coupled Memory Control Register Definitions */\r
-#define SCB_ITCMCR_SZ_Pos                   3U                                            /*!< SCB ITCMCR: SZ Position */\r
-#define SCB_ITCMCR_SZ_Msk                  (0xFUL << SCB_ITCMCR_SZ_Pos)                   /*!< SCB ITCMCR: SZ Mask */\r
-\r
-#define SCB_ITCMCR_RETEN_Pos                2U                                            /*!< SCB ITCMCR: RETEN Position */\r
-#define SCB_ITCMCR_RETEN_Msk               (1UL << SCB_ITCMCR_RETEN_Pos)                  /*!< SCB ITCMCR: RETEN Mask */\r
-\r
-#define SCB_ITCMCR_RMW_Pos                  1U                                            /*!< SCB ITCMCR: RMW Position */\r
-#define SCB_ITCMCR_RMW_Msk                 (1UL << SCB_ITCMCR_RMW_Pos)                    /*!< SCB ITCMCR: RMW Mask */\r
-\r
-#define SCB_ITCMCR_EN_Pos                   0U                                            /*!< SCB ITCMCR: EN Position */\r
-#define SCB_ITCMCR_EN_Msk                  (1UL /*<< SCB_ITCMCR_EN_Pos*/)                 /*!< SCB ITCMCR: EN Mask */\r
-\r
-/* Data Tightly-Coupled Memory Control Register Definitions */\r
-#define SCB_DTCMCR_SZ_Pos                   3U                                            /*!< SCB DTCMCR: SZ Position */\r
-#define SCB_DTCMCR_SZ_Msk                  (0xFUL << SCB_DTCMCR_SZ_Pos)                   /*!< SCB DTCMCR: SZ Mask */\r
-\r
-#define SCB_DTCMCR_RETEN_Pos                2U                                            /*!< SCB DTCMCR: RETEN Position */\r
-#define SCB_DTCMCR_RETEN_Msk               (1UL << SCB_DTCMCR_RETEN_Pos)                   /*!< SCB DTCMCR: RETEN Mask */\r
-\r
-#define SCB_DTCMCR_RMW_Pos                  1U                                            /*!< SCB DTCMCR: RMW Position */\r
-#define SCB_DTCMCR_RMW_Msk                 (1UL << SCB_DTCMCR_RMW_Pos)                    /*!< SCB DTCMCR: RMW Mask */\r
-\r
-#define SCB_DTCMCR_EN_Pos                   0U                                            /*!< SCB DTCMCR: EN Position */\r
-#define SCB_DTCMCR_EN_Msk                  (1UL /*<< SCB_DTCMCR_EN_Pos*/)                 /*!< SCB DTCMCR: EN Mask */\r
-\r
-/* AHBP Control Register Definitions */\r
-#define SCB_AHBPCR_SZ_Pos                   1U                                            /*!< SCB AHBPCR: SZ Position */\r
-#define SCB_AHBPCR_SZ_Msk                  (7UL << SCB_AHBPCR_SZ_Pos)                     /*!< SCB AHBPCR: SZ Mask */\r
-\r
-#define SCB_AHBPCR_EN_Pos                   0U                                            /*!< SCB AHBPCR: EN Position */\r
-#define SCB_AHBPCR_EN_Msk                  (1UL /*<< SCB_AHBPCR_EN_Pos*/)                 /*!< SCB AHBPCR: EN Mask */\r
-\r
-/* L1 Cache Control Register Definitions */\r
-#define SCB_CACR_FORCEWT_Pos                2U                                            /*!< SCB CACR: FORCEWT Position */\r
-#define SCB_CACR_FORCEWT_Msk               (1UL << SCB_CACR_FORCEWT_Pos)                  /*!< SCB CACR: FORCEWT Mask */\r
-\r
-#define SCB_CACR_ECCEN_Pos                  1U                                            /*!< SCB CACR: ECCEN Position */\r
-#define SCB_CACR_ECCEN_Msk                 (1UL << SCB_CACR_ECCEN_Pos)                    /*!< SCB CACR: ECCEN Mask */\r
-\r
-#define SCB_CACR_SIWT_Pos                   0U                                            /*!< SCB CACR: SIWT Position */\r
-#define SCB_CACR_SIWT_Msk                  (1UL /*<< SCB_CACR_SIWT_Pos*/)                 /*!< SCB CACR: SIWT Mask */\r
-\r
-/* AHBS Control Register Definitions */\r
-#define SCB_AHBSCR_INITCOUNT_Pos           11U                                            /*!< SCB AHBSCR: INITCOUNT Position */\r
-#define SCB_AHBSCR_INITCOUNT_Msk           (0x1FUL << SCB_AHBPCR_INITCOUNT_Pos)           /*!< SCB AHBSCR: INITCOUNT Mask */\r
-\r
-#define SCB_AHBSCR_TPRI_Pos                 2U                                            /*!< SCB AHBSCR: TPRI Position */\r
-#define SCB_AHBSCR_TPRI_Msk                (0x1FFUL << SCB_AHBPCR_TPRI_Pos)               /*!< SCB AHBSCR: TPRI Mask */\r
-\r
-#define SCB_AHBSCR_CTL_Pos                  0U                                            /*!< SCB AHBSCR: CTL Position*/\r
-#define SCB_AHBSCR_CTL_Msk                 (3UL /*<< SCB_AHBPCR_CTL_Pos*/)                /*!< SCB AHBSCR: CTL Mask */\r
-\r
-/* Auxiliary Bus Fault Status Register Definitions */\r
-#define SCB_ABFSR_AXIMTYPE_Pos              8U                                            /*!< SCB ABFSR: AXIMTYPE Position*/\r
-#define SCB_ABFSR_AXIMTYPE_Msk             (3UL << SCB_ABFSR_AXIMTYPE_Pos)                /*!< SCB ABFSR: AXIMTYPE Mask */\r
-\r
-#define SCB_ABFSR_EPPB_Pos                  4U                                            /*!< SCB ABFSR: EPPB Position*/\r
-#define SCB_ABFSR_EPPB_Msk                 (1UL << SCB_ABFSR_EPPB_Pos)                    /*!< SCB ABFSR: EPPB Mask */\r
-\r
-#define SCB_ABFSR_AXIM_Pos                  3U                                            /*!< SCB ABFSR: AXIM Position*/\r
-#define SCB_ABFSR_AXIM_Msk                 (1UL << SCB_ABFSR_AXIM_Pos)                    /*!< SCB ABFSR: AXIM Mask */\r
-\r
-#define SCB_ABFSR_AHBP_Pos                  2U                                            /*!< SCB ABFSR: AHBP Position*/\r
-#define SCB_ABFSR_AHBP_Msk                 (1UL << SCB_ABFSR_AHBP_Pos)                    /*!< SCB ABFSR: AHBP Mask */\r
-\r
-#define SCB_ABFSR_DTCM_Pos                  1U                                            /*!< SCB ABFSR: DTCM Position*/\r
-#define SCB_ABFSR_DTCM_Msk                 (1UL << SCB_ABFSR_DTCM_Pos)                    /*!< SCB ABFSR: DTCM Mask */\r
-\r
-#define SCB_ABFSR_ITCM_Pos                  0U                                            /*!< SCB ABFSR: ITCM Position*/\r
-#define SCB_ABFSR_ITCM_Msk                 (1UL /*<< SCB_ABFSR_ITCM_Pos*/)                /*!< SCB ABFSR: ITCM Mask */\r
-\r
 /*@} end of group CMSIS_SCB */\r
 \r
 \r
@@ -1094,10 +1017,7 @@ typedef struct
   __IOM uint32_t TPR;                    /*!< Offset: 0xE40 (R/W)  ITM Trace Privilege Register */\r
         uint32_t RESERVED2[15U];\r
   __IOM uint32_t TCR;                    /*!< Offset: 0xE80 (R/W)  ITM Trace Control Register */\r
-        uint32_t RESERVED3[29U];\r
-  __OM  uint32_t IWR;                    /*!< Offset: 0xEF8 ( /W)  ITM Integration Write Register */\r
-  __IM  uint32_t IRR;                    /*!< Offset: 0xEFC (R/ )  ITM Integration Read Register */\r
-  __IOM uint32_t IMCR;                   /*!< Offset: 0xF00 (R/W)  ITM Integration Mode Control Register */\r
+        uint32_t RESERVED3[32U];\r
         uint32_t RESERVED4[43U];\r
   __OM  uint32_t LAR;                    /*!< Offset: 0xFB0 ( /W)  ITM Lock Access Register */\r
   __IM  uint32_t LSR;                    /*!< Offset: 0xFB4 (R/ )  ITM Lock Status Register */\r
@@ -1160,18 +1080,6 @@ typedef struct
 #define ITM_TCR_ITMENA_Pos                  0U                                            /*!< ITM TCR: ITM Enable bit Position */\r
 #define ITM_TCR_ITMENA_Msk                 (1UL /*<< ITM_TCR_ITMENA_Pos*/)                /*!< ITM TCR: ITM Enable bit Mask */\r
 \r
-/* ITM Integration Write Register Definitions */\r
-#define ITM_IWR_ATVALIDM_Pos                0U                                            /*!< ITM IWR: ATVALIDM Position */\r
-#define ITM_IWR_ATVALIDM_Msk               (1UL /*<< ITM_IWR_ATVALIDM_Pos*/)              /*!< ITM IWR: ATVALIDM Mask */\r
-\r
-/* ITM Integration Read Register Definitions */\r
-#define ITM_IRR_ATREADYM_Pos                0U                                            /*!< ITM IRR: ATREADYM Position */\r
-#define ITM_IRR_ATREADYM_Msk               (1UL /*<< ITM_IRR_ATREADYM_Pos*/)              /*!< ITM IRR: ATREADYM Mask */\r
-\r
-/* ITM Integration Mode Control Register Definitions */\r
-#define ITM_IMCR_INTEGRATION_Pos            0U                                            /*!< ITM IMCR: INTEGRATION Position */\r
-#define ITM_IMCR_INTEGRATION_Msk           (1UL /*<< ITM_IMCR_INTEGRATION_Pos*/)          /*!< ITM IMCR: INTEGRATION Mask */\r
-\r
 /* ITM Lock Status Register Definitions */\r
 #define ITM_LSR_ByteAcc_Pos                 2U                                            /*!< ITM LSR: ByteAcc Position */\r
 #define ITM_LSR_ByteAcc_Msk                (1UL << ITM_LSR_ByteAcc_Pos)                   /*!< ITM LSR: ByteAcc Mask */\r
@@ -1383,8 +1291,8 @@ typedef struct
  */\r
 typedef struct\r
 {\r
-  __IOM uint32_t SSPSR;                  /*!< Offset: 0x000 (R/ )  Supported Parallel Port Size Register */\r
-  __IOM uint32_t CSPSR;                  /*!< Offset: 0x004 (R/W)  Current Parallel Port Size Register */\r
+  __IM  uint32_t SSPSR;                  /*!< Offset: 0x000 (R/ )  Supported Parallel Port Sizes Register */\r
+  __IOM uint32_t CSPSR;                  /*!< Offset: 0x004 (R/W)  Current Parallel Port Sizes Register */\r
         uint32_t RESERVED0[2U];\r
   __IOM uint32_t ACPR;                   /*!< Offset: 0x010 (R/W)  Asynchronous Clock Prescaler Register */\r
         uint32_t RESERVED1[55U];\r
@@ -1392,26 +1300,18 @@ typedef struct
         uint32_t RESERVED2[131U];\r
   __IM  uint32_t FFSR;                   /*!< Offset: 0x300 (R/ )  Formatter and Flush Status Register */\r
   __IOM uint32_t FFCR;                   /*!< Offset: 0x304 (R/W)  Formatter and Flush Control Register */\r
-  __IM  uint32_t FSCR;                   /*!< Offset: 0x308 (R/ )  Formatter Synchronization Counter Register */\r
-        uint32_t RESERVED3[759U];\r
-  __IM  uint32_t TRIGGER;                /*!< Offset: 0xEE8 (R/ )  TRIGGER */\r
-  __IM  uint32_t FIFO0;                  /*!< Offset: 0xEEC (R/ )  Integration ETM Data */\r
-  __IM  uint32_t ITATBCTR2;              /*!< Offset: 0xEF0 (R/ )  ITATBCTR2 */\r
-        uint32_t RESERVED4[1U];\r
-  __IM  uint32_t ITATBCTR0;              /*!< Offset: 0xEF8 (R/ )  ITATBCTR0 */\r
-  __IM  uint32_t FIFO1;                  /*!< Offset: 0xEFC (R/ )  Integration ITM Data */\r
-  __IOM uint32_t ITCTRL;                 /*!< Offset: 0xF00 (R/W)  Integration Mode Control */\r
-        uint32_t RESERVED5[39U];\r
-  __IOM uint32_t CLAIMSET;               /*!< Offset: 0xFA0 (R/W)  Claim tag set */\r
-  __IOM uint32_t CLAIMCLR;               /*!< Offset: 0xFA4 (R/W)  Claim tag clear */\r
-        uint32_t RESERVED7[8U];\r
-  __IM  uint32_t DEVID;                  /*!< Offset: 0xFC8 (R/ )  TPIU_DEVID */\r
-  __IM  uint32_t DEVTYPE;                /*!< Offset: 0xFCC (R/ )  TPIU_DEVTYPE */\r
+  __IOM uint32_t PSCR;                   /*!< Offset: 0x308 (R/W)  Periodic Synchronization Control Register */\r
+        uint32_t RESERVED3[809U];\r
+  __OM  uint32_t LAR;                    /*!< Offset: 0xFB0 ( /W)  Software Lock Access Register */\r
+  __IM  uint32_t LSR;                    /*!< Offset: 0xFB4 (R/ )  Software Lock Status Register */\r
+        uint32_t RESERVED4[4U];\r
+  __IM  uint32_t TYPE;                   /*!< Offset: 0xFC8 (R/ )  Device Identifier Register */\r
+  __IM  uint32_t DEVTYPE;                /*!< Offset: 0xFCC (R/ )  Device Type Register */\r
 } TPI_Type;\r
 \r
 /* TPI Asynchronous Clock Prescaler Register Definitions */\r
-#define TPI_ACPR_PRESCALER_Pos              0U                                         /*!< TPI ACPR: PRESCALER Position */\r
-#define TPI_ACPR_PRESCALER_Msk             (0x1FFFUL /*<< TPI_ACPR_PRESCALER_Pos*/)    /*!< TPI ACPR: PRESCALER Mask */\r
+#define TPI_ACPR_SWOSCALER_Pos              0U                                         /*!< TPI ACPR: SWOSCALER Position */\r
+#define TPI_ACPR_SWOSCALER_Msk             (0xFFFFUL /*<< TPI_ACPR_SWOSCALER_Pos*/)    /*!< TPI ACPR: SWOSCALER Mask */\r
 \r
 /* TPI Selected Pin Protocol Register Definitions */\r
 #define TPI_SPPR_TXMODE_Pos                 0U                                         /*!< TPI SPPR: TXMODE Position */\r
@@ -1434,68 +1334,25 @@ typedef struct
 #define TPI_FFCR_TrigIn_Pos                 8U                                         /*!< TPI FFCR: TrigIn Position */\r
 #define TPI_FFCR_TrigIn_Msk                (0x1UL << TPI_FFCR_TrigIn_Pos)              /*!< TPI FFCR: TrigIn Mask */\r
 \r
+#define TPI_FFCR_FOnMan_Pos                 6U                                         /*!< TPI FFCR: FOnMan Position */\r
+#define TPI_FFCR_FOnMan_Msk                (0x1UL << TPI_FFCR_FOnMan_Pos)              /*!< TPI FFCR: FOnMan Mask */\r
+\r
 #define TPI_FFCR_EnFCont_Pos                1U                                         /*!< TPI FFCR: EnFCont Position */\r
 #define TPI_FFCR_EnFCont_Msk               (0x1UL << TPI_FFCR_EnFCont_Pos)             /*!< TPI FFCR: EnFCont Mask */\r
 \r
-/* TPI TRIGGER Register Definitions */\r
-#define TPI_TRIGGER_TRIGGER_Pos             0U                                         /*!< TPI TRIGGER: TRIGGER Position */\r
-#define TPI_TRIGGER_TRIGGER_Msk            (0x1UL /*<< TPI_TRIGGER_TRIGGER_Pos*/)      /*!< TPI TRIGGER: TRIGGER Mask */\r
-\r
-/* TPI Integration ETM Data Register Definitions (FIFO0) */\r
-#define TPI_FIFO0_ITM_ATVALID_Pos          29U                                         /*!< TPI FIFO0: ITM_ATVALID Position */\r
-#define TPI_FIFO0_ITM_ATVALID_Msk          (0x3UL << TPI_FIFO0_ITM_ATVALID_Pos)        /*!< TPI FIFO0: ITM_ATVALID Mask */\r
-\r
-#define TPI_FIFO0_ITM_bytecount_Pos        27U                                         /*!< TPI FIFO0: ITM_bytecount Position */\r
-#define TPI_FIFO0_ITM_bytecount_Msk        (0x3UL << TPI_FIFO0_ITM_bytecount_Pos)      /*!< TPI FIFO0: ITM_bytecount Mask */\r
-\r
-#define TPI_FIFO0_ETM_ATVALID_Pos          26U                                         /*!< TPI FIFO0: ETM_ATVALID Position */\r
-#define TPI_FIFO0_ETM_ATVALID_Msk          (0x3UL << TPI_FIFO0_ETM_ATVALID_Pos)        /*!< TPI FIFO0: ETM_ATVALID Mask */\r
-\r
-#define TPI_FIFO0_ETM_bytecount_Pos        24U                                         /*!< TPI FIFO0: ETM_bytecount Position */\r
-#define TPI_FIFO0_ETM_bytecount_Msk        (0x3UL << TPI_FIFO0_ETM_bytecount_Pos)      /*!< TPI FIFO0: ETM_bytecount Mask */\r
-\r
-#define TPI_FIFO0_ETM2_Pos                 16U                                         /*!< TPI FIFO0: ETM2 Position */\r
-#define TPI_FIFO0_ETM2_Msk                 (0xFFUL << TPI_FIFO0_ETM2_Pos)              /*!< TPI FIFO0: ETM2 Mask */\r
-\r
-#define TPI_FIFO0_ETM1_Pos                  8U                                         /*!< TPI FIFO0: ETM1 Position */\r
-#define TPI_FIFO0_ETM1_Msk                 (0xFFUL << TPI_FIFO0_ETM1_Pos)              /*!< TPI FIFO0: ETM1 Mask */\r
-\r
-#define TPI_FIFO0_ETM0_Pos                  0U                                         /*!< TPI FIFO0: ETM0 Position */\r
-#define TPI_FIFO0_ETM0_Msk                 (0xFFUL /*<< TPI_FIFO0_ETM0_Pos*/)          /*!< TPI FIFO0: ETM0 Mask */\r
-\r
-/* TPI ITATBCTR2 Register Definitions */\r
-#define TPI_ITATBCTR2_ATREADY_Pos           0U                                         /*!< TPI ITATBCTR2: ATREADY Position */\r
-#define TPI_ITATBCTR2_ATREADY_Msk          (0x1UL /*<< TPI_ITATBCTR2_ATREADY_Pos*/)    /*!< TPI ITATBCTR2: ATREADY Mask */\r
-\r
-/* TPI Integration ITM Data Register Definitions (FIFO1) */\r
-#define TPI_FIFO1_ITM_ATVALID_Pos          29U                                         /*!< TPI FIFO1: ITM_ATVALID Position */\r
-#define TPI_FIFO1_ITM_ATVALID_Msk          (0x3UL << TPI_FIFO1_ITM_ATVALID_Pos)        /*!< TPI FIFO1: ITM_ATVALID Mask */\r
-\r
-#define TPI_FIFO1_ITM_bytecount_Pos        27U                                         /*!< TPI FIFO1: ITM_bytecount Position */\r
-#define TPI_FIFO1_ITM_bytecount_Msk        (0x3UL << TPI_FIFO1_ITM_bytecount_Pos)      /*!< TPI FIFO1: ITM_bytecount Mask */\r
-\r
-#define TPI_FIFO1_ETM_ATVALID_Pos          26U                                         /*!< TPI FIFO1: ETM_ATVALID Position */\r
-#define TPI_FIFO1_ETM_ATVALID_Msk          (0x3UL << TPI_FIFO1_ETM_ATVALID_Pos)        /*!< TPI FIFO1: ETM_ATVALID Mask */\r
+/* TPI Periodic Synchronization Control Register Definitions */\r
+#define TPI_PSCR_PSCount_Pos                0U                                         /*!< TPI PSCR: PSCount Position */\r
+#define TPI_PSCR_PSCount_Msk               (0x1FUL /*<< TPI_PSCR_PSCount_Pos*/)        /*!< TPI PSCR: TPSCount Mask */\r
 \r
-#define TPI_FIFO1_ETM_bytecount_Pos        24U                                         /*!< TPI FIFO1: ETM_bytecount Position */\r
-#define TPI_FIFO1_ETM_bytecount_Msk        (0x3UL << TPI_FIFO1_ETM_bytecount_Pos)      /*!< TPI FIFO1: ETM_bytecount Mask */\r
+/* TPI Software Lock Status Register Definitions */\r
+#define TPI_LSR_nTT_Pos                     1U                                         /*!< TPI LSR: Not thirty-two bit. Position */\r
+#define TPI_LSR_nTT_Msk                    (0x1UL << TPI_LSR_nTT_Pos)                  /*!< TPI LSR: Not thirty-two bit. Mask */\r
 \r
-#define TPI_FIFO1_ITM2_Pos                 16U                                         /*!< TPI FIFO1: ITM2 Position */\r
-#define TPI_FIFO1_ITM2_Msk                 (0xFFUL << TPI_FIFO1_ITM2_Pos)              /*!< TPI FIFO1: ITM2 Mask */\r
+#define TPI_LSR_SLK_Pos                     1U                                         /*!< TPI LSR: Software Lock status Position */\r
+#define TPI_LSR_SLK_Msk                    (0x1UL << TPI_LSR_SLK_Pos)                  /*!< TPI LSR: Software Lock status Mask */\r
 \r
-#define TPI_FIFO1_ITM1_Pos                  8U                                         /*!< TPI FIFO1: ITM1 Position */\r
-#define TPI_FIFO1_ITM1_Msk                 (0xFFUL << TPI_FIFO1_ITM1_Pos)              /*!< TPI FIFO1: ITM1 Mask */\r
-\r
-#define TPI_FIFO1_ITM0_Pos                  0U                                         /*!< TPI FIFO1: ITM0 Position */\r
-#define TPI_FIFO1_ITM0_Msk                 (0xFFUL /*<< TPI_FIFO1_ITM0_Pos*/)          /*!< TPI FIFO1: ITM0 Mask */\r
-\r
-/* TPI ITATBCTR0 Register Definitions */\r
-#define TPI_ITATBCTR0_ATREADY_Pos           0U                                         /*!< TPI ITATBCTR0: ATREADY Position */\r
-#define TPI_ITATBCTR0_ATREADY_Msk          (0x1UL /*<< TPI_ITATBCTR0_ATREADY_Pos*/)    /*!< TPI ITATBCTR0: ATREADY Mask */\r
-\r
-/* TPI Integration Mode Control Register Definitions */\r
-#define TPI_ITCTRL_Mode_Pos                 0U                                         /*!< TPI ITCTRL: Mode Position */\r
-#define TPI_ITCTRL_Mode_Msk                (0x1UL /*<< TPI_ITCTRL_Mode_Pos*/)          /*!< TPI ITCTRL: Mode Mask */\r
+#define TPI_LSR_SLI_Pos                     0U                                         /*!< TPI LSR: Software Lock implemented Position */\r
+#define TPI_LSR_SLI_Msk                    (0x1UL /*<< TPI_LSR_SLI_Pos*/)              /*!< TPI LSR: Software Lock implemented Mask */\r
 \r
 /* TPI DEVID Register Definitions */\r
 #define TPI_DEVID_NRZVALID_Pos             11U                                         /*!< TPI DEVID: NRZVALID Position */\r
@@ -1507,22 +1364,16 @@ typedef struct
 #define TPI_DEVID_PTINVALID_Pos             9U                                         /*!< TPI DEVID: PTINVALID Position */\r
 #define TPI_DEVID_PTINVALID_Msk            (0x1UL << TPI_DEVID_PTINVALID_Pos)          /*!< TPI DEVID: PTINVALID Mask */\r
 \r
-#define TPI_DEVID_MinBufSz_Pos              6U                                         /*!< TPI DEVID: MinBufSz Position */\r
-#define TPI_DEVID_MinBufSz_Msk             (0x7UL << TPI_DEVID_MinBufSz_Pos)           /*!< TPI DEVID: MinBufSz Mask */\r
-\r
-#define TPI_DEVID_AsynClkIn_Pos             5U                                         /*!< TPI DEVID: AsynClkIn Position */\r
-#define TPI_DEVID_AsynClkIn_Msk            (0x1UL << TPI_DEVID_AsynClkIn_Pos)          /*!< TPI DEVID: AsynClkIn Mask */\r
-\r
-#define TPI_DEVID_NrTraceInput_Pos          0U                                         /*!< TPI DEVID: NrTraceInput Position */\r
-#define TPI_DEVID_NrTraceInput_Msk         (0x1FUL /*<< TPI_DEVID_NrTraceInput_Pos*/)  /*!< TPI DEVID: NrTraceInput Mask */\r
+#define TPI_DEVID_FIFOSZ_Pos                6U                                         /*!< TPI DEVID: FIFO depth Position */\r
+#define TPI_DEVID_FIFOSZ_Msk               (0x7UL << TPI_DEVID_FIFOSZ_Pos)             /*!< TPI DEVID: FIFO depth Mask */\r
 \r
 /* TPI DEVTYPE Register Definitions */\r
-#define TPI_DEVTYPE_MajorType_Pos           4U                                         /*!< TPI DEVTYPE: MajorType Position */\r
-#define TPI_DEVTYPE_MajorType_Msk          (0xFUL << TPI_DEVTYPE_MajorType_Pos)        /*!< TPI DEVTYPE: MajorType Mask */\r
-\r
-#define TPI_DEVTYPE_SubType_Pos             0U                                         /*!< TPI DEVTYPE: SubType Position */\r
+#define TPI_DEVTYPE_SubType_Pos             4U                                         /*!< TPI DEVTYPE: SubType Position */\r
 #define TPI_DEVTYPE_SubType_Msk            (0xFUL /*<< TPI_DEVTYPE_SubType_Pos*/)      /*!< TPI DEVTYPE: SubType Mask */\r
 \r
+#define TPI_DEVTYPE_MajorType_Pos           0U                                         /*!< TPI DEVTYPE: MajorType Position */\r
+#define TPI_DEVTYPE_MajorType_Msk          (0xFUL << TPI_DEVTYPE_MajorType_Pos)        /*!< TPI DEVTYPE: MajorType Mask */\r
+\r
 /*@}*/ /* end of group CMSIS_TPI */\r
 \r
 \r
@@ -1587,8 +1438,8 @@ typedef struct
 #define MPU_RNR_REGION_Msk                 (0xFFUL /*<< MPU_RNR_REGION_Pos*/)             /*!< MPU RNR: REGION Mask */\r
 \r
 /* MPU Region Base Address Register Definitions */\r
-#define MPU_RBAR_ADDR_Pos                   5U                                            /*!< MPU RBAR: ADDR Position */\r
-#define MPU_RBAR_ADDR_Msk                  (0x7FFFFFFUL << MPU_RBAR_ADDR_Pos)             /*!< MPU RBAR: ADDR Mask */\r
+#define MPU_RBAR_BASE_Pos                   5U                                            /*!< MPU RBAR: BASE Position */\r
+#define MPU_RBAR_BASE_Msk                  (0x7FFFFFFUL << MPU_RBAR_BASE_Pos)             /*!< MPU RBAR: BASE Mask */\r
 \r
 #define MPU_RBAR_SH_Pos                     3U                                            /*!< MPU RBAR: SH Position */\r
 #define MPU_RBAR_SH_Msk                    (0x3UL << MPU_RBAR_SH_Pos)                     /*!< MPU RBAR: SH Mask */\r
@@ -2136,6 +1987,27 @@ typedef struct
 #define NVIC_USER_IRQ_OFFSET          16\r
 \r
 \r
+/* Special LR values for Secure/Non-Secure call handling and exception handling                                               */\r
+\r
+/* Function Return Payload (from ARMv8-M Architecture Reference Manual) LR value on entry from Secure BLXNS                   */\r
+#define FNC_RETURN                 (0xFEFFFFFFUL)     /* bit [0] ignored when processing a branch                             */\r
+\r
+/* The following EXC_RETURN mask values are used to evaluate the LR on exception entry */\r
+#define EXC_RETURN_PREFIX          (0xFF000000UL)     /* bits [31:24] set to indicate an EXC_RETURN value                     */\r
+#define EXC_RETURN_S               (0x00000040UL)     /* bit [6] stack used to push registers: 0=Non-secure 1=Secure          */\r
+#define EXC_RETURN_DCRS            (0x00000020UL)     /* bit [5] stacking rules for called registers: 0=skipped 1=saved       */\r
+#define EXC_RETURN_FTYPE           (0x00000010UL)     /* bit [4] allocate stack for floating-point context: 0=done 1=skipped  */\r
+#define EXC_RETURN_MODE            (0x00000008UL)     /* bit [3] processor mode for return: 0=Handler mode 1=Thread mode      */\r
+#define EXC_RETURN_SPSEL           (0x00000004UL)     /* bit [2] stack pointer used to restore context: 0=MSP 1=PSP           */\r
+#define EXC_RETURN_ES              (0x00000001UL)     /* bit [0] security state exception was taken to: 0=Non-secure 1=Secure */\r
+\r
+/* Integrity Signature (from ARMv8-M Architecture Reference Manual) for exception context stacking                            */\r
+#if defined (__FPU_PRESENT) && (__FPU_PRESENT == 1U)  /* Value for processors with floating-point extension:                  */\r
+#define EXC_INTEGRITY_SIGNATURE     (0xFEFA125AUL)     /* bit [0] SFTC must match LR bit[4] EXC_RETURN_FTYPE                   */\r
+#else\r
+#define EXC_INTEGRITY_SIGNATURE     (0xFEFA125BUL)     /* Value for processors without floating-point extension                */\r
+#endif\r
+\r
 \r
 /**\r
   \brief   Set Priority Grouping\r
@@ -2155,7 +2027,7 @@ __STATIC_INLINE void __NVIC_SetPriorityGrouping(uint32_t PriorityGroup)
   reg_value &= ~((uint32_t)(SCB_AIRCR_VECTKEY_Msk | SCB_AIRCR_PRIGROUP_Msk)); /* clear bits to change               */\r
   reg_value  =  (reg_value                                   |\r
                 ((uint32_t)0x5FAUL << SCB_AIRCR_VECTKEY_Pos) |\r
-                (PriorityGroupTmp << 8U)                      );              /* Insert write key and priorty group */\r
+                (PriorityGroupTmp << SCB_AIRCR_PRIGROUP_Pos)  );              /* Insert write key and priority group */\r
   SCB->AIRCR =  reg_value;\r
 }\r
 \r
@@ -2495,7 +2367,7 @@ __STATIC_INLINE uint32_t __NVIC_GetVector(IRQn_Type IRQn)
   \brief   System Reset\r
   \details Initiates a system reset request to reset the MCU.\r
  */\r
-__STATIC_INLINE void __NVIC_SystemReset(void)\r
+__NO_RETURN __STATIC_INLINE void __NVIC_SystemReset(void)\r
 {\r
   __DSB();                                                          /* Ensure all outstanding memory accesses included\r
                                                                        buffered write are completed before reset */\r
@@ -2529,7 +2401,7 @@ __STATIC_INLINE void TZ_NVIC_SetPriorityGrouping_NS(uint32_t PriorityGroup)
   reg_value &= ~((uint32_t)(SCB_AIRCR_VECTKEY_Msk | SCB_AIRCR_PRIGROUP_Msk));             /* clear bits to change               */\r
   reg_value  =  (reg_value                                   |\r
                 ((uint32_t)0x5FAUL << SCB_AIRCR_VECTKEY_Pos) |\r
-                (PriorityGroupTmp << 8U)                      );              /* Insert write key and priorty group */\r
+                (PriorityGroupTmp << SCB_AIRCR_PRIGROUP_Pos)                      );              /* Insert write key and priority group */\r
   SCB_NS->AIRCR =  reg_value;\r
 }\r
 \r
index b9bbe05dbc199cd408994c17e4436840818bf750..744bf235ebcf077e459a46f5a0dc706b2ecb288c 100644 (file)
@@ -1,11 +1,11 @@
 /**************************************************************************//**\r
  * @file     core_cm33.h\r
  * @brief    CMSIS Cortex-M33 Core Peripheral Access Layer Header File\r
- * @version  V5.0.5\r
- * @date     08. January 2018\r
+ * @version  V5.1.0\r
+ * @date     12. November 2018\r
  ******************************************************************************/\r
 /*\r
- * Copyright (c) 2009-2017 ARM Limited. All rights reserved.\r
+ * Copyright (c) 2009-2018 Arm Limited. All rights reserved.\r
  *\r
  * SPDX-License-Identifier: Apache-2.0\r
  *\r
  */\r
 \r
 #include "cmsis_version.h"\r
\r
+\r
 /*  CMSIS CM33 definitions */\r
-#define __CM33_CMSIS_VERSION_MAIN  (__CM_CMSIS_VERSION_MAIN)                  /*!< \deprecated [31:16] CMSIS HAL main version */\r
-#define __CM33_CMSIS_VERSION_SUB   (__CM_CMSIS_VERSION_SUB)                   /*!< \deprecated [15:0]  CMSIS HAL sub version */\r
+#define __CM33_CMSIS_VERSION_MAIN  (__CM_CMSIS_VERSION_MAIN)                   /*!< \deprecated [31:16] CMSIS HAL main version */\r
+#define __CM33_CMSIS_VERSION_SUB   (__CM_CMSIS_VERSION_SUB)                    /*!< \deprecated [15:0]  CMSIS HAL sub version */\r
 #define __CM33_CMSIS_VERSION       ((__CM33_CMSIS_VERSION_MAIN << 16U) | \\r
-                                     __CM33_CMSIS_VERSION_SUB           )     /*!< \deprecated CMSIS HAL version number */\r
+                                     __CM33_CMSIS_VERSION_SUB           )      /*!< \deprecated CMSIS HAL version number */\r
 \r
-#define __CORTEX_M                 (33U)                                      /*!< Cortex-M Core */\r
+#define __CORTEX_M                 (33U)                                       /*!< Cortex-M Core */\r
 \r
 /** __FPU_USED indicates whether an FPU is used or not.\r
     For this, __FPU_PRESENT has to be checked prior to making use of FPU specific registers and functions.\r
       #define __DSP_USED       1U\r
     #else\r
       #error "Compiler generates DSP (SIMD) instructions for a devices without DSP extensions (check __DSP_PRESENT)"\r
-      #define __DSP_USED         0U    \r
+      #define __DSP_USED         0U\r
     #endif\r
   #else\r
     #define __DSP_USED         0U\r
   #endif\r
 \r
 #elif defined (__ARMCC_VERSION) && (__ARMCC_VERSION >= 6010050)\r
-  #if defined (__ARM_PCS_VFP)\r
+  #if defined (__ARM_FP)\r
     #if defined (__FPU_PRESENT) && (__FPU_PRESENT == 1U)\r
       #define __FPU_USED       1U\r
     #else\r
       #define __DSP_USED       1U\r
     #else\r
       #error "Compiler generates DSP (SIMD) instructions for a devices without DSP extensions (check __DSP_PRESENT)"\r
-      #define __DSP_USED         0U    \r
+      #define __DSP_USED         0U\r
     #endif\r
   #else\r
     #define __DSP_USED         0U\r
       #define __DSP_USED       1U\r
     #else\r
       #error "Compiler generates DSP (SIMD) instructions for a devices without DSP extensions (check __DSP_PRESENT)"\r
-      #define __DSP_USED         0U    \r
+      #define __DSP_USED         0U\r
     #endif\r
   #else\r
     #define __DSP_USED         0U\r
       #define __DSP_USED       1U\r
     #else\r
       #error "Compiler generates DSP (SIMD) instructions for a devices without DSP extensions (check __DSP_PRESENT)"\r
-      #define __DSP_USED         0U    \r
+      #define __DSP_USED         0U\r
     #endif\r
   #else\r
     #define __DSP_USED         0U\r
@@ -538,14 +538,6 @@ typedef struct
   __OM  uint32_t DCCSW;                  /*!< Offset: 0x26C ( /W)  D-Cache Clean by Set-way */\r
   __OM  uint32_t DCCIMVAC;               /*!< Offset: 0x270 ( /W)  D-Cache Clean and Invalidate by MVA to PoC */\r
   __OM  uint32_t DCCISW;                 /*!< Offset: 0x274 ( /W)  D-Cache Clean and Invalidate by Set-way */\r
-        uint32_t RESERVED7[6U];\r
-  __IOM uint32_t ITCMCR;                 /*!< Offset: 0x290 (R/W)  Instruction Tightly-Coupled Memory Control Register */\r
-  __IOM uint32_t DTCMCR;                 /*!< Offset: 0x294 (R/W)  Data Tightly-Coupled Memory Control Registers */\r
-  __IOM uint32_t AHBPCR;                 /*!< Offset: 0x298 (R/W)  AHBP Control Register */\r
-  __IOM uint32_t CACR;                   /*!< Offset: 0x29C (R/W)  L1 Cache Control Register */\r
-  __IOM uint32_t AHBSCR;                 /*!< Offset: 0x2A0 (R/W)  AHB Slave Control Register */\r
-        uint32_t RESERVED8[1U];\r
-  __IOM uint32_t ABFSR;                  /*!< Offset: 0x2A8 (R/W)  Auxiliary Bus Fault Status Register */\r
 } SCB_Type;\r
 \r
 /* SCB CPUID Register Definitions */\r
@@ -568,6 +560,9 @@ typedef struct
 #define SCB_ICSR_PENDNMISET_Pos            31U                                            /*!< SCB ICSR: PENDNMISET Position */\r
 #define SCB_ICSR_PENDNMISET_Msk            (1UL << SCB_ICSR_PENDNMISET_Pos)               /*!< SCB ICSR: PENDNMISET Mask */\r
 \r
+#define SCB_ICSR_NMIPENDSET_Pos            SCB_ICSR_PENDNMISET_Pos                        /*!< SCB ICSR: NMIPENDSET Position, backward compatibility */\r
+#define SCB_ICSR_NMIPENDSET_Msk            SCB_ICSR_PENDNMISET_Msk                        /*!< SCB ICSR: NMIPENDSET Mask, backward compatibility */\r
+\r
 #define SCB_ICSR_PENDNMICLR_Pos            30U                                            /*!< SCB ICSR: PENDNMICLR Position */\r
 #define SCB_ICSR_PENDNMICLR_Msk            (1UL << SCB_ICSR_PENDNMICLR_Pos)               /*!< SCB ICSR: PENDNMICLR Mask */\r
 \r
@@ -918,78 +913,6 @@ typedef struct
 #define SCB_DCCISW_SET_Pos                  5U                                            /*!< SCB DCCISW: Set Position */\r
 #define SCB_DCCISW_SET_Msk                 (0x1FFUL << SCB_DCCISW_SET_Pos)                /*!< SCB DCCISW: Set Mask */\r
 \r
-/* Instruction Tightly-Coupled Memory Control Register Definitions */\r
-#define SCB_ITCMCR_SZ_Pos                   3U                                            /*!< SCB ITCMCR: SZ Position */\r
-#define SCB_ITCMCR_SZ_Msk                  (0xFUL << SCB_ITCMCR_SZ_Pos)                   /*!< SCB ITCMCR: SZ Mask */\r
-\r
-#define SCB_ITCMCR_RETEN_Pos                2U                                            /*!< SCB ITCMCR: RETEN Position */\r
-#define SCB_ITCMCR_RETEN_Msk               (1UL << SCB_ITCMCR_RETEN_Pos)                  /*!< SCB ITCMCR: RETEN Mask */\r
-\r
-#define SCB_ITCMCR_RMW_Pos                  1U                                            /*!< SCB ITCMCR: RMW Position */\r
-#define SCB_ITCMCR_RMW_Msk                 (1UL << SCB_ITCMCR_RMW_Pos)                    /*!< SCB ITCMCR: RMW Mask */\r
-\r
-#define SCB_ITCMCR_EN_Pos                   0U                                            /*!< SCB ITCMCR: EN Position */\r
-#define SCB_ITCMCR_EN_Msk                  (1UL /*<< SCB_ITCMCR_EN_Pos*/)                 /*!< SCB ITCMCR: EN Mask */\r
-\r
-/* Data Tightly-Coupled Memory Control Register Definitions */\r
-#define SCB_DTCMCR_SZ_Pos                   3U                                            /*!< SCB DTCMCR: SZ Position */\r
-#define SCB_DTCMCR_SZ_Msk                  (0xFUL << SCB_DTCMCR_SZ_Pos)                   /*!< SCB DTCMCR: SZ Mask */\r
-\r
-#define SCB_DTCMCR_RETEN_Pos                2U                                            /*!< SCB DTCMCR: RETEN Position */\r
-#define SCB_DTCMCR_RETEN_Msk               (1UL << SCB_DTCMCR_RETEN_Pos)                   /*!< SCB DTCMCR: RETEN Mask */\r
-\r
-#define SCB_DTCMCR_RMW_Pos                  1U                                            /*!< SCB DTCMCR: RMW Position */\r
-#define SCB_DTCMCR_RMW_Msk                 (1UL << SCB_DTCMCR_RMW_Pos)                    /*!< SCB DTCMCR: RMW Mask */\r
-\r
-#define SCB_DTCMCR_EN_Pos                   0U                                            /*!< SCB DTCMCR: EN Position */\r
-#define SCB_DTCMCR_EN_Msk                  (1UL /*<< SCB_DTCMCR_EN_Pos*/)                 /*!< SCB DTCMCR: EN Mask */\r
-\r
-/* AHBP Control Register Definitions */\r
-#define SCB_AHBPCR_SZ_Pos                   1U                                            /*!< SCB AHBPCR: SZ Position */\r
-#define SCB_AHBPCR_SZ_Msk                  (7UL << SCB_AHBPCR_SZ_Pos)                     /*!< SCB AHBPCR: SZ Mask */\r
-\r
-#define SCB_AHBPCR_EN_Pos                   0U                                            /*!< SCB AHBPCR: EN Position */\r
-#define SCB_AHBPCR_EN_Msk                  (1UL /*<< SCB_AHBPCR_EN_Pos*/)                 /*!< SCB AHBPCR: EN Mask */\r
-\r
-/* L1 Cache Control Register Definitions */\r
-#define SCB_CACR_FORCEWT_Pos                2U                                            /*!< SCB CACR: FORCEWT Position */\r
-#define SCB_CACR_FORCEWT_Msk               (1UL << SCB_CACR_FORCEWT_Pos)                  /*!< SCB CACR: FORCEWT Mask */\r
-\r
-#define SCB_CACR_ECCEN_Pos                  1U                                            /*!< SCB CACR: ECCEN Position */\r
-#define SCB_CACR_ECCEN_Msk                 (1UL << SCB_CACR_ECCEN_Pos)                    /*!< SCB CACR: ECCEN Mask */\r
-\r
-#define SCB_CACR_SIWT_Pos                   0U                                            /*!< SCB CACR: SIWT Position */\r
-#define SCB_CACR_SIWT_Msk                  (1UL /*<< SCB_CACR_SIWT_Pos*/)                 /*!< SCB CACR: SIWT Mask */\r
-\r
-/* AHBS Control Register Definitions */\r
-#define SCB_AHBSCR_INITCOUNT_Pos           11U                                            /*!< SCB AHBSCR: INITCOUNT Position */\r
-#define SCB_AHBSCR_INITCOUNT_Msk           (0x1FUL << SCB_AHBPCR_INITCOUNT_Pos)           /*!< SCB AHBSCR: INITCOUNT Mask */\r
-\r
-#define SCB_AHBSCR_TPRI_Pos                 2U                                            /*!< SCB AHBSCR: TPRI Position */\r
-#define SCB_AHBSCR_TPRI_Msk                (0x1FFUL << SCB_AHBPCR_TPRI_Pos)               /*!< SCB AHBSCR: TPRI Mask */\r
-\r
-#define SCB_AHBSCR_CTL_Pos                  0U                                            /*!< SCB AHBSCR: CTL Position*/\r
-#define SCB_AHBSCR_CTL_Msk                 (3UL /*<< SCB_AHBPCR_CTL_Pos*/)                /*!< SCB AHBSCR: CTL Mask */\r
-\r
-/* Auxiliary Bus Fault Status Register Definitions */\r
-#define SCB_ABFSR_AXIMTYPE_Pos              8U                                            /*!< SCB ABFSR: AXIMTYPE Position*/\r
-#define SCB_ABFSR_AXIMTYPE_Msk             (3UL << SCB_ABFSR_AXIMTYPE_Pos)                /*!< SCB ABFSR: AXIMTYPE Mask */\r
-\r
-#define SCB_ABFSR_EPPB_Pos                  4U                                            /*!< SCB ABFSR: EPPB Position*/\r
-#define SCB_ABFSR_EPPB_Msk                 (1UL << SCB_ABFSR_EPPB_Pos)                    /*!< SCB ABFSR: EPPB Mask */\r
-\r
-#define SCB_ABFSR_AXIM_Pos                  3U                                            /*!< SCB ABFSR: AXIM Position*/\r
-#define SCB_ABFSR_AXIM_Msk                 (1UL << SCB_ABFSR_AXIM_Pos)                    /*!< SCB ABFSR: AXIM Mask */\r
-\r
-#define SCB_ABFSR_AHBP_Pos                  2U                                            /*!< SCB ABFSR: AHBP Position*/\r
-#define SCB_ABFSR_AHBP_Msk                 (1UL << SCB_ABFSR_AHBP_Pos)                    /*!< SCB ABFSR: AHBP Mask */\r
-\r
-#define SCB_ABFSR_DTCM_Pos                  1U                                            /*!< SCB ABFSR: DTCM Position*/\r
-#define SCB_ABFSR_DTCM_Msk                 (1UL << SCB_ABFSR_DTCM_Pos)                    /*!< SCB ABFSR: DTCM Mask */\r
-\r
-#define SCB_ABFSR_ITCM_Pos                  0U                                            /*!< SCB ABFSR: ITCM Position*/\r
-#define SCB_ABFSR_ITCM_Msk                 (1UL /*<< SCB_ABFSR_ITCM_Pos*/)                /*!< SCB ABFSR: ITCM Mask */\r
-\r
 /*@} end of group CMSIS_SCB */\r
 \r
 \r
@@ -1094,10 +1017,7 @@ typedef struct
   __IOM uint32_t TPR;                    /*!< Offset: 0xE40 (R/W)  ITM Trace Privilege Register */\r
         uint32_t RESERVED2[15U];\r
   __IOM uint32_t TCR;                    /*!< Offset: 0xE80 (R/W)  ITM Trace Control Register */\r
-        uint32_t RESERVED3[29U];\r
-  __OM  uint32_t IWR;                    /*!< Offset: 0xEF8 ( /W)  ITM Integration Write Register */\r
-  __IM  uint32_t IRR;                    /*!< Offset: 0xEFC (R/ )  ITM Integration Read Register */\r
-  __IOM uint32_t IMCR;                   /*!< Offset: 0xF00 (R/W)  ITM Integration Mode Control Register */\r
+        uint32_t RESERVED3[32U];\r
         uint32_t RESERVED4[43U];\r
   __OM  uint32_t LAR;                    /*!< Offset: 0xFB0 ( /W)  ITM Lock Access Register */\r
   __IM  uint32_t LSR;                    /*!< Offset: 0xFB4 (R/ )  ITM Lock Status Register */\r
@@ -1160,18 +1080,6 @@ typedef struct
 #define ITM_TCR_ITMENA_Pos                  0U                                            /*!< ITM TCR: ITM Enable bit Position */\r
 #define ITM_TCR_ITMENA_Msk                 (1UL /*<< ITM_TCR_ITMENA_Pos*/)                /*!< ITM TCR: ITM Enable bit Mask */\r
 \r
-/* ITM Integration Write Register Definitions */\r
-#define ITM_IWR_ATVALIDM_Pos                0U                                            /*!< ITM IWR: ATVALIDM Position */\r
-#define ITM_IWR_ATVALIDM_Msk               (1UL /*<< ITM_IWR_ATVALIDM_Pos*/)              /*!< ITM IWR: ATVALIDM Mask */\r
-\r
-/* ITM Integration Read Register Definitions */\r
-#define ITM_IRR_ATREADYM_Pos                0U                                            /*!< ITM IRR: ATREADYM Position */\r
-#define ITM_IRR_ATREADYM_Msk               (1UL /*<< ITM_IRR_ATREADYM_Pos*/)              /*!< ITM IRR: ATREADYM Mask */\r
-\r
-/* ITM Integration Mode Control Register Definitions */\r
-#define ITM_IMCR_INTEGRATION_Pos            0U                                            /*!< ITM IMCR: INTEGRATION Position */\r
-#define ITM_IMCR_INTEGRATION_Msk           (1UL /*<< ITM_IMCR_INTEGRATION_Pos*/)          /*!< ITM IMCR: INTEGRATION Mask */\r
-\r
 /* ITM Lock Status Register Definitions */\r
 #define ITM_LSR_ByteAcc_Pos                 2U                                            /*!< ITM LSR: ByteAcc Position */\r
 #define ITM_LSR_ByteAcc_Msk                (1UL << ITM_LSR_ByteAcc_Pos)                   /*!< ITM LSR: ByteAcc Mask */\r
@@ -1383,7 +1291,7 @@ typedef struct
  */\r
 typedef struct\r
 {\r
-  __IOM uint32_t SSPSR;                  /*!< Offset: 0x000 (R/ )  Supported Parallel Port Size Register */\r
+  __I uint32_t SSPSR;                  /*!< Offset: 0x000 (R/ )  Supported Parallel Port Size Register */\r
   __IOM uint32_t CSPSR;                  /*!< Offset: 0x004 (R/W)  Current Parallel Port Size Register */\r
         uint32_t RESERVED0[2U];\r
   __IOM uint32_t ACPR;                   /*!< Offset: 0x010 (R/W)  Asynchronous Clock Prescaler Register */\r
@@ -1392,29 +1300,26 @@ typedef struct
         uint32_t RESERVED2[131U];\r
   __IM  uint32_t FFSR;                   /*!< Offset: 0x300 (R/ )  Formatter and Flush Status Register */\r
   __IOM uint32_t FFCR;                   /*!< Offset: 0x304 (R/W)  Formatter and Flush Control Register */\r
-  __IM  uint32_t FSCR;                   /*!< Offset: 0x308 (R/ )  Formatter Synchronization Counter Register */\r
+  __IOM uint32_t PSCR;                   /*!< Offset: 0x308 (R/W)  Periodic Synchronization Control Register */\r
         uint32_t RESERVED3[759U];\r
-  __IM  uint32_t TRIGGER;                /*!< Offset: 0xEE8 (R/ )  TRIGGER */\r
-  __IM  uint32_t FIFO0;                  /*!< Offset: 0xEEC (R/ )  Integration ETM Data */\r
-  __IM  uint32_t ITATBCTR2;              /*!< Offset: 0xEF0 (R/ )  ITATBCTR2 */\r
+  __IM  uint32_t TRIGGER;                /*!< Offset: 0xEE8 (R/ )  TRIGGER Register */\r
+  __IM  uint32_t ITFTTD0;                /*!< Offset: 0xEEC (R/ )  Integration Test FIFO Test Data 0 Register */\r
+  __IOM uint32_t ITATBCTR2;              /*!< Offset: 0xEF0 (R/W)  Integration Test ATB Control Register 2 */\r
         uint32_t RESERVED4[1U];\r
-  __IM  uint32_t ITATBCTR0;              /*!< Offset: 0xEF8 (R/ )  ITATBCTR0 */\r
-  __IM  uint32_t FIFO1;                  /*!< Offset: 0xEFC (R/ )  Integration ITM Data */\r
+  __IM  uint32_t ITATBCTR0;              /*!< Offset: 0xEF8 (R/ )  Integration Test ATB Control Register 0 */\r
+  __IM  uint32_t ITFTTD1;                /*!< Offset: 0xEFC (R/ )  Integration Test FIFO Test Data 1 Register */\r
   __IOM uint32_t ITCTRL;                 /*!< Offset: 0xF00 (R/W)  Integration Mode Control */\r
         uint32_t RESERVED5[39U];\r
   __IOM uint32_t CLAIMSET;               /*!< Offset: 0xFA0 (R/W)  Claim tag set */\r
   __IOM uint32_t CLAIMCLR;               /*!< Offset: 0xFA4 (R/W)  Claim tag clear */\r
         uint32_t RESERVED7[8U];\r
-  __IM  uint32_t DEVID;                  /*!< Offset: 0xFC8 (R/ )  TPIU_DEVID */\r
-  __IM  uint32_t DEVTYPE;                /*!< Offset: 0xFCC (R/ )  TPIU_DEVTYPE */\r
+  __IM  uint32_t DEVID;                  /*!< Offset: 0xFC8 (R/ )  Device Configuration Register */\r
+  __IM  uint32_t DEVTYPE;                /*!< Offset: 0xFCC (R/ )  Device Type Identifier Register */\r
 } TPI_Type;\r
 \r
 /* TPI Asynchronous Clock Prescaler Register Definitions */\r
-#define TPI_ACPR_PRESCALER_Pos              0U                                         /*!< @Deprecated TPI ACPR: PRESCALER Position */\r
-#define TPI_ACPR_PRESCALER_Msk             (0x1FFFUL /*<< TPI_ACPR_PRESCALER_Pos*/)    /*!< @Deprecated TPI ACPR: PRESCALER Mask */\r
-\r
-#define TPI_ACPR_SWOSCALER_Pos              0U                                         /*!< TPI ACPR: SWOSCALER Position */\r
-#define TPI_ACPR_SWOSCALER_Msk             (0xFFFFUL /*<< TPI_ACPR_SWOSCALER_Pos*/)    /*!< TPI ACPR: SWOSCALER Mask */\r
+#define TPI_ACPR_PRESCALER_Pos              0U                                         /*!< TPI ACPR: PRESCALER Position */\r
+#define TPI_ACPR_PRESCALER_Msk             (0x1FFFUL /*<< TPI_ACPR_PRESCALER_Pos*/)    /*!< TPI ACPR: PRESCALER Mask */\r
 \r
 /* TPI Selected Pin Protocol Register Definitions */\r
 #define TPI_SPPR_TXMODE_Pos                 0U                                         /*!< TPI SPPR: TXMODE Position */\r
@@ -1437,6 +1342,9 @@ typedef struct
 #define TPI_FFCR_TrigIn_Pos                 8U                                         /*!< TPI FFCR: TrigIn Position */\r
 #define TPI_FFCR_TrigIn_Msk                (0x1UL << TPI_FFCR_TrigIn_Pos)              /*!< TPI FFCR: TrigIn Mask */\r
 \r
+#define TPI_FFCR_FOnMan_Pos                 6U                                         /*!< TPI FFCR: FOnMan Position */\r
+#define TPI_FFCR_FOnMan_Msk                (0x1UL << TPI_FFCR_FOnMan_Pos)              /*!< TPI FFCR: FOnMan Mask */\r
+\r
 #define TPI_FFCR_EnFCont_Pos                1U                                         /*!< TPI FFCR: EnFCont Position */\r
 #define TPI_FFCR_EnFCont_Msk               (0x1UL << TPI_FFCR_EnFCont_Pos)             /*!< TPI FFCR: EnFCont Mask */\r
 \r
@@ -1444,61 +1352,79 @@ typedef struct
 #define TPI_TRIGGER_TRIGGER_Pos             0U                                         /*!< TPI TRIGGER: TRIGGER Position */\r
 #define TPI_TRIGGER_TRIGGER_Msk            (0x1UL /*<< TPI_TRIGGER_TRIGGER_Pos*/)      /*!< TPI TRIGGER: TRIGGER Mask */\r
 \r
-/* TPI Integration ETM Data Register Definitions (FIFO0) */\r
-#define TPI_FIFO0_ITM_ATVALID_Pos          29U                                         /*!< TPI FIFO0: ITM_ATVALID Position */\r
-#define TPI_FIFO0_ITM_ATVALID_Msk          (0x3UL << TPI_FIFO0_ITM_ATVALID_Pos)        /*!< TPI FIFO0: ITM_ATVALID Mask */\r
+/* TPI Integration Test FIFO Test Data 0 Register Definitions */\r
+#define TPI_ITFTTD0_ATB_IF2_ATVALID_Pos    29U                                         /*!< TPI ITFTTD0: ATB Interface 2 ATVALIDPosition */\r
+#define TPI_ITFTTD0_ATB_IF2_ATVALID_Msk    (0x3UL << TPI_ITFTTD0_ATB_IF2_ATVALID_Pos)  /*!< TPI ITFTTD0: ATB Interface 2 ATVALID Mask */\r
+\r
+#define TPI_ITFTTD0_ATB_IF2_bytecount_Pos  27U                                         /*!< TPI ITFTTD0: ATB Interface 2 byte count Position */\r
+#define TPI_ITFTTD0_ATB_IF2_bytecount_Msk  (0x3UL << TPI_ITFTTD0_ATB_IF2_bytecount_Pos) /*!< TPI ITFTTD0: ATB Interface 2 byte count Mask */\r
+\r
+#define TPI_ITFTTD0_ATB_IF1_ATVALID_Pos    26U                                         /*!< TPI ITFTTD0: ATB Interface 1 ATVALID Position */\r
+#define TPI_ITFTTD0_ATB_IF1_ATVALID_Msk    (0x3UL << TPI_ITFTTD0_ATB_IF1_ATVALID_Pos)  /*!< TPI ITFTTD0: ATB Interface 1 ATVALID Mask */\r
+\r
+#define TPI_ITFTTD0_ATB_IF1_bytecount_Pos  24U                                         /*!< TPI ITFTTD0: ATB Interface 1 byte count Position */\r
+#define TPI_ITFTTD0_ATB_IF1_bytecount_Msk  (0x3UL << TPI_ITFTTD0_ATB_IF1_bytecount_Pos) /*!< TPI ITFTTD0: ATB Interface 1 byte countt Mask */\r
+\r
+#define TPI_ITFTTD0_ATB_IF1_data2_Pos      16U                                         /*!< TPI ITFTTD0: ATB Interface 1 data2 Position */\r
+#define TPI_ITFTTD0_ATB_IF1_data2_Msk      (0xFFUL << TPI_ITFTTD0_ATB_IF1_data1_Pos)   /*!< TPI ITFTTD0: ATB Interface 1 data2 Mask */\r
+\r
+#define TPI_ITFTTD0_ATB_IF1_data1_Pos       8U                                         /*!< TPI ITFTTD0: ATB Interface 1 data1 Position */\r
+#define TPI_ITFTTD0_ATB_IF1_data1_Msk      (0xFFUL << TPI_ITFTTD0_ATB_IF1_data1_Pos)   /*!< TPI ITFTTD0: ATB Interface 1 data1 Mask */\r
+\r
+#define TPI_ITFTTD0_ATB_IF1_data0_Pos       0U                                          /*!< TPI ITFTTD0: ATB Interface 1 data0 Position */\r
+#define TPI_ITFTTD0_ATB_IF1_data0_Msk      (0xFFUL /*<< TPI_ITFTTD0_ATB_IF1_data0_Pos*/) /*!< TPI ITFTTD0: ATB Interface 1 data0 Mask */\r
 \r
-#define TPI_FIFO0_ITM_bytecount_Pos        27U                                         /*!< TPI FIFO0: ITM_bytecount Position */\r
-#define TPI_FIFO0_ITM_bytecount_Msk        (0x3UL << TPI_FIFO0_ITM_bytecount_Pos)      /*!< TPI FIFO0: ITM_bytecount Mask */\r
+/* TPI Integration Test ATB Control Register 2 Register Definitions */\r
+#define TPI_ITATBCTR2_AFVALID2S_Pos         1U                                         /*!< TPI ITATBCTR2: AFVALID2S Position */\r
+#define TPI_ITATBCTR2_AFVALID2S_Msk        (0x1UL << TPI_ITATBCTR2_AFVALID2S_Pos)      /*!< TPI ITATBCTR2: AFVALID2SS Mask */\r
 \r
-#define TPI_FIFO0_ETM_ATVALID_Pos          26U                                         /*!< TPI FIFO0: ETM_ATVALID Position */\r
-#define TPI_FIFO0_ETM_ATVALID_Msk          (0x3UL << TPI_FIFO0_ETM_ATVALID_Pos)        /*!< TPI FIFO0: ETM_ATVALID Mask */\r
+#define TPI_ITATBCTR2_AFVALID1S_Pos         1U                                         /*!< TPI ITATBCTR2: AFVALID1S Position */\r
+#define TPI_ITATBCTR2_AFVALID1S_Msk        (0x1UL << TPI_ITATBCTR2_AFVALID1S_Pos)      /*!< TPI ITATBCTR2: AFVALID1SS Mask */\r
 \r
-#define TPI_FIFO0_ETM_bytecount_Pos        24U                                         /*!< TPI FIFO0: ETM_bytecount Position */\r
-#define TPI_FIFO0_ETM_bytecount_Msk        (0x3UL << TPI_FIFO0_ETM_bytecount_Pos)      /*!< TPI FIFO0: ETM_bytecount Mask */\r
+#define TPI_ITATBCTR2_ATREADY2S_Pos         0U                                         /*!< TPI ITATBCTR2: ATREADY2S Position */\r
+#define TPI_ITATBCTR2_ATREADY2S_Msk        (0x1UL /*<< TPI_ITATBCTR2_ATREADY2S_Pos*/)  /*!< TPI ITATBCTR2: ATREADY2S Mask */\r
 \r
-#define TPI_FIFO0_ETM2_Pos                 16U                                         /*!< TPI FIFO0: ETM2 Position */\r
-#define TPI_FIFO0_ETM2_Msk                 (0xFFUL << TPI_FIFO0_ETM2_Pos)              /*!< TPI FIFO0: ETM2 Mask */\r
+#define TPI_ITATBCTR2_ATREADY1S_Pos         0U                                         /*!< TPI ITATBCTR2: ATREADY1S Position */\r
+#define TPI_ITATBCTR2_ATREADY1S_Msk        (0x1UL /*<< TPI_ITATBCTR2_ATREADY1S_Pos*/)  /*!< TPI ITATBCTR2: ATREADY1S Mask */\r
 \r
-#define TPI_FIFO0_ETM1_Pos                  8U                                         /*!< TPI FIFO0: ETM1 Position */\r
-#define TPI_FIFO0_ETM1_Msk                 (0xFFUL << TPI_FIFO0_ETM1_Pos)              /*!< TPI FIFO0: ETM1 Mask */\r
+/* TPI Integration Test FIFO Test Data 1 Register Definitions */\r
+#define TPI_ITFTTD1_ATB_IF2_ATVALID_Pos    29U                                         /*!< TPI ITFTTD1: ATB Interface 2 ATVALID Position */\r
+#define TPI_ITFTTD1_ATB_IF2_ATVALID_Msk    (0x3UL << TPI_ITFTTD1_ATB_IF2_ATVALID_Pos)  /*!< TPI ITFTTD1: ATB Interface 2 ATVALID Mask */\r
 \r
-#define TPI_FIFO0_ETM0_Pos                  0U                                         /*!< TPI FIFO0: ETM0 Position */\r
-#define TPI_FIFO0_ETM0_Msk                 (0xFFUL /*<< TPI_FIFO0_ETM0_Pos*/)          /*!< TPI FIFO0: ETM0 Mask */\r
+#define TPI_ITFTTD1_ATB_IF2_bytecount_Pos  27U                                         /*!< TPI ITFTTD1: ATB Interface 2 byte count Position */\r
+#define TPI_ITFTTD1_ATB_IF2_bytecount_Msk  (0x3UL << TPI_ITFTTD1_ATB_IF2_bytecount_Pos) /*!< TPI ITFTTD1: ATB Interface 2 byte count Mask */\r
 \r
-/* TPI ITATBCTR2 Register Definitions */\r
-#define TPI_ITATBCTR2_ATREADY_Pos           0U                                         /*!< TPI ITATBCTR2: ATREADY Position */\r
-#define TPI_ITATBCTR2_ATREADY_Msk          (0x1UL /*<< TPI_ITATBCTR2_ATREADY_Pos*/)    /*!< TPI ITATBCTR2: ATREADY Mask */\r
+#define TPI_ITFTTD1_ATB_IF1_ATVALID_Pos    26U                                         /*!< TPI ITFTTD1: ATB Interface 1 ATVALID Position */\r
+#define TPI_ITFTTD1_ATB_IF1_ATVALID_Msk    (0x3UL << TPI_ITFTTD1_ATB_IF1_ATVALID_Pos)  /*!< TPI ITFTTD1: ATB Interface 1 ATVALID Mask */\r
 \r
-/* TPI Integration ITM Data Register Definitions (FIFO1) */\r
-#define TPI_FIFO1_ITM_ATVALID_Pos          29U                                         /*!< TPI FIFO1: ITM_ATVALID Position */\r
-#define TPI_FIFO1_ITM_ATVALID_Msk          (0x3UL << TPI_FIFO1_ITM_ATVALID_Pos)        /*!< TPI FIFO1: ITM_ATVALID Mask */\r
+#define TPI_ITFTTD1_ATB_IF1_bytecount_Pos  24U                                         /*!< TPI ITFTTD1: ATB Interface 1 byte count Position */\r
+#define TPI_ITFTTD1_ATB_IF1_bytecount_Msk  (0x3UL << TPI_ITFTTD1_ATB_IF1_bytecount_Pos) /*!< TPI ITFTTD1: ATB Interface 1 byte countt Mask */\r
 \r
-#define TPI_FIFO1_ITM_bytecount_Pos        27U                                         /*!< TPI FIFO1: ITM_bytecount Position */\r
-#define TPI_FIFO1_ITM_bytecount_Msk        (0x3UL << TPI_FIFO1_ITM_bytecount_Pos)      /*!< TPI FIFO1: ITM_bytecount Mask */\r
+#define TPI_ITFTTD1_ATB_IF2_data2_Pos      16U                                         /*!< TPI ITFTTD1: ATB Interface 2 data2 Position */\r
+#define TPI_ITFTTD1_ATB_IF2_data2_Msk      (0xFFUL << TPI_ITFTTD1_ATB_IF2_data1_Pos)   /*!< TPI ITFTTD1: ATB Interface 2 data2 Mask */\r
 \r
-#define TPI_FIFO1_ETM_ATVALID_Pos          26U                                         /*!< TPI FIFO1: ETM_ATVALID Position */\r
-#define TPI_FIFO1_ETM_ATVALID_Msk          (0x3UL << TPI_FIFO1_ETM_ATVALID_Pos)        /*!< TPI FIFO1: ETM_ATVALID Mask */\r
+#define TPI_ITFTTD1_ATB_IF2_data1_Pos       8U                                         /*!< TPI ITFTTD1: ATB Interface 2 data1 Position */\r
+#define TPI_ITFTTD1_ATB_IF2_data1_Msk      (0xFFUL << TPI_ITFTTD1_ATB_IF2_data1_Pos)   /*!< TPI ITFTTD1: ATB Interface 2 data1 Mask */\r
 \r
-#define TPI_FIFO1_ETM_bytecount_Pos        24U                                         /*!< TPI FIFO1: ETM_bytecount Position */\r
-#define TPI_FIFO1_ETM_bytecount_Msk        (0x3UL << TPI_FIFO1_ETM_bytecount_Pos)      /*!< TPI FIFO1: ETM_bytecount Mask */\r
+#define TPI_ITFTTD1_ATB_IF2_data0_Pos       0U                                          /*!< TPI ITFTTD1: ATB Interface 2 data0 Position */\r
+#define TPI_ITFTTD1_ATB_IF2_data0_Msk      (0xFFUL /*<< TPI_ITFTTD1_ATB_IF2_data0_Pos*/) /*!< TPI ITFTTD1: ATB Interface 2 data0 Mask */\r
 \r
-#define TPI_FIFO1_ITM2_Pos                 16U                                         /*!< TPI FIFO1: ITM2 Position */\r
-#define TPI_FIFO1_ITM2_Msk                 (0xFFUL << TPI_FIFO1_ITM2_Pos)              /*!< TPI FIFO1: ITM2 Mask */\r
+/* TPI Integration Test ATB Control Register 0 Definitions */\r
+#define TPI_ITATBCTR0_AFVALID2S_Pos         1U                                         /*!< TPI ITATBCTR0: AFVALID2S Position */\r
+#define TPI_ITATBCTR0_AFVALID2S_Msk        (0x1UL << TPI_ITATBCTR0_AFVALID2S_Pos)      /*!< TPI ITATBCTR0: AFVALID2SS Mask */\r
 \r
-#define TPI_FIFO1_ITM1_Pos                  8U                                         /*!< TPI FIFO1: ITM1 Position */\r
-#define TPI_FIFO1_ITM1_Msk                 (0xFFUL << TPI_FIFO1_ITM1_Pos)              /*!< TPI FIFO1: ITM1 Mask */\r
+#define TPI_ITATBCTR0_AFVALID1S_Pos         1U                                         /*!< TPI ITATBCTR0: AFVALID1S Position */\r
+#define TPI_ITATBCTR0_AFVALID1S_Msk        (0x1UL << TPI_ITATBCTR0_AFVALID1S_Pos)      /*!< TPI ITATBCTR0: AFVALID1SS Mask */\r
 \r
-#define TPI_FIFO1_ITM0_Pos                  0U                                         /*!< TPI FIFO1: ITM0 Position */\r
-#define TPI_FIFO1_ITM0_Msk                 (0xFFUL /*<< TPI_FIFO1_ITM0_Pos*/)          /*!< TPI FIFO1: ITM0 Mask */\r
+#define TPI_ITATBCTR0_ATREADY2S_Pos         0U                                         /*!< TPI ITATBCTR0: ATREADY2S Position */\r
+#define TPI_ITATBCTR0_ATREADY2S_Msk        (0x1UL /*<< TPI_ITATBCTR0_ATREADY2S_Pos*/)  /*!< TPI ITATBCTR0: ATREADY2S Mask */\r
 \r
-/* TPI ITATBCTR0 Register Definitions */\r
-#define TPI_ITATBCTR0_ATREADY_Pos           0U                                         /*!< TPI ITATBCTR0: ATREADY Position */\r
-#define TPI_ITATBCTR0_ATREADY_Msk          (0x1UL /*<< TPI_ITATBCTR0_ATREADY_Pos*/)    /*!< TPI ITATBCTR0: ATREADY Mask */\r
+#define TPI_ITATBCTR0_ATREADY1S_Pos         0U                                         /*!< TPI ITATBCTR0: ATREADY1S Position */\r
+#define TPI_ITATBCTR0_ATREADY1S_Msk        (0x1UL /*<< TPI_ITATBCTR0_ATREADY1S_Pos*/)  /*!< TPI ITATBCTR0: ATREADY1S Mask */\r
 \r
 /* TPI Integration Mode Control Register Definitions */\r
 #define TPI_ITCTRL_Mode_Pos                 0U                                         /*!< TPI ITCTRL: Mode Position */\r
-#define TPI_ITCTRL_Mode_Msk                (0x1UL /*<< TPI_ITCTRL_Mode_Pos*/)          /*!< TPI ITCTRL: Mode Mask */\r
+#define TPI_ITCTRL_Mode_Msk                (0x3UL /*<< TPI_ITCTRL_Mode_Pos*/)          /*!< TPI ITCTRL: Mode Mask */\r
 \r
 /* TPI DEVID Register Definitions */\r
 #define TPI_DEVID_NRZVALID_Pos             11U                                         /*!< TPI DEVID: NRZVALID Position */\r
@@ -1510,22 +1436,19 @@ typedef struct
 #define TPI_DEVID_PTINVALID_Pos             9U                                         /*!< TPI DEVID: PTINVALID Position */\r
 #define TPI_DEVID_PTINVALID_Msk            (0x1UL << TPI_DEVID_PTINVALID_Pos)          /*!< TPI DEVID: PTINVALID Mask */\r
 \r
-#define TPI_DEVID_MinBufSz_Pos              6U                                         /*!< TPI DEVID: MinBufSz Position */\r
-#define TPI_DEVID_MinBufSz_Msk             (0x7UL << TPI_DEVID_MinBufSz_Pos)           /*!< TPI DEVID: MinBufSz Mask */\r
-\r
-#define TPI_DEVID_AsynClkIn_Pos             5U                                         /*!< TPI DEVID: AsynClkIn Position */\r
-#define TPI_DEVID_AsynClkIn_Msk            (0x1UL << TPI_DEVID_AsynClkIn_Pos)          /*!< TPI DEVID: AsynClkIn Mask */\r
+#define TPI_DEVID_FIFOSZ_Pos                6U                                         /*!< TPI DEVID: FIFOSZ Position */\r
+#define TPI_DEVID_FIFOSZ_Msk               (0x7UL << TPI_DEVID_FIFOSZ_Pos)             /*!< TPI DEVID: FIFOSZ Mask */\r
 \r
 #define TPI_DEVID_NrTraceInput_Pos          0U                                         /*!< TPI DEVID: NrTraceInput Position */\r
-#define TPI_DEVID_NrTraceInput_Msk         (0x1FUL /*<< TPI_DEVID_NrTraceInput_Pos*/)  /*!< TPI DEVID: NrTraceInput Mask */\r
+#define TPI_DEVID_NrTraceInput_Msk         (0x3FUL /*<< TPI_DEVID_NrTraceInput_Pos*/)  /*!< TPI DEVID: NrTraceInput Mask */\r
 \r
 /* TPI DEVTYPE Register Definitions */\r
-#define TPI_DEVTYPE_MajorType_Pos           4U                                         /*!< TPI DEVTYPE: MajorType Position */\r
-#define TPI_DEVTYPE_MajorType_Msk          (0xFUL << TPI_DEVTYPE_MajorType_Pos)        /*!< TPI DEVTYPE: MajorType Mask */\r
-\r
-#define TPI_DEVTYPE_SubType_Pos             0U                                         /*!< TPI DEVTYPE: SubType Position */\r
+#define TPI_DEVTYPE_SubType_Pos             4U                                         /*!< TPI DEVTYPE: SubType Position */\r
 #define TPI_DEVTYPE_SubType_Msk            (0xFUL /*<< TPI_DEVTYPE_SubType_Pos*/)      /*!< TPI DEVTYPE: SubType Mask */\r
 \r
+#define TPI_DEVTYPE_MajorType_Pos           0U                                         /*!< TPI DEVTYPE: MajorType Position */\r
+#define TPI_DEVTYPE_MajorType_Msk          (0xFUL << TPI_DEVTYPE_MajorType_Pos)        /*!< TPI DEVTYPE: MajorType Mask */\r
+\r
 /*@}*/ /* end of group CMSIS_TPI */\r
 \r
 \r
@@ -1590,8 +1513,8 @@ typedef struct
 #define MPU_RNR_REGION_Msk                 (0xFFUL /*<< MPU_RNR_REGION_Pos*/)             /*!< MPU RNR: REGION Mask */\r
 \r
 /* MPU Region Base Address Register Definitions */\r
-#define MPU_RBAR_BASE_Pos                   5U                                            /*!< MPU RBAR: ADDR Position */\r
-#define MPU_RBAR_BASE_Msk                  (0x7FFFFFFUL << MPU_RBAR_BASE_Pos)             /*!< MPU RBAR: ADDR Mask */\r
+#define MPU_RBAR_BASE_Pos                   5U                                            /*!< MPU RBAR: BASE Position */\r
+#define MPU_RBAR_BASE_Msk                  (0x7FFFFFFUL << MPU_RBAR_BASE_Pos)             /*!< MPU RBAR: BASE Mask */\r
 \r
 #define MPU_RBAR_SH_Pos                     3U                                            /*!< MPU RBAR: SH Position */\r
 #define MPU_RBAR_SH_Msk                    (0x3UL << MPU_RBAR_SH_Pos)                     /*!< MPU RBAR: SH Mask */\r
@@ -2139,6 +2062,27 @@ typedef struct
 #define NVIC_USER_IRQ_OFFSET          16\r
 \r
 \r
+/* Special LR values for Secure/Non-Secure call handling and exception handling                                               */\r
+\r
+/* Function Return Payload (from ARMv8-M Architecture Reference Manual) LR value on entry from Secure BLXNS                   */ \r
+#define FNC_RETURN                 (0xFEFFFFFFUL)     /* bit [0] ignored when processing a branch                             */\r
+\r
+/* The following EXC_RETURN mask values are used to evaluate the LR on exception entry */\r
+#define EXC_RETURN_PREFIX          (0xFF000000UL)     /* bits [31:24] set to indicate an EXC_RETURN value                     */\r
+#define EXC_RETURN_S               (0x00000040UL)     /* bit [6] stack used to push registers: 0=Non-secure 1=Secure          */\r
+#define EXC_RETURN_DCRS            (0x00000020UL)     /* bit [5] stacking rules for called registers: 0=skipped 1=saved       */\r
+#define EXC_RETURN_FTYPE           (0x00000010UL)     /* bit [4] allocate stack for floating-point context: 0=done 1=skipped  */\r
+#define EXC_RETURN_MODE            (0x00000008UL)     /* bit [3] processor mode for return: 0=Handler mode 1=Thread mode      */\r
+#define EXC_RETURN_SPSEL           (0x00000004UL)     /* bit [2] stack pointer used to restore context: 0=MSP 1=PSP           */\r
+#define EXC_RETURN_ES              (0x00000001UL)     /* bit [0] security state exception was taken to: 0=Non-secure 1=Secure */\r
+\r
+/* Integrity Signature (from ARMv8-M Architecture Reference Manual) for exception context stacking                            */\r
+#if defined (__FPU_PRESENT) && (__FPU_PRESENT == 1U)  /* Value for processors with floating-point extension:                  */\r
+#define EXC_INTEGRITY_SIGNATURE     (0xFEFA125AUL)     /* bit [0] SFTC must match LR bit[4] EXC_RETURN_FTYPE                   */\r
+#else \r
+#define EXC_INTEGRITY_SIGNATURE     (0xFEFA125BUL)     /* Value for processors without floating-point extension                */\r
+#endif\r
+\r
 \r
 /**\r
   \brief   Set Priority Grouping\r
@@ -2158,7 +2102,7 @@ __STATIC_INLINE void __NVIC_SetPriorityGrouping(uint32_t PriorityGroup)
   reg_value &= ~((uint32_t)(SCB_AIRCR_VECTKEY_Msk | SCB_AIRCR_PRIGROUP_Msk)); /* clear bits to change               */\r
   reg_value  =  (reg_value                                   |\r
                 ((uint32_t)0x5FAUL << SCB_AIRCR_VECTKEY_Pos) |\r
-                (PriorityGroupTmp << 8U)                      );              /* Insert write key and priority group */\r
+                (PriorityGroupTmp << SCB_AIRCR_PRIGROUP_Pos)  );              /* Insert write key and priority group */\r
   SCB->AIRCR =  reg_value;\r
 }\r
 \r
@@ -2498,7 +2442,7 @@ __STATIC_INLINE uint32_t __NVIC_GetVector(IRQn_Type IRQn)
   \brief   System Reset\r
   \details Initiates a system reset request to reset the MCU.\r
  */\r
-__STATIC_INLINE void __NVIC_SystemReset(void)\r
+__NO_RETURN __STATIC_INLINE void __NVIC_SystemReset(void)\r
 {\r
   __DSB();                                                          /* Ensure all outstanding memory accesses included\r
                                                                        buffered write are completed before reset */\r
index 8fde91eb96692b9d8a502c3ccf7f874740aa9d3b..337eb6556889d1afbfc39e140f2f2b53c29c2067 100644 (file)
@@ -1,11 +1,11 @@
 /******************************************************************************\r
  * @file     mpu_armv7.h\r
  * @brief    CMSIS MPU API for Armv7-M MPU\r
- * @version  V5.0.4\r
- * @date     10. January 2018\r
+ * @version  V5.1.0\r
+ * @date     08. March 2019\r
  ******************************************************************************/\r
 /*\r
- * Copyright (c) 2017-2018 Arm Limited. All rights reserved.\r
+ * Copyright (c) 2017-2019 Arm Limited. All rights reserved.\r
  *\r
  * SPDX-License-Identifier: Apache-2.0\r
  *\r
 #ifndef ARM_MPU_ARMV7_H\r
 #define ARM_MPU_ARMV7_H\r
 \r
-#define ARM_MPU_REGION_SIZE_32B      ((uint8_t)0x04U)\r
-#define ARM_MPU_REGION_SIZE_64B      ((uint8_t)0x05U)\r
-#define ARM_MPU_REGION_SIZE_128B     ((uint8_t)0x06U)\r
-#define ARM_MPU_REGION_SIZE_256B     ((uint8_t)0x07U)\r
-#define ARM_MPU_REGION_SIZE_512B     ((uint8_t)0x08U)\r
-#define ARM_MPU_REGION_SIZE_1KB      ((uint8_t)0x09U)\r
-#define ARM_MPU_REGION_SIZE_2KB      ((uint8_t)0x0AU)\r
-#define ARM_MPU_REGION_SIZE_4KB      ((uint8_t)0x0BU)\r
-#define ARM_MPU_REGION_SIZE_8KB      ((uint8_t)0x0CU)\r
-#define ARM_MPU_REGION_SIZE_16KB     ((uint8_t)0x0DU)\r
-#define ARM_MPU_REGION_SIZE_32KB     ((uint8_t)0x0EU)\r
-#define ARM_MPU_REGION_SIZE_64KB     ((uint8_t)0x0FU)\r
-#define ARM_MPU_REGION_SIZE_128KB    ((uint8_t)0x10U)\r
-#define ARM_MPU_REGION_SIZE_256KB    ((uint8_t)0x11U)\r
-#define ARM_MPU_REGION_SIZE_512KB    ((uint8_t)0x12U)\r
-#define ARM_MPU_REGION_SIZE_1MB      ((uint8_t)0x13U)\r
-#define ARM_MPU_REGION_SIZE_2MB      ((uint8_t)0x14U)\r
-#define ARM_MPU_REGION_SIZE_4MB      ((uint8_t)0x15U)\r
-#define ARM_MPU_REGION_SIZE_8MB      ((uint8_t)0x16U)\r
-#define ARM_MPU_REGION_SIZE_16MB     ((uint8_t)0x17U)\r
-#define ARM_MPU_REGION_SIZE_32MB     ((uint8_t)0x18U)\r
-#define ARM_MPU_REGION_SIZE_64MB     ((uint8_t)0x19U)\r
-#define ARM_MPU_REGION_SIZE_128MB    ((uint8_t)0x1AU)\r
-#define ARM_MPU_REGION_SIZE_256MB    ((uint8_t)0x1BU)\r
-#define ARM_MPU_REGION_SIZE_512MB    ((uint8_t)0x1CU)\r
-#define ARM_MPU_REGION_SIZE_1GB      ((uint8_t)0x1DU)\r
-#define ARM_MPU_REGION_SIZE_2GB      ((uint8_t)0x1EU)\r
-#define ARM_MPU_REGION_SIZE_4GB      ((uint8_t)0x1FU)\r
-\r
-#define ARM_MPU_AP_NONE 0U \r
-#define ARM_MPU_AP_PRIV 1U\r
-#define ARM_MPU_AP_URO  2U\r
-#define ARM_MPU_AP_FULL 3U\r
-#define ARM_MPU_AP_PRO  5U\r
-#define ARM_MPU_AP_RO   6U\r
+#define ARM_MPU_REGION_SIZE_32B      ((uint8_t)0x04U) ///!< MPU Region Size 32 Bytes\r
+#define ARM_MPU_REGION_SIZE_64B      ((uint8_t)0x05U) ///!< MPU Region Size 64 Bytes\r
+#define ARM_MPU_REGION_SIZE_128B     ((uint8_t)0x06U) ///!< MPU Region Size 128 Bytes\r
+#define ARM_MPU_REGION_SIZE_256B     ((uint8_t)0x07U) ///!< MPU Region Size 256 Bytes\r
+#define ARM_MPU_REGION_SIZE_512B     ((uint8_t)0x08U) ///!< MPU Region Size 512 Bytes\r
+#define ARM_MPU_REGION_SIZE_1KB      ((uint8_t)0x09U) ///!< MPU Region Size 1 KByte\r
+#define ARM_MPU_REGION_SIZE_2KB      ((uint8_t)0x0AU) ///!< MPU Region Size 2 KBytes\r
+#define ARM_MPU_REGION_SIZE_4KB      ((uint8_t)0x0BU) ///!< MPU Region Size 4 KBytes\r
+#define ARM_MPU_REGION_SIZE_8KB      ((uint8_t)0x0CU) ///!< MPU Region Size 8 KBytes\r
+#define ARM_MPU_REGION_SIZE_16KB     ((uint8_t)0x0DU) ///!< MPU Region Size 16 KBytes\r
+#define ARM_MPU_REGION_SIZE_32KB     ((uint8_t)0x0EU) ///!< MPU Region Size 32 KBytes\r
+#define ARM_MPU_REGION_SIZE_64KB     ((uint8_t)0x0FU) ///!< MPU Region Size 64 KBytes\r
+#define ARM_MPU_REGION_SIZE_128KB    ((uint8_t)0x10U) ///!< MPU Region Size 128 KBytes\r
+#define ARM_MPU_REGION_SIZE_256KB    ((uint8_t)0x11U) ///!< MPU Region Size 256 KBytes\r
+#define ARM_MPU_REGION_SIZE_512KB    ((uint8_t)0x12U) ///!< MPU Region Size 512 KBytes\r
+#define ARM_MPU_REGION_SIZE_1MB      ((uint8_t)0x13U) ///!< MPU Region Size 1 MByte\r
+#define ARM_MPU_REGION_SIZE_2MB      ((uint8_t)0x14U) ///!< MPU Region Size 2 MBytes\r
+#define ARM_MPU_REGION_SIZE_4MB      ((uint8_t)0x15U) ///!< MPU Region Size 4 MBytes\r
+#define ARM_MPU_REGION_SIZE_8MB      ((uint8_t)0x16U) ///!< MPU Region Size 8 MBytes\r
+#define ARM_MPU_REGION_SIZE_16MB     ((uint8_t)0x17U) ///!< MPU Region Size 16 MBytes\r
+#define ARM_MPU_REGION_SIZE_32MB     ((uint8_t)0x18U) ///!< MPU Region Size 32 MBytes\r
+#define ARM_MPU_REGION_SIZE_64MB     ((uint8_t)0x19U) ///!< MPU Region Size 64 MBytes\r
+#define ARM_MPU_REGION_SIZE_128MB    ((uint8_t)0x1AU) ///!< MPU Region Size 128 MBytes\r
+#define ARM_MPU_REGION_SIZE_256MB    ((uint8_t)0x1BU) ///!< MPU Region Size 256 MBytes\r
+#define ARM_MPU_REGION_SIZE_512MB    ((uint8_t)0x1CU) ///!< MPU Region Size 512 MBytes\r
+#define ARM_MPU_REGION_SIZE_1GB      ((uint8_t)0x1DU) ///!< MPU Region Size 1 GByte\r
+#define ARM_MPU_REGION_SIZE_2GB      ((uint8_t)0x1EU) ///!< MPU Region Size 2 GBytes\r
+#define ARM_MPU_REGION_SIZE_4GB      ((uint8_t)0x1FU) ///!< MPU Region Size 4 GBytes\r
+\r
+#define ARM_MPU_AP_NONE 0U ///!< MPU Access Permission no access\r
+#define ARM_MPU_AP_PRIV 1U ///!< MPU Access Permission privileged access only\r
+#define ARM_MPU_AP_URO  2U ///!< MPU Access Permission unprivileged access read-only\r
+#define ARM_MPU_AP_FULL 3U ///!< MPU Access Permission full access\r
+#define ARM_MPU_AP_PRO  5U ///!< MPU Access Permission privileged access read-only\r
+#define ARM_MPU_AP_RO   6U ///!< MPU Access Permission read-only access\r
 \r
 /** MPU Region Base Address Register Value\r
 *\r
    ((Region) & MPU_RBAR_REGION_Msk)    |  \\r
    (MPU_RBAR_VALID_Msk))\r
 \r
+/**\r
+* MPU Memory Access Attributes\r
+* \r
+* \param TypeExtField      Type extension field, allows you to configure memory access type, for example strongly ordered, peripheral.\r
+* \param IsShareable       Region is shareable between multiple bus masters.\r
+* \param IsCacheable       Region is cacheable, i.e. its value may be kept in cache.\r
+* \param IsBufferable      Region is bufferable, i.e. using write-back caching. Cacheable but non-bufferable regions use write-through policy.\r
+*/  \r
+#define ARM_MPU_ACCESS_(TypeExtField, IsShareable, IsCacheable, IsBufferable)   \\r
+  ((((TypeExtField) << MPU_RASR_TEX_Pos) & MPU_RASR_TEX_Msk)                  | \\r
+   (((IsShareable)  << MPU_RASR_S_Pos)   & MPU_RASR_S_Msk)                    | \\r
+   (((IsCacheable)  << MPU_RASR_C_Pos)   & MPU_RASR_C_Msk)                    | \\r
+   (((IsBufferable) << MPU_RASR_B_Pos)   & MPU_RASR_B_Msk))\r
+\r
+/**\r
+* MPU Region Attribute and Size Register Value\r
+* \r
+* \param DisableExec       Instruction access disable bit, 1= disable instruction fetches.\r
+* \param AccessPermission  Data access permissions, allows you to configure read/write access for User and Privileged mode.\r
+* \param AccessAttributes  Memory access attribution, see \ref ARM_MPU_ACCESS_.\r
+* \param SubRegionDisable  Sub-region disable field.\r
+* \param Size              Region size of the region to be configured, for example 4K, 8K.\r
+*/\r
+#define ARM_MPU_RASR_EX(DisableExec, AccessPermission, AccessAttributes, SubRegionDisable, Size)    \\r
+  ((((DisableExec)      << MPU_RASR_XN_Pos)   & MPU_RASR_XN_Msk)                                  | \\r
+   (((AccessPermission) << MPU_RASR_AP_Pos)   & MPU_RASR_AP_Msk)                                  | \\r
+   (((AccessAttributes) & (MPU_RASR_TEX_Msk | MPU_RASR_S_Msk | MPU_RASR_C_Msk | MPU_RASR_B_Msk))) | \\r
+   (((SubRegionDisable) << MPU_RASR_SRD_Pos)  & MPU_RASR_SRD_Msk)                                 | \\r
+   (((Size)             << MPU_RASR_SIZE_Pos) & MPU_RASR_SIZE_Msk)                                | \\r
+   (((MPU_RASR_ENABLE_Msk))))\r
+\r
 /**\r
 * MPU Region Attribute and Size Register Value\r
 * \r
 * \param Size              Region size of the region to be configured, for example 4K, 8K.\r
 */                         \r
 #define ARM_MPU_RASR(DisableExec, AccessPermission, TypeExtField, IsShareable, IsCacheable, IsBufferable, SubRegionDisable, Size) \\r
-  ((((DisableExec     ) << MPU_RASR_XN_Pos)     & MPU_RASR_XN_Msk)     | \\r
-   (((AccessPermission) << MPU_RASR_AP_Pos)     & MPU_RASR_AP_Msk)     | \\r
-   (((TypeExtField    ) << MPU_RASR_TEX_Pos)    & MPU_RASR_TEX_Msk)    | \\r
-   (((IsShareable     ) << MPU_RASR_S_Pos)      & MPU_RASR_S_Msk)      | \\r
-   (((IsCacheable     ) << MPU_RASR_C_Pos)      & MPU_RASR_C_Msk)      | \\r
-   (((IsBufferable    ) << MPU_RASR_B_Pos)      & MPU_RASR_B_Msk)      | \\r
-   (((SubRegionDisable) << MPU_RASR_SRD_Pos)    & MPU_RASR_SRD_Msk)    | \\r
-   (((Size            ) << MPU_RASR_SIZE_Pos)   & MPU_RASR_SIZE_Msk)   | \\r
-   (MPU_RASR_ENABLE_Msk))\r
+  ARM_MPU_RASR_EX(DisableExec, AccessPermission, ARM_MPU_ACCESS_(TypeExtField, IsShareable, IsCacheable, IsBufferable), SubRegionDisable, Size)\r
+\r
+/**\r
+* MPU Memory Access Attribute for strongly ordered memory.\r
+*  - TEX: 000b\r
+*  - Shareable\r
+*  - Non-cacheable\r
+*  - Non-bufferable\r
+*/ \r
+#define ARM_MPU_ACCESS_ORDERED ARM_MPU_ACCESS_(0U, 1U, 0U, 0U)\r
+\r
+/**\r
+* MPU Memory Access Attribute for device memory.\r
+*  - TEX: 000b (if shareable) or 010b (if non-shareable)\r
+*  - Shareable or non-shareable\r
+*  - Non-cacheable\r
+*  - Bufferable (if shareable) or non-bufferable (if non-shareable)\r
+*\r
+* \param IsShareable Configures the device memory as shareable or non-shareable.\r
+*/ \r
+#define ARM_MPU_ACCESS_DEVICE(IsShareable) ((IsShareable) ? ARM_MPU_ACCESS_(0U, 1U, 0U, 1U) : ARM_MPU_ACCESS_(2U, 0U, 0U, 0U))\r
+\r
+/**\r
+* MPU Memory Access Attribute for normal memory.\r
+*  - TEX: 1BBb (reflecting outer cacheability rules)\r
+*  - Shareable or non-shareable\r
+*  - Cacheable or non-cacheable (reflecting inner cacheability rules)\r
+*  - Bufferable or non-bufferable (reflecting inner cacheability rules)\r
+*\r
+* \param OuterCp Configures the outer cache policy.\r
+* \param InnerCp Configures the inner cache policy.\r
+* \param IsShareable Configures the memory as shareable or non-shareable.\r
+*/ \r
+#define ARM_MPU_ACCESS_NORMAL(OuterCp, InnerCp, IsShareable) ARM_MPU_ACCESS_((4U | (OuterCp)), IsShareable, ((InnerCp) & 2U), ((InnerCp) & 1U))\r
+\r
+/**\r
+* MPU Memory Access Attribute non-cacheable policy.\r
+*/\r
+#define ARM_MPU_CACHEP_NOCACHE 0U\r
+\r
+/**\r
+* MPU Memory Access Attribute write-back, write and read allocate policy.\r
+*/\r
+#define ARM_MPU_CACHEP_WB_WRA 1U\r
+\r
+/**\r
+* MPU Memory Access Attribute write-through, no write allocate policy.\r
+*/\r
+#define ARM_MPU_CACHEP_WT_NWA 2U\r
+\r
+/**\r
+* MPU Memory Access Attribute write-back, no write allocate policy.\r
+*/\r
+#define ARM_MPU_CACHEP_WB_NWA 3U\r
 \r
 \r
 /**\r
@@ -114,20 +190,19 @@ typedef struct {
 */\r
 __STATIC_INLINE void ARM_MPU_Enable(uint32_t MPU_Control)\r
 {\r
-  __DSB();\r
-  __ISB();\r
   MPU->CTRL = MPU_Control | MPU_CTRL_ENABLE_Msk;\r
 #ifdef SCB_SHCSR_MEMFAULTENA_Msk\r
   SCB->SHCSR |= SCB_SHCSR_MEMFAULTENA_Msk;\r
 #endif\r
+  __DSB();\r
+  __ISB();\r
 }\r
 \r
 /** Disable the MPU.\r
 */\r
 __STATIC_INLINE void ARM_MPU_Disable(void)\r
 {\r
-  __DSB();\r
-  __ISB();\r
+  __DMB();\r
 #ifdef SCB_SHCSR_MEMFAULTENA_Msk\r
   SCB->SHCSR &= ~SCB_SHCSR_MEMFAULTENA_Msk;\r
 #endif\r
@@ -170,7 +245,7 @@ __STATIC_INLINE void ARM_MPU_SetRegionEx(uint32_t rnr, uint32_t rbar, uint32_t r
 * \param src Source data is copied from.\r
 * \param len Amount of data words to be copied.\r
 */\r
-__STATIC_INLINE void orderedCpy(volatile uint32_t* dst, const uint32_t* __RESTRICT src, uint32_t len)\r
+__STATIC_INLINE void ARM_MPU_OrderedMemcpy(volatile uint32_t* dst, const uint32_t* __RESTRICT src, uint32_t len)\r
 {\r
   uint32_t i;\r
   for (i = 0U; i < len; ++i) \r
@@ -187,11 +262,11 @@ __STATIC_INLINE void ARM_MPU_Load(ARM_MPU_Region_t const* table, uint32_t cnt)
 {\r
   const uint32_t rowWordSize = sizeof(ARM_MPU_Region_t)/4U;\r
   while (cnt > MPU_TYPE_RALIASES) {\r
-    orderedCpy(&(MPU->RBAR), &(table->RBAR), MPU_TYPE_RALIASES*rowWordSize);\r
+    ARM_MPU_OrderedMemcpy(&(MPU->RBAR), &(table->RBAR), MPU_TYPE_RALIASES*rowWordSize);\r
     table += MPU_TYPE_RALIASES;\r
     cnt -= MPU_TYPE_RALIASES;\r
   }\r
-  orderedCpy(&(MPU->RBAR), &(table->RBAR), cnt*rowWordSize);\r
+  ARM_MPU_OrderedMemcpy(&(MPU->RBAR), &(table->RBAR), cnt*rowWordSize);\r
 }\r
 \r
 #endif\r
index 656ca6b551f793978b7bb80c8aed4509cca03dab..2fe28b687f2276ba20ef9598d73a7d41f396b350 100644 (file)
@@ -1,11 +1,11 @@
 /******************************************************************************\r
  * @file     mpu_armv8.h\r
- * @brief    CMSIS MPU API for Armv8-M MPU\r
- * @version  V5.0.4\r
- * @date     10. January 2018\r
+ * @brief    CMSIS MPU API for Armv8-M and Armv8.1-M MPU\r
+ * @version  V5.1.0\r
+ * @date     08. March 2019\r
  ******************************************************************************/\r
 /*\r
- * Copyright (c) 2017-2018 Arm Limited. All rights reserved.\r
+ * Copyright (c) 2017-2019 Arm Limited. All rights reserved.\r
  *\r
  * SPDX-License-Identifier: Apache-2.0\r
  *\r
@@ -87,7 +87,7 @@
 * \oaram XN eXecute Never: Set to 1 for a non-executable memory region.\r
 */\r
 #define ARM_MPU_RBAR(BASE, SH, RO, NP, XN) \\r
-  ((BASE & MPU_RBAR_BASE_Pos) | \\r
+  ((BASE & MPU_RBAR_BASE_Msk) | \\r
   ((SH << MPU_RBAR_SH_Pos) & MPU_RBAR_SH_Msk) | \\r
   ((ARM_MPU_AP_(RO, NP) << MPU_RBAR_AP_Pos) & MPU_RBAR_AP_Msk) | \\r
   ((XN << MPU_RBAR_XN_Pos) & MPU_RBAR_XN_Msk))\r
   ((IDX << MPU_RLAR_AttrIndx_Pos) & MPU_RLAR_AttrIndx_Msk) | \\r
   (MPU_RLAR_EN_Msk))\r
 \r
+#if defined(MPU_RLAR_PXN_Pos)\r
+  \r
+/** \brief Region Limit Address Register with PXN value\r
+* \param LIMIT The limit address bits [31:5] for this memory region. The value is one extended.\r
+* \param PXN Privileged execute never. Defines whether code can be executed from this privileged region.\r
+* \param IDX The attribute index to be associated with this memory region.\r
+*/\r
+#define ARM_MPU_RLAR_PXN(LIMIT, PXN, IDX) \\r
+  ((LIMIT & MPU_RLAR_LIMIT_Msk) | \\r
+  ((PXN << MPU_RLAR_PXN_Pos) & MPU_RLAR_PXN_Msk) | \\r
+  ((IDX << MPU_RLAR_AttrIndx_Pos) & MPU_RLAR_AttrIndx_Msk) | \\r
+  (MPU_RLAR_EN_Msk))\r
+  \r
+#endif\r
+\r
 /**\r
 * Struct for a single MPU Region\r
 */\r
@@ -114,20 +129,19 @@ typedef struct {
 */\r
 __STATIC_INLINE void ARM_MPU_Enable(uint32_t MPU_Control)\r
 {\r
-  __DSB();\r
-  __ISB();\r
   MPU->CTRL = MPU_Control | MPU_CTRL_ENABLE_Msk;\r
 #ifdef SCB_SHCSR_MEMFAULTENA_Msk\r
   SCB->SHCSR |= SCB_SHCSR_MEMFAULTENA_Msk;\r
 #endif\r
+  __DSB();\r
+  __ISB();\r
 }\r
 \r
 /** Disable the MPU.\r
 */\r
 __STATIC_INLINE void ARM_MPU_Disable(void)\r
 {\r
-  __DSB();\r
-  __ISB();\r
+  __DMB();\r
 #ifdef SCB_SHCSR_MEMFAULTENA_Msk\r
   SCB->SHCSR &= ~SCB_SHCSR_MEMFAULTENA_Msk;\r
 #endif\r
@@ -140,20 +154,19 @@ __STATIC_INLINE void ARM_MPU_Disable(void)
 */\r
 __STATIC_INLINE void ARM_MPU_Enable_NS(uint32_t MPU_Control)\r
 {\r
-  __DSB();\r
-  __ISB();\r
   MPU_NS->CTRL = MPU_Control | MPU_CTRL_ENABLE_Msk;\r
 #ifdef SCB_SHCSR_MEMFAULTENA_Msk\r
   SCB_NS->SHCSR |= SCB_SHCSR_MEMFAULTENA_Msk;\r
 #endif\r
+  __DSB();\r
+  __ISB();\r
 }\r
 \r
 /** Disable the Non-secure MPU.\r
 */\r
 __STATIC_INLINE void ARM_MPU_Disable_NS(void)\r
 {\r
-  __DSB();\r
-  __ISB();\r
+  __DMB();\r
 #ifdef SCB_SHCSR_MEMFAULTENA_Msk\r
   SCB_NS->SHCSR &= ~SCB_SHCSR_MEMFAULTENA_Msk;\r
 #endif\r
@@ -267,7 +280,7 @@ __STATIC_INLINE void ARM_MPU_SetRegion_NS(uint32_t rnr, uint32_t rbar, uint32_t
 * \param src Source data is copied from.\r
 * \param len Amount of data words to be copied.\r
 */\r
-__STATIC_INLINE void orderedCpy(volatile uint32_t* dst, const uint32_t* __RESTRICT src, uint32_t len)\r
+__STATIC_INLINE void ARM_MPU_OrderedMemcpy(volatile uint32_t* dst, const uint32_t* __RESTRICT src, uint32_t len)\r
 {\r
   uint32_t i;\r
   for (i = 0U; i < len; ++i) \r
@@ -287,7 +300,7 @@ __STATIC_INLINE void ARM_MPU_LoadEx(MPU_Type* mpu, uint32_t rnr, ARM_MPU_Region_
   const uint32_t rowWordSize = sizeof(ARM_MPU_Region_t)/4U;\r
   if (cnt == 1U) {\r
     mpu->RNR = rnr;\r
-    orderedCpy(&(mpu->RBAR), &(table->RBAR), rowWordSize);\r
+    ARM_MPU_OrderedMemcpy(&(mpu->RBAR), &(table->RBAR), rowWordSize);\r
   } else {\r
     uint32_t rnrBase   = rnr & ~(MPU_TYPE_RALIASES-1U);\r
     uint32_t rnrOffset = rnr % MPU_TYPE_RALIASES;\r
@@ -295,7 +308,7 @@ __STATIC_INLINE void ARM_MPU_LoadEx(MPU_Type* mpu, uint32_t rnr, ARM_MPU_Region_
     mpu->RNR = rnrBase;\r
     while ((rnrOffset + cnt) > MPU_TYPE_RALIASES) {\r
       uint32_t c = MPU_TYPE_RALIASES - rnrOffset;\r
-      orderedCpy(&(mpu->RBAR)+(rnrOffset*2U), &(table->RBAR), c*rowWordSize);\r
+      ARM_MPU_OrderedMemcpy(&(mpu->RBAR)+(rnrOffset*2U), &(table->RBAR), c*rowWordSize);\r
       table += c;\r
       cnt -= c;\r
       rnrOffset = 0U;\r
@@ -303,7 +316,7 @@ __STATIC_INLINE void ARM_MPU_LoadEx(MPU_Type* mpu, uint32_t rnr, ARM_MPU_Region_
       mpu->RNR = rnrBase;\r
     }\r
     \r
-    orderedCpy(&(mpu->RBAR)+(rnrOffset*2U), &(table->RBAR), cnt*rowWordSize);\r
+    ARM_MPU_OrderedMemcpy(&(mpu->RBAR)+(rnrOffset*2U), &(table->RBAR), cnt*rowWordSize);\r
   }\r
 }\r
 \r
index 4feffc1a0d90e5f65b5b48aacce3482b56979f16..4b8368959cbdf917b7c4af856526846c3bf225f8 100644 (file)
 #if defined(SDK_I2C_BASED_COMPONENT_USED) && SDK_I2C_BASED_COMPONENT_USED\r
 #include "fsl_i2c.h"\r
 #endif /* SDK_I2C_BASED_COMPONENT_USED */\r
-#if defined BOARD_USE_CODEC\r
-#include "fsl_wm8904.h"\r
-#endif\r
 \r
 /*******************************************************************************\r
  * Variables\r
  ******************************************************************************/\r
 \r
-#if defined BOARD_USE_CODEC\r
-codec_config_t boardCodecConfig = {.I2C_SendFunc = BOARD_Codec_I2C_Send,\r
-                                   .I2C_ReceiveFunc = BOARD_Codec_I2C_Receive,\r
-                                   .op.Init = WM8904_Init,\r
-                                   .op.Deinit = WM8904_Deinit,\r
-                                   .op.SetFormat = WM8904_SetAudioFormat};\r
-#endif\r
-\r
 /*******************************************************************************\r
  * Code\r
  ******************************************************************************/\r
@@ -36,7 +25,7 @@ void BOARD_InitDebugConsole(void)
 {\r
     /* attach 12 MHz clock to FLEXCOMM0 (debug console) */\r
     CLOCK_AttachClk(BOARD_DEBUG_UART_CLK_ATTACH);\r
-    \r
+\r
     RESET_ClearPeripheralReset(BOARD_DEBUG_UART_RST);\r
 \r
     uint32_t uartClkSrcFreq = BOARD_DEBUG_UART_CLK_FREQ;\r
@@ -46,6 +35,9 @@ void BOARD_InitDebugConsole(void)
 \r
 void BOARD_InitDebugConsole_Core1(void)\r
 {\r
+    /* attach 12 MHz clock to FLEXCOMM1 (debug console) */\r
+    CLOCK_AttachClk(BOARD_DEBUG_UART_CLK_ATTACH_CORE1);\r
+\r
     RESET_ClearPeripheralReset(BOARD_DEBUG_UART_RST_CORE1);\r
 \r
     uint32_t uartClkSrcFreq = BOARD_DEBUG_UART_CLK_FREQ_CORE1;\r
@@ -73,13 +65,13 @@ status_t BOARD_I2C_Send(I2C_Type *base,
     i2c_master_transfer_t masterXfer;\r
 \r
     /* Prepare transfer structure. */\r
-    masterXfer.slaveAddress = deviceAddress;\r
-    masterXfer.direction = kI2C_Write;\r
-    masterXfer.subaddress = subAddress;\r
+    masterXfer.slaveAddress   = deviceAddress;\r
+    masterXfer.direction      = kI2C_Write;\r
+    masterXfer.subaddress     = subAddress;\r
     masterXfer.subaddressSize = subaddressSize;\r
-    masterXfer.data = txBuff;\r
-    masterXfer.dataSize = txBuffSize;\r
-    masterXfer.flags = kI2C_TransferDefaultFlag;\r
+    masterXfer.data           = txBuff;\r
+    masterXfer.dataSize       = txBuffSize;\r
+    masterXfer.flags          = kI2C_TransferDefaultFlag;\r
 \r
     return I2C_MasterTransferBlocking(base, &masterXfer);\r
 }\r
@@ -94,13 +86,13 @@ status_t BOARD_I2C_Receive(I2C_Type *base,
     i2c_master_transfer_t masterXfer;\r
 \r
     /* Prepare transfer structure. */\r
-    masterXfer.slaveAddress = deviceAddress;\r
-    masterXfer.subaddress = subAddress;\r
+    masterXfer.slaveAddress   = deviceAddress;\r
+    masterXfer.subaddress     = subAddress;\r
     masterXfer.subaddressSize = subaddressSize;\r
-    masterXfer.data = rxBuff;\r
-    masterXfer.dataSize = rxBuffSize;\r
-    masterXfer.direction = kI2C_Read;\r
-    masterXfer.flags = kI2C_TransferDefaultFlag;\r
+    masterXfer.data           = rxBuff;\r
+    masterXfer.dataSize       = rxBuffSize;\r
+    masterXfer.direction      = kI2C_Read;\r
+    masterXfer.flags          = kI2C_TransferDefaultFlag;\r
 \r
     return I2C_MasterTransferBlocking(base, &masterXfer);\r
 }\r
index 1ba04a78d45c8d746ba008799d7a6e517672225e..50335c248271b60632be76afc093d8902471e8c8 100644 (file)
@@ -18,7 +18,7 @@
  * Definitions\r
  ******************************************************************************/\r
 /*! @brief The board name */\r
-#define BOARD_NAME "LPCXpresso5500"\r
+#define BOARD_NAME "LPCXpresso55S69"\r
 \r
 /*! @brief The UART to use for debug messages. */\r
 /* TODO: rename UART to USART */\r
@@ -55,7 +55,7 @@
 \r
 #define BOARD_CODEC_I2C_BASEADDR I2C4\r
 #define BOARD_CODEC_I2C_CLOCK_FREQ 12000000\r
-\r
+#define BOARD_CODEC_I2C_INSTANCE 4\r
 #ifndef BOARD_LED_RED_GPIO\r
 #define BOARD_LED_RED_GPIO GPIO\r
 #endif\r
 \r
 #define BOARD_SDIF_BASEADDR SDIF\r
 #define BOARD_SDIF_CLKSRC kCLOCK_SDio\r
-#define BOARD_SDIF_CLK_FREQ CLOCK_GetFreq(kCLOCK_SDio)\r
+#define BOARD_SDIF_CLK_FREQ CLOCK_GetSdioClkFreq()\r
 #define BOARD_SDIF_CLK_ATTACH kMAIN_CLK_to_SDIO_CLK\r
 #define BOARD_SDIF_IRQ SDIO_IRQn\r
 #define BOARD_MMC_VCC_SUPPLY kMMC_VoltageWindows270to360\r
 \r
 #define BOARD_SDIF_CLK_ATTACH kMAIN_CLK_to_SDIO_CLK\r
 \r
-#define LED_RED_INIT(output)                                                          \\r
-    {                                                                                 \\r
-    IOCON_PinMuxSet(IOCON, BOARD_LED_RED_GPIO_PORT, BOARD_LED_RED_GPIO_PIN,           \\r
-        (IOCON_PIO_FUNC0 |IOCON_PIO_MODE_INACT |IOCON_PIO_SLEW_STANDARD |IOCON_PIO_INV_DI |IOCON_PIO_DIGITAL_EN |IOCON_PIO_OPENDRAIN_DI));  \\r
-    GPIO_PinInit(BOARD_LED_RED_GPIO, BOARD_LED_RED_GPIO_PORT, BOARD_LED_RED_GPIO_PIN, \\r
-                 &(gpio_pin_config_t){kGPIO_DigitalOutput, (output)}); /*!< Enable target LED1 */  \\r
+#define LED_RED_INIT(output)                                                                          \\r
+    {                                                                                                 \\r
+        IOCON_PinMuxSet(IOCON, BOARD_LED_RED_GPIO_PORT, BOARD_LED_RED_GPIO_PIN, IOCON_DIGITAL_EN);    \\r
+        GPIO_PinInit(BOARD_LED_RED_GPIO, BOARD_LED_RED_GPIO_PORT, BOARD_LED_RED_GPIO_PIN,             \\r
+                     &(gpio_pin_config_t){kGPIO_DigitalOutput, (output)}); /*!< Enable target LED1 */ \\r
     }\r
 #define LED_RED_ON()                                            \\r
     GPIO_PortClear(BOARD_LED_RED_GPIO, BOARD_LED_RED_GPIO_PORT, \\r
 #define LED_RED_OFF()                                                                        \\r
     GPIO_PortSet(BOARD_LED_RED_GPIO, BOARD_LED_RED_GPIO_PORT,                                \\r
                  1U << BOARD_LED_RED_GPIO_PIN) /*!< Turn off target LED1 \ \ \ \ \ \ \ \ \ \ \\r
-                                                            */\r
+                                                */\r
 #define LED_RED_TOGGLE()                                         \\r
     GPIO_PortToggle(BOARD_LED_RED_GPIO, BOARD_LED_RED_GPIO_PORT, \\r
                     1U << BOARD_LED_RED_GPIO_PIN) /*!< Toggle on target LED1 */\r
 \r
-#define LED_BLUE_INIT(output)                                                            \\r
-    {                                                                                    \\r
-    IOCON_PinMuxSet(IOCON, BOARD_LED_BLUE_GPIO_PORT, BOARD_LED_BLUE_GPIO_PIN,            \\r
-        (IOCON_PIO_FUNC0 |IOCON_PIO_MODE_INACT |IOCON_PIO_SLEW_STANDARD |IOCON_PIO_INV_DI |IOCON_PIO_DIGITAL_EN |IOCON_PIO_OPENDRAIN_DI));  \\r
-    GPIO_PinInit(BOARD_LED_BLUE_GPIO, BOARD_LED_BLUE_GPIO_PORT, BOARD_LED_BLUE_GPIO_PIN, \\r
-                 &(gpio_pin_config_t){kGPIO_DigitalOutput, (output)}); /*!< Enable target LED1 */  \\r
+#define LED_BLUE_INIT(output)                                                                         \\r
+    {                                                                                                 \\r
+        IOCON_PinMuxSet(IOCON, BOARD_LED_BLUE_GPIO_PORT, BOARD_LED_BLUE_GPIO_PIN, IOCON_DIGITAL_EN);  \\r
+        GPIO_PinInit(BOARD_LED_BLUE_GPIO, BOARD_LED_BLUE_GPIO_PORT, BOARD_LED_BLUE_GPIO_PIN,          \\r
+                     &(gpio_pin_config_t){kGPIO_DigitalOutput, (output)}); /*!< Enable target LED1 */ \\r
     }\r
 #define LED_BLUE_ON()                                             \\r
     GPIO_PortClear(BOARD_LED_BLUE_GPIO, BOARD_LED_BLUE_GPIO_PORT, \\r
 #define BOARD_INITSILEX2401SHIELD_IRQ_PORT 0U\r
 #define BOARD_INITSILEX2401SHIELD_IRQ_GPIO_PIN 15U\r
 \r
+/*! @brief The WIFI-QCA shield pin. */\r
+#define BOARD_INITWIFI10CLICKSHIELD_PWRON_GPIO GPIO\r
+#define BOARD_INITWIFI10CLICKSHIELD_PWRON_PORT 1U\r
+#define BOARD_INITWIFI10CLICKSHIELD_PWRON_PIN 5U\r
+\r
+#define BOARD_INITWIFI10CLICKSHIELD_IRQ_GPIO GPIO\r
+#define BOARD_INITWIFI10CLICKSHIELD_IRQ_PORT 1U\r
+#define BOARD_INITWIFI10CLICKSHIELD_IRQ_GPIO_PIN 18U\r
+\r
+/* Display. */\r
+#define BOARD_LCD_DC_GPIO GPIO\r
+#define BOARD_LCD_DC_GPIO_PORT 1U\r
+#define BOARD_LCD_DC_GPIO_PIN 5U\r
+\r
 #if defined(__cplusplus)\r
 extern "C" {\r
 #endif /* __cplusplus */\r
index d064076b58ea9e831a3e1e9aa813f2c7f96e5d71..aa498d9451141f877a0aa050dbe7d3f9f684994b 100644 (file)
@@ -1,10 +1,9 @@
 /*\r
- * Copyright 2017-2018 NXP\r
+ * Copyright 2017-2019 NXP\r
  * All rights reserved.\r
  *\r
  * SPDX-License-Identifier: BSD-3-Clause\r
  */\r
-\r
 /***********************************************************************************************************************\r
  * This file was generated by the MCUXpresso Config Tools. Any manual edits made to this file\r
  * will be overwritten if the respective MCUXpresso Config Tools is used to update this file.\r
 /* clang-format off */\r
 /* TEXT BELOW IS USED AS SETTING FOR TOOLS *************************************\r
 !!GlobalInfo\r
-product: Clocks v5.0\r
+product: Clocks v7.0\r
 processor: LPC55S69\r
 package_id: LPC55S69JBD100\r
 mcu_data: ksdk2_0\r
-processor_version: 0.0.6\r
+processor_version: 0.7.2\r
  * BE CAREFUL MODIFYING THIS COMMENT - IT IS YAML SETTINGS FOR TOOLS **********/\r
 /* clang-format on */\r
 \r
@@ -51,7 +50,7 @@ extern uint32_t SystemCoreClock;
  ******************************************************************************/\r
 void BOARD_InitBootClocks(void)\r
 {\r
-    BOARD_BootClockFROHF96M();\r
+    BOARD_BootClockPLL150M();\r
 }\r
 \r
 /*******************************************************************************\r
@@ -81,20 +80,21 @@ void BOARD_BootClockFRO12M(void)
 #ifndef SDK_SECONDARY_CORE\r
     /*!< Set up the clock sources */\r
     /*!< Configure FRO192M */\r
-    POWER_DisablePD(kPDRUNCFG_PD_FRO192M);               /*!< Ensure FRO is on  */\r
-    CLOCK_SetupFROClocking(12000000U);                   /*!< Set up FRO to the 12 MHz, just for sure */\r
-    CLOCK_AttachClk(kFRO12M_to_MAIN_CLK);                /*!< Switch to FRO 12MHz first to ensure we can change the clock setting */\r
+    POWER_DisablePD(kPDRUNCFG_PD_FRO192M); /*!< Ensure FRO is on  */\r
+    CLOCK_SetupFROClocking(12000000U);     /*!< Set up FRO to the 12 MHz, just for sure */\r
+    CLOCK_AttachClk(kFRO12M_to_MAIN_CLK);  /*!< Switch to FRO 12MHz first to ensure we can change the clock setting */\r
 \r
-    CLOCK_SetupFROClocking(96000000U);                   /* Enable FRO HF(96MHz) output */\r
+    CLOCK_SetupFROClocking(96000000U); /* Enable FRO HF(96MHz) output */\r
 \r
-    POWER_SetVoltageForFreq(12000000U);                  /*!< Set voltage for the one of the fastest clock outputs: System clock output */\r
-    CLOCK_SetFLASHAccessCyclesForFreq(12000000U);          /*!< Set FLASH wait states for core */\r
+    POWER_SetVoltageForFreq(\r
+        12000000U); /*!< Set voltage for the one of the fastest clock outputs: System clock output */\r
+    CLOCK_SetFLASHAccessCyclesForFreq(12000000U); /*!< Set FLASH wait states for core */\r
 \r
     /*!< Set up dividers */\r
-    CLOCK_SetClkDiv(kCLOCK_DivAhbClk, 1U, false);         /*!< Set AHBCLKDIV divider to value 1 */\r
+    CLOCK_SetClkDiv(kCLOCK_DivAhbClk, 1U, false); /*!< Set AHBCLKDIV divider to value 1 */\r
 \r
     /*!< Set up clock selectors - Attach clocks to the peripheries */\r
-    CLOCK_AttachClk(kFRO12M_to_MAIN_CLK);                 /*!< Switch MAIN_CLK to FRO12M */\r
+    CLOCK_AttachClk(kFRO12M_to_MAIN_CLK); /*!< Switch MAIN_CLK to FRO12M */\r
 \r
     /*< Set SystemCoreClock variable. */\r
     SystemCoreClock = BOARD_BOOTCLOCKFRO12M_CORE_CLOCK;\r
@@ -108,7 +108,6 @@ void BOARD_BootClockFRO12M(void)
 /* TEXT BELOW IS USED AS SETTING FOR TOOLS *************************************\r
 !!Configuration\r
 name: BOARD_BootClockFROHF96M\r
-called_from_default_init: true\r
 outputs:\r
 - {id: System_clock.outFreq, value: 96 MHz}\r
 settings:\r
@@ -130,20 +129,21 @@ void BOARD_BootClockFROHF96M(void)
 #ifndef SDK_SECONDARY_CORE\r
     /*!< Set up the clock sources */\r
     /*!< Configure FRO192M */\r
-    POWER_DisablePD(kPDRUNCFG_PD_FRO192M);               /*!< Ensure FRO is on  */\r
-    CLOCK_SetupFROClocking(12000000U);                   /*!< Set up FRO to the 12 MHz, just for sure */\r
-    CLOCK_AttachClk(kFRO12M_to_MAIN_CLK);                /*!< Switch to FRO 12MHz first to ensure we can change the clock setting */\r
+    POWER_DisablePD(kPDRUNCFG_PD_FRO192M); /*!< Ensure FRO is on  */\r
+    CLOCK_SetupFROClocking(12000000U);     /*!< Set up FRO to the 12 MHz, just for sure */\r
+    CLOCK_AttachClk(kFRO12M_to_MAIN_CLK);  /*!< Switch to FRO 12MHz first to ensure we can change the clock setting */\r
 \r
-    CLOCK_SetupFROClocking(96000000U);                   /* Enable FRO HF(96MHz) output */\r
+    CLOCK_SetupFROClocking(96000000U); /* Enable FRO HF(96MHz) output */\r
 \r
-    POWER_SetVoltageForFreq(96000000U);                  /*!< Set voltage for the one of the fastest clock outputs: System clock output */\r
-    CLOCK_SetFLASHAccessCyclesForFreq(96000000U);          /*!< Set FLASH wait states for core */\r
+    POWER_SetVoltageForFreq(\r
+        96000000U); /*!< Set voltage for the one of the fastest clock outputs: System clock output */\r
+    CLOCK_SetFLASHAccessCyclesForFreq(96000000U); /*!< Set FLASH wait states for core */\r
 \r
     /*!< Set up dividers */\r
-    CLOCK_SetClkDiv(kCLOCK_DivAhbClk, 1U, false);         /*!< Set AHBCLKDIV divider to value 1 */\r
+    CLOCK_SetClkDiv(kCLOCK_DivAhbClk, 1U, false); /*!< Set AHBCLKDIV divider to value 1 */\r
 \r
     /*!< Set up clock selectors - Attach clocks to the peripheries */\r
-    CLOCK_AttachClk(kFRO_HF_to_MAIN_CLK);                 /*!< Switch MAIN_CLK to FRO_HF */\r
+    CLOCK_AttachClk(kFRO_HF_to_MAIN_CLK); /*!< Switch MAIN_CLK to FRO_HF */\r
 \r
     /*< Set SystemCoreClock variable. */\r
     SystemCoreClock = BOARD_BOOTCLOCKFROHF96M_CORE_CLOCK;\r
@@ -186,43 +186,117 @@ void BOARD_BootClockPLL100M(void)
 #ifndef SDK_SECONDARY_CORE\r
     /*!< Set up the clock sources */\r
     /*!< Configure FRO192M */\r
-    POWER_DisablePD(kPDRUNCFG_PD_FRO192M);               /*!< Ensure FRO is on  */\r
-    CLOCK_SetupFROClocking(12000000U);                   /*!< Set up FRO to the 12 MHz, just for sure */\r
-    CLOCK_AttachClk(kFRO12M_to_MAIN_CLK);                /*!< Switch to FRO 12MHz first to ensure we can change the clock setting */\r
+    POWER_DisablePD(kPDRUNCFG_PD_FRO192M); /*!< Ensure FRO is on  */\r
+    CLOCK_SetupFROClocking(12000000U);     /*!< Set up FRO to the 12 MHz, just for sure */\r
+    CLOCK_AttachClk(kFRO12M_to_MAIN_CLK);  /*!< Switch to FRO 12MHz first to ensure we can change the clock setting */\r
 \r
-    CLOCK_SetupFROClocking(96000000U);                   /* Enable FRO HF(96MHz) output */\r
+    CLOCK_SetupFROClocking(96000000U); /* Enable FRO HF(96MHz) output */\r
 \r
-    POWER_DisablePD(kPDRUNCFG_PD_XTAL32M);                        /* Ensure XTAL32M is powered */\r
-    POWER_DisablePD(kPDRUNCFG_PD_LDOXO32M);                       /* Ensure XTAL32M is powered */\r
-    CLOCK_SetupExtClocking(16000000U);                            /* Enable clk_in clock */\r
-    SYSCON->CLOCK_CTRL |= SYSCON_CLOCK_CTRL_CLKIN_ENA_MASK;       /* Enable clk_in from XTAL32M clock  */\r
-    ANACTRL->XO32M_CTRL |= ANACTRL_XO32M_CTRL_ENABLE_SYSTEM_CLK_OUT_MASK;    /* Enable clk_in to system  */\r
+    /*!< Configure XTAL32M */\r
+    POWER_DisablePD(kPDRUNCFG_PD_XTAL32M);                                /* Ensure XTAL32M is powered */\r
+    POWER_DisablePD(kPDRUNCFG_PD_LDOXO32M);                               /* Ensure XTAL32M is powered */\r
+    CLOCK_SetupExtClocking(16000000U);                                    /* Enable clk_in clock */\r
+    SYSCON->CLOCK_CTRL |= SYSCON_CLOCK_CTRL_CLKIN_ENA_MASK;               /* Enable clk_in from XTAL32M clock  */\r
+    ANACTRL->XO32M_CTRL |= ANACTRL_XO32M_CTRL_ENABLE_SYSTEM_CLK_OUT_MASK; /* Enable clk_in to system  */\r
 \r
-    POWER_SetVoltageForFreq(100000000U);                  /*!< Set voltage for the one of the fastest clock outputs: System clock output */\r
-    CLOCK_SetFLASHAccessCyclesForFreq(100000000U);          /*!< Set FLASH wait states for core */\r
+    POWER_SetVoltageForFreq(\r
+        100000000U); /*!< Set voltage for the one of the fastest clock outputs: System clock output */\r
+    CLOCK_SetFLASHAccessCyclesForFreq(100000000U); /*!< Set FLASH wait states for core */\r
 \r
     /*!< Set up PLL */\r
-    CLOCK_AttachClk(kEXT_CLK_to_PLL0);                    /*!< Switch PLL0CLKSEL to EXT_CLK */\r
-    POWER_DisablePD(kPDRUNCFG_PD_PLL0);                  /* Ensure PLL is on  */\r
-    POWER_DisablePD(kPDRUNCFG_PD_PLL0_SSCG);                                       \r
+    CLOCK_AttachClk(kEXT_CLK_to_PLL0);  /*!< Switch PLL0CLKSEL to EXT_CLK */\r
+    POWER_DisablePD(kPDRUNCFG_PD_PLL0); /* Ensure PLL is on  */\r
+    POWER_DisablePD(kPDRUNCFG_PD_PLL0_SSCG);\r
     const pll_setup_t pll0Setup = {\r
-        .pllctrl = SYSCON_PLL0CTRL_CLKEN_MASK | SYSCON_PLL0CTRL_SELI(54U) | SYSCON_PLL0CTRL_SELP(26U),\r
+        .pllctrl = SYSCON_PLL0CTRL_CLKEN_MASK | SYSCON_PLL0CTRL_SELI(53U) | SYSCON_PLL0CTRL_SELP(26U),\r
         .pllndec = SYSCON_PLL0NDEC_NDIV(4U),\r
         .pllpdec = SYSCON_PLL0PDEC_PDIV(2U),\r
-        .pllsscg = {0x0U,(SYSCON_PLL0SSCG1_MDIV_EXT(100U) | SYSCON_PLL0SSCG1_SEL_EXT_MASK)},\r
+        .pllsscg = {0x0U, (SYSCON_PLL0SSCG1_MDIV_EXT(100U) | SYSCON_PLL0SSCG1_SEL_EXT_MASK)},\r
         .pllRate = 100000000U,\r
-        .flags =  PLL_SETUPFLAG_WAITLOCK\r
-    };\r
-    CLOCK_SetPLL0Freq(&pll0Setup);                       /*!< Configure PLL0 to the desired values */\r
+        .flags   = PLL_SETUPFLAG_WAITLOCK};\r
+    CLOCK_SetPLL0Freq(&pll0Setup); /*!< Configure PLL0 to the desired values */\r
 \r
     /*!< Set up dividers */\r
-    CLOCK_SetClkDiv(kCLOCK_DivAhbClk, 1U, false);         /*!< Set AHBCLKDIV divider to value 1 */\r
+    CLOCK_SetClkDiv(kCLOCK_DivAhbClk, 1U, false); /*!< Set AHBCLKDIV divider to value 1 */\r
 \r
     /*!< Set up clock selectors - Attach clocks to the peripheries */\r
-    CLOCK_AttachClk(kPLL0_to_MAIN_CLK);                 /*!< Switch MAIN_CLK to PLL0 */\r
+    CLOCK_AttachClk(kPLL0_to_MAIN_CLK); /*!< Switch MAIN_CLK to PLL0 */\r
 \r
     /*< Set SystemCoreClock variable. */\r
     SystemCoreClock = BOARD_BOOTCLOCKPLL100M_CORE_CLOCK;\r
 #endif\r
 }\r
 \r
+/*******************************************************************************\r
+ ******************** Configuration BOARD_BootClockPLL150M *********************\r
+ ******************************************************************************/\r
+/* clang-format off */\r
+/* TEXT BELOW IS USED AS SETTING FOR TOOLS *************************************\r
+!!Configuration\r
+name: BOARD_BootClockPLL150M\r
+called_from_default_init: true\r
+outputs:\r
+- {id: System_clock.outFreq, value: 150 MHz}\r
+settings:\r
+- {id: PLL0_Mode, value: Normal}\r
+- {id: ENABLE_CLKIN_ENA, value: Enabled}\r
+- {id: ENABLE_SYSTEM_CLK_OUT, value: Enabled}\r
+- {id: SYSCON.MAINCLKSELB.sel, value: SYSCON.PLL0_BYPASS}\r
+- {id: SYSCON.PLL0CLKSEL.sel, value: SYSCON.CLK_IN_EN}\r
+- {id: SYSCON.PLL0M_MULT.scale, value: '150', locked: true}\r
+- {id: SYSCON.PLL0N_DIV.scale, value: '8', locked: true}\r
+- {id: SYSCON.PLL0_PDEC.scale, value: '2', locked: true}\r
+sources:\r
+- {id: SYSCON.XTAL32M.outFreq, value: 16 MHz, enabled: true}\r
+ * BE CAREFUL MODIFYING THIS COMMENT - IT IS YAML SETTINGS FOR TOOLS **********/\r
+/* clang-format on */\r
+\r
+/*******************************************************************************\r
+ * Variables for BOARD_BootClockPLL150M configuration\r
+ ******************************************************************************/\r
+/*******************************************************************************\r
+ * Code for BOARD_BootClockPLL150M configuration\r
+ ******************************************************************************/\r
+void BOARD_BootClockPLL150M(void)\r
+{\r
+#ifndef SDK_SECONDARY_CORE\r
+    /*!< Set up the clock sources */\r
+    /*!< Configure FRO192M */\r
+    POWER_DisablePD(kPDRUNCFG_PD_FRO192M); /*!< Ensure FRO is on  */\r
+    CLOCK_SetupFROClocking(12000000U);     /*!< Set up FRO to the 12 MHz, just for sure */\r
+    CLOCK_AttachClk(kFRO12M_to_MAIN_CLK);  /*!< Switch to FRO 12MHz first to ensure we can change the clock setting */\r
+\r
+    /*!< Configure XTAL32M */\r
+    POWER_DisablePD(kPDRUNCFG_PD_XTAL32M);                                /* Ensure XTAL32M is powered */\r
+    POWER_DisablePD(kPDRUNCFG_PD_LDOXO32M);                               /* Ensure XTAL32M is powered */\r
+    CLOCK_SetupExtClocking(16000000U);                                    /* Enable clk_in clock */\r
+    SYSCON->CLOCK_CTRL |= SYSCON_CLOCK_CTRL_CLKIN_ENA_MASK;               /* Enable clk_in from XTAL32M clock  */\r
+    ANACTRL->XO32M_CTRL |= ANACTRL_XO32M_CTRL_ENABLE_SYSTEM_CLK_OUT_MASK; /* Enable clk_in to system  */\r
+\r
+    POWER_SetVoltageForFreq(\r
+        150000000U); /*!< Set voltage for the one of the fastest clock outputs: System clock output */\r
+    CLOCK_SetFLASHAccessCyclesForFreq(150000000U); /*!< Set FLASH wait states for core */\r
+\r
+    /*!< Set up PLL */\r
+    CLOCK_AttachClk(kEXT_CLK_to_PLL0);  /*!< Switch PLL0CLKSEL to EXT_CLK */\r
+    POWER_DisablePD(kPDRUNCFG_PD_PLL0); /* Ensure PLL is on  */\r
+    POWER_DisablePD(kPDRUNCFG_PD_PLL0_SSCG);\r
+    const pll_setup_t pll0Setup = {\r
+        .pllctrl = SYSCON_PLL0CTRL_CLKEN_MASK | SYSCON_PLL0CTRL_SELI(53U) | SYSCON_PLL0CTRL_SELP(31U),\r
+        .pllndec = SYSCON_PLL0NDEC_NDIV(8U),\r
+        .pllpdec = SYSCON_PLL0PDEC_PDIV(1U),\r
+        .pllsscg = {0x0U, (SYSCON_PLL0SSCG1_MDIV_EXT(150U) | SYSCON_PLL0SSCG1_SEL_EXT_MASK)},\r
+        .pllRate = 150000000U,\r
+        .flags   = PLL_SETUPFLAG_WAITLOCK};\r
+    CLOCK_SetPLL0Freq(&pll0Setup); /*!< Configure PLL0 to the desired values */\r
+\r
+    /*!< Set up dividers */\r
+    CLOCK_SetClkDiv(kCLOCK_DivAhbClk, 1U, false); /*!< Set AHBCLKDIV divider to value 1 */\r
+\r
+    /*!< Set up clock selectors - Attach clocks to the peripheries */\r
+    CLOCK_AttachClk(kPLL0_to_MAIN_CLK); /*!< Switch MAIN_CLK to PLL0 */\r
+\r
+    /*< Set SystemCoreClock variable. */\r
+    SystemCoreClock = BOARD_BOOTCLOCKPLL150M_CORE_CLOCK;\r
+#endif\r
+}\r
index 2f082ce4152095c8a9867d40754450e8ac561cff..07cfd199fb7553174904f62398c644d22126e901 100644 (file)
@@ -1,10 +1,9 @@
 /*\r
- * Copyright 2017-2018 NXP\r
+ * Copyright 2017-2019 NXP\r
  * All rights reserved.\r
  *\r
  * SPDX-License-Identifier: BSD-3-Clause\r
  */\r
-\r
 /***********************************************************************************************************************\r
  * This file was generated by the MCUXpresso Config Tools. Any manual edits made to this file\r
  * will be overwritten if the respective MCUXpresso Config Tools is used to update this file.\r
@@ -18,8 +17,8 @@
 /*******************************************************************************\r
  * Definitions\r
  ******************************************************************************/\r
-#define BOARD_XTAL0_CLK_HZ                         16000000U  /*!< Board xtal frequency in Hz */\r
-#define BOARD_XTAL32K_CLK_HZ                          32768U  /*!< Board xtal32K frequency in Hz */\r
+#define BOARD_XTAL0_CLK_HZ 16000000U /*!< Board xtal frequency in Hz */\r
+#define BOARD_XTAL32K_CLK_HZ 32768U  /*!< Board xtal32K frequency in Hz */\r
 \r
 /*******************************************************************************\r
  ************************ BOARD_InitBootClocks function ************************\r
@@ -45,8 +44,7 @@ void BOARD_InitBootClocks(void);
 /*******************************************************************************\r
  * Definitions for BOARD_BootClockFRO12M configuration\r
  ******************************************************************************/\r
-#define BOARD_BOOTCLOCKFRO12M_CORE_CLOCK           12000000U  /*!< Core clock frequency: 12000000Hz */\r
-\r
+#define BOARD_BOOTCLOCKFRO12M_CORE_CLOCK 12000000U /*!< Core clock frequency: 12000000Hz */\r
 \r
 /*******************************************************************************\r
  * API for BOARD_BootClockFRO12M configuration\r
@@ -71,8 +69,7 @@ void BOARD_BootClockFRO12M(void);
 /*******************************************************************************\r
  * Definitions for BOARD_BootClockFROHF96M configuration\r
  ******************************************************************************/\r
-#define BOARD_BOOTCLOCKFROHF96M_CORE_CLOCK         96000000U  /*!< Core clock frequency: 96000000Hz */\r
-\r
+#define BOARD_BOOTCLOCKFROHF96M_CORE_CLOCK 96000000U /*!< Core clock frequency: 96000000Hz */\r
 \r
 /*******************************************************************************\r
  * API for BOARD_BootClockFROHF96M configuration\r
@@ -97,8 +94,7 @@ void BOARD_BootClockFROHF96M(void);
 /*******************************************************************************\r
  * Definitions for BOARD_BootClockPLL100M configuration\r
  ******************************************************************************/\r
-#define BOARD_BOOTCLOCKPLL100M_CORE_CLOCK         100000000U  /*!< Core clock frequency: 100000000Hz */\r
-\r
+#define BOARD_BOOTCLOCKPLL100M_CORE_CLOCK 100000000U /*!< Core clock frequency: 100000000Hz */\r
 \r
 /*******************************************************************************\r
  * API for BOARD_BootClockPLL100M configuration\r
@@ -117,5 +113,29 @@ void BOARD_BootClockPLL100M(void);
 }\r
 #endif /* __cplusplus*/\r
 \r
-#endif /* _CLOCK_CONFIG_H_ */\r
+/*******************************************************************************\r
+ ******************** Configuration BOARD_BootClockPLL150M *********************\r
+ ******************************************************************************/\r
+/*******************************************************************************\r
+ * Definitions for BOARD_BootClockPLL150M configuration\r
+ ******************************************************************************/\r
+#define BOARD_BOOTCLOCKPLL150M_CORE_CLOCK 150000000U /*!< Core clock frequency: 150000000Hz */\r
 \r
+/*******************************************************************************\r
+ * API for BOARD_BootClockPLL150M configuration\r
+ ******************************************************************************/\r
+#if defined(__cplusplus)\r
+extern "C" {\r
+#endif /* __cplusplus*/\r
+\r
+/*!\r
+ * @brief This function executes configuration of clocks.\r
+ *\r
+ */\r
+void BOARD_BootClockPLL150M(void);\r
+\r
+#if defined(__cplusplus)\r
+}\r
+#endif /* __cplusplus*/\r
+\r
+#endif /* _CLOCK_CONFIG_H_ */\r
index 62fd16e647378b16ef7af69217a135bc67a41eb0..2a548e45349acffbf034b98c8395577335ecf8b2 100644 (file)
@@ -1,5 +1,5 @@
 /*\r
- * Copyright 2017-2018 NXP\r
+ * Copyright 2017-2019 NXP\r
  * All rights reserved.\r
  *\r
  * SPDX-License-Identifier: BSD-3-Clause\r
 /*\r
  * TEXT BELOW IS USED AS SETTING FOR TOOLS *************************************\r
 !!GlobalInfo\r
-product: Pins v5.0\r
+product: Pins v6.0\r
 processor: LPC55S69\r
 package_id: LPC55S69JBD100\r
 mcu_data: ksdk2_0\r
-processor_version: 0.0.6\r
+processor_version: 0.0.0\r
  * BE CAREFUL MODIFYING THIS COMMENT - IT IS YAML SETTINGS FOR TOOLS ***********\r
  */\r
 /* clang-format on */\r
@@ -45,9 +45,9 @@ BOARD_InitPins:
 - options: {callFromInitBoot: 'true', coreID: cm33_core0, enableClock: 'true'}\r
 - pin_list:\r
   - {pin_num: '92', peripheral: FLEXCOMM0, signal: RXD_SDA_MOSI_DATA, pin_signal: PIO0_29/FC0_RXD_SDA_MOSI_DATA/SD1_D2/CTIMER2_MAT3/SCT0_OUT8/CMP0_OUT/PLU_OUT2/SECURE_GPIO0_29,\r
-    mode: inactive, slew_rate: standard, invert: disabled, digi_mode: digital, open_drain: disabled}\r
+    mode: inactive, slew_rate: standard, invert: disabled, open_drain: disabled}\r
   - {pin_num: '94', peripheral: FLEXCOMM0, signal: TXD_SCL_MISO_WS, pin_signal: PIO0_30/FC0_TXD_SCL_MISO_WS/SD1_D3/CTIMER0_MAT0/SCT0_OUT9/SECURE_GPIO0_30, mode: inactive,\r
-    slew_rate: standard, invert: disabled, digi_mode: digital, open_drain: disabled}\r
+    slew_rate: standard, invert: disabled, open_drain: disabled}\r
  * BE CAREFUL MODIFYING THIS COMMENT - IT IS YAML SETTINGS FOR TOOLS ***********\r
  */\r
 /* clang-format on */\r
index 9538553971357b451bbf7b0c835c93350bbf72de..ff9b9637bb729e473884ae1920444dab8389d91b 100644 (file)
@@ -1,5 +1,5 @@
 /*\r
- * Copyright 2017-2018 NXP\r
+ * Copyright 2017-2019 NXP\r
  * All rights reserved.\r
  *\r
  * SPDX-License-Identifier: BSD-3-Clause\r
index f6cdcce38006f00cbcf16e4f8870246a76967725..8224c8ef8b9a9853d095afaa8ea48da0edf8c0de 100644 (file)
@@ -1,5 +1,5 @@
 /*\r
- * Copyright 2018 NXP\r
+ * Copyright 2018-2019 NXP\r
  * All rights reserved.\r
  *\r
  *\r
 #include "fsl_common.h"\r
 #include "generic_list.h"\r
 \r
+static list_status_t LIST_Scan(list_handle_t list, list_element_handle_t newElement)\r
+{\r
+    list_element_handle_t element = list->head;\r
+\r
+    while (element != NULL)\r
+    {\r
+        if (element == newElement)\r
+        {\r
+            return kLIST_DuplicateError;\r
+        }\r
+        element = element->next;\r
+    }\r
+    return kLIST_Ok;\r
+}\r
+\r
 /*! *********************************************************************************\r
 *************************************************************************************\r
 * Public functions\r
 *************************************************************************************\r
 ********************************************************************************** */\r
 /*! *********************************************************************************\r
-* \brief     Initialises the list descriptor.\r
-*\r
-* \param[in] list - LIST_ handle to init.\r
-*            max - Maximum number of elements in list. 0 for unlimited.\r
-*\r
-* \return void.\r
-*\r
-* \pre\r
-*\r
-* \post\r
-*\r
-* \remarks\r
-*\r
-********************************************************************************** */\r
+ * \brief     Initialises the list descriptor.\r
+ *\r
+ * \param[in] list - LIST_ handle to init.\r
+ *            max - Maximum number of elements in list. 0 for unlimited.\r
+ *\r
+ * \return void.\r
+ *\r
+ * \pre\r
+ *\r
+ * \post\r
+ *\r
+ * \remarks\r
+ *\r
+ ********************************************************************************** */\r
 void LIST_Init(list_handle_t list, uint32_t max)\r
 {\r
     list->head = NULL;\r
     list->tail = NULL;\r
-    list->max max;\r
+    list->max  = (uint16_t)max;\r
     list->size = 0;\r
 }\r
 \r
 /*! *********************************************************************************\r
-* \brief     Gets the list that contains the given element.\r
-*\r
-* \param[in] element - Handle of the element.\r
-*\r
-* \return NULL if element is orphan.\r
-*         Handle of the list the element is inserted into.\r
-*\r
-* \pre\r
-*\r
-* \post\r
-*\r
-* \remarks\r
-*\r
-********************************************************************************** */\r
+ * \brief     Gets the list that contains the given element.\r
+ *\r
+ * \param[in] element - Handle of the element.\r
+ *\r
+ * \return NULL if element is orphan.\r
+ *         Handle of the list the element is inserted into.\r
+ *\r
+ * \pre\r
+ *\r
+ * \post\r
+ *\r
+ * \remarks\r
+ *\r
+ ********************************************************************************** */\r
 list_handle_t LIST_GetList(list_element_handle_t element)\r
 {\r
     return element->list;\r
 }\r
 \r
 /*! *********************************************************************************\r
-* \brief     Links element to the tail of the list.\r
-*\r
-* \param[in] list - ID of list to insert into.\r
-*            element - element to add\r
-*\r
-* \return kLIST_Full if list is full.\r
-*         kLIST_Ok if insertion was successful.\r
-*\r
-* \pre\r
-*\r
-* \post\r
-*\r
-* \remarks\r
-*\r
-********************************************************************************** */\r
+ * \brief     Links element to the tail of the list.\r
+ *\r
+ * \param[in] list - ID of list to insert into.\r
+ *            element - element to add\r
+ *\r
+ * \return kLIST_Full if list is full.\r
+ *         kLIST_Ok if insertion was successful.\r
+ *\r
+ * \pre\r
+ *\r
+ * \post\r
+ *\r
+ * \remarks\r
+ *\r
+ ********************************************************************************** */\r
 list_status_t LIST_AddTail(list_handle_t list, list_element_handle_t element)\r
 {\r
     uint32_t regPrimask = DisableGlobalIRQ();\r
 \r
-    if ((list->max != 0) && (list->max == list->size))\r
+    if ((list->max != 0U) && (list->max == list->size))\r
     {\r
         EnableGlobalIRQ(regPrimask);\r
         return kLIST_Full;\r
     }\r
 \r
-    if (list->size == 0)\r
+    if (kLIST_DuplicateError == LIST_Scan(list, element))\r
+    {\r
+        EnableGlobalIRQ(regPrimask);\r
+        return kLIST_DuplicateError;\r
+    }\r
+\r
+    if (list->size == 0U)\r
     {\r
         list->head = element;\r
     }\r
@@ -99,7 +120,7 @@ list_status_t LIST_AddTail(list_handle_t list, list_element_handle_t element)
     element->prev = list->tail;\r
     element->next = NULL;\r
     element->list = list;\r
-    list->tail = element;\r
+    list->tail    = element;\r
     list->size++;\r
 \r
     EnableGlobalIRQ(regPrimask);\r
@@ -107,32 +128,38 @@ list_status_t LIST_AddTail(list_handle_t list, list_element_handle_t element)
 }\r
 \r
 /*! *********************************************************************************\r
-* \brief     Links element to the head of the list.\r
-*\r
-* \param[in] list - ID of list to insert into.\r
-*            element - element to add\r
-*\r
-* \return kLIST_Full if list is full.\r
-*         kLIST_Ok if insertion was successful.\r
-*\r
-* \pre\r
-*\r
-* \post\r
-*\r
-* \remarks\r
-*\r
-********************************************************************************** */\r
+ * \brief     Links element to the head of the list.\r
+ *\r
+ * \param[in] list - ID of list to insert into.\r
+ *            element - element to add\r
+ *\r
+ * \return kLIST_Full if list is full.\r
+ *         kLIST_Ok if insertion was successful.\r
+ *\r
+ * \pre\r
+ *\r
+ * \post\r
+ *\r
+ * \remarks\r
+ *\r
+ ********************************************************************************** */\r
 list_status_t LIST_AddHead(list_handle_t list, list_element_handle_t element)\r
 {\r
     uint32_t regPrimask = DisableGlobalIRQ();\r
 \r
-    if ((list->max != 0) && (list->max == list->size))\r
+    if ((list->max != 0U) && (list->max == list->size))\r
     {\r
         EnableGlobalIRQ(regPrimask);\r
         return kLIST_Full;\r
     }\r
 \r
-    if (list->size == 0)\r
+    if (kLIST_DuplicateError == LIST_Scan(list, element))\r
+    {\r
+        EnableGlobalIRQ(regPrimask);\r
+        return kLIST_DuplicateError;\r
+    }\r
+\r
+    if (list->size == 0U)\r
     {\r
         list->tail = element;\r
     }\r
@@ -143,7 +170,7 @@ list_status_t LIST_AddHead(list_handle_t list, list_element_handle_t element)
     element->next = list->head;\r
     element->prev = NULL;\r
     element->list = list;\r
-    list->head = element;\r
+    list->head    = element;\r
     list->size++;\r
 \r
     EnableGlobalIRQ(regPrimask);\r
@@ -151,27 +178,27 @@ list_status_t LIST_AddHead(list_handle_t list, list_element_handle_t element)
 }\r
 \r
 /*! *********************************************************************************\r
-* \brief     Unlinks element from the head of the list.\r
-*\r
-* \param[in] list - ID of list to remove from.\r
-*\r
-* \return NULL if list is empty.\r
-*         ID of removed element(pointer) if removal was successful.\r
-*\r
-* \pre\r
-*\r
-* \post\r
-*\r
-* \remarks\r
-*\r
-********************************************************************************** */\r
+ * \brief     Unlinks element from the head of the list.\r
+ *\r
+ * \param[in] list - ID of list to remove from.\r
+ *\r
+ * \return NULL if list is empty.\r
+ *         ID of removed element(pointer) if removal was successful.\r
+ *\r
+ * \pre\r
+ *\r
+ * \post\r
+ *\r
+ * \remarks\r
+ *\r
+ ********************************************************************************** */\r
 list_element_handle_t LIST_RemoveHead(list_handle_t list)\r
 {\r
     list_element_handle_t element;\r
 \r
     uint32_t regPrimask = DisableGlobalIRQ();\r
 \r
-    if ((NULL == list) || (list->size == 0))\r
+    if ((NULL == list) || (list->size == 0U))\r
     {\r
         EnableGlobalIRQ(regPrimask);\r
         return NULL; /*LIST_ is empty*/\r
@@ -179,7 +206,7 @@ list_element_handle_t LIST_RemoveHead(list_handle_t list)
 \r
     element = list->head;\r
     list->size--;\r
-    if (list->size == 0)\r
+    if (list->size == 0U)\r
     {\r
         list->tail = NULL;\r
     }\r
@@ -187,7 +214,7 @@ list_element_handle_t LIST_RemoveHead(list_handle_t list)
     {\r
         element->next->prev = NULL;\r
     }\r
-    list->head = element->next; /*Is NULL if element is head*/\r
+    list->head    = element->next; /*Is NULL if element is head*/\r
     element->list = NULL;\r
 \r
     EnableGlobalIRQ(regPrimask);\r
@@ -195,80 +222,80 @@ list_element_handle_t LIST_RemoveHead(list_handle_t list)
 }\r
 \r
 /*! *********************************************************************************\r
-* \brief     Gets head element ID.\r
-*\r
-* \param[in] list - ID of list.\r
-*\r
-* \return NULL if list is empty.\r
-*         ID of head element if list is not empty.\r
-*\r
-* \pre\r
-*\r
-* \post\r
-*\r
-* \remarks\r
-*\r
-********************************************************************************** */\r
+ * \brief     Gets head element ID.\r
+ *\r
+ * \param[in] list - ID of list.\r
+ *\r
+ * \return NULL if list is empty.\r
+ *         ID of head element if list is not empty.\r
+ *\r
+ * \pre\r
+ *\r
+ * \post\r
+ *\r
+ * \remarks\r
+ *\r
+ ********************************************************************************** */\r
 list_element_handle_t LIST_GetHead(list_handle_t list)\r
 {\r
     return list->head;\r
 }\r
 \r
 /*! *********************************************************************************\r
-* \brief     Gets next element ID.\r
-*\r
-* \param[in] element - ID of the element.\r
-*\r
-* \return NULL if element is tail.\r
-*         ID of next element if exists.\r
-*\r
-* \pre\r
-*\r
-* \post\r
-*\r
-* \remarks\r
-*\r
-********************************************************************************** */\r
+ * \brief     Gets next element ID.\r
+ *\r
+ * \param[in] element - ID of the element.\r
+ *\r
+ * \return NULL if element is tail.\r
+ *         ID of next element if exists.\r
+ *\r
+ * \pre\r
+ *\r
+ * \post\r
+ *\r
+ * \remarks\r
+ *\r
+ ********************************************************************************** */\r
 list_element_handle_t LIST_GetNext(list_element_handle_t element)\r
 {\r
     return element->next;\r
 }\r
 \r
 /*! *********************************************************************************\r
-* \brief     Gets previous element ID.\r
-*\r
-* \param[in] element - ID of the element.\r
-*\r
-* \return NULL if element is head.\r
-*         ID of previous element if exists.\r
-*\r
-* \pre\r
-*\r
-* \post\r
-*\r
-* \remarks\r
-*\r
-********************************************************************************** */\r
+ * \brief     Gets previous element ID.\r
+ *\r
+ * \param[in] element - ID of the element.\r
+ *\r
+ * \return NULL if element is head.\r
+ *         ID of previous element if exists.\r
+ *\r
+ * \pre\r
+ *\r
+ * \post\r
+ *\r
+ * \remarks\r
+ *\r
+ ********************************************************************************** */\r
 list_element_handle_t LIST_GetPrev(list_element_handle_t element)\r
 {\r
     return element->prev;\r
 }\r
 \r
 /*! *********************************************************************************\r
-* \brief     Unlinks an element from its list.\r
-*\r
-* \param[in] element - ID of the element to remove.\r
-*\r
-* \return kLIST_OrphanElement if element is not part of any list.\r
-*         kLIST_Ok if removal was successful.\r
-*\r
-* \pre\r
-*\r
-* \post\r
-*\r
-* \remarks\r
-*\r
-********************************************************************************** */\r
+ * \brief     Unlinks an element from its list.\r
+ *\r
+ * \param[in] element - ID of the element to remove.\r
+ *\r
+ * \return kLIST_OrphanElement if element is not part of any list.\r
+ *         kLIST_Ok if removal was successful.\r
+ *\r
+ * \pre\r
+ *\r
+ * \post\r
+ *\r
+ * \remarks\r
+ *\r
+ ********************************************************************************** */\r
 list_status_t LIST_RemoveElement(list_element_handle_t element)\r
 {\r
     if (element->list == NULL)\r
@@ -302,23 +329,23 @@ list_status_t LIST_RemoveElement(list_element_handle_t element)
 }\r
 \r
 /*! *********************************************************************************\r
-* \brief     Links an element in the previous position relative to a given member\r
-*            of a list.\r
-*\r
-* \param[in] element - ID of a member of a list.\r
-*            newElement - new element to insert before the given member.\r
-*\r
-* \return kLIST_OrphanElement if element is not part of any list.\r
-*         kLIST_Full if list is full.\r
-*         kLIST_Ok if insertion was successful.\r
-*\r
-* \pre\r
-*\r
-* \post\r
-*\r
-* \remarks\r
-*\r
-********************************************************************************** */\r
+ * \brief     Links an element in the previous position relative to a given member\r
+ *            of a list.\r
+ *\r
+ * \param[in] element - ID of a member of a list.\r
+ *            newElement - new element to insert before the given member.\r
+ *\r
+ * \return kLIST_OrphanElement if element is not part of any list.\r
+ *         kLIST_Full if list is full.\r
+ *         kLIST_Ok if insertion was successful.\r
+ *\r
+ * \pre\r
+ *\r
+ * \post\r
+ *\r
+ * \remarks\r
+ *\r
+ ********************************************************************************** */\r
 list_status_t LIST_AddPrevElement(list_element_handle_t element, list_element_handle_t newElement)\r
 {\r
     if (element->list == NULL)\r
@@ -327,12 +354,18 @@ list_status_t LIST_AddPrevElement(list_element_handle_t element, list_element_ha
     }\r
     uint32_t regPrimask = DisableGlobalIRQ();\r
 \r
-    if ((element->list->max != 0) && (element->list->max == element->list->size))\r
+    if ((element->list->max != 0U) && (element->list->max == element->list->size))\r
     {\r
         EnableGlobalIRQ(regPrimask);\r
         return kLIST_Full;\r
     }\r
 \r
+    if (kLIST_DuplicateError == LIST_Scan(element->list, newElement))\r
+    {\r
+        EnableGlobalIRQ(regPrimask);\r
+        return kLIST_DuplicateError;\r
+    }\r
+\r
     if (element->prev == NULL) /*Element is list head*/\r
     {\r
         element->list->head = newElement;\r
@@ -345,46 +378,46 @@ list_status_t LIST_AddPrevElement(list_element_handle_t element, list_element_ha
     element->list->size++;\r
     newElement->next = element;\r
     newElement->prev = element->prev;\r
-    element->prev = newElement;\r
+    element->prev    = newElement;\r
 \r
     EnableGlobalIRQ(regPrimask);\r
     return kLIST_Ok;\r
 }\r
 \r
 /*! *********************************************************************************\r
-* \brief     Gets the current size of a list.\r
-*\r
-* \param[in] list - ID of the list.\r
-*\r
-* \return Current size of the list.\r
-*\r
-* \pre\r
-*\r
-* \post\r
-*\r
-* \remarks\r
-*\r
-********************************************************************************** */\r
+ * \brief     Gets the current size of a list.\r
+ *\r
+ * \param[in] list - ID of the list.\r
+ *\r
+ * \return Current size of the list.\r
+ *\r
+ * \pre\r
+ *\r
+ * \post\r
+ *\r
+ * \remarks\r
+ *\r
+ ********************************************************************************** */\r
 uint32_t LIST_GetSize(list_handle_t list)\r
 {\r
     return list->size;\r
 }\r
 \r
 /*! *********************************************************************************\r
-* \brief     Gets the number of free places in the list.\r
-*\r
-* \param[in] list - ID of the list.\r
-*\r
-* \return Available size of the list.\r
-*\r
-* \pre\r
-*\r
-* \post\r
-*\r
-* \remarks\r
-*\r
-********************************************************************************** */\r
+ * \brief     Gets the number of free places in the list.\r
+ *\r
+ * \param[in] list - ID of the list.\r
+ *\r
+ * \return Available size of the list.\r
+ *\r
+ * \pre\r
+ *\r
+ * \post\r
+ *\r
+ * \remarks\r
+ *\r
+ ********************************************************************************** */\r
 uint32_t LIST_GetAvailableSize(list_handle_t list)\r
 {\r
-    return (list->max - list->size);\r
+    return ((uint32_t)list->max - (uint32_t)list->size);\r
 }\r
index dbf8661826c6489752bf3d6e54500476b6e64ac2..5477520d7200b68592f473b775628be2f084c0e9 100644 (file)
@@ -1,5 +1,5 @@
 /*\r
- * Copyright 2018 NXP\r
+ * Copyright 2018-2019 NXP\r
  * All rights reserved.\r
  *\r
  *\r
@@ -9,6 +9,11 @@
 #ifndef _GENERIC_LIST_H_\r
 #define _GENERIC_LIST_H_\r
 \r
+/*!\r
+ * @addtogroup GenericList\r
+ * @{\r
+ */\r
+\r
 /*!*********************************************************************************\r
 *************************************************************************************\r
 * Include\r
 /*! @brief The list status */\r
 typedef enum _list_status\r
 {\r
-    kLIST_Ok = kStatus_Success,                                  /*!< Success */\r
-    kLIST_Full = MAKE_STATUS(kStatusGroup_LIST, 1),              /*!< FULL */\r
-    kLIST_Empty = MAKE_STATUS(kStatusGroup_LIST, 2),             /*!< Empty */\r
-    kLIST_OrphanElement = MAKE_STATUS(kStatusGroup_LIST, 3),     /*!< Orphan Element */\r
-}list_status_t;\r
+    kLIST_Ok             = kStatus_Success,                   /*!< Success */\r
+    kLIST_DuplicateError = MAKE_STATUS(kStatusGroup_LIST, 1), /*!< Duplicate Error */\r
+    kLIST_Full           = MAKE_STATUS(kStatusGroup_LIST, 2), /*!< FULL */\r
+    kLIST_Empty          = MAKE_STATUS(kStatusGroup_LIST, 3), /*!< Empty */\r
+    kLIST_OrphanElement  = MAKE_STATUS(kStatusGroup_LIST, 4), /*!< Orphan Element */\r
+} list_status_t;\r
 \r
 /*! @brief The list structure*/\r
-typedef struct list_tag\r
+typedef struct list_label\r
 {\r
-    struct list_element_tag *head;     /*!< list head */\r
-    struct list_element_tag *tail;     /*!< list tail */\r
-    uint16_t size;                     /*!< list size */\r
-    uint16_t max;                      /*!< list max number of elements */\r
-}list_t, *list_handle_t;\r
+    struct list_element_tag *head; /*!< list head */\r
+    struct list_element_tag *tail; /*!< list tail */\r
+    uint16_t size;                 /*!< list size */\r
+    uint16_t max;                  /*!< list max number of elements */\r
+} list_label_t, *list_handle_t;\r
 \r
 /*! @brief The list element*/\r
 typedef struct list_element_tag\r
 {\r
-    struct list_element_tag *next;     /*!< next list element   */\r
-    struct list_element_tag *prev;     /*!< previous list element */\r
-    struct list_tag *list;             /*!< pointer to the list */\r
-}list_element_t, *list_element_handle_t;\r
+    struct list_element_tag *next; /*!< next list element   */\r
+    struct list_element_tag *prev; /*!< previous list element */\r
+    struct list_label *list;       /*!< pointer to the list */\r
+} list_element_t, *list_element_handle_t;\r
 \r
 /*! *********************************************************************************\r
 *************************************************************************************\r
@@ -181,5 +187,5 @@ uint32_t LIST_GetAvailableSize(list_handle_t list);
 #if defined(__cplusplus)\r
 }\r
 #endif\r
-\r
+/*! @}*/\r
 #endif /*_GENERIC_LIST_H_*/\r
index f654acce24127d70884769cb44ae333b38a3d991..f82163c990eca0f298aeabd506a87a7b3e47c390 100644 (file)
@@ -1,5 +1,5 @@
 /*\r
- * Copyright 2018 NXP\r
+ * Copyright 2018-2019 NXP\r
  * All rights reserved.\r
  *\r
  *\r
@@ -10,7 +10,7 @@
 #include <string.h>\r
 \r
 #include "serial_manager.h"\r
-\r
+#include "serial_port_internal.h"\r
 #if (defined(SERIAL_MANAGER_NON_BLOCKING_MODE) && (SERIAL_MANAGER_NON_BLOCKING_MODE > 0U))\r
 \r
 #include "generic_list.h"\r
@@ -55,7 +55,7 @@
 #if (defined(SERIAL_MANAGER_NON_BLOCKING_MODE) && (SERIAL_MANAGER_NON_BLOCKING_MODE > 0U))\r
 typedef enum _serial_manager_transmission_mode\r
 {\r
-    kSerialManager_TransmissionBlocking = 0x0U,    /*!< Blocking transmission*/\r
+    kSerialManager_TransmissionBlocking    = 0x0U, /*!< Blocking transmission*/\r
     kSerialManager_TransmissionNonBlocking = 0x1U, /*!< None blocking transmission*/\r
 } serial_manager_transmission_mode_t;\r
 \r
@@ -105,11 +105,11 @@ typedef struct _serial_manager_read_ring_buffer
 typedef struct _serial_manager_handle\r
 {\r
 #if (defined(SERIAL_MANAGER_NON_BLOCKING_MODE) && (SERIAL_MANAGER_NON_BLOCKING_MODE > 0U))\r
-    list_t runningWriteHandleHead;   /*!< The queue of running write handle */\r
-    list_t completedWriteHandleHead; /*!< The queue of completed write handle */\r
+    list_label_t runningWriteHandleHead;   /*!< The queue of running write handle */\r
+    list_label_t completedWriteHandleHead; /*!< The queue of completed write handle */\r
 #endif\r
-    serial_manager_read_handle_t *openedReadHandleHead;\r
-    uint32_t openedWriteHandleCount;\r
+    serial_manager_read_handle_t *volatile openedReadHandleHead;\r
+    volatile uint32_t openedWriteHandleCount;\r
     union\r
     {\r
         uint8_t lowLevelhandleBuffer[1];\r
@@ -121,6 +121,9 @@ typedef struct _serial_manager_handle
 #endif\r
 #if (defined(SERIAL_PORT_TYPE_SWO) && (SERIAL_PORT_TYPE_SWO > 0U))\r
         uint8_t swoHandleBuffer[SERIAL_PORT_SWO_HANDLE_SIZE];\r
+#endif\r
+#if (defined(SERIAL_PORT_TYPE_USBCDC_VIRTUAL) && (SERIAL_PORT_TYPE_USBCDC_VIRTUAL > 0U))\r
+        uint8_t usbcdcVirtualHandleBuffer[SERIAL_PORT_USB_VIRTUAL_HANDLE_SIZE];\r
 #endif\r
     };\r
 #if (defined(SERIAL_MANAGER_NON_BLOCKING_MODE) && (SERIAL_MANAGER_NON_BLOCKING_MODE > 0U))\r
@@ -149,54 +152,6 @@ typedef struct _serial_manager_handle
  * Prototypes\r
  ******************************************************************************/\r
 \r
-#if (defined(SERIAL_PORT_TYPE_UART) && (SERIAL_PORT_TYPE_UART > 0U))\r
-serial_manager_status_t Serial_UartInit(serial_handle_t serialHandle, void *config);\r
-serial_manager_status_t Serial_UartDeinit(serial_handle_t serialHandle);\r
-serial_manager_status_t Serial_UartWrite(serial_handle_t serialHandle, uint8_t *buffer, uint32_t length);\r
-#if !(defined(SERIAL_MANAGER_NON_BLOCKING_MODE) && (SERIAL_MANAGER_NON_BLOCKING_MODE > 0U))\r
-serial_manager_status_t Serial_UartRead(serial_handle_t serialHandle, uint8_t *buffer, uint32_t length);\r
-#endif\r
-serial_manager_status_t Serial_UartCancelWrite(serial_handle_t serialHandle);\r
-serial_manager_status_t Serial_UartInstallTxCallback(serial_handle_t serialHandle,\r
-                                                     serial_manager_callback_t callback,\r
-                                                     void *callbackParam);\r
-serial_manager_status_t Serial_UartInstallRxCallback(serial_handle_t serialHandle,\r
-                                                     serial_manager_callback_t callback,\r
-                                                     void *callbackParam);\r
-void Serial_UartIsrFunction(serial_handle_t serialHandle);\r
-#endif\r
-\r
-#if (defined(SERIAL_PORT_TYPE_USBCDC) && (SERIAL_PORT_TYPE_USBCDC > 0U))\r
-serial_manager_status_t Serial_UsbCdcInit(serial_handle_t serialHandle, void *config);\r
-serial_manager_status_t Serial_UsbCdcDeinit(serial_handle_t serialHandle);\r
-serial_manager_status_t Serial_UsbCdcWrite(serial_handle_t serialHandle, uint8_t *buffer, uint32_t length);\r
-serial_manager_status_t Serial_UsbCdcCancelWrite(serial_handle_t serialHandle);\r
-serial_manager_status_t Serial_UsbCdcInstallTxCallback(serial_handle_t serialHandle,\r
-                                                       serial_manager_callback_t callback,\r
-                                                       void *callbackParam);\r
-serial_manager_status_t Serial_UsbCdcInstallRxCallback(serial_handle_t serialHandle,\r
-                                                       serial_manager_callback_t callback,\r
-                                                       void *callbackParam);\r
-void Serial_UsbCdcIsrFunction(serial_handle_t serialHandle);\r
-#endif\r
-\r
-#if (defined(SERIAL_PORT_TYPE_SWO) && (SERIAL_PORT_TYPE_SWO > 0U))\r
-serial_manager_status_t Serial_SwoInit(serial_handle_t serialHandle, void *config);\r
-serial_manager_status_t Serial_SwoDeinit(serial_handle_t serialHandle);\r
-serial_manager_status_t Serial_SwoWrite(serial_handle_t serialHandle, uint8_t *buffer, uint32_t length);\r
-#if !(defined(SERIAL_MANAGER_NON_BLOCKING_MODE) && (SERIAL_MANAGER_NON_BLOCKING_MODE > 0U))\r
-serial_manager_status_t Serial_SwoRead(serial_handle_t serialHandle, uint8_t *buffer, uint32_t length);\r
-#endif\r
-serial_manager_status_t Serial_SwoCancelWrite(serial_handle_t serialHandle);\r
-serial_manager_status_t Serial_SwoInstallTxCallback(serial_handle_t serialHandle,\r
-                                                    serial_manager_callback_t callback,\r
-                                                    void *callbackParam);\r
-serial_manager_status_t Serial_SwoInstallRxCallback(serial_handle_t serialHandle,\r
-                                                    serial_manager_callback_t callback,\r
-                                                    void *callbackParam);\r
-void Serial_SwoIsrFunction(serial_handle_t serialHandle);\r
-#endif\r
-\r
 #if (defined(SERIAL_MANAGER_NON_BLOCKING_MODE) && (SERIAL_MANAGER_NON_BLOCKING_MODE > 0U))\r
 static void SerialManager_Task(void *param);\r
 #endif\r
@@ -227,14 +182,14 @@ OSA_TASK_DEFINE(SerialManager_Task, SERIAL_MANAGER_TASK_PRIORITY, 1, SERIAL_MANA
  ******************************************************************************/\r
 \r
 #if (defined(SERIAL_MANAGER_NON_BLOCKING_MODE) && (SERIAL_MANAGER_NON_BLOCKING_MODE > 0U))\r
-static void SerialManager_AddTail(list_t *queue, serial_manager_write_handle_t *node)\r
+static void SerialManager_AddTail(list_label_t *queue, serial_manager_write_handle_t *node)\r
 {\r
-    LIST_AddTail(queue, &node->link);\r
+    (void)LIST_AddTail(queue, &node->link);\r
 }\r
 \r
-static void SerialManager_RemoveHead(list_t *queue)\r
+static void SerialManager_RemoveHead(list_label_t *queue)\r
 {\r
-    LIST_RemoveHead(queue);\r
+    (void)LIST_RemoveHead(queue);\r
 }\r
 #endif\r
 \r
@@ -244,9 +199,9 @@ static serial_manager_status_t SerialManager_StartWriting(serial_manager_handle_
 {\r
     serial_manager_status_t status = kStatus_SerialManager_Error;\r
     serial_manager_write_handle_t *writeHandle =\r
-        (serial_manager_write_handle_t *)LIST_GetHead(&handle->runningWriteHandleHead);\r
+        (serial_manager_write_handle_t *)(void *)LIST_GetHead(&handle->runningWriteHandleHead);\r
 \r
-    if (writeHandle)\r
+    if (writeHandle != NULL)\r
     {\r
         switch (handle->type)\r
         {\r
@@ -267,14 +222,46 @@ static serial_manager_status_t SerialManager_StartWriting(serial_manager_handle_
                 status = Serial_SwoWrite(((serial_handle_t)&handle->lowLevelhandleBuffer[0]),\r
                                          writeHandle->transfer.buffer, writeHandle->transfer.length);\r
                 break;\r
+#endif\r
+#if (defined(SERIAL_PORT_TYPE_USBCDC_VIRTUAL) && (SERIAL_PORT_TYPE_USBCDC_VIRTUAL > 0U))\r
+            case kSerialPort_UsbCdcVirtual:\r
+                status = Serial_UsbCdcVirtualWrite(((serial_handle_t)&handle->lowLevelhandleBuffer[0]),\r
+                                                   writeHandle->transfer.buffer, writeHandle->transfer.length);\r
+                break;\r
 #endif\r
             default:\r
+                status = kStatus_SerialManager_Error;\r
                 break;\r
         }\r
     }\r
     return status;\r
 }\r
 \r
+static serial_manager_status_t SerialManager_StartReading(serial_manager_handle_t *handle,\r
+                                                          serial_manager_read_handle_t *readHandle,\r
+                                                          uint8_t *buffer,\r
+                                                          uint32_t length)\r
+{\r
+    serial_manager_status_t status = kStatus_SerialManager_Error;\r
+\r
+    if (NULL != readHandle)\r
+    {\r
+#if (defined(SERIAL_PORT_TYPE_USBCDC) && (SERIAL_PORT_TYPE_USBCDC > 0U))\r
+        if (handle->type == kSerialPort_UsbCdc)\r
+        {\r
+            status = Serial_UsbCdcRead(((serial_handle_t)&handle->lowLevelhandleBuffer[0]), buffer, length);\r
+        }\r
+#endif\r
+#if (defined(SERIAL_PORT_TYPE_USBCDC_VIRTUAL) && (SERIAL_PORT_TYPE_USBCDC_VIRTUAL > 0U))\r
+        if (handle->type == kSerialPort_UsbCdcVirtual)\r
+        {\r
+            status = Serial_UsbCdcVirtualRead(((serial_handle_t)&handle->lowLevelhandleBuffer[0]), buffer, length);\r
+        }\r
+#endif\r
+    }\r
+    return status;\r
+}\r
+\r
 #else\r
 \r
 static serial_manager_status_t SerialManager_StartWriting(serial_manager_handle_t *handle,\r
@@ -284,7 +271,7 @@ static serial_manager_status_t SerialManager_StartWriting(serial_manager_handle_
 {\r
     serial_manager_status_t status = kStatus_SerialManager_Error;\r
 \r
-    if (writeHandle)\r
+    if (NULL != writeHandle)\r
     {\r
         switch (handle->type)\r
         {\r
@@ -302,8 +289,14 @@ static serial_manager_status_t SerialManager_StartWriting(serial_manager_handle_
             case kSerialPort_Swo:\r
                 status = Serial_SwoWrite(((serial_handle_t)&handle->lowLevelhandleBuffer[0]), buffer, length);\r
                 break;\r
+#endif\r
+#if (defined(SERIAL_PORT_TYPE_USBCDC_VIRTUAL) && (SERIAL_PORT_TYPE_USBCDC_VIRTUAL > 0U))\r
+            case kSerialPort_UsbCdcVirtual:\r
+                status = Serial_UsbCdcVirtualWrite(((serial_handle_t)&handle->lowLevelhandleBuffer[0]), buffer, length);\r
+                break;\r
 #endif\r
             default:\r
+                status = kStatus_SerialManager_Error;\r
                 break;\r
         }\r
     }\r
@@ -317,7 +310,7 @@ static serial_manager_status_t SerialManager_StartReading(serial_manager_handle_
 {\r
     serial_manager_status_t status = kStatus_SerialManager_Error;\r
 \r
-    if (readHandle)\r
+    if (NULL != readHandle)\r
     {\r
         switch (handle->type)\r
         {\r
@@ -335,8 +328,14 @@ static serial_manager_status_t SerialManager_StartReading(serial_manager_handle_
             case kSerialPort_Swo:\r
                 status = Serial_SwoRead(((serial_handle_t)&handle->lowLevelhandleBuffer[0]), buffer, length);\r
                 break;\r
+#endif\r
+#if (defined(SERIAL_PORT_TYPE_USBCDC_VIRTUAL) && (SERIAL_PORT_TYPE_USBCDC_VIRTUAL > 0U))\r
+            case kSerialPort_UsbCdcVirtual:\r
+                status = Serial_UsbCdcVirtualRead(((serial_handle_t)&handle->lowLevelhandleBuffer[0]), buffer, length);\r
+                break;\r
 #endif\r
             default:\r
+                status = kStatus_SerialManager_Error;\r
                 break;\r
         }\r
     }\r
@@ -364,8 +363,14 @@ static void SerialManager_IsrFunction(serial_manager_handle_t *handle)
         case kSerialPort_Swo:\r
             Serial_SwoIsrFunction(((serial_handle_t)&handle->lowLevelhandleBuffer[0]));\r
             break;\r
+#endif\r
+#if (defined(SERIAL_PORT_TYPE_USBCDC_VIRTUAL) && (SERIAL_PORT_TYPE_USBCDC_VIRTUAL > 0U))\r
+        case kSerialPort_UsbCdcVirtual:\r
+            Serial_UsbCdcVirtualIsrFunction(((serial_handle_t)&handle->lowLevelhandleBuffer[0]));\r
+            break;\r
 #endif\r
         default:\r
+            /*MISRA rule 16.4*/\r
             break;\r
     }\r
     EnableGlobalIRQ(regPrimask);\r
@@ -376,7 +381,9 @@ static void SerialManager_Task(void *param)
     serial_manager_handle_t *handle = (serial_manager_handle_t *)param;\r
     serial_manager_write_handle_t *serialWriteHandle;\r
     serial_manager_read_handle_t *serialReadHandle;\r
+    uint32_t primask;\r
     serial_manager_callback_message_t msg;\r
+\r
     if (NULL != handle)\r
     {\r
 #if defined(OSA_USED)\r
@@ -395,19 +402,21 @@ static void SerialManager_Task(void *param)
 \r
 #endif\r
         {\r
-            serialWriteHandle = (serial_manager_write_handle_t *)LIST_GetHead(&handle->completedWriteHandleHead);\r
+            serialWriteHandle =\r
+                (serial_manager_write_handle_t *)(void *)LIST_GetHead(&handle->completedWriteHandleHead);\r
             while (NULL != serialWriteHandle)\r
             {\r
                 SerialManager_RemoveHead(&handle->completedWriteHandleHead);\r
-                msg.buffer = serialWriteHandle->transfer.buffer;\r
-                msg.length = serialWriteHandle->transfer.soFar;\r
+                msg.buffer                         = serialWriteHandle->transfer.buffer;\r
+                msg.length                         = serialWriteHandle->transfer.soFar;\r
                 serialWriteHandle->transfer.buffer = NULL;\r
-                if (serialWriteHandle->callback)\r
+                if (NULL != serialWriteHandle->callback)\r
                 {\r
                     serialWriteHandle->callback(serialWriteHandle->callbackParam, &msg,\r
                                                 serialWriteHandle->transfer.status);\r
                 }\r
-                serialWriteHandle = (serial_manager_write_handle_t *)LIST_GetHead(&handle->completedWriteHandleHead);\r
+                serialWriteHandle =\r
+                    (serial_manager_write_handle_t *)(void *)LIST_GetHead(&handle->completedWriteHandleHead);\r
             }\r
         }\r
 #if defined(OSA_USED)\r
@@ -419,17 +428,25 @@ static void SerialManager_Task(void *param)
 \r
 #endif\r
         {\r
+            primask          = DisableGlobalIRQ();\r
             serialReadHandle = handle->openedReadHandleHead;\r
-            if ((serialReadHandle) && (serialReadHandle->transfer.buffer) &&\r
-                (serialReadHandle->transfer.soFar >= serialReadHandle->transfer.length))\r
+            EnableGlobalIRQ(primask);\r
+\r
+            if (NULL != serialReadHandle)\r
             {\r
-                msg.buffer = serialReadHandle->transfer.buffer;\r
-                msg.length = serialReadHandle->transfer.soFar;\r
-                serialReadHandle->transfer.buffer = NULL;\r
-                if (serialReadHandle->callback)\r
+                if (NULL != serialReadHandle->transfer.buffer)\r
                 {\r
-                    serialReadHandle->callback(serialReadHandle->callbackParam, &msg,\r
-                                               serialReadHandle->transfer.status);\r
+                    if (serialReadHandle->transfer.soFar >= serialReadHandle->transfer.length)\r
+                    {\r
+                        msg.buffer                        = serialReadHandle->transfer.buffer;\r
+                        msg.length                        = serialReadHandle->transfer.soFar;\r
+                        serialReadHandle->transfer.buffer = NULL;\r
+                        if (NULL != serialReadHandle->callback)\r
+                        {\r
+                            serialReadHandle->callback(serialReadHandle->callbackParam, &msg,\r
+                                                       serialReadHandle->transfer.status);\r
+                        }\r
+                    }\r
                 }\r
             }\r
         }\r
@@ -459,13 +476,13 @@ static void SerialManager_TxCallback(void *callbackParam,
 \r
     handle = (serial_manager_handle_t *)callbackParam;\r
 \r
-    writeHandle = (serial_manager_write_handle_t *)LIST_GetHead(&handle->runningWriteHandleHead);\r
+    writeHandle = (serial_manager_write_handle_t *)(void *)LIST_GetHead(&handle->runningWriteHandleHead);\r
 \r
     if (NULL != writeHandle)\r
     {\r
         SerialManager_RemoveHead(&handle->runningWriteHandleHead);\r
-        SerialManager_StartWriting(handle);\r
-        writeHandle->transfer.soFar = message->length;\r
+        (void)SerialManager_StartWriting(handle);\r
+        writeHandle->transfer.soFar  = message->length;\r
         writeHandle->transfer.status = status;\r
         if (kSerialManager_TransmissionNonBlocking == writeHandle->transfer.mode)\r
         {\r
@@ -473,7 +490,7 @@ static void SerialManager_TxCallback(void *callbackParam,
 #if defined(OSA_USED)\r
 \r
 #if (defined(SERIAL_MANAGER_USE_COMMON_TASK) && (SERIAL_MANAGER_USE_COMMON_TASK > 0U))\r
-            handle->commontaskMsg.callback = SerialManager_Task;\r
+            handle->commontaskMsg.callback      = SerialManager_Task;\r
             handle->commontaskMsg.callbackParam = handle;\r
             COMMON_TASK_post_message(&handle->commontaskMsg);\r
 #else\r
@@ -497,6 +514,7 @@ static void SerialManager_RxCallback(void *callbackParam,
 {\r
     serial_manager_handle_t *handle;\r
     uint32_t ringBufferLength;\r
+    uint32_t primask;\r
 \r
     assert(callbackParam);\r
     assert(message);\r
@@ -505,7 +523,7 @@ static void SerialManager_RxCallback(void *callbackParam,
 \r
     status = kStatus_SerialManager_Notify;\r
 \r
-    for (int i = 0; i < message->length; i++)\r
+    for (uint32_t i = 0; i < message->length; i++)\r
     {\r
         handle->ringBuffer.ringBuffer[handle->ringBuffer.ringHead++] = message->buffer[i];\r
         if (handle->ringBuffer.ringHead >= handle->ringBuffer.ringBufferSize)\r
@@ -526,13 +544,14 @@ static void SerialManager_RxCallback(void *callbackParam,
     ringBufferLength = handle->ringBuffer.ringHead + handle->ringBuffer.ringBufferSize - handle->ringBuffer.ringTail;\r
     ringBufferLength = ringBufferLength % handle->ringBuffer.ringBufferSize;\r
 \r
-    if ((handle->openedReadHandleHead) && (handle->openedReadHandleHead->transfer.buffer))\r
+    primask = DisableGlobalIRQ();\r
+    if ((NULL != handle->openedReadHandleHead) && (NULL != handle->openedReadHandleHead->transfer.buffer))\r
     {\r
         if (handle->openedReadHandleHead->transfer.length > handle->openedReadHandleHead->transfer.soFar)\r
         {\r
-            int remainLength =\r
+            uint32_t remainLength =\r
                 handle->openedReadHandleHead->transfer.length - handle->openedReadHandleHead->transfer.soFar;\r
-            for (int i = 0; i < MIN(ringBufferLength, remainLength); i++)\r
+            for (uint32_t i = 0; i < MIN(ringBufferLength, remainLength); i++)\r
             {\r
                 handle->openedReadHandleHead->transfer.buffer[handle->openedReadHandleHead->transfer.soFar] =\r
                     handle->ringBuffer.ringBuffer[handle->ringBuffer.ringTail];\r
@@ -562,7 +581,7 @@ static void SerialManager_RxCallback(void *callbackParam,
 #if defined(OSA_USED)\r
 \r
 #if (defined(SERIAL_MANAGER_USE_COMMON_TASK) && (SERIAL_MANAGER_USE_COMMON_TASK > 0U))\r
-                handle->commontaskMsg.callback = SerialManager_Task;\r
+                handle->commontaskMsg.callback      = SerialManager_Task;\r
                 handle->commontaskMsg.callbackParam = handle;\r
                 COMMON_TASK_post_message(&handle->commontaskMsg);\r
 #else\r
@@ -576,15 +595,23 @@ static void SerialManager_RxCallback(void *callbackParam,
         }\r
     }\r
 \r
-    if (ringBufferLength)\r
+    if (0U != ringBufferLength)\r
     {\r
         message->buffer = NULL;\r
         message->length = ringBufferLength;\r
-        if ((NULL != handle->openedReadHandleHead->callback))\r
+        if ((NULL != handle->openedReadHandleHead) && (NULL != handle->openedReadHandleHead->callback))\r
         {\r
             handle->openedReadHandleHead->callback(handle->openedReadHandleHead->callbackParam, message, status);\r
         }\r
     }\r
+\r
+    ringBufferLength = handle->ringBuffer.ringBufferSize - 1U - ringBufferLength;\r
+\r
+    if (NULL != handle->openedReadHandleHead)\r
+    {\r
+        (void)SerialManager_StartReading(handle, handle->openedReadHandleHead, NULL, ringBufferLength);\r
+    }\r
+    EnableGlobalIRQ(primask);\r
 }\r
 \r
 static serial_manager_status_t SerialManager_Write(serial_write_handle_t writeHandle,\r
@@ -603,22 +630,22 @@ static serial_manager_status_t SerialManager_Write(serial_write_handle_t writeHa
     assert(length);\r
 \r
     serialWriteHandle = (serial_manager_write_handle_t *)writeHandle;\r
-    handle = serialWriteHandle->serialManagerHandle;\r
+    handle            = serialWriteHandle->serialManagerHandle;\r
 \r
     assert(handle);\r
     assert(SERIAL_MANAGER_WRITE_TAG == serialWriteHandle->tag);\r
     assert(!((kSerialManager_TransmissionNonBlocking == mode) && (NULL == serialWriteHandle->callback)));\r
 \r
     primask = DisableGlobalIRQ();\r
-    if (serialWriteHandle->transfer.buffer)\r
+    if (NULL != serialWriteHandle->transfer.buffer)\r
     {\r
         EnableGlobalIRQ(primask);\r
         return kStatus_SerialManager_Busy;\r
     }\r
     serialWriteHandle->transfer.buffer = buffer;\r
     serialWriteHandle->transfer.length = length;\r
-    serialWriteHandle->transfer.soFar = 0U;\r
-    serialWriteHandle->transfer.mode = mode;\r
+    serialWriteHandle->transfer.soFar  = 0U;\r
+    serialWriteHandle->transfer.mode   = mode;\r
 \r
     if (NULL == LIST_GetHead(&handle->runningWriteHandleHead))\r
     {\r
@@ -627,10 +654,10 @@ static serial_manager_status_t SerialManager_Write(serial_write_handle_t writeHa
     SerialManager_AddTail(&handle->runningWriteHandleHead, serialWriteHandle);\r
     EnableGlobalIRQ(primask);\r
 \r
-    if (isEmpty)\r
+    if (0U != isEmpty)\r
     {\r
         status = SerialManager_StartWriting(handle);\r
-        if (kStatus_SerialManager_Success != status)\r
+        if ((serial_manager_status_t)kStatus_SerialManager_Success != status)\r
         {\r
             return status;\r
         }\r
@@ -641,9 +668,9 @@ static serial_manager_status_t SerialManager_Write(serial_write_handle_t writeHa
         while (serialWriteHandle->transfer.length > serialWriteHandle->transfer.soFar)\r
         {\r
 #if defined(__GIC_PRIO_BITS)\r
-            if ((__get_CPSR() & CPSR_M_Msk) == 0x13)\r
+            if (0x13 == (__get_CPSR() & CPSR_M_Msk))\r
 #else\r
-            if (__get_IPSR())\r
+            if (0U != __get_IPSR())\r
 #endif\r
             {\r
                 SerialManager_IsrFunction(handle);\r
@@ -669,27 +696,28 @@ static serial_manager_status_t SerialManager_Read(serial_read_handle_t readHandl
     assert(length);\r
 \r
     serialReadHandle = (serial_manager_read_handle_t *)readHandle;\r
-    handle = serialReadHandle->serialManagerHandle;\r
+    handle           = serialReadHandle->serialManagerHandle;\r
 \r
     assert(handle);\r
     assert(SERIAL_MANAGER_READ_TAG == serialReadHandle->tag);\r
     assert(!((kSerialManager_TransmissionNonBlocking == mode) && (NULL == serialReadHandle->callback)));\r
 \r
     primask = DisableGlobalIRQ();\r
-    if (serialReadHandle->transfer.buffer)\r
+    if (NULL != serialReadHandle->transfer.buffer)\r
     {\r
         EnableGlobalIRQ(primask);\r
         return kStatus_SerialManager_Busy;\r
     }\r
     serialReadHandle->transfer.buffer = buffer;\r
     serialReadHandle->transfer.length = length;\r
-    serialReadHandle->transfer.soFar = 0U;\r
-    serialReadHandle->transfer.mode = mode;\r
+    serialReadHandle->transfer.soFar  = 0U;\r
+    serialReadHandle->transfer.mode   = mode;\r
 \r
     dataLength = handle->ringBuffer.ringHead + handle->ringBuffer.ringBufferSize - handle->ringBuffer.ringTail;\r
     dataLength = dataLength % handle->ringBuffer.ringBufferSize;\r
 \r
-    for (; serialReadHandle->transfer.soFar < MIN(dataLength, length); serialReadHandle->transfer.soFar++)\r
+    for (serialReadHandle->transfer.soFar = 0U; serialReadHandle->transfer.soFar < MIN(dataLength, length);\r
+         serialReadHandle->transfer.soFar++)\r
     {\r
         buffer[serialReadHandle->transfer.soFar] = handle->ringBuffer.ringBuffer[handle->ringBuffer.ringTail];\r
         handle->ringBuffer.ringTail++;\r
@@ -698,21 +726,28 @@ static serial_manager_status_t SerialManager_Read(serial_read_handle_t readHandl
             handle->ringBuffer.ringTail = 0U;\r
         }\r
     }\r
-    EnableGlobalIRQ(primask);\r
 \r
-    if (receivedLength)\r
+    dataLength = handle->ringBuffer.ringHead + handle->ringBuffer.ringBufferSize - handle->ringBuffer.ringTail;\r
+    dataLength = dataLength % handle->ringBuffer.ringBufferSize;\r
+    dataLength = handle->ringBuffer.ringBufferSize - 1U - dataLength;\r
+\r
+    (void)SerialManager_StartReading(handle, readHandle, NULL, dataLength);\r
+\r
+    if (NULL != receivedLength)\r
     {\r
-        *receivedLength = serialReadHandle->transfer.soFar;\r
+        *receivedLength                   = serialReadHandle->transfer.soFar;\r
         serialReadHandle->transfer.buffer = NULL;\r
+        EnableGlobalIRQ(primask);\r
     }\r
     else\r
     {\r
         if (serialReadHandle->transfer.soFar >= serialReadHandle->transfer.length)\r
         {\r
             serialReadHandle->transfer.buffer = NULL;\r
+            EnableGlobalIRQ(primask);\r
             if (kSerialManager_TransmissionNonBlocking == mode)\r
             {\r
-                if (serialReadHandle->callback)\r
+                if (NULL != serialReadHandle->callback)\r
                 {\r
                     serial_manager_callback_message_t msg;\r
                     msg.buffer = buffer;\r
@@ -721,6 +756,10 @@ static serial_manager_status_t SerialManager_Read(serial_read_handle_t readHandl
                 }\r
             }\r
         }\r
+        else\r
+        {\r
+            EnableGlobalIRQ(primask);\r
+        }\r
 \r
         if (kSerialManager_TransmissionBlocking == mode)\r
         {\r
@@ -745,7 +784,7 @@ static serial_manager_status_t SerialManager_Write(serial_write_handle_t writeHa
     assert(length);\r
 \r
     serialWriteHandle = (serial_manager_write_handle_t *)writeHandle;\r
-    handle = serialWriteHandle->serialManagerHandle;\r
+    handle            = serialWriteHandle->serialManagerHandle;\r
 \r
     assert(handle);\r
 \r
@@ -762,7 +801,7 @@ static serial_manager_status_t SerialManager_Read(serial_read_handle_t readHandl
     assert(length);\r
 \r
     serialReadHandle = (serial_manager_read_handle_t *)readHandle;\r
-    handle = serialReadHandle->serialManagerHandle;\r
+    handle           = serialReadHandle->serialManagerHandle;\r
 \r
     assert(handle);\r
 \r
@@ -781,14 +820,11 @@ serial_manager_status_t SerialManager_Init(serial_handle_t serialHandle, serial_
     assert(config->ringBufferSize);\r
 #endif\r
     assert(serialHandle);\r
-    if (SERIAL_MANAGER_HANDLE_SIZE < sizeof(serial_manager_handle_t))\r
-    {\r
-        return kStatus_SerialManager_Error;\r
-    }\r
+    assert(SERIAL_MANAGER_HANDLE_SIZE >= sizeof(serial_manager_handle_t));\r
 \r
     handle = (serial_manager_handle_t *)serialHandle;\r
 \r
-    memset(handle, 0, SERIAL_MANAGER_HANDLE_SIZE);\r
+    (void)memset(handle, 0, SERIAL_MANAGER_HANDLE_SIZE);\r
 \r
 #if (defined(SERIAL_MANAGER_NON_BLOCKING_MODE) && (SERIAL_MANAGER_NON_BLOCKING_MODE > 0U))\r
 \r
@@ -817,7 +853,7 @@ serial_manager_status_t SerialManager_Init(serial_handle_t serialHandle, serial_
     handle->type = config->type;\r
 \r
 #if (defined(SERIAL_MANAGER_NON_BLOCKING_MODE) && (SERIAL_MANAGER_NON_BLOCKING_MODE > 0U))\r
-    handle->ringBuffer.ringBuffer = config->ringBuffer;\r
+    handle->ringBuffer.ringBuffer     = config->ringBuffer;\r
     handle->ringBuffer.ringBufferSize = config->ringBufferSize;\r
 #endif\r
 \r
@@ -827,11 +863,11 @@ serial_manager_status_t SerialManager_Init(serial_handle_t serialHandle, serial_
         case kSerialPort_Uart:\r
             status = Serial_UartInit(((serial_handle_t)&handle->lowLevelhandleBuffer[0]), config->portConfig);\r
 #if (defined(SERIAL_MANAGER_NON_BLOCKING_MODE) && (SERIAL_MANAGER_NON_BLOCKING_MODE > 0U))\r
-            if (kStatus_SerialManager_Success == status)\r
+            if ((serial_manager_status_t)kStatus_SerialManager_Success == status)\r
             {\r
                 status = Serial_UartInstallTxCallback(((serial_handle_t)&handle->lowLevelhandleBuffer[0]),\r
                                                       SerialManager_TxCallback, handle);\r
-                if (kStatus_SerialManager_Success == status)\r
+                if ((serial_manager_status_t)kStatus_SerialManager_Success == status)\r
                 {\r
                     status = Serial_UartInstallRxCallback(((serial_handle_t)&handle->lowLevelhandleBuffer[0]),\r
                                                           SerialManager_RxCallback, handle);\r
@@ -868,8 +904,26 @@ serial_manager_status_t SerialManager_Init(serial_handle_t serialHandle, serial_
             }\r
 #endif\r
             break;\r
+#endif\r
+#if (defined(SERIAL_PORT_TYPE_USBCDC_VIRTUAL) && (SERIAL_PORT_TYPE_USBCDC_VIRTUAL > 0U))\r
+        case kSerialPort_UsbCdcVirtual:\r
+            status = Serial_UsbCdcVirtualInit(((serial_handle_t)&handle->lowLevelhandleBuffer[0]), config->portConfig);\r
+#if (defined(SERIAL_MANAGER_NON_BLOCKING_MODE) && (SERIAL_MANAGER_NON_BLOCKING_MODE > 0U))\r
+            if (kStatus_SerialManager_Success == status)\r
+            {\r
+                status = Serial_UsbCdcVirtualInstallTxCallback(((serial_handle_t)&handle->lowLevelhandleBuffer[0]),\r
+                                                               SerialManager_TxCallback, handle);\r
+                if (kStatus_SerialManager_Success == status)\r
+                {\r
+                    status = Serial_UsbCdcVirtualInstallRxCallback(((serial_handle_t)&handle->lowLevelhandleBuffer[0]),\r
+                                                                   SerialManager_RxCallback, handle);\r
+                }\r
+            }\r
+#endif\r
+            break;\r
 #endif\r
         default:\r
+            /*MISRA rule 16.4*/\r
             break;\r
     }\r
 \r
@@ -879,34 +933,44 @@ serial_manager_status_t SerialManager_Init(serial_handle_t serialHandle, serial_
 serial_manager_status_t SerialManager_Deinit(serial_handle_t serialHandle)\r
 {\r
     serial_manager_handle_t *handle;\r
+    uint32_t primask;\r
 \r
     assert(serialHandle);\r
 \r
     handle = (serial_manager_handle_t *)serialHandle;\r
 \r
-    if ((handle->openedReadHandleHead) || (handle->openedWriteHandleCount))\r
+    primask = DisableGlobalIRQ();\r
+    if ((NULL != handle->openedReadHandleHead) || (0U != handle->openedWriteHandleCount))\r
     {\r
+        EnableGlobalIRQ(primask);\r
         return kStatus_SerialManager_Busy;\r
     }\r
+    EnableGlobalIRQ(primask);\r
 \r
     switch (handle->type)\r
     {\r
 #if (defined(SERIAL_PORT_TYPE_UART) && (SERIAL_PORT_TYPE_UART > 0U))\r
         case kSerialPort_Uart:\r
-            Serial_UartDeinit(((serial_handle_t)&handle->lowLevelhandleBuffer[0]));\r
+            (void)Serial_UartDeinit(((serial_handle_t)&handle->lowLevelhandleBuffer[0]));\r
             break;\r
 #endif\r
 #if (defined(SERIAL_PORT_TYPE_USBCDC) && (SERIAL_PORT_TYPE_USBCDC > 0U))\r
         case kSerialPort_UsbCdc:\r
-            Serial_UsbCdcDeinit(((serial_handle_t)&handle->lowLevelhandleBuffer[0]));\r
+            (void)Serial_UsbCdcDeinit(((serial_handle_t)&handle->lowLevelhandleBuffer[0]));\r
             break;\r
 #endif\r
 #if (defined(SERIAL_PORT_TYPE_SWO) && (SERIAL_PORT_TYPE_SWO > 0U))\r
         case kSerialPort_Swo:\r
-            Serial_SwoDeinit(((serial_handle_t)&handle->lowLevelhandleBuffer[0]));\r
+            (void)Serial_SwoDeinit(((serial_handle_t)&handle->lowLevelhandleBuffer[0]));\r
+            break;\r
+#endif\r
+#if (defined(SERIAL_PORT_TYPE_USBCDC_VIRTUAL) && (SERIAL_PORT_TYPE_USBCDC_VIRTUAL > 0U))\r
+        case kSerialPort_UsbCdcVirtual:\r
+            (void)Serial_UsbCdcVirtualDeinit(((serial_handle_t)&handle->lowLevelhandleBuffer[0]));\r
             break;\r
 #endif\r
         default:\r
+            /*MISRA rule 16.4*/\r
             break;\r
     }\r
 #if (defined(SERIAL_MANAGER_NON_BLOCKING_MODE) && (SERIAL_MANAGER_NON_BLOCKING_MODE > 0U))\r
@@ -930,19 +994,20 @@ serial_manager_status_t SerialManager_OpenWriteHandle(serial_handle_t serialHand
 {\r
     serial_manager_handle_t *handle;\r
     serial_manager_write_handle_t *serialWriteHandle;\r
+    uint32_t primask;\r
 \r
     assert(serialHandle);\r
     assert(writeHandle);\r
-    if (SERIAL_MANAGER_WRITE_HANDLE_SIZE < sizeof(serial_manager_write_handle_t))\r
-    {\r
-        return kStatus_SerialManager_Error;\r
-    }\r
-    handle = (serial_manager_handle_t *)serialHandle;\r
+    assert(SERIAL_MANAGER_WRITE_HANDLE_SIZE >= sizeof(serial_manager_write_handle_t));\r
+\r
+    handle            = (serial_manager_handle_t *)serialHandle;\r
     serialWriteHandle = (serial_manager_write_handle_t *)writeHandle;\r
 \r
-    memset(writeHandle, 0, SERIAL_MANAGER_WRITE_HANDLE_SIZE);\r
+    (void)memset(writeHandle, 0, SERIAL_MANAGER_WRITE_HANDLE_SIZE);\r
 \r
+    primask = DisableGlobalIRQ();\r
     handle->openedWriteHandleCount++;\r
+    EnableGlobalIRQ(primask);\r
 \r
     serialWriteHandle->serialManagerHandle = handle;\r
 #if (defined(SERIAL_MANAGER_NON_BLOCKING_MODE) && (SERIAL_MANAGER_NON_BLOCKING_MODE > 0U))\r
@@ -956,11 +1021,12 @@ serial_manager_status_t SerialManager_CloseWriteHandle(serial_write_handle_t wri
 {\r
     serial_manager_handle_t *handle;\r
     serial_manager_write_handle_t *serialWriteHandle;\r
+    uint32_t primask;\r
 \r
     assert(writeHandle);\r
 \r
     serialWriteHandle = (serial_manager_write_handle_t *)writeHandle;\r
-    handle = (serial_manager_handle_t *)serialWriteHandle->serialManagerHandle;\r
+    handle            = (serial_manager_handle_t *)(void *)serialWriteHandle->serialManagerHandle;\r
 \r
     assert(handle);\r
 #if (defined(SERIAL_MANAGER_NON_BLOCKING_MODE) && (SERIAL_MANAGER_NON_BLOCKING_MODE > 0U))\r
@@ -968,11 +1034,16 @@ serial_manager_status_t SerialManager_CloseWriteHandle(serial_write_handle_t wri
 #endif\r
 \r
 #if (defined(SERIAL_MANAGER_NON_BLOCKING_MODE) && (SERIAL_MANAGER_NON_BLOCKING_MODE > 0U))\r
-    SerialManager_CancelWriting(writeHandle);\r
+    (void)SerialManager_CancelWriting(writeHandle);\r
 #endif\r
+    primask = DisableGlobalIRQ();\r
+    if (handle->openedWriteHandleCount > 0U)\r
+    {\r
+        handle->openedWriteHandleCount--;\r
+    }\r
+    EnableGlobalIRQ(primask);\r
 \r
-    handle->openedWriteHandleCount--;\r
-    memset(writeHandle, 0, SERIAL_MANAGER_WRITE_HANDLE_SIZE);\r
+    (void)memset(writeHandle, 0, SERIAL_MANAGER_WRITE_HANDLE_SIZE);\r
 \r
     return kStatus_SerialManager_Success;\r
 }\r
@@ -981,23 +1052,25 @@ serial_manager_status_t SerialManager_OpenReadHandle(serial_handle_t serialHandl
 {\r
     serial_manager_handle_t *handle;\r
     serial_manager_read_handle_t *serialReadHandle;\r
+    uint32_t primask;\r
 \r
     assert(serialHandle);\r
     assert(readHandle);\r
-    if (SERIAL_MANAGER_READ_HANDLE_SIZE < sizeof(serial_manager_read_handle_t))\r
-    {\r
-        return kStatus_SerialManager_Error;\r
-    }\r
-    handle = (serial_manager_handle_t *)serialHandle;\r
+    assert(SERIAL_MANAGER_READ_HANDLE_SIZE >= sizeof(serial_manager_read_handle_t));\r
+\r
+    handle           = (serial_manager_handle_t *)serialHandle;\r
     serialReadHandle = (serial_manager_read_handle_t *)readHandle;\r
 \r
-    if (handle->openedReadHandleHead)\r
+    primask = DisableGlobalIRQ();\r
+    if (handle->openedReadHandleHead != NULL)\r
     {\r
+        EnableGlobalIRQ(primask);\r
         return kStatus_SerialManager_Busy;\r
     }\r
-    memset(readHandle, 0, SERIAL_MANAGER_READ_HANDLE_SIZE);\r
-\r
     handle->openedReadHandleHead = serialReadHandle;\r
+    EnableGlobalIRQ(primask);\r
+\r
+    (void)memset(readHandle, 0, SERIAL_MANAGER_READ_HANDLE_SIZE);\r
 \r
     serialReadHandle->serialManagerHandle = handle;\r
 #if (defined(SERIAL_MANAGER_NON_BLOCKING_MODE) && (SERIAL_MANAGER_NON_BLOCKING_MODE > 0U))\r
@@ -1011,23 +1084,27 @@ serial_manager_status_t SerialManager_CloseReadHandle(serial_read_handle_t readH
 {\r
     serial_manager_handle_t *handle;\r
     serial_manager_read_handle_t *serialReadHandle;\r
+    uint32_t primask;\r
 \r
     assert(readHandle);\r
 \r
     serialReadHandle = (serial_manager_read_handle_t *)readHandle;\r
-    handle = (serial_manager_handle_t *)serialReadHandle->serialManagerHandle;\r
+    handle           = (serial_manager_handle_t *)(void *)serialReadHandle->serialManagerHandle;\r
 \r
-    assert(handle);\r
+    assert(handle && (handle->openedReadHandleHead == serialReadHandle));\r
 #if (defined(SERIAL_MANAGER_NON_BLOCKING_MODE) && (SERIAL_MANAGER_NON_BLOCKING_MODE > 0U))\r
     assert(SERIAL_MANAGER_READ_TAG == serialReadHandle->tag);\r
 #endif\r
 \r
 #if (defined(SERIAL_MANAGER_NON_BLOCKING_MODE) && (SERIAL_MANAGER_NON_BLOCKING_MODE > 0U))\r
-    SerialManager_CancelReading(readHandle);\r
+    (void)SerialManager_CancelReading(readHandle);\r
 #endif\r
 \r
+    primask                      = DisableGlobalIRQ();\r
     handle->openedReadHandleHead = NULL;\r
-    memset(readHandle, 0, SERIAL_MANAGER_READ_HANDLE_SIZE);\r
+    EnableGlobalIRQ(primask);\r
+\r
+    (void)memset(readHandle, 0, SERIAL_MANAGER_READ_HANDLE_SIZE);\r
 \r
     return kStatus_SerialManager_Success;\r
 }\r
@@ -1076,31 +1153,31 @@ serial_manager_status_t SerialManager_CancelWriting(serial_write_handle_t writeH
     assert(serialWriteHandle->serialManagerHandle);\r
     assert(SERIAL_MANAGER_WRITE_TAG == serialWriteHandle->tag);\r
 \r
-    if ((serialWriteHandle->transfer.buffer) &&\r
+    if ((NULL != serialWriteHandle->transfer.buffer) &&\r
         (kSerialManager_TransmissionBlocking == serialWriteHandle->transfer.mode))\r
     {\r
         return kStatus_SerialManager_Error;\r
     }\r
 \r
     primask = DisableGlobalIRQ();\r
-    if (serialWriteHandle !=\r
-        (serial_manager_write_handle_t *)LIST_GetHead(&serialWriteHandle->serialManagerHandle->runningWriteHandleHead))\r
+    if (serialWriteHandle != (serial_manager_write_handle_t *)(void *)LIST_GetHead(\r
+                                 &serialWriteHandle->serialManagerHandle->runningWriteHandleHead))\r
     {\r
-        LIST_RemoveElement(&serialWriteHandle->link);\r
+        (void)LIST_RemoveElement(&serialWriteHandle->link);\r
         isNotUsed = 1;\r
     }\r
     EnableGlobalIRQ(primask);\r
 \r
-    if (isNotUsed)\r
+    if (0U != isNotUsed)\r
     {\r
-        serialWriteHandle->transfer.soFar = 0;\r
+        serialWriteHandle->transfer.soFar  = 0;\r
         serialWriteHandle->transfer.status = kStatus_SerialManager_Canceled;\r
 \r
         SerialManager_AddTail(&serialWriteHandle->serialManagerHandle->completedWriteHandleHead, serialWriteHandle);\r
 #if defined(OSA_USED)\r
 \r
 #if (defined(SERIAL_MANAGER_USE_COMMON_TASK) && (SERIAL_MANAGER_USE_COMMON_TASK > 0U))\r
-        serialWriteHandle->serialManagerHandle->commontaskMsg.callback = SerialManager_Task;\r
+        serialWriteHandle->serialManagerHandle->commontaskMsg.callback      = SerialManager_Task;\r
         serialWriteHandle->serialManagerHandle->commontaskMsg.callbackParam = serialWriteHandle->serialManagerHandle;\r
         COMMON_TASK_post_message(&serialWriteHandle->serialManagerHandle->commontaskMsg);\r
 #else\r
@@ -1117,28 +1194,35 @@ serial_manager_status_t SerialManager_CancelWriting(serial_write_handle_t writeH
         {\r
 #if (defined(SERIAL_PORT_TYPE_UART) && (SERIAL_PORT_TYPE_UART > 0U))\r
             case kSerialPort_Uart:\r
-                Serial_UartCancelWrite(\r
+                (void)Serial_UartCancelWrite(\r
                     ((serial_handle_t)&serialWriteHandle->serialManagerHandle->lowLevelhandleBuffer[0]));\r
                 break;\r
 #endif\r
 #if (defined(SERIAL_PORT_TYPE_USBCDC) && (SERIAL_PORT_TYPE_USBCDC > 0U))\r
             case kSerialPort_UsbCdc:\r
-                Serial_UsbCdcCancelWrite(\r
+                (void)Serial_UsbCdcCancelWrite(\r
                     ((serial_handle_t)&serialWriteHandle->serialManagerHandle->lowLevelhandleBuffer[0]));\r
                 break;\r
 #endif\r
 #if (defined(SERIAL_PORT_TYPE_SWO) && (SERIAL_PORT_TYPE_SWO > 0U))\r
             case kSerialPort_Swo:\r
-                Serial_SwoCancelWrite(\r
+                (void)Serial_SwoCancelWrite(\r
+                    ((serial_handle_t)&serialWriteHandle->serialManagerHandle->lowLevelhandleBuffer[0]));\r
+                break;\r
+#endif\r
+#if (defined(SERIAL_PORT_TYPE_USBCDC_VIRTUAL) && (SERIAL_PORT_TYPE_USBCDC_VIRTUAL > 0U))\r
+            case kSerialPort_UsbCdcVirtual:\r
+                (void)Serial_UsbCdcVirtualCancelWrite(\r
                     ((serial_handle_t)&serialWriteHandle->serialManagerHandle->lowLevelhandleBuffer[0]));\r
                 break;\r
 #endif\r
             default:\r
+                /*MISRA rule 16.4*/\r
                 break;\r
         }\r
     }\r
 \r
-    SerialManager_StartWriting(serialWriteHandle->serialManagerHandle);\r
+    (void)SerialManager_StartWriting(serialWriteHandle->serialManagerHandle);\r
 \r
     return kStatus_SerialManager_Success;\r
 }\r
@@ -1156,22 +1240,23 @@ serial_manager_status_t SerialManager_CancelReading(serial_read_handle_t readHan
 \r
     assert(SERIAL_MANAGER_READ_TAG == serialReadHandle->tag);\r
 \r
-    if ((serialReadHandle->transfer.buffer) && (kSerialManager_TransmissionBlocking == serialReadHandle->transfer.mode))\r
+    if ((NULL != serialReadHandle->transfer.buffer) &&\r
+        (kSerialManager_TransmissionBlocking == serialReadHandle->transfer.mode))\r
     {\r
         return kStatus_SerialManager_Error;\r
     }\r
 \r
-    primask = DisableGlobalIRQ();\r
-    buffer = serialReadHandle->transfer.buffer;\r
+    primask                           = DisableGlobalIRQ();\r
+    buffer                            = serialReadHandle->transfer.buffer;\r
     serialReadHandle->transfer.buffer = NULL;\r
     serialReadHandle->transfer.length = 0;\r
-    msg.buffer = buffer;\r
-    msg.length = serialReadHandle->transfer.soFar;\r
+    msg.buffer                        = buffer;\r
+    msg.length                        = serialReadHandle->transfer.soFar;\r
     EnableGlobalIRQ(primask);\r
 \r
-    if (buffer)\r
+    if (NULL != buffer)\r
     {\r
-        if (serialReadHandle->callback)\r
+        if (NULL != serialReadHandle->callback)\r
         {\r
             serialReadHandle->callback(serialReadHandle->callbackParam, &msg, kStatus_SerialManager_Canceled);\r
         }\r
@@ -1202,7 +1287,7 @@ serial_manager_status_t SerialManager_InstallTxCallback(serial_write_handle_t wr
     assert(SERIAL_MANAGER_WRITE_TAG == serialWriteHandle->tag);\r
 \r
     serialWriteHandle->callbackParam = callbackParam;\r
-    serialWriteHandle->callback = callback;\r
+    serialWriteHandle->callback      = callback;\r
 \r
     return kStatus_SerialManager_Success;\r
 }\r
@@ -1220,7 +1305,7 @@ serial_manager_status_t SerialManager_InstallRxCallback(serial_read_handle_t rea
     assert(SERIAL_MANAGER_READ_TAG == serialReadHandle->tag);\r
 \r
     serialReadHandle->callbackParam = callbackParam;\r
-    serialReadHandle->callback = callback;\r
+    serialReadHandle->callback      = callback;\r
 \r
     return kStatus_SerialManager_Success;\r
 }\r
@@ -1228,14 +1313,70 @@ serial_manager_status_t SerialManager_InstallRxCallback(serial_read_handle_t rea
 \r
 serial_manager_status_t SerialManager_EnterLowpower(serial_handle_t serialHandle)\r
 {\r
+    serial_manager_handle_t *handle;\r
+    serial_manager_status_t status = kStatus_SerialManager_Error;\r
+\r
     assert(serialHandle);\r
 \r
-    return kStatus_SerialManager_Success;\r
+    handle = (serial_manager_handle_t *)serialHandle;\r
+\r
+    switch (handle->type)\r
+    {\r
+#if (defined(SERIAL_PORT_TYPE_UART) && (SERIAL_PORT_TYPE_UART > 0U))\r
+        case kSerialPort_Uart:\r
+            status = Serial_UartEnterLowpower(((serial_handle_t)&handle->lowLevelhandleBuffer[0]));\r
+            break;\r
+#endif\r
+#if (defined(SERIAL_PORT_TYPE_USBCDC) && (SERIAL_PORT_TYPE_USBCDC > 0U))\r
+        case kSerialPort_UsbCdc:\r
+            break;\r
+#endif\r
+#if (defined(SERIAL_PORT_TYPE_SWO) && (SERIAL_PORT_TYPE_SWO > 0U))\r
+        case kSerialPort_Swo:\r
+            break;\r
+#endif\r
+#if (defined(SERIAL_PORT_TYPE_USBCDC_VIRTUAL) && (SERIAL_PORT_TYPE_USBCDC_VIRTUAL > 0U))\r
+        case kSerialPort_UsbCdcVirtual:\r
+            break;\r
+#endif\r
+        default:\r
+            /*MISRA rule 16.4*/\r
+            break;\r
+    }\r
+    return status;\r
 }\r
 \r
 serial_manager_status_t SerialManager_ExitLowpower(serial_handle_t serialHandle)\r
 {\r
+    serial_manager_handle_t *handle;\r
+    serial_manager_status_t status = kStatus_SerialManager_Error;\r
+\r
     assert(serialHandle);\r
 \r
-    return kStatus_SerialManager_Success;\r
+    handle = (serial_manager_handle_t *)serialHandle;\r
+\r
+    switch (handle->type)\r
+    {\r
+#if (defined(SERIAL_PORT_TYPE_UART) && (SERIAL_PORT_TYPE_UART > 0U))\r
+        case kSerialPort_Uart:\r
+            status = Serial_UartExitLowpower(((serial_handle_t)&handle->lowLevelhandleBuffer[0]));\r
+            break;\r
+#endif\r
+#if (defined(SERIAL_PORT_TYPE_USBCDC) && (SERIAL_PORT_TYPE_USBCDC > 0U))\r
+        case kSerialPort_UsbCdc:\r
+            break;\r
+#endif\r
+#if (defined(SERIAL_PORT_TYPE_SWO) && (SERIAL_PORT_TYPE_SWO > 0U))\r
+        case kSerialPort_Swo:\r
+            break;\r
+#endif\r
+#if (defined(SERIAL_PORT_TYPE_USBCDC_VIRTUAL) && (SERIAL_PORT_TYPE_USBCDC_VIRTUAL > 0U))\r
+        case kSerialPort_UsbCdcVirtual:\r
+            break;\r
+#endif\r
+        default:\r
+            /*MISRA rule 16.4*/\r
+            break;\r
+    }\r
+    return status;\r
 }\r
index 539864d8ea7fc3945ca03c2de6c424b6dfabb776..7cbb6c75a8feb1df184576b17cbf214347bfc553 100644 (file)
@@ -9,31 +9,44 @@
 #ifndef __SERIAL_MANAGER_H__\r
 #define __SERIAL_MANAGER_H__\r
 \r
+/*!\r
+ * @addtogroup serialmanager\r
+ * @{\r
+ */\r
+\r
 /*******************************************************************************\r
  * Definitions\r
  ******************************************************************************/\r
 #ifdef DEBUG_CONSOLE_TRANSFER_NON_BLOCKING\r
-#define SERIAL_MANAGER_NON_BLOCKING_MODE \\r
-    (1U) /* Enable or disable serial manager non-blocking mode (1 - enable, 0 - disable) */\r
+/*! @brief Enable or disable serial manager non-blocking mode (1 - enable, 0 - disable) */\r
+#define SERIAL_MANAGER_NON_BLOCKING_MODE (1U)\r
 #else\r
 #ifndef SERIAL_MANAGER_NON_BLOCKING_MODE\r
-#define SERIAL_MANAGER_NON_BLOCKING_MODE \\r
-    (0U) /* Enable or disable serial manager non-blocking mode (1 - enable, 0 - disable) */\r
+#define SERIAL_MANAGER_NON_BLOCKING_MODE (0U)\r
 #endif\r
 #endif\r
 \r
+/*! @brief Enable or disable uart port (1 - enable, 0 - disable) */\r
 #ifndef SERIAL_PORT_TYPE_UART\r
-#define SERIAL_PORT_TYPE_UART (1U) /* Enable or disable uart port (1 - enable, 0 - disable) */\r
+#define SERIAL_PORT_TYPE_UART (0U)\r
 #endif\r
 \r
+/*! @brief Enable or disable USB CDC port (1 - enable, 0 - disable) */\r
 #ifndef SERIAL_PORT_TYPE_USBCDC\r
-#define SERIAL_PORT_TYPE_USBCDC (0U) /* Enable or disable USB CDC port (1 - enable, 0 - disable) */\r
+#define SERIAL_PORT_TYPE_USBCDC (0U)\r
 #endif\r
 \r
+/*! @brief Enable or disable SWO port (1 - enable, 0 - disable) */\r
 #ifndef SERIAL_PORT_TYPE_SWO\r
-#define SERIAL_PORT_TYPE_SWO (0U) /* Enable or disable SWO port (1 - enable, 0 - disable) */\r
+#define SERIAL_PORT_TYPE_SWO (0U)\r
+#endif\r
+\r
+/*! @brief Enable or disable USB CDC virtual port (1 - enable, 0 - disable) */\r
+#ifndef SERIAL_PORT_TYPE_USBCDC_VIRTUAL\r
+#define SERIAL_PORT_TYPE_USBCDC_VIRTUAL (0U)\r
 #endif\r
 \r
+/*! @brief Set serial manager write handle size */\r
 #if (defined(SERIAL_MANAGER_NON_BLOCKING_MODE) && (SERIAL_MANAGER_NON_BLOCKING_MODE > 0U))\r
 #define SERIAL_MANAGER_WRITE_HANDLE_SIZE (44U)\r
 #define SERIAL_MANAGER_READ_HANDLE_SIZE (44U)\r
 #include "serial_port_swo.h"\r
 #endif\r
 \r
+#if (defined(SERIAL_PORT_TYPE_USBCDC_VIRTUAL) && (SERIAL_PORT_TYPE_USBCDC_VIRTUAL > 0U))\r
+\r
+#if !(defined(SERIAL_MANAGER_NON_BLOCKING_MODE) && (SERIAL_MANAGER_NON_BLOCKING_MODE > 0U))\r
+#error The serial manager blocking mode cannot be supported for USB CDC.\r
+#endif\r
+\r
+#include "serial_port_usb_virtual.h"\r
+#endif\r
+\r
 #define SERIAL_MANAGER_HANDLE_SIZE_TEMP 0U\r
 #if (defined(SERIAL_PORT_TYPE_UART) && (SERIAL_PORT_TYPE_UART > 0U))\r
 \r
 \r
 #endif\r
 \r
-/* SERIAL_PORT_UART_HANDLE_SIZE/SERIAL_PORT_USB_CDC_HANDLE_SIZE + serial manager dedicated size */\r
+#if (defined(SERIAL_PORT_TYPE_USBCDC_VIRTUAL) && (SERIAL_PORT_TYPE_USBCDC_VIRTUAL > 0U))\r
+\r
+#if (SERIAL_PORT_USB_VIRTUAL_HANDLE_SIZE > SERIAL_MANAGER_HANDLE_SIZE_TEMP)\r
+#undef SERIAL_MANAGER_HANDLE_SIZE_TEMP\r
+#define SERIAL_MANAGER_HANDLE_SIZE_TEMP SERIAL_PORT_USB_VIRTUAL_HANDLE_SIZE\r
+#endif\r
+\r
+#endif\r
+\r
+/*! @brief SERIAL_PORT_UART_HANDLE_SIZE/SERIAL_PORT_USB_CDC_HANDLE_SIZE + serial manager dedicated size */\r
 #if ((defined(SERIAL_MANAGER_HANDLE_SIZE_TEMP) && (SERIAL_MANAGER_HANDLE_SIZE_TEMP > 0U)))\r
 #else\r
-#error SERIAL_PORT_TYPE_UART, SERIAL_PORT_TYPE_USBCDC and SERIAL_PORT_TYPE_SWO should not be cleared at same time.\r
+#error SERIAL_PORT_TYPE_UART, SERIAL_PORT_TYPE_USBCDC, SERIAL_PORT_TYPE_SWO and SERIAL_PORT_TYPE_USBCDC_VIRTUAL should not be cleared at same time.\r
 #endif\r
 \r
 #if (defined(SERIAL_MANAGER_NON_BLOCKING_MODE) && (SERIAL_MANAGER_NON_BLOCKING_MODE > 0U))\r
@@ -107,13 +138,16 @@ typedef void *serial_handle_t;
 typedef void *serial_write_handle_t;\r
 typedef void *serial_read_handle_t;\r
 \r
+/*! @brief serial port type*/\r
 typedef enum _serial_port_type\r
 {\r
-    kSerialPort_Uart = 1U, /*!< Serial port UART */\r
-    kSerialPort_UsbCdc,    /*!< Serial port USB CDC */\r
-    kSerialPort_Swo,       /*!< Serial port SWO */\r
+    kSerialPort_Uart = 1U,     /*!< Serial port UART */\r
+    kSerialPort_UsbCdc,        /*!< Serial port USB CDC */\r
+    kSerialPort_Swo,           /*!< Serial port SWO */\r
+    kSerialPort_UsbCdcVirtual, /*!< Serial port USB CDC Virtual */\r
 } serial_port_type_t;\r
 \r
+/*! @brief serial manager config structure*/\r
 typedef struct _serial_manager_config\r
 {\r
     uint8_t *ringBuffer;     /*!< Ring buffer address, it is used to buffer data received by the hardware.\r
@@ -124,12 +158,13 @@ typedef struct _serial_manager_config
     void *portConfig;        /*!< Serial port configuration */\r
 } serial_manager_config_t;\r
 \r
+/*! @brief serial manager error code*/\r
 typedef enum _serial_manager_status\r
 {\r
-    kStatus_SerialManager_Success = kStatus_Success,                           /*!< Success */\r
-    kStatus_SerialManager_Error = MAKE_STATUS(kStatusGroup_SERIALMANAGER, 1),  /*!< Failed */\r
-    kStatus_SerialManager_Busy = MAKE_STATUS(kStatusGroup_SERIALMANAGER, 2),   /*!< Busy */\r
-    kStatus_SerialManager_Notify = MAKE_STATUS(kStatusGroup_SERIALMANAGER, 3), /*!< Ring buffer is not empty */\r
+    kStatus_SerialManager_Success = kStatus_Success,                            /*!< Success */\r
+    kStatus_SerialManager_Error   = MAKE_STATUS(kStatusGroup_SERIALMANAGER, 1), /*!< Failed */\r
+    kStatus_SerialManager_Busy    = MAKE_STATUS(kStatusGroup_SERIALMANAGER, 2), /*!< Busy */\r
+    kStatus_SerialManager_Notify  = MAKE_STATUS(kStatusGroup_SERIALMANAGER, 3), /*!< Ring buffer is not empty */\r
     kStatus_SerialManager_Canceled =\r
         MAKE_STATUS(kStatusGroup_SERIALMANAGER, 4), /*!< the non-blocking request is canceled */\r
     kStatus_SerialManager_HandleConflict = MAKE_STATUS(kStatusGroup_SERIALMANAGER, 5), /*!< The handle is opened */\r
@@ -160,21 +195,21 @@ extern "C" {
 /*!\r
  * @brief Initializes a serial manager module with the serial manager handle and the user configuration structure.\r
  *\r
- * This function configures the serial manager module with user-defined settings. The user can configure the\r
+ * This function configures the Serial Manager module with user-defined settings. The user can configure the\r
  * configuration\r
  * structure. The parameter serialHandle is a pointer to point to a memory space of size #SERIAL_MANAGER_HANDLE_SIZE\r
  * allocated by the caller.\r
- * The serial manager module supports two types serial port, uart (includes UART, USART, LPSCI, LPUART, etc) and USB\r
+ * The Serial Manager module supports two types of serial port, UART (includes UART, USART, LPSCI, LPUART, etc) and USB\r
  * CDC.\r
  * Please refer to #serial_port_type_t for serial port setting. These two types can be set by using\r
  * #serial_manager_config_t.\r
  *\r
- * Example below shows how to use this API to configure the serial manager.\r
+ * Example below shows how to use this API to configure the Serial Manager.\r
  * For UART,\r
  *  @code\r
  *   #define SERIAL_MANAGER_RING_BUFFER_SIZE          (256U)\r
- *   static uint8_t s_serialHandleBuffer[SERIAL_MANAGER_HANDLE_SIZE];\r
- *   static serial_handle_t s_serialHandle = &s_serialHandleBuffer[0];\r
+ *   static uint32_t s_serialHandleBuffer[((SERIAL_MANAGER_HANDLE_SIZE + sizeof(uint32_t) - 1) / sizeof(uitn32_t))];\r
+ *   static serial_handle_t s_serialHandle = (serial_handle_t)&s_serialHandleBuffer[0];\r
  *   static uint8_t s_ringBuffer[SERIAL_MANAGER_RING_BUFFER_SIZE];\r
  *\r
  *   serial_manager_config_t config;\r
@@ -195,8 +230,8 @@ extern "C" {
  * For USB CDC,\r
  *  @code\r
  *   #define SERIAL_MANAGER_RING_BUFFER_SIZE          (256U)\r
- *   static uint8_t s_serialHandleBuffer[SERIAL_MANAGER_HANDLE_SIZE];\r
- *   static serial_handle_t s_serialHandle = &s_serialHandleBuffer[0];\r
+ *   static uint32_t s_serialHandleBuffer[((SERIAL_MANAGER_HANDLE_SIZE + sizeof(uint32_t) - 1) / sizeof(uitn32_t))];\r
+ *   static serial_handle_t s_serialHandle = (serial_handle_t)&s_serialHandleBuffer[0];\r
  *   static uint8_t s_ringBuffer[SERIAL_MANAGER_RING_BUFFER_SIZE];\r
  *\r
  *   serial_manager_config_t config;\r
@@ -210,9 +245,10 @@ extern "C" {
  *  @endcode\r
  *\r
  * @param serialHandle Pointer to point to a memory space of size #SERIAL_MANAGER_HANDLE_SIZE allocated by the caller.\r
+ * The handle should be 4 byte aligned, because unaligned access does not support on some devices.\r
  * @param config Pointer to user-defined configuration structure.\r
  * @retval kStatus_SerialManager_Error An error occurred.\r
- * @retval kStatus_SerialManager_Success The serial manager module initialization succeed.\r
+ * @retval kStatus_SerialManager_Success The Serial Manager module initialization succeed.\r
  */\r
 serial_manager_status_t SerialManager_Init(serial_handle_t serialHandle, serial_manager_config_t *config);\r
 \r
@@ -238,7 +274,9 @@ serial_manager_status_t SerialManager_Deinit(serial_handle_t serialHandle);
  * is needed for a task.\r
  *\r
  * @param serialHandle The serial manager module handle pointer.\r
+ * The handle should be 4 byte aligned, because unaligned access does not support on some devices.\r
  * @param writeHandle The serial manager module writing handle pointer.\r
+ * The handle should be 4 byte aligned, because unaligned access does not support on some devices.\r
  * @retval kStatus_SerialManager_Error An error occurred.\r
  * @retval kStatus_SerialManager_HandleConflict The writing handle was opened.\r
  * @retval kStatus_SerialManager_Success The writing handle is opened.\r
@@ -246,19 +284,19 @@ serial_manager_status_t SerialManager_Deinit(serial_handle_t serialHandle);
  * Example below shows how to use this API to write data.\r
  * For task 1,\r
  *  @code\r
- *   static uint8_t s_serialWriteHandleBuffer1[SERIAL_MANAGER_WRITE_HANDLE_SIZE];\r
- *   static serial_write_handle_t s_serialWriteHandle1 = &s_serialWriteHandleBuffer1[0];\r
- *   static uint8_t s_nonBlockingWelcome1[] = "This is non-blocking writing log for task1!\r\n";\r
- *   SerialManager_OpenWriteHandle(serialHandle, s_serialWriteHandle1);\r
+ *   static uint32_t s_serialWriteHandleBuffer1[((SERIAL_MANAGER_WRITE_HANDLE_SIZE + sizeof(uint32_t) - 1) /\r
+ * sizeof(uitn32_t))]; static serial_write_handle_t s_serialWriteHandle1 =\r
+ * (serial_write_handle_t)&s_serialWriteHandleBuffer1[0]; static uint8_t s_nonBlockingWelcome1[] = "This is non-blocking\r
+ * writing log for task1!\r\n"; SerialManager_OpenWriteHandle(serialHandle, s_serialWriteHandle1);\r
  *   SerialManager_InstallTxCallback(s_serialWriteHandle1, Task1_SerialManagerTxCallback, s_serialWriteHandle1);\r
  *   SerialManager_WriteNonBlocking(s_serialWriteHandle1, s_nonBlockingWelcome1, sizeof(s_nonBlockingWelcome1) - 1);\r
  *  @endcode\r
  * For task 2,\r
  *  @code\r
- *   static uint8_t s_serialWriteHandleBuffer2[SERIAL_MANAGER_WRITE_HANDLE_SIZE];\r
- *   static serial_write_handle_t s_serialWriteHandle2 = &s_serialWriteHandleBuffer2[0];\r
- *   static uint8_t s_nonBlockingWelcome2[] = "This is non-blocking writing log for task2!\r\n";\r
- *   SerialManager_OpenWriteHandle(serialHandle, s_serialWriteHandle2);\r
+ *   static uint32_t s_serialWriteHandleBuffer2[((SERIAL_MANAGER_WRITE_HANDLE_SIZE + sizeof(uint32_t) - 1) /\r
+ * sizeof(uitn32_t))]; static serial_write_handle_t s_serialWriteHandle2 =\r
+ * (serial_write_handle_t)&s_serialWriteHandleBuffer2[0]; static uint8_t s_nonBlockingWelcome2[] = "This is non-blocking\r
+ * writing log for task2!\r\n"; SerialManager_OpenWriteHandle(serialHandle, s_serialWriteHandle2);\r
  *   SerialManager_InstallTxCallback(s_serialWriteHandle2, Task2_SerialManagerTxCallback, s_serialWriteHandle2);\r
  *   SerialManager_WriteNonBlocking(s_serialWriteHandle2, s_nonBlockingWelcome2, sizeof(s_nonBlockingWelcome2) - 1);\r
  *  @endcode\r
@@ -280,20 +318,22 @@ serial_manager_status_t SerialManager_CloseWriteHandle(serial_write_handle_t wri
  *\r
  * This function Opens a reading handle for the serial manager module. The reading handle can not be\r
  * opened multiple at the same time. The error code kStatus_SerialManager_Busy would be returned when\r
- * the previous reading handle is not closed. And There can only one buffer for receiving for the\r
+ * the previous reading handle is not closed. And There can only be one buffer for receiving for the\r
  * reading handle at the same time.\r
  *\r
  * @param serialHandle The serial manager module handle pointer.\r
+ * The handle should be 4 byte aligned, because unaligned access does not support on some devices.\r
  * @param readHandle The serial manager module reading handle pointer.\r
+ * The handle should be 4 byte aligned, because unaligned access does not support on some devices.\r
  * @retval kStatus_SerialManager_Error An error occurred.\r
  * @retval kStatus_SerialManager_Success The reading handle is opened.\r
  * @retval kStatus_SerialManager_Busy Previous reading handle is not closed.\r
  *\r
  * Example below shows how to use this API to read data.\r
  *  @code\r
- *   static uint8_t s_serialReadHandleBuffer[SERIAL_MANAGER_READ_HANDLE_SIZE];\r
- *   static serial_read_handle_t s_serialReadHandle = &s_serialReadHandleBuffer[0];\r
- *   SerialManager_OpenReadHandle(serialHandle, s_serialReadHandle);\r
+ *   static uint32_t s_serialReadHandleBuffer[((SERIAL_MANAGER_READ_HANDLE_SIZE + sizeof(uint32_t) - 1) /\r
+ * sizeof(uitn32_t))]; static serial_read_handle_t s_serialReadHandle =\r
+ * (serial_read_handle_t)&s_serialReadHandleBuffer[0]; SerialManager_OpenReadHandle(serialHandle, s_serialReadHandle);\r
  *   static uint8_t s_nonBlockingBuffer[64];\r
  *   SerialManager_InstallRxCallback(s_serialReadHandle, APP_SerialManagerRxCallback, s_serialReadHandle);\r
  *   SerialManager_ReadNonBlocking(s_serialReadHandle, s_nonBlockingBuffer, sizeof(s_nonBlockingBuffer));\r
@@ -509,5 +549,5 @@ serial_manager_status_t SerialManager_ExitLowpower(serial_handle_t serialHandle)
 #if defined(__cplusplus)\r
 }\r
 #endif\r
-\r
+/*! @} */\r
 #endif /* __SERIAL_MANAGER_H__ */\r
diff --git a/FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/component/serial_manager/serial_port_internal.h b/FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/component/serial_manager/serial_port_internal.h
new file mode 100644 (file)
index 0000000..a1a9339
--- /dev/null
@@ -0,0 +1,99 @@
+/*
+ * Copyright 2019 NXP
+ * All rights reserved.
+ *
+ *
+ * SPDX-License-Identifier: BSD-3-Clause
+ */
+
+#ifndef __SERIAL_PORT_INTERNAL_H__
+#define __SERIAL_PORT_INTERNAL_H__
+
+/*******************************************************************************
+ * Definitions
+ ******************************************************************************/
+
+/*******************************************************************************
+ * API
+ ******************************************************************************/
+
+#if defined(__cplusplus)
+extern "C" {
+#endif /* _cplusplus */
+
+#if (defined(SERIAL_PORT_TYPE_UART) && (SERIAL_PORT_TYPE_UART > 0U))
+serial_manager_status_t Serial_UartInit(serial_handle_t serialHandle, void *serialConfig);
+serial_manager_status_t Serial_UartDeinit(serial_handle_t serialHandle);
+serial_manager_status_t Serial_UartWrite(serial_handle_t serialHandle, uint8_t *buffer, uint32_t length);
+#if !(defined(SERIAL_MANAGER_NON_BLOCKING_MODE) && (SERIAL_MANAGER_NON_BLOCKING_MODE > 0U))
+serial_manager_status_t Serial_UartRead(serial_handle_t serialHandle, uint8_t *buffer, uint32_t length);
+#endif
+
+#if (defined(SERIAL_MANAGER_NON_BLOCKING_MODE) && (SERIAL_MANAGER_NON_BLOCKING_MODE > 0U))
+serial_manager_status_t Serial_UartCancelWrite(serial_handle_t serialHandle);
+serial_manager_status_t Serial_UartInstallTxCallback(serial_handle_t serialHandle,
+                                                     serial_manager_callback_t callback,
+                                                     void *callbackParam);
+serial_manager_status_t Serial_UartInstallRxCallback(serial_handle_t serialHandle,
+                                                     serial_manager_callback_t callback,
+                                                     void *callbackParam);
+void Serial_UartIsrFunction(serial_handle_t serialHandle);
+#endif
+serial_manager_status_t Serial_UartEnterLowpower(serial_handle_t serialHandle);
+serial_manager_status_t Serial_UartExitLowpower(serial_handle_t serialHandle);
+#endif
+
+#if (defined(SERIAL_PORT_TYPE_USBCDC) && (SERIAL_PORT_TYPE_USBCDC > 0U))
+serial_manager_status_t Serial_UsbCdcInit(serial_handle_t serialHandle, void *config);
+serial_manager_status_t Serial_UsbCdcDeinit(serial_handle_t serialHandle);
+serial_manager_status_t Serial_UsbCdcWrite(serial_handle_t serialHandle, uint8_t *buffer, uint32_t length);
+serial_manager_status_t Serial_UsbCdcRead(serial_handle_t serialHandle, uint8_t *buffer, uint32_t length);
+serial_manager_status_t Serial_UsbCdcCancelWrite(serial_handle_t serialHandle);
+serial_manager_status_t Serial_UsbCdcInstallTxCallback(serial_handle_t serialHandle,
+                                                       serial_manager_callback_t callback,
+                                                       void *callbackParam);
+serial_manager_status_t Serial_UsbCdcInstallRxCallback(serial_handle_t serialHandle,
+                                                       serial_manager_callback_t callback,
+                                                       void *callbackParam);
+void Serial_UsbCdcIsrFunction(serial_handle_t serialHandle);
+#endif
+
+#if (defined(SERIAL_PORT_TYPE_SWO) && (SERIAL_PORT_TYPE_SWO > 0U))
+serial_manager_status_t Serial_SwoInit(serial_handle_t serialHandle, void *config);
+serial_manager_status_t Serial_SwoDeinit(serial_handle_t serialHandle);
+serial_manager_status_t Serial_SwoWrite(serial_handle_t serialHandle, uint8_t *buffer, uint32_t length);
+#if !(defined(SERIAL_MANAGER_NON_BLOCKING_MODE) && (SERIAL_MANAGER_NON_BLOCKING_MODE > 0U))
+serial_manager_status_t Serial_SwoRead(serial_handle_t serialHandle, uint8_t *buffer, uint32_t length);
+#endif
+#if (defined(SERIAL_MANAGER_NON_BLOCKING_MODE) && (SERIAL_MANAGER_NON_BLOCKING_MODE > 0U))
+serial_manager_status_t Serial_SwoCancelWrite(serial_handle_t serialHandle);
+serial_manager_status_t Serial_SwoInstallTxCallback(serial_handle_t serialHandle,
+                                                    serial_manager_callback_t callback,
+                                                    void *callbackParam);
+serial_manager_status_t Serial_SwoInstallRxCallback(serial_handle_t serialHandle,
+                                                    serial_manager_callback_t callback,
+                                                    void *callbackParam);
+void Serial_SwoIsrFunction(serial_handle_t serialHandle);
+#endif
+#endif
+
+#if (defined(SERIAL_PORT_TYPE_USBCDC_VIRTUAL) && (SERIAL_PORT_TYPE_USBCDC_VIRTUAL > 0U))
+serial_manager_status_t Serial_UsbCdcVirtualInit(serial_handle_t serialHandle, void *config);
+serial_manager_status_t Serial_UsbCdcVirtualDeinit(serial_handle_t serialHandle);
+serial_manager_status_t Serial_UsbCdcVirtualWrite(serial_handle_t serialHandle, uint8_t *buffer, uint32_t length);
+serial_manager_status_t Serial_UsbCdcVirtualRead(serial_handle_t serialHandle, uint8_t *buffer, uint32_t length);
+serial_manager_status_t Serial_UsbCdcVirtualCancelWrite(serial_handle_t serialHandle);
+serial_manager_status_t Serial_UsbCdcVirtualInstallTxCallback(serial_handle_t serialHandle,
+                                                              serial_manager_callback_t callback,
+                                                              void *callbackParam);
+serial_manager_status_t Serial_UsbCdcVirtualInstallRxCallback(serial_handle_t serialHandle,
+                                                              serial_manager_callback_t callback,
+                                                              void *callbackParam);
+void Serial_UsbCdcVirtualIsrFunction(serial_handle_t serialHandle);
+#endif
+
+#if defined(__cplusplus)
+}
+#endif
+
+#endif /* __SERIAL_PORT_INTERNAL_H__ */
index afd5c7af7c81febf9f26bc3a6d004dac531fa93a..799e85ac34d695cf931f20cd01549718519bddb3 100644 (file)
@@ -8,6 +8,7 @@
 \r
 #include "fsl_common.h"\r
 #include "serial_manager.h"\r
+#include "serial_port_internal.h"\r
 \r
 #if (defined(SERIAL_PORT_TYPE_UART) && (SERIAL_PORT_TYPE_UART > 0U))\r
 #include "uart.h"\r
@@ -58,8 +59,6 @@ typedef struct _serial_uart_state
  * Prototypes\r
  ******************************************************************************/\r
 \r
-serial_manager_status_t Serial_UartDeinit(serial_handle_t serialHandle);\r
-\r
 /*******************************************************************************\r
  * Code\r
  ******************************************************************************/\r
@@ -81,7 +80,7 @@ static void Serial_UartCallback(hal_uart_handle_t handle, hal_uart_status_t stat
 \r
     serialUartHandle = (serial_uart_state_t *)userData;\r
 \r
-    if (kStatus_HAL_UartRxIdle == status)\r
+    if ((hal_uart_status_t)kStatus_HAL_UartRxIdle == status)\r
     {\r
         if ((NULL != serialUartHandle->rx.callback))\r
         {\r
@@ -90,12 +89,12 @@ static void Serial_UartCallback(hal_uart_handle_t handle, hal_uart_status_t stat
             serialUartHandle->rx.callback(serialUartHandle->rx.callbackParam, &msg, kStatus_SerialManager_Success);\r
         }\r
 #if (defined(HAL_UART_TRANSFER_MODE) && (HAL_UART_TRANSFER_MODE > 0U))\r
-        transfer.data = &serialUartHandle->rx.readBuffer[0];\r
+        transfer.data     = &serialUartHandle->rx.readBuffer[0];\r
         transfer.dataSize = sizeof(serialUartHandle->rx.readBuffer);\r
         if (kStatus_HAL_UartSuccess ==\r
             HAL_UartTransferReceiveNonBlocking(((hal_uart_handle_t)&serialUartHandle->usartHandleBuffer[0]), &transfer))\r
 #else\r
-        if (kStatus_HAL_UartSuccess ==\r
+        if ((hal_uart_status_t)kStatus_HAL_UartSuccess ==\r
             HAL_UartReceiveNonBlocking(((hal_uart_handle_t)&serialUartHandle->usartHandleBuffer[0]),\r
                                        &serialUartHandle->rx.readBuffer[0], sizeof(serialUartHandle->rx.readBuffer)))\r
 #endif\r
@@ -107,9 +106,9 @@ static void Serial_UartCallback(hal_uart_handle_t handle, hal_uart_status_t stat
             serialUartHandle->rx.busy = 0U;\r
         }\r
     }\r
-    else if (kStatus_HAL_UartTxIdle == status)\r
+    else if ((hal_uart_status_t)kStatus_HAL_UartTxIdle == status)\r
     {\r
-        if (serialUartHandle->tx.busy)\r
+        if (0U != serialUartHandle->tx.busy)\r
         {\r
             serialUartHandle->tx.busy = 0U;\r
             if ((NULL != serialUartHandle->tx.callback))\r
@@ -131,27 +130,26 @@ serial_manager_status_t Serial_UartInit(serial_handle_t serialHandle, void *seri
     serial_uart_state_t *serialUartHandle;\r
     serial_port_uart_config_t *uartConfig;\r
     hal_uart_config_t config;\r
+#if (defined(SERIAL_MANAGER_NON_BLOCKING_MODE) && (SERIAL_MANAGER_NON_BLOCKING_MODE > 0U))\r
 #if (defined(HAL_UART_TRANSFER_MODE) && (HAL_UART_TRANSFER_MODE > 0U))\r
     hal_uart_transfer_t transfer;\r
+#endif\r
 #endif\r
 \r
     assert(serialConfig);\r
     assert(serialHandle);\r
-    if (SERIAL_PORT_UART_HANDLE_SIZE < sizeof(serial_uart_state_t))\r
-    {\r
-        return kStatus_SerialManager_Error;\r
-    }\r
+    assert(SERIAL_PORT_UART_HANDLE_SIZE >= sizeof(serial_uart_state_t));\r
 \r
-    uartConfig = (serial_port_uart_config_t *)serialConfig;\r
+    uartConfig       = (serial_port_uart_config_t *)serialConfig;\r
     serialUartHandle = (serial_uart_state_t *)serialHandle;\r
 \r
     config.baudRate_Bps = uartConfig->baudRate;\r
-    config.parityMode = (hal_uart_parity_mode_t)uartConfig->parityMode;\r
+    config.parityMode   = (hal_uart_parity_mode_t)uartConfig->parityMode;\r
     config.stopBitCount = (hal_uart_stop_bit_count_t)uartConfig->stopBitCount;\r
-    config.enableRx = uartConfig->enableRx;\r
-    config.enableTx = uartConfig->enableTx;\r
-    config.srcClock_Hz = uartConfig->clockRate;\r
-    config.instance = uartConfig->instance;\r
+    config.enableRx     = uartConfig->enableRx;\r
+    config.enableTx     = uartConfig->enableTx;\r
+    config.srcClock_Hz  = uartConfig->clockRate;\r
+    config.instance     = uartConfig->instance;\r
 \r
     if (kStatus_HAL_UartSuccess != HAL_UartInit(((hal_uart_handle_t)&serialUartHandle->usartHandleBuffer[0]), &config))\r
     {\r
@@ -172,11 +170,11 @@ serial_manager_status_t Serial_UartInit(serial_handle_t serialHandle, void *seri
         return kStatus_SerialManager_Error;\r
     }\r
 \r
-    if (uartConfig->enableRx)\r
+    if (0U != uartConfig->enableRx)\r
     {\r
         serialUartHandle->rx.busy = 1U;\r
 #if (defined(HAL_UART_TRANSFER_MODE) && (HAL_UART_TRANSFER_MODE > 0U))\r
-        transfer.data = &serialUartHandle->rx.readBuffer[0];\r
+        transfer.data     = &serialUartHandle->rx.readBuffer[0];\r
         transfer.dataSize = sizeof(serialUartHandle->rx.readBuffer);\r
         if (kStatus_HAL_UartSuccess !=\r
             HAL_UartTransferReceiveNonBlocking(((hal_uart_handle_t)&serialUartHandle->usartHandleBuffer[0]), &transfer))\r
@@ -205,12 +203,12 @@ serial_manager_status_t Serial_UartDeinit(serial_handle_t serialHandle)
 \r
 #if (defined(SERIAL_MANAGER_NON_BLOCKING_MODE) && (SERIAL_MANAGER_NON_BLOCKING_MODE > 0U))\r
 #if (defined(HAL_UART_TRANSFER_MODE) && (HAL_UART_TRANSFER_MODE > 0U))\r
-    HAL_UartTransferAbortReceive(((hal_uart_handle_t)&serialUartHandle->usartHandleBuffer[0]));\r
+    (void)HAL_UartTransferAbortReceive(((hal_uart_handle_t)&serialUartHandle->usartHandleBuffer[0]));\r
 #else\r
-    HAL_UartAbortReceive(((hal_uart_handle_t)&serialUartHandle->usartHandleBuffer[0]));\r
+    (void)HAL_UartAbortReceive(((hal_uart_handle_t)&serialUartHandle->usartHandleBuffer[0]));\r
 #endif\r
 #endif\r
-    HAL_UartDeinit(((hal_uart_handle_t)&serialUartHandle->usartHandleBuffer[0]));\r
+    (void)HAL_UartDeinit(((hal_uart_handle_t)&serialUartHandle->usartHandleBuffer[0]));\r
 \r
 #if (defined(SERIAL_MANAGER_NON_BLOCKING_MODE) && (SERIAL_MANAGER_NON_BLOCKING_MODE > 0U))\r
     serialUartHandle->tx.busy = 0U;\r
@@ -235,7 +233,7 @@ serial_manager_status_t Serial_UartWrite(serial_handle_t serialHandle, uint8_t *
 \r
     serialUartHandle = (serial_uart_state_t *)serialHandle;\r
 \r
-    if (serialUartHandle->tx.busy)\r
+    if (0U != serialUartHandle->tx.busy)\r
     {\r
         return kStatus_SerialManager_Busy;\r
     }\r
@@ -245,7 +243,7 @@ serial_manager_status_t Serial_UartWrite(serial_handle_t serialHandle, uint8_t *
     serialUartHandle->tx.length = length;\r
 \r
 #if (defined(HAL_UART_TRANSFER_MODE) && (HAL_UART_TRANSFER_MODE > 0U))\r
-    transfer.data = buffer;\r
+    transfer.data     = buffer;\r
     transfer.dataSize = length;\r
     if (kStatus_HAL_UartSuccess !=\r
         HAL_UartTransferSendNonBlocking(((hal_uart_handle_t)&serialUartHandle->usartHandleBuffer[0]), &transfer))\r
@@ -304,17 +302,17 @@ serial_manager_status_t Serial_UartCancelWrite(serial_handle_t serialHandle)
 \r
     serialUartHandle = (serial_uart_state_t *)serialHandle;\r
 \r
-    primask = DisableGlobalIRQ();\r
-    isBusy = serialUartHandle->tx.busy;\r
+    primask                   = DisableGlobalIRQ();\r
+    isBusy                    = serialUartHandle->tx.busy;\r
     serialUartHandle->tx.busy = 0U;\r
     EnableGlobalIRQ(primask);\r
 \r
 #if (defined(HAL_UART_TRANSFER_MODE) && (HAL_UART_TRANSFER_MODE > 0U))\r
-    HAL_UartTransferAbortSend(((hal_uart_handle_t)&serialUartHandle->usartHandleBuffer[0]));\r
+    (void)HAL_UartTransferAbortSend(((hal_uart_handle_t)&serialUartHandle->usartHandleBuffer[0]));\r
 #else\r
-    HAL_UartAbortSend(((hal_uart_handle_t)&serialUartHandle->usartHandleBuffer[0]));\r
+    (void)HAL_UartAbortSend(((hal_uart_handle_t)&serialUartHandle->usartHandleBuffer[0]));\r
 #endif\r
-    if (isBusy)\r
+    if (0U != isBusy)\r
     {\r
         if ((NULL != serialUartHandle->tx.callback))\r
         {\r
@@ -336,7 +334,7 @@ serial_manager_status_t Serial_UartInstallTxCallback(serial_handle_t serialHandl
 \r
     serialUartHandle = (serial_uart_state_t *)serialHandle;\r
 \r
-    serialUartHandle->tx.callback = callback;\r
+    serialUartHandle->tx.callback      = callback;\r
     serialUartHandle->tx.callbackParam = callbackParam;\r
 \r
     return kStatus_SerialManager_Success;\r
@@ -352,7 +350,7 @@ serial_manager_status_t Serial_UartInstallRxCallback(serial_handle_t serialHandl
 \r
     serialUartHandle = (serial_uart_state_t *)serialHandle;\r
 \r
-    serialUartHandle->rx.callback = callback;\r
+    serialUartHandle->rx.callback      = callback;\r
     serialUartHandle->rx.callbackParam = callbackParam;\r
 \r
     return kStatus_SerialManager_Success;\r
@@ -370,4 +368,36 @@ void Serial_UartIsrFunction(serial_handle_t serialHandle)
 }\r
 #endif\r
 \r
+serial_manager_status_t Serial_UartEnterLowpower(serial_handle_t serialHandle)\r
+{\r
+    serial_uart_state_t *serialUartHandle;\r
+\r
+    assert(serialHandle);\r
+\r
+    serialUartHandle = (serial_uart_state_t *)serialHandle;\r
+\r
+    if (kStatus_HAL_UartSuccess != HAL_UartEnterLowpower(((hal_uart_handle_t)&serialUartHandle->usartHandleBuffer[0])))\r
+    {\r
+        return kStatus_SerialManager_Error;\r
+    }\r
+\r
+    return kStatus_SerialManager_Success;\r
+}\r
+\r
+serial_manager_status_t Serial_UartExitLowpower(serial_handle_t serialHandle)\r
+{\r
+    serial_uart_state_t *serialUartHandle;\r
+\r
+    assert(serialHandle);\r
+\r
+    serialUartHandle = (serial_uart_state_t *)serialHandle;\r
+\r
+    if (kStatus_HAL_UartSuccess != HAL_UartExitLowpower(((hal_uart_handle_t)&serialUartHandle->usartHandleBuffer[0])))\r
+    {\r
+        return kStatus_SerialManager_Error;\r
+    }\r
+\r
+    return kStatus_SerialManager_Success;\r
+}\r
+\r
 #endif\r
index c210b4a376ad2ab52245a98b486a669716a68afb..aa412479d632e2b25b94add5d26c2f73fe7118aa 100644 (file)
@@ -9,39 +9,49 @@
 #ifndef __SERIAL_PORT_UART_H__\r
 #define __SERIAL_PORT_UART_H__\r
 \r
+#include "uart.h"\r
+\r
+/*!\r
+ * @addtogroup serial_port_uart\r
+ * @{\r
+ */\r
+\r
 /*******************************************************************************\r
  * Definitions\r
  ******************************************************************************/\r
-\r
+/*! @brief serial port uart handle size*/\r
 #if (defined(SERIAL_MANAGER_NON_BLOCKING_MODE) && (SERIAL_MANAGER_NON_BLOCKING_MODE > 0U))\r
-#define SERIAL_PORT_UART_HANDLE_SIZE          (166U)\r
+#define SERIAL_PORT_UART_HANDLE_SIZE (76U + HAL_UART_HANDLE_SIZE)\r
 #else\r
-#define SERIAL_PORT_UART_HANDLE_SIZE          (4U)\r
+#define SERIAL_PORT_UART_HANDLE_SIZE (HAL_UART_HANDLE_SIZE)\r
 #endif\r
 \r
+/*! @brief serial port uart parity mode*/\r
 typedef enum _serial_port_uart_parity_mode\r
 {\r
     kSerialManager_UartParityDisabled = 0x0U, /*!< Parity disabled */\r
-    kSerialManager_UartParityEven = 0x1U,     /*!< Parity even enabled */\r
-    kSerialManager_UartParityOdd = 0x2U,      /*!< Parity odd enabled */\r
+    kSerialManager_UartParityEven     = 0x1U, /*!< Parity even enabled */\r
+    kSerialManager_UartParityOdd      = 0x2U, /*!< Parity odd enabled */\r
 } serial_port_uart_parity_mode_t;\r
 \r
+/*! @brief serial port uart stop bit count*/\r
 typedef enum _serial_port_uart_stop_bit_count\r
 {\r
     kSerialManager_UartOneStopBit = 0U, /*!< One stop bit */\r
     kSerialManager_UartTwoStopBit = 1U, /*!< Two stop bits */\r
 } serial_port_uart_stop_bit_count_t;\r
 \r
+/*! @brief serial port uart config struct*/\r
 typedef struct _serial_port_uart_config\r
 {\r
-    uint32_t clockRate;                                 /*!< clock rate  */\r
-    uint32_t baudRate;                                  /*!< baud rate  */\r
-    serial_port_uart_parity_mode_t parityMode;          /*!< Parity mode, disabled (default), even, odd */\r
-    serial_port_uart_stop_bit_count_t stopBitCount;     /*!< Number of stop bits, 1 stop bit (default) or 2 stop bits  */\r
-    uint8_t instance;                                   /*!< Instance (0 - UART0, 1 - UART1, ...), detail information\r
-                                                             please refer to the SOC corresponding RM. */\r
-    uint8_t enableRx;                                   /*!< Enable RX */\r
-    uint8_t enableTx;                                   /*!< Enable TX */\r
+    uint32_t clockRate;                             /*!< clock rate  */\r
+    uint32_t baudRate;                              /*!< baud rate  */\r
+    serial_port_uart_parity_mode_t parityMode;      /*!< Parity mode, disabled (default), even, odd */\r
+    serial_port_uart_stop_bit_count_t stopBitCount; /*!< Number of stop bits, 1 stop bit (default) or 2 stop bits  */\r
+    uint8_t instance;                               /*!< Instance (0 - UART0, 1 - UART1, ...), detail information\r
+                                                         please refer to the SOC corresponding RM. */\r
+    uint8_t enableRx;                               /*!< Enable RX */\r
+    uint8_t enableTx;                               /*!< Enable TX */\r
 } serial_port_uart_config_t;\r
-\r
+/*! @} */\r
 #endif /* __SERIAL_PORT_UART_H__ */\r
index 62dde338b3d36435e2b0e41cf74c2e9ae26ad909..6961883aae445a7c5a9f178b982efe9a02ae952b 100644 (file)
@@ -9,67 +9,92 @@
 #ifndef __HAL_UART_ADAPTER_H__\r
 #define __HAL_UART_ADAPTER_H__\r
 \r
+#if defined(FSL_RTOS_FREE_RTOS)\r
+#include "FreeRTOS.h"\r
+#endif\r
+\r
+/*!\r
+ * @addtogroup UART_Adapter\r
+ * @{\r
+ */\r
+\r
 /*******************************************************************************\r
  * Definitions\r
  ******************************************************************************/\r
 \r
+/*! @brief Enable or disable UART adapter non-blocking mode (1 - enable, 0 - disable) */\r
 #ifdef DEBUG_CONSOLE_TRANSFER_NON_BLOCKING\r
-#define UART_ADAPTER_NON_BLOCKING_MODE \\r
-    (1U) /* Enable or disable Uart adapter non-blocking mode (1 - enable, 0 - disable) */\r
+#define UART_ADAPTER_NON_BLOCKING_MODE (1U)\r
 #else\r
 #ifndef SERIAL_MANAGER_NON_BLOCKING_MODE\r
-#define UART_ADAPTER_NON_BLOCKING_MODE \\r
-    (0U) /* Enable or disable Uart adapter non-blocking mode (1 - enable, 0 - disable) */\r
+#define UART_ADAPTER_NON_BLOCKING_MODE (0U)\r
 #else\r
 #define UART_ADAPTER_NON_BLOCKING_MODE SERIAL_MANAGER_NON_BLOCKING_MODE\r
 #endif\r
 #endif\r
 \r
+#if defined(__GIC_PRIO_BITS)\r
+#define HAL_UART_ISR_PRIORITY (25U)\r
+#else\r
+#if defined(configLIBRARY_MAX_SYSCALL_INTERRUPT_PRIORITY)\r
+#define HAL_UART_ISR_PRIORITY (configLIBRARY_MAX_SYSCALL_INTERRUPT_PRIORITY)\r
+#else\r
+/* The default value 3 is used to support different ARM Core, such as CM0P, CM4, CM7, and CM33, etc.\r
+ * The minimum number of priority bits implemented in the NVIC is 2 on these SOCs. The value of mininum\r
+ * priority is 3 (2^2 - 1). So, the default value is 3.\r
+ */\r
+#define HAL_UART_ISR_PRIORITY (3U)\r
+#endif\r
+#endif\r
+\r
+#ifndef HAL_UART_ADAPTER_LOWPOWER\r
+#define HAL_UART_ADAPTER_LOWPOWER (0U)\r
+#endif /* HAL_UART_ADAPTER_LOWPOWER */\r
+\r
 #if (defined(UART_ADAPTER_NON_BLOCKING_MODE) && (UART_ADAPTER_NON_BLOCKING_MODE > 0U))\r
-#define HAL_UART_HANDLE_SIZE (90U)\r
+#define HAL_UART_HANDLE_SIZE (90U + HAL_UART_ADAPTER_LOWPOWER * 16U)\r
 #else\r
-#define HAL_UART_HANDLE_SIZE (4U)\r
+#define HAL_UART_HANDLE_SIZE (4U + HAL_UART_ADAPTER_LOWPOWER * 16U)\r
 #endif\r
 \r
-#define HAL_UART_TRANSFER_MODE                                                               \\r
-    (0U) /*!< Whether enable transactional function of the uart. (0 - disable, 1 - enable) \ \\r
-            */\r
+/*! @brief Whether enable transactional function of the UART. (0 - disable, 1 - enable) */\r
+#define HAL_UART_TRANSFER_MODE (0U)\r
 \r
 typedef void *hal_uart_handle_t;\r
 \r
-/*! @brief uart status */\r
+/*! @brief UART status */\r
 typedef enum _hal_uart_status\r
 {\r
-    kStatus_HAL_UartSuccess = kStatus_Success,                      /*!< Successfully */\r
-    kStatus_HAL_UartTxBusy = MAKE_STATUS(kStatusGroup_HAL_UART, 1), /*!< TX busy */\r
-    kStatus_HAL_UartRxBusy = MAKE_STATUS(kStatusGroup_HAL_UART, 2), /*!< RX busy */\r
-    kStatus_HAL_UartTxIdle = MAKE_STATUS(kStatusGroup_HAL_UART, 3), /*!< HAL uart transmitter is idle. */\r
-    kStatus_HAL_UartRxIdle = MAKE_STATUS(kStatusGroup_HAL_UART, 4), /*!< HAL uart receiver is idle */\r
+    kStatus_HAL_UartSuccess = kStatus_Success,                       /*!< Successfully */\r
+    kStatus_HAL_UartTxBusy  = MAKE_STATUS(kStatusGroup_HAL_UART, 1), /*!< TX busy */\r
+    kStatus_HAL_UartRxBusy  = MAKE_STATUS(kStatusGroup_HAL_UART, 2), /*!< RX busy */\r
+    kStatus_HAL_UartTxIdle  = MAKE_STATUS(kStatusGroup_HAL_UART, 3), /*!< HAL UART transmitter is idle. */\r
+    kStatus_HAL_UartRxIdle  = MAKE_STATUS(kStatusGroup_HAL_UART, 4), /*!< HAL UART receiver is idle */\r
     kStatus_HAL_UartBaudrateNotSupport =\r
         MAKE_STATUS(kStatusGroup_HAL_UART, 5), /*!< Baudrate is not support in current clock source */\r
     kStatus_HAL_UartProtocolError = MAKE_STATUS(\r
         kStatusGroup_HAL_UART,\r
         6),                                                        /*!< Error occurs for Noise, Framing, Parity, etc.\r
-                                                                        For transcational transfer, The up layer needs to abort the transfer and then starts again */\r
-    kStatus_HAL_UartError = MAKE_STATUS(kStatusGroup_HAL_UART, 7), /*!< Error occurs on HAL uart */\r
+                                                                        For transactional transfer, The up layer needs to abort the transfer and then starts again */\r
+    kStatus_HAL_UartError = MAKE_STATUS(kStatusGroup_HAL_UART, 7), /*!< Error occurs on HAL UART */\r
 } hal_uart_status_t;\r
 \r
-/*! @brief uart parity mode. */\r
+/*! @brief UART parity mode. */\r
 typedef enum _hal_uart_parity_mode\r
 {\r
     kHAL_UartParityDisabled = 0x0U, /*!< Parity disabled */\r
-    kHAL_UartParityEven = 0x1U,     /*!< Parity even enabled */\r
-    kHAL_UartParityOdd = 0x2U,      /*!< Parity odd enabled */\r
+    kHAL_UartParityEven     = 0x1U, /*!< Parity even enabled */\r
+    kHAL_UartParityOdd      = 0x2U, /*!< Parity odd enabled */\r
 } hal_uart_parity_mode_t;\r
 \r
-/*! @brief uart stop bit count. */\r
+/*! @brief UART stop bit count. */\r
 typedef enum _hal_uart_stop_bit_count\r
 {\r
     kHAL_UartOneStopBit = 0U, /*!< One stop bit */\r
     kHAL_UartTwoStopBit = 1U, /*!< Two stop bits */\r
 } hal_uart_stop_bit_count_t;\r
 \r
-/*! @brief uart configuration structure. */\r
+/*! @brief UART configuration structure. */\r
 typedef struct _hal_uart_config\r
 {\r
     uint32_t srcClock_Hz;                   /*!< Source clock */\r
@@ -83,10 +108,10 @@ typedef struct _hal_uart_config
                            Invalid instance value will cause initialization failure. */\r
 } hal_uart_config_t;\r
 \r
-/*! @brief uart transfer callback function. */\r
+/*! @brief UART transfer callback function. */\r
 typedef void (*hal_uart_transfer_callback_t)(hal_uart_handle_t handle, hal_uart_status_t status, void *callbackParam);\r
 \r
-/*! @brief uart transfer structure. */\r
+/*! @brief UART transfer structure. */\r
 typedef struct _hal_uart_transfer\r
 {\r
     uint8_t *data;   /*!< The buffer of data to be transfer.*/\r
@@ -107,44 +132,44 @@ extern "C" {
  */\r
 \r
 /*!\r
-* @brief Initializes a uart instance with the uart handle and the user configuration structure.\r
-*\r
-* This function configures the uart module with user-defined settings. The user can configure the configuration\r
-* structure. The parameter handle is a pointer to point to a memory space of size #HAL_UART_HANDLE_SIZE allocated by the\r
-* caller.\r
-* Example below shows how to use this API to configure the uart.\r
-*  @code\r
-*   uint8_t g_UartHandleBuffer[HAL_UART_HANDLE_SIZE];\r
-*   hal_uart_handle_t g_UartHandle = &g_UartHandleBuffer[0];\r
-*   hal_uart_config_t config;\r
-*   config.srcClock_Hz = 48000000;\r
-*   config.baudRate_Bps = 115200U;\r
-*   config.parityMode = kHAL_UartParityDisabled;\r
-*   config.stopBitCount = kHAL_UartOneStopBit;\r
-*   config.enableRx = 1;\r
-*   config.enableTx = 1;\r
-*   config.instance = 0;\r
-*   HAL_UartInit(g_UartHandle, &config);\r
-*  @endcode\r
-*\r
-* @param handle Pointer to point to a memory space of size #HAL_UART_HANDLE_SIZE allocated by the caller.\r
-* @param config Pointer to user-defined configuration structure.\r
-* @retval kStatus_HAL_UartBaudrateNotSupport Baudrate is not support in current clock source.\r
-* @retval kStatus_HAL_UartSuccess uart initialization succeed\r
-*/\r
+ * @brief Initializes a UART instance with the UART handle and the user configuration structure.\r
+ *\r
+ * This function configures the UART module with user-defined settings. The user can configure the configuration\r
+ * structure. The parameter handle is a pointer to point to a memory space of size #HAL_UART_HANDLE_SIZE allocated by\r
+ * the caller. Example below shows how to use this API to configure the UART.\r
+ *  @code\r
+ *   uint32_t g_UartHandleBuffer[((HAL_UART_HANDLE_SIZE + sizeof(uint32_t) - 1) / sizeof(uitn32_t))];\r
+ *   hal_uart_handle_t g_UartHandle = (hal_uart_handle_t)&g_UartHandleBuffer[0];\r
+ *   hal_uart_config_t config;\r
+ *   config.srcClock_Hz = 48000000;\r
+ *   config.baudRate_Bps = 115200U;\r
+ *   config.parityMode = kHAL_UartParityDisabled;\r
+ *   config.stopBitCount = kHAL_UartOneStopBit;\r
+ *   config.enableRx = 1;\r
+ *   config.enableTx = 1;\r
+ *   config.instance = 0;\r
+ *   HAL_UartInit(g_UartHandle, &config);\r
+ *  @endcode\r
+ *\r
+ * @param handle Pointer to point to a memory space of size #HAL_UART_HANDLE_SIZE allocated by the caller.\r
+ * The handle should be 4 byte aligned, because unaligned access does not support on some devices.\r
+ * @param config Pointer to user-defined configuration structure.\r
+ * @retval kStatus_HAL_UartBaudrateNotSupport Baudrate is not support in current clock source.\r
+ * @retval kStatus_HAL_UartSuccess UART initialization succeed\r
+ */\r
 hal_uart_status_t HAL_UartInit(hal_uart_handle_t handle, hal_uart_config_t *config);\r
 \r
 /*!\r
- * @brief Deinitializes a uart instance.\r
+ * @brief Deinitializes a UART instance.\r
  *\r
- * This function waits for TX complete, disables TX and RX, and disables the uart clock.\r
+ * This function waits for TX complete, disables TX and RX, and disables the UART clock.\r
  *\r
- * @param handle uart handle pointer.\r
- * @retval kStatus_HAL_UartSuccess uart de-initialization succeed\r
+ * @param handle UART handle pointer.\r
+ * @retval kStatus_HAL_UartSuccess UART de-initialization succeed\r
  */\r
 hal_uart_status_t HAL_UartDeinit(hal_uart_handle_t handle);\r
 \r
-/* @} */\r
+/*! @}*/\r
 \r
 /*!\r
  * @name Blocking bus Operations\r
@@ -161,7 +186,7 @@ hal_uart_status_t HAL_UartDeinit(hal_uart_handle_t handle);
  * cannot be used at the same time.\r
  * And, the function #HAL_UartTransferAbortReceive cannot be used to abort the transmission of this function.\r
  *\r
- * @param handle uart handle pointer.\r
+ * @param handle UART handle pointer.\r
  * @param data Start address of the buffer to store the received data.\r
  * @param length Size of the buffer.\r
  * @retval kStatus_HAL_UartError An error occurred while receiving data.\r
@@ -180,15 +205,16 @@ hal_uart_status_t HAL_UartReceiveBlocking(hal_uart_handle_t handle, uint8_t *dat
  * cannot be used at the same time.\r
  * And, the function #HAL_UartTransferAbortSend cannot be used to abort the transmission of this function.\r
  *\r
- * @param handle uart handle pointer.\r
+ * @param handle UART handle pointer.\r
  * @param data Start address of the data to write.\r
  * @param length Size of the data to write.\r
  * @retval kStatus_HAL_UartSuccess Successfully sent all data.\r
  */\r
 hal_uart_status_t HAL_UartSendBlocking(hal_uart_handle_t handle, const uint8_t *data, size_t length);\r
 \r
-/* @} */\r
+/*! @}*/\r
 \r
+#if (defined(UART_ADAPTER_NON_BLOCKING_MODE) && (UART_ADAPTER_NON_BLOCKING_MODE > 0U))\r
 #if (defined(HAL_UART_TRANSFER_MODE) && (HAL_UART_TRANSFER_MODE > 0U))\r
 \r
 /*!\r
@@ -202,11 +228,11 @@ hal_uart_status_t HAL_UartSendBlocking(hal_uart_handle_t handle, const uint8_t *
 /*!\r
  * @brief Installs a callback and callback parameter.\r
  *\r
- * This function is used to install the callback and callback parameter for uart module.\r
- * When any status of the uart changed, the driver will notify the upper layer by the installed callback\r
+ * This function is used to install the callback and callback parameter for UART module.\r
+ * When any status of the UART changed, the driver will notify the upper layer by the installed callback\r
  * function. And the status is also passed as status parameter when the callback is called.\r
  *\r
- * @param handle uart handle pointer.\r
+ * @param handle UART handle pointer.\r
  * @param callback The callback function.\r
  * @param callbackParam The parameter of the callback function.\r
  * @retval kStatus_HAL_UartSuccess Successfully install the callback.\r
@@ -220,16 +246,16 @@ hal_uart_status_t HAL_UartTransferInstallCallback(hal_uart_handle_t handle,
  *\r
  * This function receives data using an interrupt method. This is a non-blocking function, which\r
  * returns directly without waiting for all data to be received.\r
- * The receive request is saved by the uart driver.\r
+ * The receive request is saved by the UART driver.\r
  * When the new data arrives, the receive request is serviced first.\r
- * When all data is received, the uart driver notifies the upper layer\r
+ * When all data is received, the UART driver notifies the upper layer\r
  * through a callback function and passes the status parameter @ref kStatus_UART_RxIdle.\r
  *\r
  * @note The function #HAL_UartReceiveBlocking and the function #HAL_UartTransferReceiveNonBlocking\r
  * cannot be used at the same time.\r
  *\r
- * @param handle uart handle pointer.\r
- * @param transfer uart transfer structure, see #hal_uart_transfer_t.\r
+ * @param handle UART handle pointer.\r
+ * @param transfer UART transfer structure, see #hal_uart_transfer_t.\r
  * @retval kStatus_HAL_UartSuccess Successfully queue the transfer into transmit queue.\r
  * @retval kStatus_HAL_UartRxBusy Previous receive request is not finished.\r
  * @retval kStatus_HAL_UartError An error occurred.\r
@@ -241,14 +267,14 @@ hal_uart_status_t HAL_UartTransferReceiveNonBlocking(hal_uart_handle_t handle, h
  *\r
  * This function sends data using an interrupt method. This is a non-blocking function, which\r
  * returns directly without waiting for all data to be written to the TX register. When\r
- * all data is written to the TX register in the ISR, the uart driver calls the callback\r
+ * all data is written to the TX register in the ISR, the UART driver calls the callback\r
  * function and passes the @ref kStatus_UART_TxIdle as status parameter.\r
  *\r
  * @note The function #HAL_UartSendBlocking and the function #HAL_UartTransferSendNonBlocking\r
  * cannot be used at the same time.\r
  *\r
- * @param handle uart handle pointer.\r
- * @param transfer uart transfer structure. See #hal_uart_transfer_t.\r
+ * @param handle UART handle pointer.\r
+ * @param transfer UART transfer structure. See #hal_uart_transfer_t.\r
  * @retval kStatus_HAL_UartSuccess Successfully start the data transmission.\r
  * @retval kStatus_HAL_UartTxBusy Previous transmission still not finished; data not all written to TX register yet.\r
  * @retval kStatus_HAL_UartError An error occurred.\r
@@ -260,7 +286,7 @@ hal_uart_status_t HAL_UartTransferSendNonBlocking(hal_uart_handle_t handle, hal_
  *\r
  * This function gets the number of bytes that have been received.\r
  *\r
- * @param handle uart handle pointer.\r
+ * @param handle UART handle pointer.\r
  * @param count Receive bytes count.\r
  * @retval kStatus_HAL_UartError An error occurred.\r
  * @retval kStatus_Success Get successfully through the parameter \p count.\r
@@ -268,12 +294,12 @@ hal_uart_status_t HAL_UartTransferSendNonBlocking(hal_uart_handle_t handle, hal_
 hal_uart_status_t HAL_UartTransferGetReceiveCount(hal_uart_handle_t handle, uint32_t *count);\r
 \r
 /*!\r
- * @brief Gets the number of bytes written to the uart TX register.\r
+ * @brief Gets the number of bytes written to the UART TX register.\r
  *\r
- * This function gets the number of bytes written to the uart TX\r
+ * This function gets the number of bytes written to the UART TX\r
  * register by using the interrupt method.\r
  *\r
- * @param handle uart handle pointer.\r
+ * @param handle UART handle pointer.\r
  * @param count Send bytes count.\r
  * @retval kStatus_HAL_UartError An error occurred.\r
  * @retval kStatus_Success Get successfully through the parameter \p count.\r
@@ -289,7 +315,7 @@ hal_uart_status_t HAL_UartTransferGetSendCount(hal_uart_handle_t handle, uint32_
  * @note The function #HAL_UartTransferAbortReceive cannot be used to abort the transmission of\r
  * the function #HAL_UartReceiveBlocking.\r
  *\r
- * @param handle uart handle pointer.\r
+ * @param handle UART handle pointer.\r
  * @retval kStatus_Success Get successfully abort the receiving.\r
  */\r
 hal_uart_status_t HAL_UartTransferAbortReceive(hal_uart_handle_t handle);\r
@@ -303,12 +329,12 @@ hal_uart_status_t HAL_UartTransferAbortReceive(hal_uart_handle_t handle);
  * @note The function #HAL_UartTransferAbortSend cannot be used to abort the transmission of\r
  * the function #HAL_UartSendBlocking.\r
  *\r
- * @param handle uart handle pointer.\r
+ * @param handle UART handle pointer.\r
  * @retval kStatus_Success Get successfully abort the sending.\r
  */\r
 hal_uart_status_t HAL_UartTransferAbortSend(hal_uart_handle_t handle);\r
 \r
-/* @} */\r
+/*! @}*/\r
 \r
 #else\r
 \r
@@ -323,11 +349,11 @@ hal_uart_status_t HAL_UartTransferAbortSend(hal_uart_handle_t handle);
 /*!\r
  * @brief Installs a callback and callback parameter.\r
  *\r
- * This function is used to install the callback and callback parameter for uart module.\r
+ * This function is used to install the callback and callback parameter for UART module.\r
  * When non-blocking sending or receiving finished, the adapter will notify the upper layer by the installed callback\r
  * function. And the status is also passed as status parameter when the callback is called.\r
  *\r
- * @param handle uart handle pointer.\r
+ * @param handle UART handle pointer.\r
  * @param callback The callback function.\r
  * @param callbackParam The parameter of the callback function.\r
  * @retval kStatus_HAL_UartSuccess Successfully install the callback.\r
@@ -341,15 +367,15 @@ hal_uart_status_t HAL_UartInstallCallback(hal_uart_handle_t handle,
  *\r
  * This function receives data using an interrupt method. This is a non-blocking function, which\r
  * returns directly without waiting for all data to be received.\r
- * The receive request is saved by the uart adapter.\r
+ * The receive request is saved by the UART adapter.\r
  * When the new data arrives, the receive request is serviced first.\r
- * When all data is received, the uart adapter notifies the upper layer\r
+ * When all data is received, the UART adapter notifies the upper layer\r
  * through a callback function and passes the status parameter @ref kStatus_UART_RxIdle.\r
  *\r
  * @note The function #HAL_UartReceiveBlocking and the function #HAL_UartReceiveNonBlocking\r
  * cannot be used at the same time.\r
  *\r
- * @param handle uart handle pointer.\r
+ * @param handle UART handle pointer.\r
  * @param data Start address of the data to write.\r
  * @param length Size of the data to write.\r
  * @retval kStatus_HAL_UartSuccess Successfully queue the transfer into transmit queue.\r
@@ -363,45 +389,45 @@ hal_uart_status_t HAL_UartReceiveNonBlocking(hal_uart_handle_t handle, uint8_t *
  *\r
  * This function sends data using an interrupt method. This is a non-blocking function, which\r
  * returns directly without waiting for all data to be written to the TX register. When\r
- * all data is written to the TX register in the ISR, the uart driver calls the callback\r
+ * all data is written to the TX register in the ISR, the UART driver calls the callback\r
  * function and passes the @ref kStatus_UART_TxIdle as status parameter.\r
  *\r
  * @note The function #HAL_UartSendBlocking and the function #HAL_UartSendNonBlocking\r
  * cannot be used at the same time.\r
  *\r
- * @param handle uart handle pointer.\r
+ * @param handle UART handle pointer.\r
  * @param data Start address of the data to write.\r
  * @param length Size of the data to write.\r
  * @retval kStatus_HAL_UartSuccess Successfully start the data transmission.\r
  * @retval kStatus_HAL_UartTxBusy Previous transmission still not finished; data not all written to TX register yet.\r
  * @retval kStatus_HAL_UartError An error occurred.\r
  */\r
-hal_uart_status_t HAL_UartSendNonBlocking(hal_uart_handle_t handle, const uint8_t *data, size_t length);\r
+hal_uart_status_t HAL_UartSendNonBlocking(hal_uart_handle_t handle, uint8_t *data, size_t length);\r
 \r
 /*!\r
  * @brief Gets the number of bytes that have been received.\r
  *\r
  * This function gets the number of bytes that have been received.\r
  *\r
- * @param handle uart handle pointer.\r
+ * @param handle UART handle pointer.\r
  * @param count Receive bytes count.\r
  * @retval kStatus_HAL_UartError An error occurred.\r
  * @retval kStatus_Success Get successfully through the parameter \p count.\r
  */\r
-hal_uart_status_t HAL_UartGetReceiveCount(hal_uart_handle_t handle, uint32_t *count);\r
+hal_uart_status_t HAL_UartGetReceiveCount(hal_uart_handle_t handle, uint32_t *reCount);\r
 \r
 /*!\r
- * @brief Gets the number of bytes written to the uart TX register.\r
+ * @brief Gets the number of bytes written to the UART TX register.\r
  *\r
- * This function gets the number of bytes written to the uart TX\r
+ * This function gets the number of bytes written to the UART TX\r
  * register by using the interrupt method.\r
  *\r
- * @param handle uart handle pointer.\r
+ * @param handle UART handle pointer.\r
  * @param count Send bytes count.\r
  * @retval kStatus_HAL_UartError An error occurred.\r
  * @retval kStatus_Success Get successfully through the parameter \p count.\r
  */\r
-hal_uart_status_t HAL_UartGetSendCount(hal_uart_handle_t handle, uint32_t *count);\r
+hal_uart_status_t HAL_UartGetSendCount(hal_uart_handle_t handle, uint32_t *seCount);\r
 \r
 /*!\r
  * @brief Aborts the interrupt-driven data receiving.\r
@@ -412,7 +438,7 @@ hal_uart_status_t HAL_UartGetSendCount(hal_uart_handle_t handle, uint32_t *count
  * @note The function #HAL_UartAbortReceive cannot be used to abort the transmission of\r
  * the function #HAL_UartReceiveBlocking.\r
  *\r
- * @param handle uart handle pointer.\r
+ * @param handle UART handle pointer.\r
  * @retval kStatus_Success Get successfully abort the receiving.\r
  */\r
 hal_uart_status_t HAL_UartAbortReceive(hal_uart_handle_t handle);\r
@@ -426,26 +452,51 @@ hal_uart_status_t HAL_UartAbortReceive(hal_uart_handle_t handle);
  * @note The function #HAL_UartAbortSend cannot be used to abort the transmission of\r
  * the function #HAL_UartSendBlocking.\r
  *\r
- * @param handle uart handle pointer.\r
+ * @param handle UART handle pointer.\r
  * @retval kStatus_Success Get successfully abort the sending.\r
  */\r
 hal_uart_status_t HAL_UartAbortSend(hal_uart_handle_t handle);\r
 \r
-/* @} */\r
+/*! @}*/\r
 \r
+#endif\r
 #endif\r
 \r
 /*!\r
- * @brief uart IRQ handle function.\r
+ * @brief Prepares to enter low power consumption.\r
  *\r
- * This function handles the uart transmit and receive IRQ request.\r
+ * This function is used to prepare to enter low power consumption.\r
  *\r
- * @param handle uart handle pointer.\r
+ * @param handle UART handle pointer.\r
+ * @retval kStatus_HAL_UartSuccess Successful operation.\r
+ * @retval kStatus_HAL_UartError An error occurred.\r
+ */\r
+hal_uart_status_t HAL_UartEnterLowpower(hal_uart_handle_t handle);\r
+\r
+/*!\r
+ * @brief Restores from low power consumption.\r
+ *\r
+ * This function is used to restore from low power consumption.\r
+ *\r
+ * @param handle UART handle pointer.\r
+ * @retval kStatus_HAL_UartSuccess Successful operation.\r
+ * @retval kStatus_HAL_UartError An error occurred.\r
+ */\r
+hal_uart_status_t HAL_UartExitLowpower(hal_uart_handle_t handle);\r
+\r
+#if (defined(UART_ADAPTER_NON_BLOCKING_MODE) && (UART_ADAPTER_NON_BLOCKING_MODE > 0U))\r
+/*!\r
+ * @brief UART IRQ handle function.\r
+ *\r
+ * This function handles the UART transmit and receive IRQ request.\r
+ *\r
+ * @param handle UART handle pointer.\r
  */\r
 void HAL_UartIsrFunction(hal_uart_handle_t handle);\r
+#endif\r
 \r
 #if defined(__cplusplus)\r
 }\r
 #endif\r
-\r
+/*! @}*/\r
 #endif /* __HAL_UART_ADAPTER_H__ */\r
index 19fc78c784f1007ee08fe825a6f79d82d5968a1d..228d90b2586983475c7d1fe123e915efb13d64fe 100644 (file)
@@ -65,10 +65,9 @@ static USART_Type *const s_UsartAdapterBase[] = USART_BASE_PTRS;
 \r
 #if (defined(UART_ADAPTER_NON_BLOCKING_MODE) && (UART_ADAPTER_NON_BLOCKING_MODE > 0U))\r
 \r
+#if !(defined(HAL_UART_TRANSFER_MODE) && (HAL_UART_TRANSFER_MODE > 0U))\r
 /* Array of USART IRQ number. */\r
 static const IRQn_Type s_UsartIRQ[] = USART_IRQS;\r
-\r
-#if !(defined(HAL_UART_TRANSFER_MODE) && (HAL_UART_TRANSFER_MODE > 0U))\r
 #endif\r
 \r
 #endif\r
@@ -177,9 +176,9 @@ static void HAL_UartInterruptHandle(USART_Type *base, void *handle)
             {\r
                 USART_DisableInterrupts(s_UsartAdapterBase[instance],\r
                                         USART_FIFOINTENCLR_RXLVL_MASK | USART_FIFOINTENCLR_RXERR_MASK);\r
+                uartHandle->rx.buffer = NULL;\r
                 if (uartHandle->callback)\r
                 {\r
-                    uartHandle->rx.buffer = NULL;\r
                     uartHandle->callback(uartHandle, kStatus_HAL_UartRxIdle, uartHandle->callbackParam);\r
                 }\r
             }\r
@@ -196,9 +195,9 @@ static void HAL_UartInterruptHandle(USART_Type *base, void *handle)
             if (uartHandle->tx.bufferSofar >= uartHandle->tx.bufferLength)\r
             {\r
                 USART_DisableInterrupts(s_UsartAdapterBase[instance], USART_FIFOINTENCLR_TXLVL_MASK);\r
+                uartHandle->tx.buffer = NULL;\r
                 if (uartHandle->callback)\r
                 {\r
-                    uartHandle->tx.buffer = NULL;\r
                     uartHandle->callback(uartHandle, kStatus_HAL_UartTxIdle, uartHandle->callbackParam);\r
                 }\r
             }\r
@@ -252,8 +251,8 @@ hal_uart_status_t HAL_UartInit(hal_uart_handle_t handle, hal_uart_config_t *conf
     {\r
         usartConfig.stopBitCount = kUSART_OneStopBit;\r
     }\r
-    usartConfig.enableRx = config->enableRx;\r
-    usartConfig.enableTx = config->enableTx;\r
+    usartConfig.enableRx    = config->enableRx;\r
+    usartConfig.enableTx    = config->enableTx;\r
     usartConfig.txWatermark = kUSART_TxFifo0;\r
     usartConfig.rxWatermark = kUSART_RxFifo1;\r
 \r
@@ -264,7 +263,7 @@ hal_uart_status_t HAL_UartInit(hal_uart_handle_t handle, hal_uart_config_t *conf
         return HAL_UartGetStatus(status);\r
     }\r
 \r
-    uartHandle = (hal_uart_state_t *)handle;\r
+    uartHandle           = (hal_uart_state_t *)handle;\r
     uartHandle->instance = config->instance;\r
 \r
 #if (defined(UART_ADAPTER_NON_BLOCKING_MODE) && (UART_ADAPTER_NON_BLOCKING_MODE > 0U))\r
@@ -276,6 +275,7 @@ hal_uart_status_t HAL_UartInit(hal_uart_handle_t handle, hal_uart_config_t *conf
     /* Enable interrupt in NVIC. */\r
     FLEXCOMM_SetIRQHandler(s_UsartAdapterBase[config->instance], (flexcomm_irq_handler_t)HAL_UartInterruptHandle,\r
                            handle);\r
+    NVIC_SetPriority((IRQn_Type)s_UsartIRQ[config->instance], HAL_UART_ISR_PRIORITY);\r
     EnableIRQ(s_UsartIRQ[config->instance]);\r
 #endif\r
 \r
@@ -340,6 +340,20 @@ hal_uart_status_t HAL_UartSendBlocking(hal_uart_handle_t handle, const uint8_t *
     return kStatus_HAL_UartSuccess;\r
 }\r
 \r
+hal_uart_status_t HAL_UartEnterLowpower(hal_uart_handle_t handle)\r
+{\r
+    assert(handle);\r
+\r
+    return kStatus_HAL_UartSuccess;\r
+}\r
+\r
+hal_uart_status_t HAL_UartExitLowpower(hal_uart_handle_t handle)\r
+{\r
+    assert(handle);\r
+\r
+    return kStatus_HAL_UartSuccess;\r
+}\r
+\r
 #if (defined(UART_ADAPTER_NON_BLOCKING_MODE) && (UART_ADAPTER_NON_BLOCKING_MODE > 0U))\r
 \r
 #if (defined(HAL_UART_TRANSFER_MODE) && (HAL_UART_TRANSFER_MODE > 0U))\r
@@ -356,7 +370,7 @@ hal_uart_status_t HAL_UartTransferInstallCallback(hal_uart_handle_t handle,
     uartHandle = (hal_uart_state_t *)handle;\r
 \r
     uartHandle->callbackParam = callbackParam;\r
-    uartHandle->callback = callback;\r
+    uartHandle->callback      = callback;\r
 \r
     return kStatus_HAL_UartSuccess;\r
 }\r
@@ -465,7 +479,7 @@ hal_uart_status_t HAL_UartInstallCallback(hal_uart_handle_t handle,
     uartHandle = (hal_uart_state_t *)handle;\r
 \r
     uartHandle->callbackParam = callbackParam;\r
-    uartHandle->callback = callback;\r
+    uartHandle->callback      = callback;\r
 \r
     return kStatus_HAL_UartSuccess;\r
 }\r
@@ -486,13 +500,13 @@ hal_uart_status_t HAL_UartReceiveNonBlocking(hal_uart_handle_t handle, uint8_t *
     }\r
 \r
     uartHandle->rx.bufferLength = length;\r
-    uartHandle->rx.bufferSofar = 0;\r
-    uartHandle->rx.buffer = data;\r
+    uartHandle->rx.bufferSofar  = 0;\r
+    uartHandle->rx.buffer       = data;\r
     USART_EnableInterrupts(s_UsartAdapterBase[uartHandle->instance], USART_FIFOINTENSET_RXLVL_MASK);\r
     return kStatus_HAL_UartSuccess;\r
 }\r
 \r
-hal_uart_status_t HAL_UartSendNonBlocking(hal_uart_handle_t handle, const uint8_t *data, size_t length)\r
+hal_uart_status_t HAL_UartSendNonBlocking(hal_uart_handle_t handle, uint8_t *data, size_t length)\r
 {\r
     hal_uart_state_t *uartHandle;\r
     assert(handle);\r
@@ -507,41 +521,41 @@ hal_uart_status_t HAL_UartSendNonBlocking(hal_uart_handle_t handle, const uint8_
         return kStatus_HAL_UartTxBusy;\r
     }\r
     uartHandle->tx.bufferLength = length;\r
-    uartHandle->tx.bufferSofar = 0;\r
-    uartHandle->tx.buffer = (volatile uint8_t *)data;\r
+    uartHandle->tx.bufferSofar  = 0;\r
+    uartHandle->tx.buffer       = (volatile uint8_t *)data;\r
     USART_EnableInterrupts(s_UsartAdapterBase[uartHandle->instance], USART_FIFOINTENSET_TXLVL_MASK);\r
     return kStatus_HAL_UartSuccess;\r
 }\r
 \r
-hal_uart_status_t HAL_UartGetReceiveCount(hal_uart_handle_t handle, uint32_t *count)\r
+hal_uart_status_t HAL_UartGetReceiveCount(hal_uart_handle_t handle, uint32_t *reCount)\r
 {\r
     hal_uart_state_t *uartHandle;\r
     assert(handle);\r
-    assert(count);\r
+    assert(reCount);\r
     assert(!HAL_UART_TRANSFER_MODE);\r
 \r
     uartHandle = (hal_uart_state_t *)handle;\r
 \r
     if (uartHandle->rx.buffer)\r
     {\r
-        *count = uartHandle->rx.bufferSofar;\r
+        *reCount = uartHandle->rx.bufferSofar;\r
         return kStatus_HAL_UartSuccess;\r
     }\r
     return kStatus_HAL_UartError;\r
 }\r
 \r
-hal_uart_status_t HAL_UartGetSendCount(hal_uart_handle_t handle, uint32_t *count)\r
+hal_uart_status_t HAL_UartGetSendCount(hal_uart_handle_t handle, uint32_t *seCount)\r
 {\r
     hal_uart_state_t *uartHandle;\r
     assert(handle);\r
-    assert(count);\r
+    assert(seCount);\r
     assert(!HAL_UART_TRANSFER_MODE);\r
 \r
     uartHandle = (hal_uart_state_t *)handle;\r
 \r
     if (uartHandle->tx.buffer)\r
     {\r
-        *count = uartHandle->tx.bufferSofar;\r
+        *seCount = uartHandle->tx.bufferSofar;\r
         return kStatus_HAL_UartSuccess;\r
     }\r
     return kStatus_HAL_UartError;\r
@@ -599,6 +613,7 @@ void HAL_UartIsrFunction(hal_uart_handle_t handle)
 #endif\r
     USART_TransferHandleIRQ(s_UsartAdapterBase[uartHandle->instance], &uartHandle->hardwareHandle);\r
 #if 0\r
+    NVIC_SetPriority((IRQn_Type)s_UsartIRQ[uartHandle->instance], HAL_UART_ISR_PRIORITY);\r
     EnableIRQ(s_UsartIRQ[uartHandle->instance]);\r
 #endif\r
 }\r
@@ -618,6 +633,7 @@ void HAL_UartIsrFunction(hal_uart_handle_t handle)
 #endif\r
     HAL_UartInterruptHandle(s_UsartAdapterBase[uartHandle->instance], (void *)uartHandle);\r
 #if 0\r
+    NVIC_SetPriority((IRQn_Type)s_UsartIRQ[uartHandle->instance], HAL_UART_ISR_PRIORITY);\r
     EnableIRQ(s_UsartIRQ[uartHandle->instance]);\r
 #endif\r
 }\r
index 3c564e838a6e7fac79dde0a87a8d0c8cc49342d8..9e8ae6a336ef50490fc98495e615388cdc806d87 100644 (file)
@@ -1,16 +1,17 @@
 /*\r
 ** ###################################################################\r
 **     Processors:          LPC55S69JBD100_cm33_core0\r
-**                          LPC55S69JET98_cm33_core0\r
+**                          LPC55S69JBD64_cm33_core0\r
+**                          LPC55S69JEV98_cm33_core0\r
 **\r
 **     Compilers:           GNU C Compiler\r
 **                          IAR ANSI C/C++ Compiler for ARM\r
 **                          Keil ARM C/C++ Compiler\r
 **                          MCUXpresso Compiler\r
 **\r
-**     Reference manual:    LPC55xx/LPC55Sxx User manual Rev.0.4  25 Sep 2018\r
-**     Version:             rev. 1.0, 2018-08-22\r
-**     Build:               b190122\r
+**     Reference manual:    LPC55S6x/LPC55S2x/LPC552x User manual(UM11126) Rev.1.3  16 May 2019\r
+**     Version:             rev. 1.1, 2019-05-16\r
+**     Build:               b191017\r
 **\r
 **     Abstract:\r
 **         CMSIS Peripheral Access Layer for LPC55S69_cm33_core0\r
 **     Revisions:\r
 **     - rev. 1.0 (2018-08-22)\r
 **         Initial version based on v0.2UM\r
+**     - rev. 1.1 (2019-05-16)\r
+**         Initial A1 version based on v1.3UM\r
 **\r
 ** ###################################################################\r
 */\r
 \r
 /*!\r
  * @file LPC55S69_cm33_core0.h\r
- * @version 1.0\r
- * @date 2018-08-22\r
+ * @version 1.1\r
+ * @date 2019-05-16\r
  * @brief CMSIS Peripheral Access Layer for LPC55S69_cm33_core0\r
  *\r
  * CMSIS Peripheral Access Layer for LPC55S69_cm33_core0\r
  */\r
 \r
 #ifndef _LPC55S69_CM33_CORE0_H_\r
-#define _LPC55S69_CM33_CORE0_H_                  /**< Symbol preventing repeated inclusion */\r
+#define _LPC55S69_CM33_CORE0_H_ /**< Symbol preventing repeated inclusion */\r
 \r
 /** Memory map major version (memory maps with equal major version number are\r
  * compatible) */\r
 #define MCU_MEM_MAP_VERSION 0x0100U\r
 /** Memory map minor version */\r
-#define MCU_MEM_MAP_VERSION_MINOR 0x0000U\r
-\r
+#define MCU_MEM_MAP_VERSION_MINOR 0x0001U\r
 \r
 /* ----------------------------------------------------------------------------\r
    -- Interrupt vector numbers\r
  */\r
 \r
 /** Interrupt Number Definitions */\r
-#define NUMBER_OF_INT_VECTORS 76                 /**< Number of interrupts in the Vector table */\r
-\r
-typedef enum IRQn {\r
-  /* Auxiliary constants */\r
-  NotAvail_IRQn                = -128,             /**< Not available device specific interrupt */\r
-\r
-  /* Core interrupts */\r
-  NonMaskableInt_IRQn          = -14,              /**< Non Maskable Interrupt */\r
-  HardFault_IRQn               = -13,              /**< Cortex-M33 SV Hard Fault Interrupt */\r
-  MemoryManagement_IRQn        = -12,              /**< Cortex-M33 Memory Management Interrupt */\r
-  BusFault_IRQn                = -11,              /**< Cortex-M33 Bus Fault Interrupt */\r
-  UsageFault_IRQn              = -10,              /**< Cortex-M33 Usage Fault Interrupt */\r
-  SecureFault_IRQn             = -9,               /**< Cortex-M33 Secure Fault Interrupt */\r
-  SVCall_IRQn                  = -5,               /**< Cortex-M33 SV Call Interrupt */\r
-  DebugMonitor_IRQn            = -4,               /**< Cortex-M33 Debug Monitor Interrupt */\r
-  PendSV_IRQn                  = -2,               /**< Cortex-M33 Pend SV Interrupt */\r
-  SysTick_IRQn                 = -1,               /**< Cortex-M33 System Tick Interrupt */\r
-\r
-  /* Device specific interrupts */\r
-  WDT_BOD_IRQn                 = 0,                /**< Windowed watchdog timer, Brownout detect, Flash interrupt */\r
-  DMA0_IRQn                    = 1,                /**< DMA0 controller */\r
-  GINT0_IRQn                   = 2,                /**< GPIO group 0 */\r
-  GINT1_IRQn                   = 3,                /**< GPIO group 1 */\r
-  PIN_INT0_IRQn                = 4,                /**< Pin interrupt 0 or pattern match engine slice 0 */\r
-  PIN_INT1_IRQn                = 5,                /**< Pin interrupt 1or pattern match engine slice 1 */\r
-  PIN_INT2_IRQn                = 6,                /**< Pin interrupt 2 or pattern match engine slice 2 */\r
-  PIN_INT3_IRQn                = 7,                /**< Pin interrupt 3 or pattern match engine slice 3 */\r
-  UTICK0_IRQn                  = 8,                /**< Micro-tick Timer */\r
-  MRT0_IRQn                    = 9,                /**< Multi-rate timer */\r
-  CTIMER0_IRQn                 = 10,               /**< Standard counter/timer CTIMER0 */\r
-  CTIMER1_IRQn                 = 11,               /**< Standard counter/timer CTIMER1 */\r
-  SCT0_IRQn                    = 12,               /**< SCTimer/PWM */\r
-  CTIMER3_IRQn                 = 13,               /**< Standard counter/timer CTIMER3 */\r
-  FLEXCOMM0_IRQn               = 14,               /**< Flexcomm Interface 0 (USART, SPI, I2C, I2S, FLEXCOMM) */\r
-  FLEXCOMM1_IRQn               = 15,               /**< Flexcomm Interface 1 (USART, SPI, I2C, I2S, FLEXCOMM) */\r
-  FLEXCOMM2_IRQn               = 16,               /**< Flexcomm Interface 2 (USART, SPI, I2C, I2S, FLEXCOMM) */\r
-  FLEXCOMM3_IRQn               = 17,               /**< Flexcomm Interface 3 (USART, SPI, I2C, I2S, FLEXCOMM) */\r
-  FLEXCOMM4_IRQn               = 18,               /**< Flexcomm Interface 4 (USART, SPI, I2C, I2S, FLEXCOMM) */\r
-  FLEXCOMM5_IRQn               = 19,               /**< Flexcomm Interface 5 (USART, SPI, I2C, I2S, FLEXCOMM) */\r
-  FLEXCOMM6_IRQn               = 20,               /**< Flexcomm Interface 6 (USART, SPI, I2C, I2S, FLEXCOMM) */\r
-  FLEXCOMM7_IRQn               = 21,               /**< Flexcomm Interface 7 (USART, SPI, I2C, I2S, FLEXCOMM) */\r
-  ADC0_IRQn                    = 22,               /**< ADC0  */\r
-  Reserved39_IRQn              = 23,               /**< Reserved interrupt */\r
-  ACMP_IRQn                    = 24,               /**< ACMP  interrupts */\r
-  Reserved41_IRQn              = 25,               /**< Reserved interrupt */\r
-  Reserved42_IRQn              = 26,               /**< Reserved interrupt */\r
-  USB0_NEEDCLK_IRQn            = 27,               /**< USB Activity Wake-up Interrupt */\r
-  USB0_IRQn                    = 28,               /**< USB device */\r
-  RTC_IRQn                     = 29,               /**< RTC alarm and wake-up interrupts */\r
-  Reserved46_IRQn              = 30,               /**< Reserved interrupt */\r
-  MAILBOX_IRQn                 = 31,               /**< WAKEUP,Mailbox interrupt (present on selected devices) */\r
-  PIN_INT4_IRQn                = 32,               /**< Pin interrupt 4 or pattern match engine slice 4 int */\r
-  PIN_INT5_IRQn                = 33,               /**< Pin interrupt 5 or pattern match engine slice 5 int */\r
-  PIN_INT6_IRQn                = 34,               /**< Pin interrupt 6 or pattern match engine slice 6 int */\r
-  PIN_INT7_IRQn                = 35,               /**< Pin interrupt 7 or pattern match engine slice 7 int */\r
-  CTIMER2_IRQn                 = 36,               /**< Standard counter/timer CTIMER2 */\r
-  CTIMER4_IRQn                 = 37,               /**< Standard counter/timer CTIMER4 */\r
-  OS_EVENT_IRQn                = 38,               /**< OSEVTIMER0 and OSEVTIMER0_WAKEUP interrupts */\r
-  Reserved55_IRQn              = 39,               /**< Reserved interrupt */\r
-  Reserved56_IRQn              = 40,               /**< Reserved interrupt */\r
-  Reserved57_IRQn              = 41,               /**< Reserved interrupt */\r
-  SDIO_IRQn                    = 42,               /**< SD/MMC  */\r
-  Reserved59_IRQn              = 43,               /**< Reserved interrupt */\r
-  Reserved60_IRQn              = 44,               /**< Reserved interrupt */\r
-  Reserved61_IRQn              = 45,               /**< Reserved interrupt */\r
-  USB1_UTMI_IRQn               = 46,               /**< USB1_UTMI */\r
-  USB1_IRQn                    = 47,               /**< USB1 interrupt */\r
-  USB1_NEEDCLK_IRQn            = 48,               /**< USB1 activity */\r
-  SEC_HYPERVISOR_CALL_IRQn     = 49,               /**< SEC_HYPERVISOR_CALL interrupt */\r
-  SEC_GPIO_INT0_IRQ0_IRQn      = 50,               /**< SEC_GPIO_INT0_IRQ0 interrupt */\r
-  SEC_GPIO_INT0_IRQ1_IRQn      = 51,               /**< SEC_GPIO_INT0_IRQ1 interrupt */\r
-  PLU_IRQn                     = 52,               /**< PLU interrupt */\r
-  SEC_VIO_IRQn                 = 53,               /**< SEC_VIO interrupt */\r
-  HASHCRYPT_IRQn               = 54,               /**< HASHCRYPT interrupt */\r
-  CASER_IRQn                   = 55,               /**< CASPER interrupt */\r
-  PUF_IRQn                     = 56,               /**< PUF interrupt */\r
-  PQ_IRQn                      = 57,               /**< PQ interrupt */\r
-  DMA1_IRQn                    = 58,               /**< DMA1 interrupt */\r
-  LSPI_HS_IRQn                 = 59                /**< Flexcomm Interface 8 (SPI, , FLEXCOMM) */\r
+#define NUMBER_OF_INT_VECTORS 76 /**< Number of interrupts in the Vector table */\r
+\r
+typedef enum IRQn\r
+{\r
+    /* Auxiliary constants */\r
+    NotAvail_IRQn = -128, /**< Not available device specific interrupt */\r
+\r
+    /* Core interrupts */\r
+    NonMaskableInt_IRQn   = -14, /**< Non Maskable Interrupt */\r
+    HardFault_IRQn        = -13, /**< Cortex-M33 SV Hard Fault Interrupt */\r
+    MemoryManagement_IRQn = -12, /**< Cortex-M33 Memory Management Interrupt */\r
+    BusFault_IRQn         = -11, /**< Cortex-M33 Bus Fault Interrupt */\r
+    UsageFault_IRQn       = -10, /**< Cortex-M33 Usage Fault Interrupt */\r
+    SecureFault_IRQn      = -9,  /**< Cortex-M33 Secure Fault Interrupt */\r
+    SVCall_IRQn           = -5,  /**< Cortex-M33 SV Call Interrupt */\r
+    DebugMonitor_IRQn     = -4,  /**< Cortex-M33 Debug Monitor Interrupt */\r
+    PendSV_IRQn           = -2,  /**< Cortex-M33 Pend SV Interrupt */\r
+    SysTick_IRQn          = -1,  /**< Cortex-M33 System Tick Interrupt */\r
+\r
+    /* Device specific interrupts */\r
+    WDT_BOD_IRQn             = 0,  /**< Windowed watchdog timer, Brownout detect, Flash interrupt */\r
+    DMA0_IRQn                = 1,  /**< DMA0 controller */\r
+    GINT0_IRQn               = 2,  /**< GPIO group 0 */\r
+    GINT1_IRQn               = 3,  /**< GPIO group 1 */\r
+    PIN_INT0_IRQn            = 4,  /**< Pin interrupt 0 or pattern match engine slice 0 */\r
+    PIN_INT1_IRQn            = 5,  /**< Pin interrupt 1or pattern match engine slice 1 */\r
+    PIN_INT2_IRQn            = 6,  /**< Pin interrupt 2 or pattern match engine slice 2 */\r
+    PIN_INT3_IRQn            = 7,  /**< Pin interrupt 3 or pattern match engine slice 3 */\r
+    UTICK0_IRQn              = 8,  /**< Micro-tick Timer */\r
+    MRT0_IRQn                = 9,  /**< Multi-rate timer */\r
+    CTIMER0_IRQn             = 10, /**< Standard counter/timer CTIMER0 */\r
+    CTIMER1_IRQn             = 11, /**< Standard counter/timer CTIMER1 */\r
+    SCT0_IRQn                = 12, /**< SCTimer/PWM */\r
+    CTIMER3_IRQn             = 13, /**< Standard counter/timer CTIMER3 */\r
+    FLEXCOMM0_IRQn           = 14, /**< Flexcomm Interface 0 (USART, SPI, I2C, I2S, FLEXCOMM) */\r
+    FLEXCOMM1_IRQn           = 15, /**< Flexcomm Interface 1 (USART, SPI, I2C, I2S, FLEXCOMM) */\r
+    FLEXCOMM2_IRQn           = 16, /**< Flexcomm Interface 2 (USART, SPI, I2C, I2S, FLEXCOMM) */\r
+    FLEXCOMM3_IRQn           = 17, /**< Flexcomm Interface 3 (USART, SPI, I2C, I2S, FLEXCOMM) */\r
+    FLEXCOMM4_IRQn           = 18, /**< Flexcomm Interface 4 (USART, SPI, I2C, I2S, FLEXCOMM) */\r
+    FLEXCOMM5_IRQn           = 19, /**< Flexcomm Interface 5 (USART, SPI, I2C, I2S, FLEXCOMM) */\r
+    FLEXCOMM6_IRQn           = 20, /**< Flexcomm Interface 6 (USART, SPI, I2C, I2S, FLEXCOMM) */\r
+    FLEXCOMM7_IRQn           = 21, /**< Flexcomm Interface 7 (USART, SPI, I2C, I2S, FLEXCOMM) */\r
+    ADC0_IRQn                = 22, /**< ADC0  */\r
+    Reserved39_IRQn          = 23, /**< Reserved interrupt */\r
+    ACMP_IRQn                = 24, /**< ACMP  interrupts */\r
+    Reserved41_IRQn          = 25, /**< Reserved interrupt */\r
+    Reserved42_IRQn          = 26, /**< Reserved interrupt */\r
+    USB0_NEEDCLK_IRQn        = 27, /**< USB Activity Wake-up Interrupt */\r
+    USB0_IRQn                = 28, /**< USB device */\r
+    RTC_IRQn                 = 29, /**< RTC alarm and wake-up interrupts */\r
+    Reserved46_IRQn          = 30, /**< Reserved interrupt */\r
+    MAILBOX_IRQn             = 31, /**< WAKEUP,Mailbox interrupt (present on selected devices) */\r
+    PIN_INT4_IRQn            = 32, /**< Pin interrupt 4 or pattern match engine slice 4 int */\r
+    PIN_INT5_IRQn            = 33, /**< Pin interrupt 5 or pattern match engine slice 5 int */\r
+    PIN_INT6_IRQn            = 34, /**< Pin interrupt 6 or pattern match engine slice 6 int */\r
+    PIN_INT7_IRQn            = 35, /**< Pin interrupt 7 or pattern match engine slice 7 int */\r
+    CTIMER2_IRQn             = 36, /**< Standard counter/timer CTIMER2 */\r
+    CTIMER4_IRQn             = 37, /**< Standard counter/timer CTIMER4 */\r
+    OS_EVENT_IRQn            = 38, /**< OSEVTIMER0 and OSEVTIMER0_WAKEUP interrupts */\r
+    Reserved55_IRQn          = 39, /**< Reserved interrupt */\r
+    Reserved56_IRQn          = 40, /**< Reserved interrupt */\r
+    Reserved57_IRQn          = 41, /**< Reserved interrupt */\r
+    SDIO_IRQn                = 42, /**< SD/MMC  */\r
+    Reserved59_IRQn          = 43, /**< Reserved interrupt */\r
+    Reserved60_IRQn          = 44, /**< Reserved interrupt */\r
+    Reserved61_IRQn          = 45, /**< Reserved interrupt */\r
+    USB1_PHY_IRQn            = 46, /**< USB1_PHY */\r
+    USB1_IRQn                = 47, /**< USB1 interrupt */\r
+    USB1_NEEDCLK_IRQn        = 48, /**< USB1 activity */\r
+    SEC_HYPERVISOR_CALL_IRQn = 49, /**< SEC_HYPERVISOR_CALL interrupt */\r
+    SEC_GPIO_INT0_IRQ0_IRQn  = 50, /**< SEC_GPIO_INT0_IRQ0 interrupt */\r
+    SEC_GPIO_INT0_IRQ1_IRQn  = 51, /**< SEC_GPIO_INT0_IRQ1 interrupt */\r
+    PLU_IRQn                 = 52, /**< PLU interrupt */\r
+    SEC_VIO_IRQn             = 53, /**< SEC_VIO interrupt */\r
+    HASHCRYPT_IRQn           = 54, /**< HASHCRYPT interrupt */\r
+    CASER_IRQn               = 55, /**< CASPER interrupt */\r
+    PUF_IRQn                 = 56, /**< PUF interrupt */\r
+    PQ_IRQn                  = 57, /**< PQ interrupt */\r
+    DMA1_IRQn                = 58, /**< DMA1 interrupt */\r
+    FLEXCOMM8_IRQn           = 59  /**< Flexcomm Interface 8 (SPI, , FLEXCOMM) */\r
 } IRQn_Type;\r
 \r
 /*!\r
  * @}\r
  */ /* end of group Interrupt_vector_numbers */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- Cortex M33 Core Configuration\r
    ---------------------------------------------------------------------------- */\r
@@ -155,21 +157,20 @@ typedef enum IRQn {
  * @{\r
  */\r
 \r
-#define __MPU_PRESENT                  1         /**< Defines if an MPU is present or not */\r
-#define __NVIC_PRIO_BITS               3         /**< Number of priority bits implemented in the NVIC */\r
-#define __Vendor_SysTickConfig         0         /**< Vendor specific implementation of SysTickConfig is defined */\r
-#define __FPU_PRESENT                  1         /**< Defines if an FPU is present or not */\r
-#define __DSP_PRESENT                  1         /**< Defines if Armv8-M Mainline core supports DSP instructions */\r
-#define __SAUREGION_PRESENT            1         /**< Defines if an SAU is present or not */\r
+#define __MPU_PRESENT          /**< Defines if an MPU is present or not */\r
+#define __NVIC_PRIO_BITS 3       /**< Number of priority bits implemented in the NVIC */\r
+#define __Vendor_SysTickConfig 0 /**< Vendor specific implementation of SysTickConfig is defined */\r
+#define __FPU_PRESENT          /**< Defines if an FPU is present or not */\r
+#define __DSP_PRESENT          /**< Defines if Armv8-M Mainline core supports DSP instructions */\r
+#define __SAUREGION_PRESENT 1    /**< Defines if an SAU is present or not */\r
 \r
-#include "core_cm33.h"                 /* Core Peripheral Access Layer */\r
+#include "core_cm33.h"                  /* Core Peripheral Access Layer */\r
 #include "system_LPC55S69_cm33_core0.h" /* Device specific configuration file */\r
 \r
 /*!\r
  * @}\r
  */ /* end of group Cortex_Core_Configuration */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- Mapping Information\r
    ---------------------------------------------------------------------------- */\r
@@ -198,49 +199,47 @@ typedef enum IRQn {
  */\r
 typedef enum _dma_request_source\r
 {\r
-    kDma0RequestHashCrypt           = 0U,          /**< HashCrypt */\r
-    kDma1RequestHashCryptInput      = 0U,          /**< HashCrypt Input */\r
-    kDma0RequestNoDMARequest1       = 1U,          /**< No DMA request 1 */\r
-    kDma1RequestNoDMARequest1       = 1U,          /**< No DMA request 1 */\r
-    kDma0RequestFlexcomm8Rx         = 2U,          /**< Flexcomm Interface 8 RX */\r
-    kDma1RequestFlexcomm8Rx         = 2U,          /**< Flexcomm Interface 8 RX */\r
-    kDma0RequestFlexcomm8Tx         = 3U,          /**< Flexcomm Interface 8 TX */\r
-    kDma1RequestFlexcomm8Tx         = 3U,          /**< Flexcomm Interface 8 TX */\r
-    kDma0RequestFlexcomm0Rx         = 4U,          /**< Flexcomm Interface 0 RX/I2C Slave */\r
-    kDma1RequestFlexcomm0Rx         = 4U,          /**< Flexcomm Interface 0 RX/I2C Slave */\r
-    kDma0RequestFlexcomm0Tx         = 5U,          /**< Flexcomm Interface 0 TX/I2C Master */\r
-    kDma1RequestFlexcomm0Tx         = 5U,          /**< Flexcomm Interface 0 TX/I2C Master */\r
-    kDma0RequestFlexcomm1Rx         = 6U,          /**< Flexcomm Interface 1 RX/I2C Slave */\r
-    kDma1RequestFlexcomm1Rx         = 6U,          /**< Flexcomm Interface 1 RX/I2C Slave */\r
-    kDma0RequestFlexcomm1Tx         = 7U,          /**< Flexcomm Interface 1 TX/I2C Master */\r
-    kDma1RequestFlexcomm1Tx         = 7U,          /**< Flexcomm Interface 1 TX/I2C Master */\r
-    kDma0RequestFlexcomm2Rx         = 8U,          /**< Flexcomm Interface 2 RX/I2C Slave */\r
-    kDma1RequestFlexcomm2Rx         = 8U,          /**< Flexcomm Interface 2 RX/I2C Slave */\r
-    kDma0RequestFlexcomm2Tx         = 9U,          /**< Flexcomm Interface 2 TX/I2C Master */\r
-    kDma1RequestFlexcomm2Tx         = 9U,          /**< Flexcomm Interface 2 TX/I2C Master */\r
-    kDma0RequestFlexcomm3Rx         = 10U,         /**< Flexcomm Interface 3 RX/I2C Slave */\r
-    kDma0RequestFlexcomm3Tx         = 11U,         /**< Flexcomm Interface 3 TX/I2C Master */\r
-    kDma0RequestFlexcomm4Rx         = 12U,         /**< Flexcomm Interface 4 RX/I2C Slave */\r
-    kDma0RequestFlexcomm4Tx         = 13U,         /**< Flexcomm Interface 4 TX/I2C Master */\r
-    kDma0RequestFlexcomm5Rx         = 14U,         /**< Flexcomm Interface 5 RX/I2C Slave */\r
-    kDma0RequestFlexcomm5Tx         = 15U,         /**< Flexcomm Interface 5 TX/I2C Master */\r
-    kDma0RequestFlexcomm6Rx         = 16U,         /**< Flexcomm Interface 6 RX/I2C Slave */\r
-    kDma0RequestFlexcomm6Tx         = 17U,         /**< Flexcomm Interface 6 TX/I2C Master */\r
-    kDma0RequestFlexcomm7Rx         = 18U,         /**< Flexcomm Interface 7 RX/I2C Slave */\r
-    kDma0RequestFlexcomm7Tx         = 19U,         /**< Flexcomm Interface 7 TX/I2C Master */\r
-    kDma0RequestNoDMARequest20      = 20U,         /**< No DMA request 20 */\r
-    kDma0RequestADC0FIFO0           = 21U,         /**< ADC0 FIFO 0 */\r
-    kDma0RequestADC0FIFO1           = 22U,         /**< ADC0 FIFO 1 */\r
+    kDma0RequestHashCrypt      = 0U,  /**< HashCrypt */\r
+    kDma1RequestHashCrypt      = 0U,  /**< HashCrypt */\r
+    kDma0RequestNoDMARequest1  = 1U,  /**< No DMA request 1 */\r
+    kDma1RequestNoDMARequest1  = 1U,  /**< No DMA request 1 */\r
+    kDma0RequestFlexcomm8Rx    = 2U,  /**< Flexcomm Interface 8 RX */\r
+    kDma1RequestFlexcomm8Rx    = 2U,  /**< Flexcomm Interface 8 RX */\r
+    kDma0RequestFlexcomm8Tx    = 3U,  /**< Flexcomm Interface 8 TX */\r
+    kDma1RequestFlexcomm8Tx    = 3U,  /**< Flexcomm Interface 8 TX */\r
+    kDma0RequestFlexcomm0Rx    = 4U,  /**< Flexcomm Interface 0 RX/I2C Slave */\r
+    kDma1RequestFlexcomm0Rx    = 4U,  /**< Flexcomm Interface 0 RX/I2C Slave */\r
+    kDma0RequestFlexcomm0Tx    = 5U,  /**< Flexcomm Interface 0 TX/I2C Master */\r
+    kDma1RequestFlexcomm0Tx    = 5U,  /**< Flexcomm Interface 0 TX/I2C Master */\r
+    kDma0RequestFlexcomm1Rx    = 6U,  /**< Flexcomm Interface 1 RX/I2C Slave */\r
+    kDma1RequestFlexcomm1Rx    = 6U,  /**< Flexcomm Interface 1 RX/I2C Slave */\r
+    kDma0RequestFlexcomm1Tx    = 7U,  /**< Flexcomm Interface 1 TX/I2C Master */\r
+    kDma1RequestFlexcomm1Tx    = 7U,  /**< Flexcomm Interface 1 TX/I2C Master */\r
+    kDma0RequestFlexcomm3Rx    = 8U,  /**< Flexcomm Interface 3 RX/I2C Slave */\r
+    kDma1RequestFlexcomm3Rx    = 8U,  /**< Flexcomm Interface 3 RX/I2C Slave */\r
+    kDma0RequestFlexcomm3Tx    = 9U,  /**< Flexcomm Interface 3 TX/I2C Master */\r
+    kDma1RequestFlexcomm3Tx    = 9U,  /**< Flexcomm Interface 3 TX/I2C Master */\r
+    kDma0RequestFlexcomm2Rx    = 10U, /**< Flexcomm Interface 2 RX/I2C Slave */\r
+    kDma0RequestFlexcomm2Tx    = 11U, /**< Flexcomm Interface 2 TX/I2C Master */\r
+    kDma0RequestFlexcomm4Rx    = 12U, /**< Flexcomm Interface 4 RX/I2C Slave */\r
+    kDma0RequestFlexcomm4Tx    = 13U, /**< Flexcomm Interface 4 TX/I2C Master */\r
+    kDma0RequestFlexcomm5Rx    = 14U, /**< Flexcomm Interface 5 RX/I2C Slave */\r
+    kDma0RequestFlexcomm5Tx    = 15U, /**< Flexcomm Interface 5 TX/I2C Master */\r
+    kDma0RequestFlexcomm6Rx    = 16U, /**< Flexcomm Interface 6 RX/I2C Slave */\r
+    kDma0RequestFlexcomm6Tx    = 17U, /**< Flexcomm Interface 6 TX/I2C Master */\r
+    kDma0RequestFlexcomm7Rx    = 18U, /**< Flexcomm Interface 7 RX/I2C Slave */\r
+    kDma0RequestFlexcomm7Tx    = 19U, /**< Flexcomm Interface 7 TX/I2C Master */\r
+    kDma0RequestNoDMARequest20 = 20U, /**< No DMA request 20 */\r
+    kDma0RequestADC0FIFO0      = 21U, /**< ADC0 FIFO 0 */\r
+    kDma0RequestADC0FIFO1      = 22U, /**< ADC0 FIFO 1 */\r
 } dma_request_source_t;\r
 \r
 /* @} */\r
 \r
-\r
 /*!\r
  * @}\r
  */ /* end of group Mapping_Information */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- Device Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -250,24 +249,23 @@ typedef enum _dma_request_source
  * @{\r
  */\r
 \r
-\r
 /*\r
 ** Start of section using anonymous unions\r
 */\r
 \r
 #if defined(__ARMCC_VERSION)\r
-  #if (__ARMCC_VERSION >= 6010050)\r
-    #pragma clang diagnostic push\r
-  #else\r
-    #pragma push\r
-    #pragma anon_unions\r
-  #endif\r
+#if (__ARMCC_VERSION >= 6010050)\r
+#pragma clang diagnostic push\r
+#else\r
+#pragma push\r
+#pragma anon_unions\r
+#endif\r
 #elif defined(__GNUC__)\r
-  /* anonymous unions are enabled by default */\r
+/* anonymous unions are enabled by default */\r
 #elif defined(__IAR_SYSTEMS_ICC__)\r
-  #pragma language=extended\r
+#pragma language = extended\r
 #else\r
-  #error Not supported compiler type\r
+#error Not supported compiler type\r
 #endif\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -280,41 +278,43 @@ typedef enum _dma_request_source
  */\r
 \r
 /** ADC - Register Layout Typedef */\r
-typedef struct {\r
-  __I  uint32_t VERID;                             /**< Version ID Register, offset: 0x0 */\r
-  __I  uint32_t PARAM;                             /**< Parameter Register, offset: 0x4 */\r
-       uint8_t RESERVED_0[8];\r
-  __IO uint32_t CTRL;                              /**< ADC Control Register, offset: 0x10 */\r
-  __IO uint32_t STAT;                              /**< ADC Status Register, offset: 0x14 */\r
-  __IO uint32_t IE;                                /**< Interrupt Enable Register, offset: 0x18 */\r
-  __IO uint32_t DE;                                /**< DMA Enable Register, offset: 0x1C */\r
-  __IO uint32_t CFG;                               /**< ADC Configuration Register, offset: 0x20 */\r
-  __IO uint32_t PAUSE;                             /**< ADC Pause Register, offset: 0x24 */\r
-       uint8_t RESERVED_1[12];\r
-  __IO uint32_t SWTRIG;                            /**< Software Trigger Register, offset: 0x34 */\r
-  __IO uint32_t TSTAT;                             /**< Trigger Status Register, offset: 0x38 */\r
-       uint8_t RESERVED_2[4];\r
-  __IO uint32_t OFSTRIM;                           /**< ADC Offset Trim Register, offset: 0x40 */\r
-       uint8_t RESERVED_3[92];\r
-  __IO uint32_t TCTRL[16];                         /**< Trigger Control Register, array offset: 0xA0, array step: 0x4 */\r
-  __IO uint32_t FCTRL[2];                          /**< FIFO Control Register, array offset: 0xE0, array step: 0x4 */\r
-       uint8_t RESERVED_4[8];\r
-  __I  uint32_t GCC[2];                            /**< Gain Calibration Control, array offset: 0xF0, array step: 0x4 */\r
-  __IO uint32_t GCR[2];                            /**< Gain Calculation Result, array offset: 0xF8, array step: 0x4 */\r
-  struct {                                         /* offset: 0x100, array step: 0x8 */\r
-    __IO uint32_t CMDL;                              /**< ADC Command Low Buffer Register, array offset: 0x100, array step: 0x8 */\r
-    __IO uint32_t CMDH;                              /**< ADC Command High Buffer Register, array offset: 0x104, array step: 0x8 */\r
-  } CMD[15];\r
-       uint8_t RESERVED_5[136];\r
-  __IO uint32_t CV[4];                             /**< Compare Value Register, array offset: 0x200, array step: 0x4 */\r
-       uint8_t RESERVED_6[240];\r
-  __I  uint32_t RESFIFO[2];                        /**< ADC Data Result FIFO Register, array offset: 0x300, array step: 0x4 */\r
-       uint8_t RESERVED_7[248];\r
-  __IO uint32_t CAL_GAR[33];                       /**< Calibration General A-Side Registers, array offset: 0x400, array step: 0x4 */\r
-       uint8_t RESERVED_8[124];\r
-  __IO uint32_t CAL_GBR[33];                       /**< Calibration General B-Side Registers, array offset: 0x500, array step: 0x4 */\r
-       uint8_t RESERVED_9[2680];\r
-  __IO uint32_t TST;                               /**< ADC Test Register, offset: 0xFFC */\r
+typedef struct\r
+{\r
+    __I uint32_t VERID; /**< Version ID Register, offset: 0x0 */\r
+    __I uint32_t PARAM; /**< Parameter Register, offset: 0x4 */\r
+    uint8_t RESERVED_0[8];\r
+    __IO uint32_t CTRL;  /**< ADC Control Register, offset: 0x10 */\r
+    __IO uint32_t STAT;  /**< ADC Status Register, offset: 0x14 */\r
+    __IO uint32_t IE;    /**< Interrupt Enable Register, offset: 0x18 */\r
+    __IO uint32_t DE;    /**< DMA Enable Register, offset: 0x1C */\r
+    __IO uint32_t CFG;   /**< ADC Configuration Register, offset: 0x20 */\r
+    __IO uint32_t PAUSE; /**< ADC Pause Register, offset: 0x24 */\r
+    uint8_t RESERVED_1[12];\r
+    __O uint32_t SWTRIG; /**< Software Trigger Register, offset: 0x34 */\r
+    __IO uint32_t TSTAT; /**< Trigger Status Register, offset: 0x38 */\r
+    uint8_t RESERVED_2[4];\r
+    __IO uint32_t OFSTRIM; /**< ADC Offset Trim Register, offset: 0x40 */\r
+    uint8_t RESERVED_3[92];\r
+    __IO uint32_t TCTRL[16]; /**< Trigger Control Register, array offset: 0xA0, array step: 0x4 */\r
+    __IO uint32_t FCTRL[2];  /**< FIFO Control Register, array offset: 0xE0, array step: 0x4 */\r
+    uint8_t RESERVED_4[8];\r
+    __I uint32_t GCC[2];  /**< Gain Calibration Control, array offset: 0xF0, array step: 0x4 */\r
+    __IO uint32_t GCR[2]; /**< Gain Calculation Result, array offset: 0xF8, array step: 0x4 */\r
+    struct\r
+    {                       /* offset: 0x100, array step: 0x8 */\r
+        __IO uint32_t CMDL; /**< ADC Command Low Buffer Register, array offset: 0x100, array step: 0x8 */\r
+        __IO uint32_t CMDH; /**< ADC Command High Buffer Register, array offset: 0x104, array step: 0x8 */\r
+    } CMD[15];\r
+    uint8_t RESERVED_5[136];\r
+    __IO uint32_t CV[4]; /**< Compare Value Register, array offset: 0x200, array step: 0x4 */\r
+    uint8_t RESERVED_6[240];\r
+    __I uint32_t RESFIFO[2]; /**< ADC Data Result FIFO Register, array offset: 0x300, array step: 0x4 */\r
+    uint8_t RESERVED_7[248];\r
+    __IO uint32_t CAL_GAR[33]; /**< Calibration General A-Side Registers, array offset: 0x400, array step: 0x4 */\r
+    uint8_t RESERVED_8[124];\r
+    __IO uint32_t CAL_GBR[33]; /**< Calibration General B-Side Registers, array offset: 0x500, array step: 0x4 */\r
+    uint8_t RESERVED_9[2680];\r
+    __IO uint32_t TST; /**< ADC Test Register, offset: 0xFFC */\r
 } ADC_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -328,65 +328,65 @@ typedef struct {
 \r
 /*! @name VERID - Version ID Register */\r
 /*! @{ */\r
-#define ADC_VERID_RES_MASK                       (0x1U)\r
-#define ADC_VERID_RES_SHIFT                      (0U)\r
+#define ADC_VERID_RES_MASK (0x1U)\r
+#define ADC_VERID_RES_SHIFT (0U)\r
 /*! RES - Resolution\r
  *  0b0..Up to 13-bit differential/12-bit single ended resolution supported.\r
  *  0b1..Up to 16-bit differential/16-bit single ended resolution supported.\r
  */\r
-#define ADC_VERID_RES(x)                         (((uint32_t)(((uint32_t)(x)) << ADC_VERID_RES_SHIFT)) & ADC_VERID_RES_MASK)\r
-#define ADC_VERID_DIFFEN_MASK                    (0x2U)\r
-#define ADC_VERID_DIFFEN_SHIFT                   (1U)\r
+#define ADC_VERID_RES(x) (((uint32_t)(((uint32_t)(x)) << ADC_VERID_RES_SHIFT)) & ADC_VERID_RES_MASK)\r
+#define ADC_VERID_DIFFEN_MASK (0x2U)\r
+#define ADC_VERID_DIFFEN_SHIFT (1U)\r
 /*! DIFFEN - Differential Supported\r
  *  0b0..Differential operation not supported.\r
  *  0b1..Differential operation supported. CMDLa[CTYPE] controls fields implemented.\r
  */\r
-#define ADC_VERID_DIFFEN(x)                      (((uint32_t)(((uint32_t)(x)) << ADC_VERID_DIFFEN_SHIFT)) & ADC_VERID_DIFFEN_MASK)\r
-#define ADC_VERID_MVI_MASK                       (0x8U)\r
-#define ADC_VERID_MVI_SHIFT                      (3U)\r
+#define ADC_VERID_DIFFEN(x) (((uint32_t)(((uint32_t)(x)) << ADC_VERID_DIFFEN_SHIFT)) & ADC_VERID_DIFFEN_MASK)\r
+#define ADC_VERID_MVI_MASK (0x8U)\r
+#define ADC_VERID_MVI_SHIFT (3U)\r
 /*! MVI - Multi Vref Implemented\r
  *  0b0..Single voltage reference high (VREFH) input supported.\r
  *  0b1..Multiple voltage reference high (VREFH) inputs supported.\r
  */\r
-#define ADC_VERID_MVI(x)                         (((uint32_t)(((uint32_t)(x)) << ADC_VERID_MVI_SHIFT)) & ADC_VERID_MVI_MASK)\r
-#define ADC_VERID_CSW_MASK                       (0x70U)\r
-#define ADC_VERID_CSW_SHIFT                      (4U)\r
+#define ADC_VERID_MVI(x) (((uint32_t)(((uint32_t)(x)) << ADC_VERID_MVI_SHIFT)) & ADC_VERID_MVI_MASK)\r
+#define ADC_VERID_CSW_MASK (0x70U)\r
+#define ADC_VERID_CSW_SHIFT (4U)\r
 /*! CSW - Channel Scale Width\r
  *  0b000..Channel scaling not supported.\r
  *  0b001..Channel scaling supported. 1-bit CSCALE control field.\r
  *  0b110..Channel scaling supported. 6-bit CSCALE control field.\r
  */\r
-#define ADC_VERID_CSW(x)                         (((uint32_t)(((uint32_t)(x)) << ADC_VERID_CSW_SHIFT)) & ADC_VERID_CSW_MASK)\r
-#define ADC_VERID_VR1RNGI_MASK                   (0x100U)\r
-#define ADC_VERID_VR1RNGI_SHIFT                  (8U)\r
+#define ADC_VERID_CSW(x) (((uint32_t)(((uint32_t)(x)) << ADC_VERID_CSW_SHIFT)) & ADC_VERID_CSW_MASK)\r
+#define ADC_VERID_VR1RNGI_MASK (0x100U)\r
+#define ADC_VERID_VR1RNGI_SHIFT (8U)\r
 /*! VR1RNGI - Voltage Reference 1 Range Control Bit Implemented\r
  *  0b0..Range control not required. CFG[VREF1RNG] is not implemented.\r
  *  0b1..Range control required. CFG[VREF1RNG] is implemented.\r
  */\r
-#define ADC_VERID_VR1RNGI(x)                     (((uint32_t)(((uint32_t)(x)) << ADC_VERID_VR1RNGI_SHIFT)) & ADC_VERID_VR1RNGI_MASK)\r
-#define ADC_VERID_IADCKI_MASK                    (0x200U)\r
-#define ADC_VERID_IADCKI_SHIFT                   (9U)\r
+#define ADC_VERID_VR1RNGI(x) (((uint32_t)(((uint32_t)(x)) << ADC_VERID_VR1RNGI_SHIFT)) & ADC_VERID_VR1RNGI_MASK)\r
+#define ADC_VERID_IADCKI_MASK (0x200U)\r
+#define ADC_VERID_IADCKI_SHIFT (9U)\r
 /*! IADCKI - Internal ADC Clock implemented\r
  *  0b0..Internal clock source not implemented.\r
  *  0b1..Internal clock source (and CFG[ADCKEN]) implemented.\r
  */\r
-#define ADC_VERID_IADCKI(x)                      (((uint32_t)(((uint32_t)(x)) << ADC_VERID_IADCKI_SHIFT)) & ADC_VERID_IADCKI_MASK)\r
-#define ADC_VERID_CALOFSI_MASK                   (0x400U)\r
-#define ADC_VERID_CALOFSI_SHIFT                  (10U)\r
+#define ADC_VERID_IADCKI(x) (((uint32_t)(((uint32_t)(x)) << ADC_VERID_IADCKI_SHIFT)) & ADC_VERID_IADCKI_MASK)\r
+#define ADC_VERID_CALOFSI_MASK (0x400U)\r
+#define ADC_VERID_CALOFSI_SHIFT (10U)\r
 /*! CALOFSI - Calibration Function Implemented\r
  *  0b0..Calibration Not Implemented.\r
  *  0b1..Calibration Implemented.\r
  */\r
-#define ADC_VERID_CALOFSI(x)                     (((uint32_t)(((uint32_t)(x)) << ADC_VERID_CALOFSI_SHIFT)) & ADC_VERID_CALOFSI_MASK)\r
-#define ADC_VERID_NUM_SEC_MASK                   (0x800U)\r
-#define ADC_VERID_NUM_SEC_SHIFT                  (11U)\r
+#define ADC_VERID_CALOFSI(x) (((uint32_t)(((uint32_t)(x)) << ADC_VERID_CALOFSI_SHIFT)) & ADC_VERID_CALOFSI_MASK)\r
+#define ADC_VERID_NUM_SEC_MASK (0x800U)\r
+#define ADC_VERID_NUM_SEC_SHIFT (11U)\r
 /*! NUM_SEC - Number of Single Ended Outputs Supported\r
  *  0b0..This design supports one single ended conversion at a time.\r
  *  0b1..This design supports two simultanious single ended conversions.\r
  */\r
-#define ADC_VERID_NUM_SEC(x)                     (((uint32_t)(((uint32_t)(x)) << ADC_VERID_NUM_SEC_SHIFT)) & ADC_VERID_NUM_SEC_MASK)\r
-#define ADC_VERID_NUM_FIFO_MASK                  (0x7000U)\r
-#define ADC_VERID_NUM_FIFO_SHIFT                 (12U)\r
+#define ADC_VERID_NUM_SEC(x) (((uint32_t)(((uint32_t)(x)) << ADC_VERID_NUM_SEC_SHIFT)) & ADC_VERID_NUM_SEC_MASK)\r
+#define ADC_VERID_NUM_FIFO_MASK (0x7000U)\r
+#define ADC_VERID_NUM_FIFO_SHIFT (12U)\r
 /*! NUM_FIFO - Number of FIFOs\r
  *  0b000..N/A\r
  *  0b001..This design supports one result FIFO.\r
@@ -394,22 +394,28 @@ typedef struct {
  *  0b011..This design supports three result FIFOs.\r
  *  0b100..This design supports four result FIFOs.\r
  */\r
-#define ADC_VERID_NUM_FIFO(x)                    (((uint32_t)(((uint32_t)(x)) << ADC_VERID_NUM_FIFO_SHIFT)) & ADC_VERID_NUM_FIFO_MASK)\r
-#define ADC_VERID_MINOR_MASK                     (0xFF0000U)\r
-#define ADC_VERID_MINOR_SHIFT                    (16U)\r
-#define ADC_VERID_MINOR(x)                       (((uint32_t)(((uint32_t)(x)) << ADC_VERID_MINOR_SHIFT)) & ADC_VERID_MINOR_MASK)\r
-#define ADC_VERID_MAJOR_MASK                     (0xFF000000U)\r
-#define ADC_VERID_MAJOR_SHIFT                    (24U)\r
-#define ADC_VERID_MAJOR(x)                       (((uint32_t)(((uint32_t)(x)) << ADC_VERID_MAJOR_SHIFT)) & ADC_VERID_MAJOR_MASK)\r
+#define ADC_VERID_NUM_FIFO(x) (((uint32_t)(((uint32_t)(x)) << ADC_VERID_NUM_FIFO_SHIFT)) & ADC_VERID_NUM_FIFO_MASK)\r
+#define ADC_VERID_MINOR_MASK (0xFF0000U)\r
+#define ADC_VERID_MINOR_SHIFT (16U)\r
+/*! MINOR - Minor Version Number\r
+ */\r
+#define ADC_VERID_MINOR(x) (((uint32_t)(((uint32_t)(x)) << ADC_VERID_MINOR_SHIFT)) & ADC_VERID_MINOR_MASK)\r
+#define ADC_VERID_MAJOR_MASK (0xFF000000U)\r
+#define ADC_VERID_MAJOR_SHIFT (24U)\r
+/*! MAJOR - Major Version Number\r
+ */\r
+#define ADC_VERID_MAJOR(x) (((uint32_t)(((uint32_t)(x)) << ADC_VERID_MAJOR_SHIFT)) & ADC_VERID_MAJOR_MASK)\r
 /*! @} */\r
 \r
 /*! @name PARAM - Parameter Register */\r
 /*! @{ */\r
-#define ADC_PARAM_TRIG_NUM_MASK                  (0xFFU)\r
-#define ADC_PARAM_TRIG_NUM_SHIFT                 (0U)\r
-#define ADC_PARAM_TRIG_NUM(x)                    (((uint32_t)(((uint32_t)(x)) << ADC_PARAM_TRIG_NUM_SHIFT)) & ADC_PARAM_TRIG_NUM_MASK)\r
-#define ADC_PARAM_FIFOSIZE_MASK                  (0xFF00U)\r
-#define ADC_PARAM_FIFOSIZE_SHIFT                 (8U)\r
+#define ADC_PARAM_TRIG_NUM_MASK (0xFFU)\r
+#define ADC_PARAM_TRIG_NUM_SHIFT (0U)\r
+/*! TRIG_NUM - Trigger Number\r
+ */\r
+#define ADC_PARAM_TRIG_NUM(x) (((uint32_t)(((uint32_t)(x)) << ADC_PARAM_TRIG_NUM_SHIFT)) & ADC_PARAM_TRIG_NUM_MASK)\r
+#define ADC_PARAM_FIFOSIZE_MASK (0xFF00U)\r
+#define ADC_PARAM_FIFOSIZE_SHIFT (8U)\r
 /*! FIFOSIZE - Result FIFO Depth\r
  *  0b00000001..Result FIFO depth = 1 dataword.\r
  *  0b00000100..Result FIFO depth = 4 datawords.\r
@@ -418,68 +424,72 @@ typedef struct {
  *  0b00100000..Result FIFO depth = 32 datawords.\r
  *  0b01000000..Result FIFO depth = 64 datawords.\r
  */\r
-#define ADC_PARAM_FIFOSIZE(x)                    (((uint32_t)(((uint32_t)(x)) << ADC_PARAM_FIFOSIZE_SHIFT)) & ADC_PARAM_FIFOSIZE_MASK)\r
-#define ADC_PARAM_CV_NUM_MASK                    (0xFF0000U)\r
-#define ADC_PARAM_CV_NUM_SHIFT                   (16U)\r
-#define ADC_PARAM_CV_NUM(x)                      (((uint32_t)(((uint32_t)(x)) << ADC_PARAM_CV_NUM_SHIFT)) & ADC_PARAM_CV_NUM_MASK)\r
-#define ADC_PARAM_CMD_NUM_MASK                   (0xFF000000U)\r
-#define ADC_PARAM_CMD_NUM_SHIFT                  (24U)\r
-#define ADC_PARAM_CMD_NUM(x)                     (((uint32_t)(((uint32_t)(x)) << ADC_PARAM_CMD_NUM_SHIFT)) & ADC_PARAM_CMD_NUM_MASK)\r
+#define ADC_PARAM_FIFOSIZE(x) (((uint32_t)(((uint32_t)(x)) << ADC_PARAM_FIFOSIZE_SHIFT)) & ADC_PARAM_FIFOSIZE_MASK)\r
+#define ADC_PARAM_CV_NUM_MASK (0xFF0000U)\r
+#define ADC_PARAM_CV_NUM_SHIFT (16U)\r
+/*! CV_NUM - Compare Value Number\r
+ */\r
+#define ADC_PARAM_CV_NUM(x) (((uint32_t)(((uint32_t)(x)) << ADC_PARAM_CV_NUM_SHIFT)) & ADC_PARAM_CV_NUM_MASK)\r
+#define ADC_PARAM_CMD_NUM_MASK (0xFF000000U)\r
+#define ADC_PARAM_CMD_NUM_SHIFT (24U)\r
+/*! CMD_NUM - Command Buffer Number\r
+ */\r
+#define ADC_PARAM_CMD_NUM(x) (((uint32_t)(((uint32_t)(x)) << ADC_PARAM_CMD_NUM_SHIFT)) & ADC_PARAM_CMD_NUM_MASK)\r
 /*! @} */\r
 \r
 /*! @name CTRL - ADC Control Register */\r
 /*! @{ */\r
-#define ADC_CTRL_ADCEN_MASK                      (0x1U)\r
-#define ADC_CTRL_ADCEN_SHIFT                     (0U)\r
+#define ADC_CTRL_ADCEN_MASK (0x1U)\r
+#define ADC_CTRL_ADCEN_SHIFT (0U)\r
 /*! ADCEN - ADC Enable\r
  *  0b0..ADC is disabled.\r
  *  0b1..ADC is enabled.\r
  */\r
-#define ADC_CTRL_ADCEN(x)                        (((uint32_t)(((uint32_t)(x)) << ADC_CTRL_ADCEN_SHIFT)) & ADC_CTRL_ADCEN_MASK)\r
-#define ADC_CTRL_RST_MASK                        (0x2U)\r
-#define ADC_CTRL_RST_SHIFT                       (1U)\r
+#define ADC_CTRL_ADCEN(x) (((uint32_t)(((uint32_t)(x)) << ADC_CTRL_ADCEN_SHIFT)) & ADC_CTRL_ADCEN_MASK)\r
+#define ADC_CTRL_RST_MASK (0x2U)\r
+#define ADC_CTRL_RST_SHIFT (1U)\r
 /*! RST - Software Reset\r
  *  0b0..ADC logic is not reset.\r
  *  0b1..ADC logic is reset.\r
  */\r
-#define ADC_CTRL_RST(x)                          (((uint32_t)(((uint32_t)(x)) << ADC_CTRL_RST_SHIFT)) & ADC_CTRL_RST_MASK)\r
-#define ADC_CTRL_DOZEN_MASK                      (0x4U)\r
-#define ADC_CTRL_DOZEN_SHIFT                     (2U)\r
+#define ADC_CTRL_RST(x) (((uint32_t)(((uint32_t)(x)) << ADC_CTRL_RST_SHIFT)) & ADC_CTRL_RST_MASK)\r
+#define ADC_CTRL_DOZEN_MASK (0x4U)\r
+#define ADC_CTRL_DOZEN_SHIFT (2U)\r
 /*! DOZEN - Doze Enable\r
  *  0b0..ADC is enabled in Doze mode.\r
  *  0b1..ADC is disabled in Doze mode.\r
  */\r
-#define ADC_CTRL_DOZEN(x)                        (((uint32_t)(((uint32_t)(x)) << ADC_CTRL_DOZEN_SHIFT)) & ADC_CTRL_DOZEN_MASK)\r
-#define ADC_CTRL_CAL_REQ_MASK                    (0x8U)\r
-#define ADC_CTRL_CAL_REQ_SHIFT                   (3U)\r
+#define ADC_CTRL_DOZEN(x) (((uint32_t)(((uint32_t)(x)) << ADC_CTRL_DOZEN_SHIFT)) & ADC_CTRL_DOZEN_MASK)\r
+#define ADC_CTRL_CAL_REQ_MASK (0x8U)\r
+#define ADC_CTRL_CAL_REQ_SHIFT (3U)\r
 /*! CAL_REQ - Auto-Calibration Request\r
  *  0b0..No request for auto-calibration has been made.\r
  *  0b1..A request for auto-calibration has been made\r
  */\r
-#define ADC_CTRL_CAL_REQ(x)                      (((uint32_t)(((uint32_t)(x)) << ADC_CTRL_CAL_REQ_SHIFT)) & ADC_CTRL_CAL_REQ_MASK)\r
-#define ADC_CTRL_CALOFS_MASK                     (0x10U)\r
-#define ADC_CTRL_CALOFS_SHIFT                    (4U)\r
+#define ADC_CTRL_CAL_REQ(x) (((uint32_t)(((uint32_t)(x)) << ADC_CTRL_CAL_REQ_SHIFT)) & ADC_CTRL_CAL_REQ_MASK)\r
+#define ADC_CTRL_CALOFS_MASK (0x10U)\r
+#define ADC_CTRL_CALOFS_SHIFT (4U)\r
 /*! CALOFS - Configure for offset calibration function\r
  *  0b0..Calibration function disabled\r
  *  0b1..Request for offset calibration function\r
  */\r
-#define ADC_CTRL_CALOFS(x)                       (((uint32_t)(((uint32_t)(x)) << ADC_CTRL_CALOFS_SHIFT)) & ADC_CTRL_CALOFS_MASK)\r
-#define ADC_CTRL_RSTFIFO0_MASK                   (0x100U)\r
-#define ADC_CTRL_RSTFIFO0_SHIFT                  (8U)\r
+#define ADC_CTRL_CALOFS(x) (((uint32_t)(((uint32_t)(x)) << ADC_CTRL_CALOFS_SHIFT)) & ADC_CTRL_CALOFS_MASK)\r
+#define ADC_CTRL_RSTFIFO0_MASK (0x100U)\r
+#define ADC_CTRL_RSTFIFO0_SHIFT (8U)\r
 /*! RSTFIFO0 - Reset FIFO 0\r
  *  0b0..No effect.\r
  *  0b1..FIFO 0 is reset.\r
  */\r
-#define ADC_CTRL_RSTFIFO0(x)                     (((uint32_t)(((uint32_t)(x)) << ADC_CTRL_RSTFIFO0_SHIFT)) & ADC_CTRL_RSTFIFO0_MASK)\r
-#define ADC_CTRL_RSTFIFO1_MASK                   (0x200U)\r
-#define ADC_CTRL_RSTFIFO1_SHIFT                  (9U)\r
+#define ADC_CTRL_RSTFIFO0(x) (((uint32_t)(((uint32_t)(x)) << ADC_CTRL_RSTFIFO0_SHIFT)) & ADC_CTRL_RSTFIFO0_MASK)\r
+#define ADC_CTRL_RSTFIFO1_MASK (0x200U)\r
+#define ADC_CTRL_RSTFIFO1_SHIFT (9U)\r
 /*! RSTFIFO1 - Reset FIFO 1\r
  *  0b0..No effect.\r
  *  0b1..FIFO 1 is reset.\r
  */\r
-#define ADC_CTRL_RSTFIFO1(x)                     (((uint32_t)(((uint32_t)(x)) << ADC_CTRL_RSTFIFO1_SHIFT)) & ADC_CTRL_RSTFIFO1_MASK)\r
-#define ADC_CTRL_CAL_AVGS_MASK                   (0x70000U)\r
-#define ADC_CTRL_CAL_AVGS_SHIFT                  (16U)\r
+#define ADC_CTRL_RSTFIFO1(x) (((uint32_t)(((uint32_t)(x)) << ADC_CTRL_RSTFIFO1_SHIFT)) & ADC_CTRL_RSTFIFO1_MASK)\r
+#define ADC_CTRL_CAL_AVGS_MASK (0x70000U)\r
+#define ADC_CTRL_CAL_AVGS_SHIFT (16U)\r
 /*! CAL_AVGS - Auto-Calibration Averages\r
  *  0b000..Single conversion.\r
  *  0b001..2 conversions averaged.\r
@@ -490,126 +500,126 @@ typedef struct {
  *  0b110..64 conversions averaged.\r
  *  0b111..128 conversions averaged.\r
  */\r
-#define ADC_CTRL_CAL_AVGS(x)                     (((uint32_t)(((uint32_t)(x)) << ADC_CTRL_CAL_AVGS_SHIFT)) & ADC_CTRL_CAL_AVGS_MASK)\r
+#define ADC_CTRL_CAL_AVGS(x) (((uint32_t)(((uint32_t)(x)) << ADC_CTRL_CAL_AVGS_SHIFT)) & ADC_CTRL_CAL_AVGS_MASK)\r
 /*! @} */\r
 \r
 /*! @name STAT - ADC Status Register */\r
 /*! @{ */\r
-#define ADC_STAT_RDY0_MASK                       (0x1U)\r
-#define ADC_STAT_RDY0_SHIFT                      (0U)\r
+#define ADC_STAT_RDY0_MASK (0x1U)\r
+#define ADC_STAT_RDY0_SHIFT (0U)\r
 /*! RDY0 - Result FIFO 0 Ready Flag\r
  *  0b0..Result FIFO 0 data level not above watermark level.\r
  *  0b1..Result FIFO 0 holding data above watermark level.\r
  */\r
-#define ADC_STAT_RDY0(x)                         (((uint32_t)(((uint32_t)(x)) << ADC_STAT_RDY0_SHIFT)) & ADC_STAT_RDY0_MASK)\r
-#define ADC_STAT_FOF0_MASK                       (0x2U)\r
-#define ADC_STAT_FOF0_SHIFT                      (1U)\r
+#define ADC_STAT_RDY0(x) (((uint32_t)(((uint32_t)(x)) << ADC_STAT_RDY0_SHIFT)) & ADC_STAT_RDY0_MASK)\r
+#define ADC_STAT_FOF0_MASK (0x2U)\r
+#define ADC_STAT_FOF0_SHIFT (1U)\r
 /*! FOF0 - Result FIFO 0 Overflow Flag\r
  *  0b0..No result FIFO 0 overflow has occurred since the last time the flag was cleared.\r
  *  0b1..At least one result FIFO 0 overflow has occurred since the last time the flag was cleared.\r
  */\r
-#define ADC_STAT_FOF0(x)                         (((uint32_t)(((uint32_t)(x)) << ADC_STAT_FOF0_SHIFT)) & ADC_STAT_FOF0_MASK)\r
-#define ADC_STAT_RDY1_MASK                       (0x4U)\r
-#define ADC_STAT_RDY1_SHIFT                      (2U)\r
+#define ADC_STAT_FOF0(x) (((uint32_t)(((uint32_t)(x)) << ADC_STAT_FOF0_SHIFT)) & ADC_STAT_FOF0_MASK)\r
+#define ADC_STAT_RDY1_MASK (0x4U)\r
+#define ADC_STAT_RDY1_SHIFT (2U)\r
 /*! RDY1 - Result FIFO1 Ready Flag\r
  *  0b0..Result FIFO1 data level not above watermark level.\r
  *  0b1..Result FIFO1 holding data above watermark level.\r
  */\r
-#define ADC_STAT_RDY1(x)                         (((uint32_t)(((uint32_t)(x)) << ADC_STAT_RDY1_SHIFT)) & ADC_STAT_RDY1_MASK)\r
-#define ADC_STAT_FOF1_MASK                       (0x8U)\r
-#define ADC_STAT_FOF1_SHIFT                      (3U)\r
+#define ADC_STAT_RDY1(x) (((uint32_t)(((uint32_t)(x)) << ADC_STAT_RDY1_SHIFT)) & ADC_STAT_RDY1_MASK)\r
+#define ADC_STAT_FOF1_MASK (0x8U)\r
+#define ADC_STAT_FOF1_SHIFT (3U)\r
 /*! FOF1 - Result FIFO1 Overflow Flag\r
  *  0b0..No result FIFO1 overflow has occurred since the last time the flag was cleared.\r
  *  0b1..At least one result FIFO1 overflow has occurred since the last time the flag was cleared.\r
  */\r
-#define ADC_STAT_FOF1(x)                         (((uint32_t)(((uint32_t)(x)) << ADC_STAT_FOF1_SHIFT)) & ADC_STAT_FOF1_MASK)\r
-#define ADC_STAT_TEXC_INT_MASK                   (0x100U)\r
-#define ADC_STAT_TEXC_INT_SHIFT                  (8U)\r
+#define ADC_STAT_FOF1(x) (((uint32_t)(((uint32_t)(x)) << ADC_STAT_FOF1_SHIFT)) & ADC_STAT_FOF1_MASK)\r
+#define ADC_STAT_TEXC_INT_MASK (0x100U)\r
+#define ADC_STAT_TEXC_INT_SHIFT (8U)\r
 /*! TEXC_INT - Interrupt Flag For High Priority Trigger Exception\r
  *  0b0..No trigger exceptions have occurred.\r
  *  0b1..A trigger exception has occurred and is pending acknowledgement.\r
  */\r
-#define ADC_STAT_TEXC_INT(x)                     (((uint32_t)(((uint32_t)(x)) << ADC_STAT_TEXC_INT_SHIFT)) & ADC_STAT_TEXC_INT_MASK)\r
-#define ADC_STAT_TCOMP_INT_MASK                  (0x200U)\r
-#define ADC_STAT_TCOMP_INT_SHIFT                 (9U)\r
+#define ADC_STAT_TEXC_INT(x) (((uint32_t)(((uint32_t)(x)) << ADC_STAT_TEXC_INT_SHIFT)) & ADC_STAT_TEXC_INT_MASK)\r
+#define ADC_STAT_TCOMP_INT_MASK (0x200U)\r
+#define ADC_STAT_TCOMP_INT_SHIFT (9U)\r
 /*! TCOMP_INT - Interrupt Flag For Trigger Completion\r
  *  0b0..Either IE[TCOMP_IE] is set to 0, or no trigger sequences have run to completion.\r
  *  0b1..Trigger sequence has been completed and all data is stored in the associated FIFO.\r
  */\r
-#define ADC_STAT_TCOMP_INT(x)                    (((uint32_t)(((uint32_t)(x)) << ADC_STAT_TCOMP_INT_SHIFT)) & ADC_STAT_TCOMP_INT_MASK)\r
-#define ADC_STAT_CAL_RDY_MASK                    (0x400U)\r
-#define ADC_STAT_CAL_RDY_SHIFT                   (10U)\r
+#define ADC_STAT_TCOMP_INT(x) (((uint32_t)(((uint32_t)(x)) << ADC_STAT_TCOMP_INT_SHIFT)) & ADC_STAT_TCOMP_INT_MASK)\r
+#define ADC_STAT_CAL_RDY_MASK (0x400U)\r
+#define ADC_STAT_CAL_RDY_SHIFT (10U)\r
 /*! CAL_RDY - Calibration Ready\r
  *  0b0..Calibration is incomplete or hasn't been ran.\r
  *  0b1..The ADC is calibrated.\r
  */\r
-#define ADC_STAT_CAL_RDY(x)                      (((uint32_t)(((uint32_t)(x)) << ADC_STAT_CAL_RDY_SHIFT)) & ADC_STAT_CAL_RDY_MASK)\r
-#define ADC_STAT_ADC_ACTIVE_MASK                 (0x800U)\r
-#define ADC_STAT_ADC_ACTIVE_SHIFT                (11U)\r
+#define ADC_STAT_CAL_RDY(x) (((uint32_t)(((uint32_t)(x)) << ADC_STAT_CAL_RDY_SHIFT)) & ADC_STAT_CAL_RDY_MASK)\r
+#define ADC_STAT_ADC_ACTIVE_MASK (0x800U)\r
+#define ADC_STAT_ADC_ACTIVE_SHIFT (11U)\r
 /*! ADC_ACTIVE - ADC Active\r
  *  0b0..The ADC is IDLE. There are no pending triggers to service and no active commands are being processed.\r
  *  0b1..The ADC is processing a conversion, running through the power up delay, or servicing a trigger.\r
  */\r
-#define ADC_STAT_ADC_ACTIVE(x)                   (((uint32_t)(((uint32_t)(x)) << ADC_STAT_ADC_ACTIVE_SHIFT)) & ADC_STAT_ADC_ACTIVE_MASK)\r
-#define ADC_STAT_TRGACT_MASK                     (0xF0000U)\r
-#define ADC_STAT_TRGACT_SHIFT                    (16U)\r
+#define ADC_STAT_ADC_ACTIVE(x) (((uint32_t)(((uint32_t)(x)) << ADC_STAT_ADC_ACTIVE_SHIFT)) & ADC_STAT_ADC_ACTIVE_MASK)\r
+#define ADC_STAT_TRGACT_MASK (0xF0000U)\r
+#define ADC_STAT_TRGACT_SHIFT (16U)\r
 /*! TRGACT - Trigger Active\r
  *  0b0000..Command (sequence) associated with Trigger 0 currently being executed.\r
  *  0b0001..Command (sequence) associated with Trigger 1 currently being executed.\r
  *  0b0010..Command (sequence) associated with Trigger 2 currently being executed.\r
  *  0b0011-0b1111..Command (sequence) from the associated Trigger number is currently being executed.\r
  */\r
-#define ADC_STAT_TRGACT(x)                       (((uint32_t)(((uint32_t)(x)) << ADC_STAT_TRGACT_SHIFT)) & ADC_STAT_TRGACT_MASK)\r
-#define ADC_STAT_CMDACT_MASK                     (0xF000000U)\r
-#define ADC_STAT_CMDACT_SHIFT                    (24U)\r
+#define ADC_STAT_TRGACT(x) (((uint32_t)(((uint32_t)(x)) << ADC_STAT_TRGACT_SHIFT)) & ADC_STAT_TRGACT_MASK)\r
+#define ADC_STAT_CMDACT_MASK (0xF000000U)\r
+#define ADC_STAT_CMDACT_SHIFT (24U)\r
 /*! CMDACT - Command Active\r
  *  0b0000..No command is currently in progress.\r
  *  0b0001..Command 1 currently being executed.\r
  *  0b0010..Command 2 currently being executed.\r
  *  0b0011-0b1111..Associated command number is currently being executed.\r
  */\r
-#define ADC_STAT_CMDACT(x)                       (((uint32_t)(((uint32_t)(x)) << ADC_STAT_CMDACT_SHIFT)) & ADC_STAT_CMDACT_MASK)\r
+#define ADC_STAT_CMDACT(x) (((uint32_t)(((uint32_t)(x)) << ADC_STAT_CMDACT_SHIFT)) & ADC_STAT_CMDACT_MASK)\r
 /*! @} */\r
 \r
 /*! @name IE - Interrupt Enable Register */\r
 /*! @{ */\r
-#define ADC_IE_FWMIE0_MASK                       (0x1U)\r
-#define ADC_IE_FWMIE0_SHIFT                      (0U)\r
+#define ADC_IE_FWMIE0_MASK (0x1U)\r
+#define ADC_IE_FWMIE0_SHIFT (0U)\r
 /*! FWMIE0 - FIFO 0 Watermark Interrupt Enable\r
  *  0b0..FIFO 0 watermark interrupts are not enabled.\r
  *  0b1..FIFO 0 watermark interrupts are enabled.\r
  */\r
-#define ADC_IE_FWMIE0(x)                         (((uint32_t)(((uint32_t)(x)) << ADC_IE_FWMIE0_SHIFT)) & ADC_IE_FWMIE0_MASK)\r
-#define ADC_IE_FOFIE0_MASK                       (0x2U)\r
-#define ADC_IE_FOFIE0_SHIFT                      (1U)\r
+#define ADC_IE_FWMIE0(x) (((uint32_t)(((uint32_t)(x)) << ADC_IE_FWMIE0_SHIFT)) & ADC_IE_FWMIE0_MASK)\r
+#define ADC_IE_FOFIE0_MASK (0x2U)\r
+#define ADC_IE_FOFIE0_SHIFT (1U)\r
 /*! FOFIE0 - Result FIFO 0 Overflow Interrupt Enable\r
  *  0b0..FIFO 0 overflow interrupts are not enabled.\r
  *  0b1..FIFO 0 overflow interrupts are enabled.\r
  */\r
-#define ADC_IE_FOFIE0(x)                         (((uint32_t)(((uint32_t)(x)) << ADC_IE_FOFIE0_SHIFT)) & ADC_IE_FOFIE0_MASK)\r
-#define ADC_IE_FWMIE1_MASK                       (0x4U)\r
-#define ADC_IE_FWMIE1_SHIFT                      (2U)\r
+#define ADC_IE_FOFIE0(x) (((uint32_t)(((uint32_t)(x)) << ADC_IE_FOFIE0_SHIFT)) & ADC_IE_FOFIE0_MASK)\r
+#define ADC_IE_FWMIE1_MASK (0x4U)\r
+#define ADC_IE_FWMIE1_SHIFT (2U)\r
 /*! FWMIE1 - FIFO1 Watermark Interrupt Enable\r
  *  0b0..FIFO1 watermark interrupts are not enabled.\r
  *  0b1..FIFO1 watermark interrupts are enabled.\r
  */\r
-#define ADC_IE_FWMIE1(x)                         (((uint32_t)(((uint32_t)(x)) << ADC_IE_FWMIE1_SHIFT)) & ADC_IE_FWMIE1_MASK)\r
-#define ADC_IE_FOFIE1_MASK                       (0x8U)\r
-#define ADC_IE_FOFIE1_SHIFT                      (3U)\r
+#define ADC_IE_FWMIE1(x) (((uint32_t)(((uint32_t)(x)) << ADC_IE_FWMIE1_SHIFT)) & ADC_IE_FWMIE1_MASK)\r
+#define ADC_IE_FOFIE1_MASK (0x8U)\r
+#define ADC_IE_FOFIE1_SHIFT (3U)\r
 /*! FOFIE1 - Result FIFO1 Overflow Interrupt Enable\r
  *  0b0..No result FIFO1 overflow has occurred since the last time the flag was cleared.\r
  *  0b1..At least one result FIFO1 overflow has occurred since the last time the flag was cleared.\r
  */\r
-#define ADC_IE_FOFIE1(x)                         (((uint32_t)(((uint32_t)(x)) << ADC_IE_FOFIE1_SHIFT)) & ADC_IE_FOFIE1_MASK)\r
-#define ADC_IE_TEXC_IE_MASK                      (0x100U)\r
-#define ADC_IE_TEXC_IE_SHIFT                     (8U)\r
+#define ADC_IE_FOFIE1(x) (((uint32_t)(((uint32_t)(x)) << ADC_IE_FOFIE1_SHIFT)) & ADC_IE_FOFIE1_MASK)\r
+#define ADC_IE_TEXC_IE_MASK (0x100U)\r
+#define ADC_IE_TEXC_IE_SHIFT (8U)\r
 /*! TEXC_IE - Trigger Exception Interrupt Enable\r
  *  0b0..Trigger exception interrupts are disabled.\r
  *  0b1..Trigger exception interrupts are enabled.\r
  */\r
-#define ADC_IE_TEXC_IE(x)                        (((uint32_t)(((uint32_t)(x)) << ADC_IE_TEXC_IE_SHIFT)) & ADC_IE_TEXC_IE_MASK)\r
-#define ADC_IE_TCOMP_IE_MASK                     (0xFFFF0000U)\r
-#define ADC_IE_TCOMP_IE_SHIFT                    (16U)\r
+#define ADC_IE_TEXC_IE(x) (((uint32_t)(((uint32_t)(x)) << ADC_IE_TEXC_IE_SHIFT)) & ADC_IE_TEXC_IE_MASK)\r
+#define ADC_IE_TCOMP_IE_MASK (0xFFFF0000U)\r
+#define ADC_IE_TCOMP_IE_SHIFT (16U)\r
 /*! TCOMP_IE - Trigger Completion Interrupt Enable\r
  *  0b0000000000000000..Trigger completion interrupts are disabled.\r
  *  0b0000000000000001..Trigger completion interrupts are enabled for trigger source 0 only.\r
@@ -617,223 +627,237 @@ typedef struct {
  *  0b0000000000000011-0b1111111111111110..Associated trigger completion interrupts are enabled.\r
  *  0b1111111111111111..Trigger completion interrupts are enabled for every trigger source.\r
  */\r
-#define ADC_IE_TCOMP_IE(x)                       (((uint32_t)(((uint32_t)(x)) << ADC_IE_TCOMP_IE_SHIFT)) & ADC_IE_TCOMP_IE_MASK)\r
+#define ADC_IE_TCOMP_IE(x) (((uint32_t)(((uint32_t)(x)) << ADC_IE_TCOMP_IE_SHIFT)) & ADC_IE_TCOMP_IE_MASK)\r
 /*! @} */\r
 \r
 /*! @name DE - DMA Enable Register */\r
 /*! @{ */\r
-#define ADC_DE_FWMDE0_MASK                       (0x1U)\r
-#define ADC_DE_FWMDE0_SHIFT                      (0U)\r
+#define ADC_DE_FWMDE0_MASK (0x1U)\r
+#define ADC_DE_FWMDE0_SHIFT (0U)\r
 /*! FWMDE0 - FIFO 0 Watermark DMA Enable\r
  *  0b0..DMA request disabled.\r
  *  0b1..DMA request enabled.\r
  */\r
-#define ADC_DE_FWMDE0(x)                         (((uint32_t)(((uint32_t)(x)) << ADC_DE_FWMDE0_SHIFT)) & ADC_DE_FWMDE0_MASK)\r
-#define ADC_DE_FWMDE1_MASK                       (0x2U)\r
-#define ADC_DE_FWMDE1_SHIFT                      (1U)\r
+#define ADC_DE_FWMDE0(x) (((uint32_t)(((uint32_t)(x)) << ADC_DE_FWMDE0_SHIFT)) & ADC_DE_FWMDE0_MASK)\r
+#define ADC_DE_FWMDE1_MASK (0x2U)\r
+#define ADC_DE_FWMDE1_SHIFT (1U)\r
 /*! FWMDE1 - FIFO1 Watermark DMA Enable\r
  *  0b0..DMA request disabled.\r
  *  0b1..DMA request enabled.\r
  */\r
-#define ADC_DE_FWMDE1(x)                         (((uint32_t)(((uint32_t)(x)) << ADC_DE_FWMDE1_SHIFT)) & ADC_DE_FWMDE1_MASK)\r
+#define ADC_DE_FWMDE1(x) (((uint32_t)(((uint32_t)(x)) << ADC_DE_FWMDE1_SHIFT)) & ADC_DE_FWMDE1_MASK)\r
 /*! @} */\r
 \r
 /*! @name CFG - ADC Configuration Register */\r
 /*! @{ */\r
-#define ADC_CFG_TPRICTRL_MASK                    (0x3U)\r
-#define ADC_CFG_TPRICTRL_SHIFT                   (0U)\r
+#define ADC_CFG_TPRICTRL_MASK (0x3U)\r
+#define ADC_CFG_TPRICTRL_SHIFT (0U)\r
 /*! TPRICTRL - ADC trigger priority control\r
- *  0b00..If a higher priority trigger is detected during command processing, the current conversion is aborted and the new command specified by the trigger is started.\r
- *  0b01..If a higher priority trigger is received during command processing, the current command is stopped after after completing the current conversion. If averaging is enabled, the averaging loop will be completed. However, CMDHa[LOOP] will be ignored and the higher priority trigger will be serviced.\r
- *  0b10..If a higher priority trigger is received during command processing, the current command will be completed (averaging, looping, compare) before servicing the higher priority trigger.\r
+ *  0b00..If a higher priority trigger is detected during command processing, the current conversion is aborted\r
+ *        and the new command specified by the trigger is started.\r
+ *  0b01..If a higher priority trigger is received during command processing, the current command is stopped after\r
+ *        after completing the current conversion. If averaging is enabled, the averaging loop will be completed.\r
+ *        However, CMDHa[LOOP] will be ignored and the higher priority trigger will be serviced.\r
+ *  0b10..If a higher priority trigger is received during command processing, the current command will be\r
+ *        completed (averaging, looping, compare) before servicing the higher priority trigger.\r
  *  0b11..RESERVED\r
  */\r
-#define ADC_CFG_TPRICTRL(x)                      (((uint32_t)(((uint32_t)(x)) << ADC_CFG_TPRICTRL_SHIFT)) & ADC_CFG_TPRICTRL_MASK)\r
-#define ADC_CFG_PWRSEL_MASK                      (0x30U)\r
-#define ADC_CFG_PWRSEL_SHIFT                     (4U)\r
+#define ADC_CFG_TPRICTRL(x) (((uint32_t)(((uint32_t)(x)) << ADC_CFG_TPRICTRL_SHIFT)) & ADC_CFG_TPRICTRL_MASK)\r
+#define ADC_CFG_PWRSEL_MASK (0x30U)\r
+#define ADC_CFG_PWRSEL_SHIFT (4U)\r
 /*! PWRSEL - Power Configuration Select\r
  *  0b00..Lowest power setting.\r
  *  0b01..Higher power setting than 0b0.\r
  *  0b10..Higher power setting than 0b1.\r
  *  0b11..Highest power setting.\r
  */\r
-#define ADC_CFG_PWRSEL(x)                        (((uint32_t)(((uint32_t)(x)) << ADC_CFG_PWRSEL_SHIFT)) & ADC_CFG_PWRSEL_MASK)\r
-#define ADC_CFG_REFSEL_MASK                      (0xC0U)\r
-#define ADC_CFG_REFSEL_SHIFT                     (6U)\r
+#define ADC_CFG_PWRSEL(x) (((uint32_t)(((uint32_t)(x)) << ADC_CFG_PWRSEL_SHIFT)) & ADC_CFG_PWRSEL_MASK)\r
+#define ADC_CFG_REFSEL_MASK (0xC0U)\r
+#define ADC_CFG_REFSEL_SHIFT (6U)\r
 /*! REFSEL - Voltage Reference Selection\r
  *  0b00..(Default) Option 1 setting.\r
  *  0b01..Option 2 setting.\r
  *  0b10..Option 3 setting.\r
  *  0b11..Reserved\r
  */\r
-#define ADC_CFG_REFSEL(x)                        (((uint32_t)(((uint32_t)(x)) << ADC_CFG_REFSEL_SHIFT)) & ADC_CFG_REFSEL_MASK)\r
-#define ADC_CFG_TRES_MASK                        (0x100U)\r
-#define ADC_CFG_TRES_SHIFT                       (8U)\r
+#define ADC_CFG_REFSEL(x) (((uint32_t)(((uint32_t)(x)) << ADC_CFG_REFSEL_SHIFT)) & ADC_CFG_REFSEL_MASK)\r
+#define ADC_CFG_TRES_MASK (0x100U)\r
+#define ADC_CFG_TRES_SHIFT (8U)\r
 /*! TRES - Trigger Resume Enable\r
- *  0b0..Trigger sequences interrupted by a high priority trigger exception will not be automatically resumed or restarted.\r
- *  0b1..Trigger sequences interrupted by a high priority trigger exception will be automatically resumed or restarted.\r
+ *  0b0..Trigger sequences interrupted by a high priority trigger exception will not be automatically resumed or\r
+ * restarted. 0b1..Trigger sequences interrupted by a high priority trigger exception will be automatically resumed or\r
+ * restarted.\r
  */\r
-#define ADC_CFG_TRES(x)                          (((uint32_t)(((uint32_t)(x)) << ADC_CFG_TRES_SHIFT)) & ADC_CFG_TRES_MASK)\r
-#define ADC_CFG_TCMDRES_MASK                     (0x200U)\r
-#define ADC_CFG_TCMDRES_SHIFT                    (9U)\r
+#define ADC_CFG_TRES(x) (((uint32_t)(((uint32_t)(x)) << ADC_CFG_TRES_SHIFT)) & ADC_CFG_TRES_MASK)\r
+#define ADC_CFG_TCMDRES_MASK (0x200U)\r
+#define ADC_CFG_TCMDRES_SHIFT (9U)\r
 /*! TCMDRES - Trigger Command Resume\r
  *  0b0..Trigger sequences interrupted by a high priority trigger exception will be automatically restarted.\r
- *  0b1..Trigger sequences interrupted by a high priority trigger exception will be resumed from the command executing before the exception.\r
+ *  0b1..Trigger sequences interrupted by a high priority trigger exception will be resumed from the command executing\r
+ * before the exception.\r
  */\r
-#define ADC_CFG_TCMDRES(x)                       (((uint32_t)(((uint32_t)(x)) << ADC_CFG_TCMDRES_SHIFT)) & ADC_CFG_TCMDRES_MASK)\r
-#define ADC_CFG_HPT_EXDI_MASK                    (0x400U)\r
-#define ADC_CFG_HPT_EXDI_SHIFT                   (10U)\r
+#define ADC_CFG_TCMDRES(x) (((uint32_t)(((uint32_t)(x)) << ADC_CFG_TCMDRES_SHIFT)) & ADC_CFG_TCMDRES_MASK)\r
+#define ADC_CFG_HPT_EXDI_MASK (0x400U)\r
+#define ADC_CFG_HPT_EXDI_SHIFT (10U)\r
 /*! HPT_EXDI - High Priority Trigger Exception Disable\r
  *  0b0..High priority trigger exceptions are enabled.\r
  *  0b1..High priority trigger exceptions are disabled.\r
  */\r
-#define ADC_CFG_HPT_EXDI(x)                      (((uint32_t)(((uint32_t)(x)) << ADC_CFG_HPT_EXDI_SHIFT)) & ADC_CFG_HPT_EXDI_MASK)\r
-#define ADC_CFG_PUDLY_MASK                       (0xFF0000U)\r
-#define ADC_CFG_PUDLY_SHIFT                      (16U)\r
-#define ADC_CFG_PUDLY(x)                         (((uint32_t)(((uint32_t)(x)) << ADC_CFG_PUDLY_SHIFT)) & ADC_CFG_PUDLY_MASK)\r
-#define ADC_CFG_PWREN_MASK                       (0x10000000U)\r
-#define ADC_CFG_PWREN_SHIFT                      (28U)\r
+#define ADC_CFG_HPT_EXDI(x) (((uint32_t)(((uint32_t)(x)) << ADC_CFG_HPT_EXDI_SHIFT)) & ADC_CFG_HPT_EXDI_MASK)\r
+#define ADC_CFG_PUDLY_MASK (0xFF0000U)\r
+#define ADC_CFG_PUDLY_SHIFT (16U)\r
+/*! PUDLY - Power Up Delay\r
+ */\r
+#define ADC_CFG_PUDLY(x) (((uint32_t)(((uint32_t)(x)) << ADC_CFG_PUDLY_SHIFT)) & ADC_CFG_PUDLY_MASK)\r
+#define ADC_CFG_PWREN_MASK (0x10000000U)\r
+#define ADC_CFG_PWREN_SHIFT (28U)\r
 /*! PWREN - ADC Analog Pre-Enable\r
- *  0b0..ADC analog circuits are only enabled while conversions are active. Performance is affected due to analog startup delays.\r
- *  0b1..ADC analog circuits are pre-enabled and ready to execute conversions without startup delays (at the cost of higher DC current consumption). A single power up delay (CFG[PUDLY]) is executed immediately once PWREN is set, and any detected trigger does not begin ADC operation until the power up delay time has passed. After this initial delay expires the analog will remain pre-enabled, and no additional delays will be executed.\r
+ *  0b0..ADC analog circuits are only enabled while conversions are active. Performance is affected due to analog\r
+ * startup delays. 0b1..ADC analog circuits are pre-enabled and ready to execute conversions without startup delays (at\r
+ * the cost of higher DC current consumption). A single power up delay (CFG[PUDLY]) is executed immediately once PWREN\r
+ *       is set, and any detected trigger does not begin ADC operation until the power up delay time has passed.\r
+ *       After this initial delay expires the analog will remain pre-enabled, and no additional delays will be\r
+ *       executed.\r
  */\r
-#define ADC_CFG_PWREN(x)                         (((uint32_t)(((uint32_t)(x)) << ADC_CFG_PWREN_SHIFT)) & ADC_CFG_PWREN_MASK)\r
+#define ADC_CFG_PWREN(x) (((uint32_t)(((uint32_t)(x)) << ADC_CFG_PWREN_SHIFT)) & ADC_CFG_PWREN_MASK)\r
 /*! @} */\r
 \r
 /*! @name PAUSE - ADC Pause Register */\r
 /*! @{ */\r
-#define ADC_PAUSE_PAUSEDLY_MASK                  (0x1FFU)\r
-#define ADC_PAUSE_PAUSEDLY_SHIFT                 (0U)\r
-#define ADC_PAUSE_PAUSEDLY(x)                    (((uint32_t)(((uint32_t)(x)) << ADC_PAUSE_PAUSEDLY_SHIFT)) & ADC_PAUSE_PAUSEDLY_MASK)\r
-#define ADC_PAUSE_PAUSEEN_MASK                   (0x80000000U)\r
-#define ADC_PAUSE_PAUSEEN_SHIFT                  (31U)\r
+#define ADC_PAUSE_PAUSEDLY_MASK (0x1FFU)\r
+#define ADC_PAUSE_PAUSEDLY_SHIFT (0U)\r
+/*! PAUSEDLY - Pause Delay\r
+ */\r
+#define ADC_PAUSE_PAUSEDLY(x) (((uint32_t)(((uint32_t)(x)) << ADC_PAUSE_PAUSEDLY_SHIFT)) & ADC_PAUSE_PAUSEDLY_MASK)\r
+#define ADC_PAUSE_PAUSEEN_MASK (0x80000000U)\r
+#define ADC_PAUSE_PAUSEEN_SHIFT (31U)\r
 /*! PAUSEEN - PAUSE Option Enable\r
  *  0b0..Pause operation disabled\r
  *  0b1..Pause operation enabled\r
  */\r
-#define ADC_PAUSE_PAUSEEN(x)                     (((uint32_t)(((uint32_t)(x)) << ADC_PAUSE_PAUSEEN_SHIFT)) & ADC_PAUSE_PAUSEEN_MASK)\r
+#define ADC_PAUSE_PAUSEEN(x) (((uint32_t)(((uint32_t)(x)) << ADC_PAUSE_PAUSEEN_SHIFT)) & ADC_PAUSE_PAUSEEN_MASK)\r
 /*! @} */\r
 \r
 /*! @name SWTRIG - Software Trigger Register */\r
 /*! @{ */\r
-#define ADC_SWTRIG_SWT0_MASK                     (0x1U)\r
-#define ADC_SWTRIG_SWT0_SHIFT                    (0U)\r
+#define ADC_SWTRIG_SWT0_MASK (0x1U)\r
+#define ADC_SWTRIG_SWT0_SHIFT (0U)\r
 /*! SWT0 - Software trigger 0 event\r
  *  0b0..No trigger 0 event generated.\r
  *  0b1..Trigger 0 event generated.\r
  */\r
-#define ADC_SWTRIG_SWT0(x)                       (((uint32_t)(((uint32_t)(x)) << ADC_SWTRIG_SWT0_SHIFT)) & ADC_SWTRIG_SWT0_MASK)\r
-#define ADC_SWTRIG_SWT1_MASK                     (0x2U)\r
-#define ADC_SWTRIG_SWT1_SHIFT                    (1U)\r
+#define ADC_SWTRIG_SWT0(x) (((uint32_t)(((uint32_t)(x)) << ADC_SWTRIG_SWT0_SHIFT)) & ADC_SWTRIG_SWT0_MASK)\r
+#define ADC_SWTRIG_SWT1_MASK (0x2U)\r
+#define ADC_SWTRIG_SWT1_SHIFT (1U)\r
 /*! SWT1 - Software trigger 1 event\r
  *  0b0..No trigger 1 event generated.\r
  *  0b1..Trigger 1 event generated.\r
  */\r
-#define ADC_SWTRIG_SWT1(x)                       (((uint32_t)(((uint32_t)(x)) << ADC_SWTRIG_SWT1_SHIFT)) & ADC_SWTRIG_SWT1_MASK)\r
-#define ADC_SWTRIG_SWT2_MASK                     (0x4U)\r
-#define ADC_SWTRIG_SWT2_SHIFT                    (2U)\r
+#define ADC_SWTRIG_SWT1(x) (((uint32_t)(((uint32_t)(x)) << ADC_SWTRIG_SWT1_SHIFT)) & ADC_SWTRIG_SWT1_MASK)\r
+#define ADC_SWTRIG_SWT2_MASK (0x4U)\r
+#define ADC_SWTRIG_SWT2_SHIFT (2U)\r
 /*! SWT2 - Software trigger 2 event\r
  *  0b0..No trigger 2 event generated.\r
  *  0b1..Trigger 2 event generated.\r
  */\r
-#define ADC_SWTRIG_SWT2(x)                       (((uint32_t)(((uint32_t)(x)) << ADC_SWTRIG_SWT2_SHIFT)) & ADC_SWTRIG_SWT2_MASK)\r
-#define ADC_SWTRIG_SWT3_MASK                     (0x8U)\r
-#define ADC_SWTRIG_SWT3_SHIFT                    (3U)\r
+#define ADC_SWTRIG_SWT2(x) (((uint32_t)(((uint32_t)(x)) << ADC_SWTRIG_SWT2_SHIFT)) & ADC_SWTRIG_SWT2_MASK)\r
+#define ADC_SWTRIG_SWT3_MASK (0x8U)\r
+#define ADC_SWTRIG_SWT3_SHIFT (3U)\r
 /*! SWT3 - Software trigger 3 event\r
  *  0b0..No trigger 3 event generated.\r
  *  0b1..Trigger 3 event generated.\r
  */\r
-#define ADC_SWTRIG_SWT3(x)                       (((uint32_t)(((uint32_t)(x)) << ADC_SWTRIG_SWT3_SHIFT)) & ADC_SWTRIG_SWT3_MASK)\r
-#define ADC_SWTRIG_SWT4_MASK                     (0x10U)\r
-#define ADC_SWTRIG_SWT4_SHIFT                    (4U)\r
+#define ADC_SWTRIG_SWT3(x) (((uint32_t)(((uint32_t)(x)) << ADC_SWTRIG_SWT3_SHIFT)) & ADC_SWTRIG_SWT3_MASK)\r
+#define ADC_SWTRIG_SWT4_MASK (0x10U)\r
+#define ADC_SWTRIG_SWT4_SHIFT (4U)\r
 /*! SWT4 - Software trigger 4 event\r
  *  0b0..No trigger 4 event generated.\r
  *  0b1..Trigger 4 event generated.\r
  */\r
-#define ADC_SWTRIG_SWT4(x)                       (((uint32_t)(((uint32_t)(x)) << ADC_SWTRIG_SWT4_SHIFT)) & ADC_SWTRIG_SWT4_MASK)\r
-#define ADC_SWTRIG_SWT5_MASK                     (0x20U)\r
-#define ADC_SWTRIG_SWT5_SHIFT                    (5U)\r
+#define ADC_SWTRIG_SWT4(x) (((uint32_t)(((uint32_t)(x)) << ADC_SWTRIG_SWT4_SHIFT)) & ADC_SWTRIG_SWT4_MASK)\r
+#define ADC_SWTRIG_SWT5_MASK (0x20U)\r
+#define ADC_SWTRIG_SWT5_SHIFT (5U)\r
 /*! SWT5 - Software trigger 5 event\r
  *  0b0..No trigger 5 event generated.\r
  *  0b1..Trigger 5 event generated.\r
  */\r
-#define ADC_SWTRIG_SWT5(x)                       (((uint32_t)(((uint32_t)(x)) << ADC_SWTRIG_SWT5_SHIFT)) & ADC_SWTRIG_SWT5_MASK)\r
-#define ADC_SWTRIG_SWT6_MASK                     (0x40U)\r
-#define ADC_SWTRIG_SWT6_SHIFT                    (6U)\r
+#define ADC_SWTRIG_SWT5(x) (((uint32_t)(((uint32_t)(x)) << ADC_SWTRIG_SWT5_SHIFT)) & ADC_SWTRIG_SWT5_MASK)\r
+#define ADC_SWTRIG_SWT6_MASK (0x40U)\r
+#define ADC_SWTRIG_SWT6_SHIFT (6U)\r
 /*! SWT6 - Software trigger 6 event\r
  *  0b0..No trigger 6 event generated.\r
  *  0b1..Trigger 6 event generated.\r
  */\r
-#define ADC_SWTRIG_SWT6(x)                       (((uint32_t)(((uint32_t)(x)) << ADC_SWTRIG_SWT6_SHIFT)) & ADC_SWTRIG_SWT6_MASK)\r
-#define ADC_SWTRIG_SWT7_MASK                     (0x80U)\r
-#define ADC_SWTRIG_SWT7_SHIFT                    (7U)\r
+#define ADC_SWTRIG_SWT6(x) (((uint32_t)(((uint32_t)(x)) << ADC_SWTRIG_SWT6_SHIFT)) & ADC_SWTRIG_SWT6_MASK)\r
+#define ADC_SWTRIG_SWT7_MASK (0x80U)\r
+#define ADC_SWTRIG_SWT7_SHIFT (7U)\r
 /*! SWT7 - Software trigger 7 event\r
  *  0b0..No trigger 7 event generated.\r
  *  0b1..Trigger 7 event generated.\r
  */\r
-#define ADC_SWTRIG_SWT7(x)                       (((uint32_t)(((uint32_t)(x)) << ADC_SWTRIG_SWT7_SHIFT)) & ADC_SWTRIG_SWT7_MASK)\r
-#define ADC_SWTRIG_SWT8_MASK                     (0x100U)\r
-#define ADC_SWTRIG_SWT8_SHIFT                    (8U)\r
+#define ADC_SWTRIG_SWT7(x) (((uint32_t)(((uint32_t)(x)) << ADC_SWTRIG_SWT7_SHIFT)) & ADC_SWTRIG_SWT7_MASK)\r
+#define ADC_SWTRIG_SWT8_MASK (0x100U)\r
+#define ADC_SWTRIG_SWT8_SHIFT (8U)\r
 /*! SWT8 - Software trigger 8 event\r
  *  0b0..No trigger 8 event generated.\r
  *  0b1..Trigger 8 event generated.\r
  */\r
-#define ADC_SWTRIG_SWT8(x)                       (((uint32_t)(((uint32_t)(x)) << ADC_SWTRIG_SWT8_SHIFT)) & ADC_SWTRIG_SWT8_MASK)\r
-#define ADC_SWTRIG_SWT9_MASK                     (0x200U)\r
-#define ADC_SWTRIG_SWT9_SHIFT                    (9U)\r
+#define ADC_SWTRIG_SWT8(x) (((uint32_t)(((uint32_t)(x)) << ADC_SWTRIG_SWT8_SHIFT)) & ADC_SWTRIG_SWT8_MASK)\r
+#define ADC_SWTRIG_SWT9_MASK (0x200U)\r
+#define ADC_SWTRIG_SWT9_SHIFT (9U)\r
 /*! SWT9 - Software trigger 9 event\r
  *  0b0..No trigger 9 event generated.\r
  *  0b1..Trigger 9 event generated.\r
  */\r
-#define ADC_SWTRIG_SWT9(x)                       (((uint32_t)(((uint32_t)(x)) << ADC_SWTRIG_SWT9_SHIFT)) & ADC_SWTRIG_SWT9_MASK)\r
-#define ADC_SWTRIG_SWT10_MASK                    (0x400U)\r
-#define ADC_SWTRIG_SWT10_SHIFT                   (10U)\r
+#define ADC_SWTRIG_SWT9(x) (((uint32_t)(((uint32_t)(x)) << ADC_SWTRIG_SWT9_SHIFT)) & ADC_SWTRIG_SWT9_MASK)\r
+#define ADC_SWTRIG_SWT10_MASK (0x400U)\r
+#define ADC_SWTRIG_SWT10_SHIFT (10U)\r
 /*! SWT10 - Software trigger 10 event\r
  *  0b0..No trigger 10 event generated.\r
  *  0b1..Trigger 10 event generated.\r
  */\r
-#define ADC_SWTRIG_SWT10(x)                      (((uint32_t)(((uint32_t)(x)) << ADC_SWTRIG_SWT10_SHIFT)) & ADC_SWTRIG_SWT10_MASK)\r
-#define ADC_SWTRIG_SWT11_MASK                    (0x800U)\r
-#define ADC_SWTRIG_SWT11_SHIFT                   (11U)\r
+#define ADC_SWTRIG_SWT10(x) (((uint32_t)(((uint32_t)(x)) << ADC_SWTRIG_SWT10_SHIFT)) & ADC_SWTRIG_SWT10_MASK)\r
+#define ADC_SWTRIG_SWT11_MASK (0x800U)\r
+#define ADC_SWTRIG_SWT11_SHIFT (11U)\r
 /*! SWT11 - Software trigger 11 event\r
  *  0b0..No trigger 11 event generated.\r
  *  0b1..Trigger 11 event generated.\r
  */\r
-#define ADC_SWTRIG_SWT11(x)                      (((uint32_t)(((uint32_t)(x)) << ADC_SWTRIG_SWT11_SHIFT)) & ADC_SWTRIG_SWT11_MASK)\r
-#define ADC_SWTRIG_SWT12_MASK                    (0x1000U)\r
-#define ADC_SWTRIG_SWT12_SHIFT                   (12U)\r
+#define ADC_SWTRIG_SWT11(x) (((uint32_t)(((uint32_t)(x)) << ADC_SWTRIG_SWT11_SHIFT)) & ADC_SWTRIG_SWT11_MASK)\r
+#define ADC_SWTRIG_SWT12_MASK (0x1000U)\r
+#define ADC_SWTRIG_SWT12_SHIFT (12U)\r
 /*! SWT12 - Software trigger 12 event\r
  *  0b0..No trigger 12 event generated.\r
  *  0b1..Trigger 12 event generated.\r
  */\r
-#define ADC_SWTRIG_SWT12(x)                      (((uint32_t)(((uint32_t)(x)) << ADC_SWTRIG_SWT12_SHIFT)) & ADC_SWTRIG_SWT12_MASK)\r
-#define ADC_SWTRIG_SWT13_MASK                    (0x2000U)\r
-#define ADC_SWTRIG_SWT13_SHIFT                   (13U)\r
+#define ADC_SWTRIG_SWT12(x) (((uint32_t)(((uint32_t)(x)) << ADC_SWTRIG_SWT12_SHIFT)) & ADC_SWTRIG_SWT12_MASK)\r
+#define ADC_SWTRIG_SWT13_MASK (0x2000U)\r
+#define ADC_SWTRIG_SWT13_SHIFT (13U)\r
 /*! SWT13 - Software trigger 13 event\r
  *  0b0..No trigger 13 event generated.\r
  *  0b1..Trigger 13 event generated.\r
  */\r
-#define ADC_SWTRIG_SWT13(x)                      (((uint32_t)(((uint32_t)(x)) << ADC_SWTRIG_SWT13_SHIFT)) & ADC_SWTRIG_SWT13_MASK)\r
-#define ADC_SWTRIG_SWT14_MASK                    (0x4000U)\r
-#define ADC_SWTRIG_SWT14_SHIFT                   (14U)\r
+#define ADC_SWTRIG_SWT13(x) (((uint32_t)(((uint32_t)(x)) << ADC_SWTRIG_SWT13_SHIFT)) & ADC_SWTRIG_SWT13_MASK)\r
+#define ADC_SWTRIG_SWT14_MASK (0x4000U)\r
+#define ADC_SWTRIG_SWT14_SHIFT (14U)\r
 /*! SWT14 - Software trigger 14 event\r
  *  0b0..No trigger 14 event generated.\r
  *  0b1..Trigger 14 event generated.\r
  */\r
-#define ADC_SWTRIG_SWT14(x)                      (((uint32_t)(((uint32_t)(x)) << ADC_SWTRIG_SWT14_SHIFT)) & ADC_SWTRIG_SWT14_MASK)\r
-#define ADC_SWTRIG_SWT15_MASK                    (0x8000U)\r
-#define ADC_SWTRIG_SWT15_SHIFT                   (15U)\r
+#define ADC_SWTRIG_SWT14(x) (((uint32_t)(((uint32_t)(x)) << ADC_SWTRIG_SWT14_SHIFT)) & ADC_SWTRIG_SWT14_MASK)\r
+#define ADC_SWTRIG_SWT15_MASK (0x8000U)\r
+#define ADC_SWTRIG_SWT15_SHIFT (15U)\r
 /*! SWT15 - Software trigger 15 event\r
  *  0b0..No trigger 15 event generated.\r
  *  0b1..Trigger 15 event generated.\r
  */\r
-#define ADC_SWTRIG_SWT15(x)                      (((uint32_t)(((uint32_t)(x)) << ADC_SWTRIG_SWT15_SHIFT)) & ADC_SWTRIG_SWT15_MASK)\r
+#define ADC_SWTRIG_SWT15(x) (((uint32_t)(((uint32_t)(x)) << ADC_SWTRIG_SWT15_SHIFT)) & ADC_SWTRIG_SWT15_MASK)\r
 /*! @} */\r
 \r
 /*! @name TSTAT - Trigger Status Register */\r
 /*! @{ */\r
-#define ADC_TSTAT_TEXC_NUM_MASK                  (0xFFFFU)\r
-#define ADC_TSTAT_TEXC_NUM_SHIFT                 (0U)\r
+#define ADC_TSTAT_TEXC_NUM_MASK (0xFFFFU)\r
+#define ADC_TSTAT_TEXC_NUM_SHIFT (0U)\r
 /*! TEXC_NUM - Trigger Exception Number\r
  *  0b0000000000000000..No triggers have been interrupted by a high priority exception. Or CFG[TRES] = 1.\r
  *  0b0000000000000001..Trigger 0 has been interrupted by a high priority exception.\r
@@ -841,131 +865,153 @@ typedef struct {
  *  0b0000000000000011-0b1111111111111110..Associated trigger sequence has interrupted by a high priority exception.\r
  *  0b1111111111111111..Every trigger sequence has been interrupted by a high priority exception.\r
  */\r
-#define ADC_TSTAT_TEXC_NUM(x)                    (((uint32_t)(((uint32_t)(x)) << ADC_TSTAT_TEXC_NUM_SHIFT)) & ADC_TSTAT_TEXC_NUM_MASK)\r
-#define ADC_TSTAT_TCOMP_FLAG_MASK                (0xFFFF0000U)\r
-#define ADC_TSTAT_TCOMP_FLAG_SHIFT               (16U)\r
+#define ADC_TSTAT_TEXC_NUM(x) (((uint32_t)(((uint32_t)(x)) << ADC_TSTAT_TEXC_NUM_SHIFT)) & ADC_TSTAT_TEXC_NUM_MASK)\r
+#define ADC_TSTAT_TCOMP_FLAG_MASK (0xFFFF0000U)\r
+#define ADC_TSTAT_TCOMP_FLAG_SHIFT (16U)\r
 /*! TCOMP_FLAG - Trigger Completion Flag\r
  *  0b0000000000000000..No triggers have been completed. Trigger completion interrupts are disabled.\r
  *  0b0000000000000001..Trigger 0 has been completed and triger 0 has enabled completion interrupts.\r
  *  0b0000000000000010..Trigger 1 has been completed and triger 1 has enabled completion interrupts.\r
- *  0b0000000000000011-0b1111111111111110..Associated trigger sequence has completed and has enabled completion interrupts.\r
- *  0b1111111111111111..Every trigger sequence has been completed and every trigger has enabled completion interrupts.\r
+ *  0b0000000000000011-0b1111111111111110..Associated trigger sequence has completed and has enabled completion\r
+ * interrupts. 0b1111111111111111..Every trigger sequence has been completed and every trigger has enabled completion\r
+ * interrupts.\r
  */\r
-#define ADC_TSTAT_TCOMP_FLAG(x)                  (((uint32_t)(((uint32_t)(x)) << ADC_TSTAT_TCOMP_FLAG_SHIFT)) & ADC_TSTAT_TCOMP_FLAG_MASK)\r
+#define ADC_TSTAT_TCOMP_FLAG(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ADC_TSTAT_TCOMP_FLAG_SHIFT)) & ADC_TSTAT_TCOMP_FLAG_MASK)\r
 /*! @} */\r
 \r
 /*! @name OFSTRIM - ADC Offset Trim Register */\r
 /*! @{ */\r
-#define ADC_OFSTRIM_OFSTRIM_A_MASK               (0x1FU)\r
-#define ADC_OFSTRIM_OFSTRIM_A_SHIFT              (0U)\r
-#define ADC_OFSTRIM_OFSTRIM_A(x)                 (((uint32_t)(((uint32_t)(x)) << ADC_OFSTRIM_OFSTRIM_A_SHIFT)) & ADC_OFSTRIM_OFSTRIM_A_MASK)\r
-#define ADC_OFSTRIM_OFSTRIM_B_MASK               (0x1F0000U)\r
-#define ADC_OFSTRIM_OFSTRIM_B_SHIFT              (16U)\r
-#define ADC_OFSTRIM_OFSTRIM_B(x)                 (((uint32_t)(((uint32_t)(x)) << ADC_OFSTRIM_OFSTRIM_B_SHIFT)) & ADC_OFSTRIM_OFSTRIM_B_MASK)\r
+#define ADC_OFSTRIM_OFSTRIM_A_MASK (0x1FU)\r
+#define ADC_OFSTRIM_OFSTRIM_A_SHIFT (0U)\r
+/*! OFSTRIM_A - Trim for offset\r
+ */\r
+#define ADC_OFSTRIM_OFSTRIM_A(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ADC_OFSTRIM_OFSTRIM_A_SHIFT)) & ADC_OFSTRIM_OFSTRIM_A_MASK)\r
+#define ADC_OFSTRIM_OFSTRIM_B_MASK (0x1F0000U)\r
+#define ADC_OFSTRIM_OFSTRIM_B_SHIFT (16U)\r
+/*! OFSTRIM_B - Trim for offset\r
+ */\r
+#define ADC_OFSTRIM_OFSTRIM_B(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ADC_OFSTRIM_OFSTRIM_B_SHIFT)) & ADC_OFSTRIM_OFSTRIM_B_MASK)\r
 /*! @} */\r
 \r
 /*! @name TCTRL - Trigger Control Register */\r
 /*! @{ */\r
-#define ADC_TCTRL_HTEN_MASK                      (0x1U)\r
-#define ADC_TCTRL_HTEN_SHIFT                     (0U)\r
+#define ADC_TCTRL_HTEN_MASK (0x1U)\r
+#define ADC_TCTRL_HTEN_SHIFT (0U)\r
 /*! HTEN - Trigger enable\r
  *  0b0..Hardware trigger source disabled\r
  *  0b1..Hardware trigger source enabled\r
  */\r
-#define ADC_TCTRL_HTEN(x)                        (((uint32_t)(((uint32_t)(x)) << ADC_TCTRL_HTEN_SHIFT)) & ADC_TCTRL_HTEN_MASK)\r
-#define ADC_TCTRL_FIFO_SEL_A_MASK                (0x2U)\r
-#define ADC_TCTRL_FIFO_SEL_A_SHIFT               (1U)\r
+#define ADC_TCTRL_HTEN(x) (((uint32_t)(((uint32_t)(x)) << ADC_TCTRL_HTEN_SHIFT)) & ADC_TCTRL_HTEN_MASK)\r
+#define ADC_TCTRL_FIFO_SEL_A_MASK (0x2U)\r
+#define ADC_TCTRL_FIFO_SEL_A_SHIFT (1U)\r
 /*! FIFO_SEL_A - SAR Result Destination For Channel A\r
  *  0b0..Result written to FIFO 0\r
  *  0b1..Result written to FIFO 1\r
  */\r
-#define ADC_TCTRL_FIFO_SEL_A(x)                  (((uint32_t)(((uint32_t)(x)) << ADC_TCTRL_FIFO_SEL_A_SHIFT)) & ADC_TCTRL_FIFO_SEL_A_MASK)\r
-#define ADC_TCTRL_FIFO_SEL_B_MASK                (0x4U)\r
-#define ADC_TCTRL_FIFO_SEL_B_SHIFT               (2U)\r
+#define ADC_TCTRL_FIFO_SEL_A(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ADC_TCTRL_FIFO_SEL_A_SHIFT)) & ADC_TCTRL_FIFO_SEL_A_MASK)\r
+#define ADC_TCTRL_FIFO_SEL_B_MASK (0x4U)\r
+#define ADC_TCTRL_FIFO_SEL_B_SHIFT (2U)\r
 /*! FIFO_SEL_B - SAR Result Destination For Channel B\r
  *  0b0..Result written to FIFO 0\r
  *  0b1..Result written to FIFO 1\r
  */\r
-#define ADC_TCTRL_FIFO_SEL_B(x)                  (((uint32_t)(((uint32_t)(x)) << ADC_TCTRL_FIFO_SEL_B_SHIFT)) & ADC_TCTRL_FIFO_SEL_B_MASK)\r
-#define ADC_TCTRL_TPRI_MASK                      (0xF00U)\r
-#define ADC_TCTRL_TPRI_SHIFT                     (8U)\r
+#define ADC_TCTRL_FIFO_SEL_B(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ADC_TCTRL_FIFO_SEL_B_SHIFT)) & ADC_TCTRL_FIFO_SEL_B_MASK)\r
+#define ADC_TCTRL_TPRI_MASK (0xF00U)\r
+#define ADC_TCTRL_TPRI_SHIFT (8U)\r
 /*! TPRI - Trigger priority setting\r
  *  0b0000..Set to highest priority, Level 1\r
  *  0b0001-0b1110..Set to corresponding priority level\r
  *  0b1111..Set to lowest priority, Level 16\r
  */\r
-#define ADC_TCTRL_TPRI(x)                        (((uint32_t)(((uint32_t)(x)) << ADC_TCTRL_TPRI_SHIFT)) & ADC_TCTRL_TPRI_MASK)\r
-#define ADC_TCTRL_RSYNC_MASK                     (0x8000U)\r
-#define ADC_TCTRL_RSYNC_SHIFT                    (15U)\r
-#define ADC_TCTRL_RSYNC(x)                       (((uint32_t)(((uint32_t)(x)) << ADC_TCTRL_RSYNC_SHIFT)) & ADC_TCTRL_RSYNC_MASK)\r
-#define ADC_TCTRL_TDLY_MASK                      (0xF0000U)\r
-#define ADC_TCTRL_TDLY_SHIFT                     (16U)\r
-#define ADC_TCTRL_TDLY(x)                        (((uint32_t)(((uint32_t)(x)) << ADC_TCTRL_TDLY_SHIFT)) & ADC_TCTRL_TDLY_MASK)\r
-#define ADC_TCTRL_TCMD_MASK                      (0xF000000U)\r
-#define ADC_TCTRL_TCMD_SHIFT                     (24U)\r
+#define ADC_TCTRL_TPRI(x) (((uint32_t)(((uint32_t)(x)) << ADC_TCTRL_TPRI_SHIFT)) & ADC_TCTRL_TPRI_MASK)\r
+#define ADC_TCTRL_RSYNC_MASK (0x8000U)\r
+#define ADC_TCTRL_RSYNC_SHIFT (15U)\r
+/*! RSYNC - Trigger Resync\r
+ */\r
+#define ADC_TCTRL_RSYNC(x) (((uint32_t)(((uint32_t)(x)) << ADC_TCTRL_RSYNC_SHIFT)) & ADC_TCTRL_RSYNC_MASK)\r
+#define ADC_TCTRL_TDLY_MASK (0xF0000U)\r
+#define ADC_TCTRL_TDLY_SHIFT (16U)\r
+/*! TDLY - Trigger delay select\r
+ */\r
+#define ADC_TCTRL_TDLY(x) (((uint32_t)(((uint32_t)(x)) << ADC_TCTRL_TDLY_SHIFT)) & ADC_TCTRL_TDLY_MASK)\r
+#define ADC_TCTRL_TCMD_MASK (0xF000000U)\r
+#define ADC_TCTRL_TCMD_SHIFT (24U)\r
 /*! TCMD - Trigger command select\r
  *  0b0000..Not a valid selection from the command buffer. Trigger event is ignored.\r
  *  0b0001..CMD1 is executed\r
  *  0b0010-0b1110..Corresponding CMD is executed\r
  *  0b1111..CMD15 is executed\r
  */\r
-#define ADC_TCTRL_TCMD(x)                        (((uint32_t)(((uint32_t)(x)) << ADC_TCTRL_TCMD_SHIFT)) & ADC_TCTRL_TCMD_MASK)\r
+#define ADC_TCTRL_TCMD(x) (((uint32_t)(((uint32_t)(x)) << ADC_TCTRL_TCMD_SHIFT)) & ADC_TCTRL_TCMD_MASK)\r
 /*! @} */\r
 \r
 /* The count of ADC_TCTRL */\r
-#define ADC_TCTRL_COUNT                          (16U)\r
+#define ADC_TCTRL_COUNT (16U)\r
 \r
 /*! @name FCTRL - FIFO Control Register */\r
 /*! @{ */\r
-#define ADC_FCTRL_FCOUNT_MASK                    (0x1FU)\r
-#define ADC_FCTRL_FCOUNT_SHIFT                   (0U)\r
-#define ADC_FCTRL_FCOUNT(x)                      (((uint32_t)(((uint32_t)(x)) << ADC_FCTRL_FCOUNT_SHIFT)) & ADC_FCTRL_FCOUNT_MASK)\r
-#define ADC_FCTRL_FWMARK_MASK                    (0xF0000U)\r
-#define ADC_FCTRL_FWMARK_SHIFT                   (16U)\r
-#define ADC_FCTRL_FWMARK(x)                      (((uint32_t)(((uint32_t)(x)) << ADC_FCTRL_FWMARK_SHIFT)) & ADC_FCTRL_FWMARK_MASK)\r
+#define ADC_FCTRL_FCOUNT_MASK (0x1FU)\r
+#define ADC_FCTRL_FCOUNT_SHIFT (0U)\r
+/*! FCOUNT - Result FIFO counter\r
+ */\r
+#define ADC_FCTRL_FCOUNT(x) (((uint32_t)(((uint32_t)(x)) << ADC_FCTRL_FCOUNT_SHIFT)) & ADC_FCTRL_FCOUNT_MASK)\r
+#define ADC_FCTRL_FWMARK_MASK (0xF0000U)\r
+#define ADC_FCTRL_FWMARK_SHIFT (16U)\r
+/*! FWMARK - Watermark level selection\r
+ */\r
+#define ADC_FCTRL_FWMARK(x) (((uint32_t)(((uint32_t)(x)) << ADC_FCTRL_FWMARK_SHIFT)) & ADC_FCTRL_FWMARK_MASK)\r
 /*! @} */\r
 \r
 /* The count of ADC_FCTRL */\r
-#define ADC_FCTRL_COUNT                          (2U)\r
+#define ADC_FCTRL_COUNT (2U)\r
 \r
 /*! @name GCC - Gain Calibration Control */\r
 /*! @{ */\r
-#define ADC_GCC_GAIN_CAL_MASK                    (0xFFFFU)\r
-#define ADC_GCC_GAIN_CAL_SHIFT                   (0U)\r
-#define ADC_GCC_GAIN_CAL(x)                      (((uint32_t)(((uint32_t)(x)) << ADC_GCC_GAIN_CAL_SHIFT)) & ADC_GCC_GAIN_CAL_MASK)\r
-#define ADC_GCC_RDY_MASK                         (0x1000000U)\r
-#define ADC_GCC_RDY_SHIFT                        (24U)\r
+#define ADC_GCC_GAIN_CAL_MASK (0xFFFFU)\r
+#define ADC_GCC_GAIN_CAL_SHIFT (0U)\r
+/*! GAIN_CAL - Gain Calibration Value\r
+ */\r
+#define ADC_GCC_GAIN_CAL(x) (((uint32_t)(((uint32_t)(x)) << ADC_GCC_GAIN_CAL_SHIFT)) & ADC_GCC_GAIN_CAL_MASK)\r
+#define ADC_GCC_RDY_MASK (0x1000000U)\r
+#define ADC_GCC_RDY_SHIFT (24U)\r
 /*! RDY - Gain Calibration Value Valid\r
  *  0b0..The gain calibration value is invalid. Run the auto-calibration routine for this value to be written.\r
  *  0b1..The gain calibration value is valid. It should be used to update the GCRa[GCALR] register field.\r
  */\r
-#define ADC_GCC_RDY(x)                           (((uint32_t)(((uint32_t)(x)) << ADC_GCC_RDY_SHIFT)) & ADC_GCC_RDY_MASK)\r
+#define ADC_GCC_RDY(x) (((uint32_t)(((uint32_t)(x)) << ADC_GCC_RDY_SHIFT)) & ADC_GCC_RDY_MASK)\r
 /*! @} */\r
 \r
 /* The count of ADC_GCC */\r
-#define ADC_GCC_COUNT                            (2U)\r
+#define ADC_GCC_COUNT (2U)\r
 \r
 /*! @name GCR - Gain Calculation Result */\r
 /*! @{ */\r
-#define ADC_GCR_GCALR_MASK                       (0xFFFFU)\r
-#define ADC_GCR_GCALR_SHIFT                      (0U)\r
-#define ADC_GCR_GCALR(x)                         (((uint32_t)(((uint32_t)(x)) << ADC_GCR_GCALR_SHIFT)) & ADC_GCR_GCALR_MASK)\r
-#define ADC_GCR_RDY_MASK                         (0x1000000U)\r
-#define ADC_GCR_RDY_SHIFT                        (24U)\r
+#define ADC_GCR_GCALR_MASK (0xFFFFU)\r
+#define ADC_GCR_GCALR_SHIFT (0U)\r
+/*! GCALR - Gain Calculation Result\r
+ */\r
+#define ADC_GCR_GCALR(x) (((uint32_t)(((uint32_t)(x)) << ADC_GCR_GCALR_SHIFT)) & ADC_GCR_GCALR_MASK)\r
+#define ADC_GCR_RDY_MASK (0x1000000U)\r
+#define ADC_GCR_RDY_SHIFT (24U)\r
 /*! RDY - Gain Calculation Ready\r
  *  0b0..The gain offset calculation value is invalid.\r
  *  0b1..The gain calibration value is valid.\r
  */\r
-#define ADC_GCR_RDY(x)                           (((uint32_t)(((uint32_t)(x)) << ADC_GCR_RDY_SHIFT)) & ADC_GCR_RDY_MASK)\r
+#define ADC_GCR_RDY(x) (((uint32_t)(((uint32_t)(x)) << ADC_GCR_RDY_SHIFT)) & ADC_GCR_RDY_MASK)\r
 /*! @} */\r
 \r
 /* The count of ADC_GCR */\r
-#define ADC_GCR_COUNT                            (2U)\r
+#define ADC_GCR_COUNT (2U)\r
 \r
 /*! @name CMDL - ADC Command Low Buffer Register */\r
 /*! @{ */\r
-#define ADC_CMDL_ADCH_MASK                       (0x1FU)\r
-#define ADC_CMDL_ADCH_SHIFT                      (0U)\r
+#define ADC_CMDL_ADCH_MASK (0x1FU)\r
+#define ADC_CMDL_ADCH_SHIFT (0U)\r
 /*! ADCH - Input channel select\r
  *  0b00000..Select CH0A or CH0B or CH0A/CH0B pair.\r
  *  0b00001..Select CH1A or CH1B or CH1A/CH1B pair.\r
@@ -975,55 +1021,55 @@ typedef struct {
  *  0b11110..Select CH30A or CH30B or CH30A/CH30B pair.\r
  *  0b11111..Select CH31A or CH31B or CH31A/CH31B pair.\r
  */\r
-#define ADC_CMDL_ADCH(x)                         (((uint32_t)(((uint32_t)(x)) << ADC_CMDL_ADCH_SHIFT)) & ADC_CMDL_ADCH_MASK)\r
-#define ADC_CMDL_CTYPE_MASK                      (0x60U)\r
-#define ADC_CMDL_CTYPE_SHIFT                     (5U)\r
+#define ADC_CMDL_ADCH(x) (((uint32_t)(((uint32_t)(x)) << ADC_CMDL_ADCH_SHIFT)) & ADC_CMDL_ADCH_MASK)\r
+#define ADC_CMDL_CTYPE_MASK (0x60U)\r
+#define ADC_CMDL_CTYPE_SHIFT (5U)\r
 /*! CTYPE - Conversion Type\r
  *  0b00..Single-Ended Mode. Only A side channel is converted.\r
  *  0b01..Single-Ended Mode. Only B side channel is converted.\r
  *  0b10..Differential Mode. A-B.\r
  *  0b11..Dual-Single-Ended Mode. Both A side and B side channels are converted independently.\r
  */\r
-#define ADC_CMDL_CTYPE(x)                        (((uint32_t)(((uint32_t)(x)) << ADC_CMDL_CTYPE_SHIFT)) & ADC_CMDL_CTYPE_MASK)\r
-#define ADC_CMDL_MODE_MASK                       (0x80U)\r
-#define ADC_CMDL_MODE_SHIFT                      (7U)\r
+#define ADC_CMDL_CTYPE(x) (((uint32_t)(((uint32_t)(x)) << ADC_CMDL_CTYPE_SHIFT)) & ADC_CMDL_CTYPE_MASK)\r
+#define ADC_CMDL_MODE_MASK (0x80U)\r
+#define ADC_CMDL_MODE_SHIFT (7U)\r
 /*! MODE - Select resolution of conversions\r
  *  0b0..Standard resolution. Single-ended 12-bit conversion; Differential 13-bit conversion with 2's complement output.\r
  *  0b1..High resolution. Single-ended 16-bit conversion; Differential 16-bit conversion with 2's complement output.\r
  */\r
-#define ADC_CMDL_MODE(x)                         (((uint32_t)(((uint32_t)(x)) << ADC_CMDL_MODE_SHIFT)) & ADC_CMDL_MODE_MASK)\r
+#define ADC_CMDL_MODE(x) (((uint32_t)(((uint32_t)(x)) << ADC_CMDL_MODE_SHIFT)) & ADC_CMDL_MODE_MASK)\r
 /*! @} */\r
 \r
 /* The count of ADC_CMDL */\r
-#define ADC_CMDL_COUNT                           (15U)\r
+#define ADC_CMDL_COUNT (15U)\r
 \r
 /*! @name CMDH - ADC Command High Buffer Register */\r
 /*! @{ */\r
-#define ADC_CMDH_CMPEN_MASK                      (0x3U)\r
-#define ADC_CMDH_CMPEN_SHIFT                     (0U)\r
+#define ADC_CMDH_CMPEN_MASK (0x3U)\r
+#define ADC_CMDH_CMPEN_SHIFT (0U)\r
 /*! CMPEN - Compare Function Enable\r
  *  0b00..Compare disabled.\r
  *  0b01..Reserved\r
  *  0b10..Compare enabled. Store on true.\r
  *  0b11..Compare enabled. Repeat channel acquisition (sample/convert/compare) until true.\r
  */\r
-#define ADC_CMDH_CMPEN(x)                        (((uint32_t)(((uint32_t)(x)) << ADC_CMDH_CMPEN_SHIFT)) & ADC_CMDH_CMPEN_MASK)\r
-#define ADC_CMDH_WAIT_TRIG_MASK                  (0x4U)\r
-#define ADC_CMDH_WAIT_TRIG_SHIFT                 (2U)\r
+#define ADC_CMDH_CMPEN(x) (((uint32_t)(((uint32_t)(x)) << ADC_CMDH_CMPEN_SHIFT)) & ADC_CMDH_CMPEN_MASK)\r
+#define ADC_CMDH_WAIT_TRIG_MASK (0x4U)\r
+#define ADC_CMDH_WAIT_TRIG_SHIFT (2U)\r
 /*! WAIT_TRIG - Wait for trigger assertion before execution.\r
  *  0b0..This command will be automatically executed.\r
  *  0b1..The active trigger must be asserted again before executing this command.\r
  */\r
-#define ADC_CMDH_WAIT_TRIG(x)                    (((uint32_t)(((uint32_t)(x)) << ADC_CMDH_WAIT_TRIG_SHIFT)) & ADC_CMDH_WAIT_TRIG_MASK)\r
-#define ADC_CMDH_LWI_MASK                        (0x80U)\r
-#define ADC_CMDH_LWI_SHIFT                       (7U)\r
+#define ADC_CMDH_WAIT_TRIG(x) (((uint32_t)(((uint32_t)(x)) << ADC_CMDH_WAIT_TRIG_SHIFT)) & ADC_CMDH_WAIT_TRIG_MASK)\r
+#define ADC_CMDH_LWI_MASK (0x80U)\r
+#define ADC_CMDH_LWI_SHIFT (7U)\r
 /*! LWI - Loop with Increment\r
  *  0b0..Auto channel increment disabled\r
  *  0b1..Auto channel increment enabled\r
  */\r
-#define ADC_CMDH_LWI(x)                          (((uint32_t)(((uint32_t)(x)) << ADC_CMDH_LWI_SHIFT)) & ADC_CMDH_LWI_MASK)\r
-#define ADC_CMDH_STS_MASK                        (0x700U)\r
-#define ADC_CMDH_STS_SHIFT                       (8U)\r
+#define ADC_CMDH_LWI(x) (((uint32_t)(((uint32_t)(x)) << ADC_CMDH_LWI_SHIFT)) & ADC_CMDH_LWI_MASK)\r
+#define ADC_CMDH_STS_MASK (0x700U)\r
+#define ADC_CMDH_STS_SHIFT (8U)\r
 /*! STS - Sample Time Select\r
  *  0b000..Minimum sample time of 3 ADCK cycles.\r
  *  0b001..3 + 21 ADCK cycles; 5 ADCK cycles total sample time.\r
@@ -1034,9 +1080,9 @@ typedef struct {
  *  0b110..3 + 26 ADCK cycles; 67 ADCK cycles total sample time.\r
  *  0b111..3 + 27 ADCK cycles; 131 ADCK cycles total sample time.\r
  */\r
-#define ADC_CMDH_STS(x)                          (((uint32_t)(((uint32_t)(x)) << ADC_CMDH_STS_SHIFT)) & ADC_CMDH_STS_MASK)\r
-#define ADC_CMDH_AVGS_MASK                       (0x7000U)\r
-#define ADC_CMDH_AVGS_SHIFT                      (12U)\r
+#define ADC_CMDH_STS(x) (((uint32_t)(((uint32_t)(x)) << ADC_CMDH_STS_SHIFT)) & ADC_CMDH_STS_MASK)\r
+#define ADC_CMDH_AVGS_MASK (0x7000U)\r
+#define ADC_CMDH_AVGS_SHIFT (12U)\r
 /*! AVGS - Hardware Average Select\r
  *  0b000..Single conversion.\r
  *  0b001..2 conversions averaged.\r
@@ -1047,9 +1093,9 @@ typedef struct {
  *  0b110..64 conversions averaged.\r
  *  0b111..128 conversions averaged.\r
  */\r
-#define ADC_CMDH_AVGS(x)                         (((uint32_t)(((uint32_t)(x)) << ADC_CMDH_AVGS_SHIFT)) & ADC_CMDH_AVGS_MASK)\r
-#define ADC_CMDH_LOOP_MASK                       (0xF0000U)\r
-#define ADC_CMDH_LOOP_SHIFT                      (16U)\r
+#define ADC_CMDH_AVGS(x) (((uint32_t)(((uint32_t)(x)) << ADC_CMDH_AVGS_SHIFT)) & ADC_CMDH_AVGS_MASK)\r
+#define ADC_CMDH_LOOP_MASK (0xF0000U)\r
+#define ADC_CMDH_LOOP_SHIFT (16U)\r
 /*! LOOP - Loop Count Select\r
  *  0b0000..Looping not enabled. Command executes 1 time.\r
  *  0b0001..Loop 1 time. Command executes 2 times.\r
@@ -1057,186 +1103,218 @@ typedef struct {
  *  0b0011-0b1110..Loop corresponding number of times. Command executes LOOP+1 times.\r
  *  0b1111..Loop 15 times. Command executes 16 times.\r
  */\r
-#define ADC_CMDH_LOOP(x)                         (((uint32_t)(((uint32_t)(x)) << ADC_CMDH_LOOP_SHIFT)) & ADC_CMDH_LOOP_MASK)\r
-#define ADC_CMDH_NEXT_MASK                       (0xF000000U)\r
-#define ADC_CMDH_NEXT_SHIFT                      (24U)\r
+#define ADC_CMDH_LOOP(x) (((uint32_t)(((uint32_t)(x)) << ADC_CMDH_LOOP_SHIFT)) & ADC_CMDH_LOOP_MASK)\r
+#define ADC_CMDH_NEXT_MASK (0xF000000U)\r
+#define ADC_CMDH_NEXT_SHIFT (24U)\r
 /*! NEXT - Next Command Select\r
- *  0b0000..No next command defined. Terminate conversions at completion of current command. If lower priority trigger pending, begin command associated with lower priority trigger.\r
+ *  0b0000..No next command defined. Terminate conversions at completion of current command. If lower priority\r
+ *          trigger pending, begin command associated with lower priority trigger.\r
  *  0b0001..Select CMD1 command buffer register as next command.\r
  *  0b0010-0b1110..Select corresponding CMD command buffer register as next command\r
  *  0b1111..Select CMD15 command buffer register as next command.\r
  */\r
-#define ADC_CMDH_NEXT(x)                         (((uint32_t)(((uint32_t)(x)) << ADC_CMDH_NEXT_SHIFT)) & ADC_CMDH_NEXT_MASK)\r
+#define ADC_CMDH_NEXT(x) (((uint32_t)(((uint32_t)(x)) << ADC_CMDH_NEXT_SHIFT)) & ADC_CMDH_NEXT_MASK)\r
 /*! @} */\r
 \r
 /* The count of ADC_CMDH */\r
-#define ADC_CMDH_COUNT                           (15U)\r
+#define ADC_CMDH_COUNT (15U)\r
 \r
 /*! @name CV - Compare Value Register */\r
 /*! @{ */\r
-#define ADC_CV_CVL_MASK                          (0xFFFFU)\r
-#define ADC_CV_CVL_SHIFT                         (0U)\r
-#define ADC_CV_CVL(x)                            (((uint32_t)(((uint32_t)(x)) << ADC_CV_CVL_SHIFT)) & ADC_CV_CVL_MASK)\r
-#define ADC_CV_CVH_MASK                          (0xFFFF0000U)\r
-#define ADC_CV_CVH_SHIFT                         (16U)\r
-#define ADC_CV_CVH(x)                            (((uint32_t)(((uint32_t)(x)) << ADC_CV_CVH_SHIFT)) & ADC_CV_CVH_MASK)\r
+#define ADC_CV_CVL_MASK (0xFFFFU)\r
+#define ADC_CV_CVL_SHIFT (0U)\r
+/*! CVL - Compare Value Low.\r
+ */\r
+#define ADC_CV_CVL(x) (((uint32_t)(((uint32_t)(x)) << ADC_CV_CVL_SHIFT)) & ADC_CV_CVL_MASK)\r
+#define ADC_CV_CVH_MASK (0xFFFF0000U)\r
+#define ADC_CV_CVH_SHIFT (16U)\r
+/*! CVH - Compare Value High.\r
+ */\r
+#define ADC_CV_CVH(x) (((uint32_t)(((uint32_t)(x)) << ADC_CV_CVH_SHIFT)) & ADC_CV_CVH_MASK)\r
 /*! @} */\r
 \r
 /* The count of ADC_CV */\r
-#define ADC_CV_COUNT                             (4U)\r
+#define ADC_CV_COUNT (4U)\r
 \r
 /*! @name RESFIFO - ADC Data Result FIFO Register */\r
 /*! @{ */\r
-#define ADC_RESFIFO_D_MASK                       (0xFFFFU)\r
-#define ADC_RESFIFO_D_SHIFT                      (0U)\r
-#define ADC_RESFIFO_D(x)                         (((uint32_t)(((uint32_t)(x)) << ADC_RESFIFO_D_SHIFT)) & ADC_RESFIFO_D_MASK)\r
-#define ADC_RESFIFO_TSRC_MASK                    (0xF0000U)\r
-#define ADC_RESFIFO_TSRC_SHIFT                   (16U)\r
+#define ADC_RESFIFO_D_MASK (0xFFFFU)\r
+#define ADC_RESFIFO_D_SHIFT (0U)\r
+/*! D - Data result\r
+ */\r
+#define ADC_RESFIFO_D(x) (((uint32_t)(((uint32_t)(x)) << ADC_RESFIFO_D_SHIFT)) & ADC_RESFIFO_D_MASK)\r
+#define ADC_RESFIFO_TSRC_MASK (0xF0000U)\r
+#define ADC_RESFIFO_TSRC_SHIFT (16U)\r
 /*! TSRC - Trigger Source\r
  *  0b0000..Trigger source 0 initiated this conversion.\r
  *  0b0001..Trigger source 1 initiated this conversion.\r
  *  0b0010-0b1110..Corresponding trigger source initiated this conversion.\r
  *  0b1111..Trigger source 15 initiated this conversion.\r
  */\r
-#define ADC_RESFIFO_TSRC(x)                      (((uint32_t)(((uint32_t)(x)) << ADC_RESFIFO_TSRC_SHIFT)) & ADC_RESFIFO_TSRC_MASK)\r
-#define ADC_RESFIFO_LOOPCNT_MASK                 (0xF00000U)\r
-#define ADC_RESFIFO_LOOPCNT_SHIFT                (20U)\r
+#define ADC_RESFIFO_TSRC(x) (((uint32_t)(((uint32_t)(x)) << ADC_RESFIFO_TSRC_SHIFT)) & ADC_RESFIFO_TSRC_MASK)\r
+#define ADC_RESFIFO_LOOPCNT_MASK (0xF00000U)\r
+#define ADC_RESFIFO_LOOPCNT_SHIFT (20U)\r
 /*! LOOPCNT - Loop count value\r
  *  0b0000..Result is from initial conversion in command.\r
  *  0b0001..Result is from second conversion in command.\r
  *  0b0010-0b1110..Result is from LOOPCNT+1 conversion in command.\r
  *  0b1111..Result is from 16th conversion in command.\r
  */\r
-#define ADC_RESFIFO_LOOPCNT(x)                   (((uint32_t)(((uint32_t)(x)) << ADC_RESFIFO_LOOPCNT_SHIFT)) & ADC_RESFIFO_LOOPCNT_MASK)\r
-#define ADC_RESFIFO_CMDSRC_MASK                  (0xF000000U)\r
-#define ADC_RESFIFO_CMDSRC_SHIFT                 (24U)\r
+#define ADC_RESFIFO_LOOPCNT(x) (((uint32_t)(((uint32_t)(x)) << ADC_RESFIFO_LOOPCNT_SHIFT)) & ADC_RESFIFO_LOOPCNT_MASK)\r
+#define ADC_RESFIFO_CMDSRC_MASK (0xF000000U)\r
+#define ADC_RESFIFO_CMDSRC_SHIFT (24U)\r
 /*! CMDSRC - Command Buffer Source\r
- *  0b0000..Not a valid value CMDSRC value for a dataword in RESFIFO. 0x0 is only found in initial FIFO state prior to an ADC conversion result dataword being stored to a RESFIFO buffer.\r
+ *  0b0000..Not a valid value CMDSRC value for a dataword in RESFIFO. 0x0 is only found in initial FIFO state\r
+ *          prior to an ADC conversion result dataword being stored to a RESFIFO buffer.\r
  *  0b0001..CMD1 buffer used as control settings for this conversion.\r
  *  0b0010-0b1110..Corresponding command buffer used as control settings for this conversion.\r
  *  0b1111..CMD15 buffer used as control settings for this conversion.\r
  */\r
-#define ADC_RESFIFO_CMDSRC(x)                    (((uint32_t)(((uint32_t)(x)) << ADC_RESFIFO_CMDSRC_SHIFT)) & ADC_RESFIFO_CMDSRC_MASK)\r
-#define ADC_RESFIFO_VALID_MASK                   (0x80000000U)\r
-#define ADC_RESFIFO_VALID_SHIFT                  (31U)\r
+#define ADC_RESFIFO_CMDSRC(x) (((uint32_t)(((uint32_t)(x)) << ADC_RESFIFO_CMDSRC_SHIFT)) & ADC_RESFIFO_CMDSRC_MASK)\r
+#define ADC_RESFIFO_VALID_MASK (0x80000000U)\r
+#define ADC_RESFIFO_VALID_SHIFT (31U)\r
 /*! VALID - FIFO entry is valid\r
  *  0b0..FIFO is empty. Discard any read from RESFIFO.\r
  *  0b1..FIFO record read from RESFIFO is valid.\r
  */\r
-#define ADC_RESFIFO_VALID(x)                     (((uint32_t)(((uint32_t)(x)) << ADC_RESFIFO_VALID_SHIFT)) & ADC_RESFIFO_VALID_MASK)\r
+#define ADC_RESFIFO_VALID(x) (((uint32_t)(((uint32_t)(x)) << ADC_RESFIFO_VALID_SHIFT)) & ADC_RESFIFO_VALID_MASK)\r
 /*! @} */\r
 \r
 /* The count of ADC_RESFIFO */\r
-#define ADC_RESFIFO_COUNT                        (2U)\r
+#define ADC_RESFIFO_COUNT (2U)\r
 \r
 /*! @name CAL_GAR - Calibration General A-Side Registers */\r
 /*! @{ */\r
-#define ADC_CAL_GAR_CAL_GAR_VAL_MASK             (0xFFFFU)\r
-#define ADC_CAL_GAR_CAL_GAR_VAL_SHIFT            (0U)\r
-#define ADC_CAL_GAR_CAL_GAR_VAL(x)               (((uint32_t)(((uint32_t)(x)) << ADC_CAL_GAR_CAL_GAR_VAL_SHIFT)) & ADC_CAL_GAR_CAL_GAR_VAL_MASK)\r
+#define ADC_CAL_GAR_CAL_GAR_VAL_MASK (0xFFFFU)\r
+#define ADC_CAL_GAR_CAL_GAR_VAL_SHIFT (0U)\r
+/*! CAL_GAR_VAL - Calibration General A Side Register Element\r
+ */\r
+#define ADC_CAL_GAR_CAL_GAR_VAL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ADC_CAL_GAR_CAL_GAR_VAL_SHIFT)) & ADC_CAL_GAR_CAL_GAR_VAL_MASK)\r
 /*! @} */\r
 \r
 /* The count of ADC_CAL_GAR */\r
-#define ADC_CAL_GAR_COUNT                        (33U)\r
+#define ADC_CAL_GAR_COUNT (33U)\r
 \r
 /*! @name CAL_GBR - Calibration General B-Side Registers */\r
 /*! @{ */\r
-#define ADC_CAL_GBR_CAL_GBR_VAL_MASK             (0xFFFFU)\r
-#define ADC_CAL_GBR_CAL_GBR_VAL_SHIFT            (0U)\r
-#define ADC_CAL_GBR_CAL_GBR_VAL(x)               (((uint32_t)(((uint32_t)(x)) << ADC_CAL_GBR_CAL_GBR_VAL_SHIFT)) & ADC_CAL_GBR_CAL_GBR_VAL_MASK)\r
+#define ADC_CAL_GBR_CAL_GBR_VAL_MASK (0xFFFFU)\r
+#define ADC_CAL_GBR_CAL_GBR_VAL_SHIFT (0U)\r
+/*! CAL_GBR_VAL - Calibration General B Side Register Element\r
+ */\r
+#define ADC_CAL_GBR_CAL_GBR_VAL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ADC_CAL_GBR_CAL_GBR_VAL_SHIFT)) & ADC_CAL_GBR_CAL_GBR_VAL_MASK)\r
 /*! @} */\r
 \r
 /* The count of ADC_CAL_GBR */\r
-#define ADC_CAL_GBR_COUNT                        (33U)\r
+#define ADC_CAL_GBR_COUNT (33U)\r
 \r
 /*! @name TST - ADC Test Register */\r
 /*! @{ */\r
-#define ADC_TST_CST_LONG_MASK                    (0x1U)\r
-#define ADC_TST_CST_LONG_SHIFT                   (0U)\r
+#define ADC_TST_CST_LONG_MASK (0x1U)\r
+#define ADC_TST_CST_LONG_SHIFT (0U)\r
 /*! CST_LONG - Calibration Sample Time Long\r
  *  0b0..Normal sample time. Minimum sample time of 3 ADCK cycles.\r
  *  0b1..Increased sample time. 67 ADCK cycles total sample time.\r
  */\r
-#define ADC_TST_CST_LONG(x)                      (((uint32_t)(((uint32_t)(x)) << ADC_TST_CST_LONG_SHIFT)) & ADC_TST_CST_LONG_MASK)\r
-#define ADC_TST_FOFFM_MASK                       (0x100U)\r
-#define ADC_TST_FOFFM_SHIFT                      (8U)\r
+#define ADC_TST_CST_LONG(x) (((uint32_t)(((uint32_t)(x)) << ADC_TST_CST_LONG_SHIFT)) & ADC_TST_CST_LONG_MASK)\r
+#define ADC_TST_FOFFM_MASK (0x100U)\r
+#define ADC_TST_FOFFM_SHIFT (8U)\r
 /*! FOFFM - Force M-side positive offset\r
  *  0b0..Normal operation. No forced offset.\r
  *  0b1..Test configuration. Forced positive offset on MDAC.\r
  */\r
-#define ADC_TST_FOFFM(x)                         (((uint32_t)(((uint32_t)(x)) << ADC_TST_FOFFM_SHIFT)) & ADC_TST_FOFFM_MASK)\r
-#define ADC_TST_FOFFP_MASK                       (0x200U)\r
-#define ADC_TST_FOFFP_SHIFT                      (9U)\r
+#define ADC_TST_FOFFM(x) (((uint32_t)(((uint32_t)(x)) << ADC_TST_FOFFM_SHIFT)) & ADC_TST_FOFFM_MASK)\r
+#define ADC_TST_FOFFP_MASK (0x200U)\r
+#define ADC_TST_FOFFP_SHIFT (9U)\r
 /*! FOFFP - Force P-side positive offset\r
  *  0b0..Normal operation. No forced offset.\r
  *  0b1..Test configuration. Forced positive offset on PDAC.\r
  */\r
-#define ADC_TST_FOFFP(x)                         (((uint32_t)(((uint32_t)(x)) << ADC_TST_FOFFP_SHIFT)) & ADC_TST_FOFFP_MASK)\r
-#define ADC_TST_FOFFM2_MASK                      (0x400U)\r
-#define ADC_TST_FOFFM2_SHIFT                     (10U)\r
+#define ADC_TST_FOFFP(x) (((uint32_t)(((uint32_t)(x)) << ADC_TST_FOFFP_SHIFT)) & ADC_TST_FOFFP_MASK)\r
+#define ADC_TST_FOFFM2_MASK (0x400U)\r
+#define ADC_TST_FOFFM2_SHIFT (10U)\r
 /*! FOFFM2 - Force M-side negative offset\r
  *  0b0..Normal operation. No forced offset.\r
  *  0b1..Test configuration. Forced negative offset on MDAC.\r
  */\r
-#define ADC_TST_FOFFM2(x)                        (((uint32_t)(((uint32_t)(x)) << ADC_TST_FOFFM2_SHIFT)) & ADC_TST_FOFFM2_MASK)\r
-#define ADC_TST_FOFFP2_MASK                      (0x800U)\r
-#define ADC_TST_FOFFP2_SHIFT                     (11U)\r
+#define ADC_TST_FOFFM2(x) (((uint32_t)(((uint32_t)(x)) << ADC_TST_FOFFM2_SHIFT)) & ADC_TST_FOFFM2_MASK)\r
+#define ADC_TST_FOFFP2_MASK (0x800U)\r
+#define ADC_TST_FOFFP2_SHIFT (11U)\r
 /*! FOFFP2 - Force P-side negative offset\r
  *  0b0..Normal operation. No forced offset.\r
  *  0b1..Test configuration. Forced negative offset on PDAC.\r
  */\r
-#define ADC_TST_FOFFP2(x)                        (((uint32_t)(((uint32_t)(x)) << ADC_TST_FOFFP2_SHIFT)) & ADC_TST_FOFFP2_MASK)\r
-#define ADC_TST_TESTEN_MASK                      (0x800000U)\r
-#define ADC_TST_TESTEN_SHIFT                     (23U)\r
+#define ADC_TST_FOFFP2(x) (((uint32_t)(((uint32_t)(x)) << ADC_TST_FOFFP2_SHIFT)) & ADC_TST_FOFFP2_MASK)\r
+#define ADC_TST_TESTEN_MASK (0x800000U)\r
+#define ADC_TST_TESTEN_SHIFT (23U)\r
 /*! TESTEN - Enable test configuration\r
  *  0b0..Normal operation. Test configuration not enabled.\r
  *  0b1..Hardware BIST Test in progress.\r
  */\r
-#define ADC_TST_TESTEN(x)                        (((uint32_t)(((uint32_t)(x)) << ADC_TST_TESTEN_SHIFT)) & ADC_TST_TESTEN_MASK)\r
+#define ADC_TST_TESTEN(x) (((uint32_t)(((uint32_t)(x)) << ADC_TST_TESTEN_SHIFT)) & ADC_TST_TESTEN_MASK)\r
 /*! @} */\r
 \r
-\r
 /*!\r
  * @}\r
  */ /* end of group ADC_Register_Masks */\r
 \r
-\r
 /* ADC - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral ADC0 base address */\r
-  #define ADC0_BASE                                (0x500A0000u)\r
-  /** Peripheral ADC0 base address */\r
-  #define ADC0_BASE_NS                             (0x400A0000u)\r
-  /** Peripheral ADC0 base pointer */\r
-  #define ADC0                                     ((ADC_Type *)ADC0_BASE)\r
-  /** Peripheral ADC0 base pointer */\r
-  #define ADC0_NS                                  ((ADC_Type *)ADC0_BASE_NS)\r
-  /** Array initializer of ADC peripheral base addresses */\r
-  #define ADC_BASE_ADDRS                           { ADC0_BASE }\r
-  /** Array initializer of ADC peripheral base pointers */\r
-  #define ADC_BASE_PTRS                            { ADC0 }\r
-  /** Array initializer of ADC peripheral base addresses */\r
-  #define ADC_BASE_ADDRS_NS                        { ADC0_BASE_NS }\r
-  /** Array initializer of ADC peripheral base pointers */\r
-  #define ADC_BASE_PTRS_NS                         { ADC0_NS }\r
+/** Peripheral ADC0 base address */\r
+#define ADC0_BASE (0x500A0000u)\r
+/** Peripheral ADC0 base address */\r
+#define ADC0_BASE_NS (0x400A0000u)\r
+/** Peripheral ADC0 base pointer */\r
+#define ADC0 ((ADC_Type *)ADC0_BASE)\r
+/** Peripheral ADC0 base pointer */\r
+#define ADC0_NS ((ADC_Type *)ADC0_BASE_NS)\r
+/** Array initializer of ADC peripheral base addresses */\r
+#define ADC_BASE_ADDRS \\r
+    {                  \\r
+        ADC0_BASE      \\r
+    }\r
+/** Array initializer of ADC peripheral base pointers */\r
+#define ADC_BASE_PTRS \\r
+    {                 \\r
+        ADC0          \\r
+    }\r
+/** Array initializer of ADC peripheral base addresses */\r
+#define ADC_BASE_ADDRS_NS \\r
+    {                     \\r
+        ADC0_BASE_NS      \\r
+    }\r
+/** Array initializer of ADC peripheral base pointers */\r
+#define ADC_BASE_PTRS_NS \\r
+    {                    \\r
+        ADC0_NS          \\r
+    }\r
 #else\r
-  /** Peripheral ADC0 base address */\r
-  #define ADC0_BASE                                (0x400A0000u)\r
-  /** Peripheral ADC0 base pointer */\r
-  #define ADC0                                     ((ADC_Type *)ADC0_BASE)\r
-  /** Array initializer of ADC peripheral base addresses */\r
-  #define ADC_BASE_ADDRS                           { ADC0_BASE }\r
-  /** Array initializer of ADC peripheral base pointers */\r
-  #define ADC_BASE_PTRS                            { ADC0 }\r
+/** Peripheral ADC0 base address */\r
+#define ADC0_BASE (0x400A0000u)\r
+/** Peripheral ADC0 base pointer */\r
+#define ADC0 ((ADC_Type *)ADC0_BASE)\r
+/** Array initializer of ADC peripheral base addresses */\r
+#define ADC_BASE_ADDRS \\r
+    {                  \\r
+        ADC0_BASE      \\r
+    }\r
+/** Array initializer of ADC peripheral base pointers */\r
+#define ADC_BASE_PTRS \\r
+    {                 \\r
+        ADC0          \\r
+    }\r
 #endif\r
 /** Interrupt vectors for the ADC peripheral type */\r
-#define ADC_IRQS                                 { ADC0_IRQn }\r
+#define ADC_IRQS  \\r
+    {             \\r
+        ADC0_IRQn \\r
+    }\r
 \r
 /*!\r
  * @}\r
  */ /* end of group ADC_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- AHB_SECURE_CTRL Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -1247,104 +1325,148 @@ typedef struct {
  */\r
 \r
 /** AHB_SECURE_CTRL - Register Layout Typedef */\r
-typedef struct {\r
-  struct {                                         /* offset: 0x0, array step: 0x30 */\r
-    __IO uint32_t SLAVE_RULE;                        /**< , array offset: 0x0, array step: 0x30 */\r
-         uint8_t RESERVED_0[12];\r
-    __IO uint32_t SEC_CTRL_FLASH_MEM_RULE[3];        /**< Security access rules for FLASH sector 0 to sector 20. Each Flash sector is 32 Kbytes. There are 20 FLASH sectors in total., array offset: 0x10, array step: index*0x30, index2*0x4 */\r
-         uint8_t RESERVED_1[4];\r
-    __IO uint32_t SEC_CTRL_ROM_MEM_RULE[4];          /**< Security access rules for ROM sector 0 to sector 31. Each ROM sector is 4 Kbytes. There are 32 ROM sectors in total., array offset: 0x20, array step: index*0x30, index2*0x4 */\r
-  } SEC_CTRL_FLASH_ROM[1];\r
-  struct {                                         /* offset: 0x30, array step: 0x14 */\r
-    __IO uint32_t SLAVE_RULE;                        /**< Security access rules for RAMX slaves., array offset: 0x30, array step: 0x14 */\r
-         uint8_t RESERVED_0[12];\r
-    __IO uint32_t MEM_RULE[1];                       /**< , array offset: 0x40, array step: index*0x14, index2*0x4 */\r
-  } SEC_CTRL_RAMX[1];\r
-       uint8_t RESERVED_0[12];\r
-  struct {                                         /* offset: 0x50, array step: 0x18 */\r
-    __IO uint32_t SLAVE_RULE;                        /**< Security access rules for RAM0 slaves., array offset: 0x50, array step: 0x18 */\r
-         uint8_t RESERVED_0[12];\r
-    __IO uint32_t MEM_RULE[2];                       /**< , array offset: 0x60, array step: index*0x18, index2*0x4 */\r
-  } SEC_CTRL_RAM0[1];\r
-       uint8_t RESERVED_1[8];\r
-  struct {                                         /* offset: 0x70, array step: 0x18 */\r
-    __IO uint32_t SLAVE_RULE;                        /**< Security access rules for RAM1 slaves., array offset: 0x70, array step: 0x18 */\r
-         uint8_t RESERVED_0[12];\r
-    __IO uint32_t MEM_RULE[2];                       /**< , array offset: 0x80, array step: index*0x18, index2*0x4 */\r
-  } SEC_CTRL_RAM1[1];\r
-       uint8_t RESERVED_2[8];\r
-  struct {                                         /* offset: 0x90, array step: 0x18 */\r
-    __IO uint32_t SLAVE_RULE;                        /**< Security access rules for RAM2 slaves., array offset: 0x90, array step: 0x18 */\r
-         uint8_t RESERVED_0[12];\r
-    __IO uint32_t MEM_RULE[2];                       /**< , array offset: 0xA0, array step: index*0x18, index2*0x4 */\r
-  } SEC_CTRL_RAM2[1];\r
-       uint8_t RESERVED_3[8];\r
-  struct {                                         /* offset: 0xB0, array step: 0x18 */\r
-    __IO uint32_t SLAVE_RULE;                        /**< Security access rules for RAM3 slaves., array offset: 0xB0, array step: 0x18 */\r
-         uint8_t RESERVED_0[12];\r
-    __IO uint32_t MEM_RULE[2];                       /**< , array offset: 0xC0, array step: index*0x18, index2*0x4 */\r
-  } SEC_CTRL_RAM3[1];\r
-       uint8_t RESERVED_4[8];\r
-  struct {                                         /* offset: 0xD0, array step: 0x14 */\r
-    __IO uint32_t SLAVE_RULE;                        /**< Security access rules for RAM4 slaves., array offset: 0xD0, array step: 0x14 */\r
-         uint8_t RESERVED_0[12];\r
-    __IO uint32_t MEM_RULE[1];                       /**< , array offset: 0xE0, array step: index*0x14, index2*0x4 */\r
-  } SEC_CTRL_RAM4[1];\r
-       uint8_t RESERVED_5[12];\r
-  struct {                                         /* offset: 0xF0, array step: 0x30 */\r
-    __IO uint32_t SLAVE_RULE;                        /**< , array offset: 0xF0, array step: 0x30 */\r
-         uint8_t RESERVED_0[12];\r
-    __IO uint32_t SEC_CTRL_APB_BRIDGE0_MEM_CTRL0;    /**< Security access rules for APB Bridge 0 peripherals. Each APB bridge sector is 4 Kbytes. There are 32 APB Bridge 0 sectors in total., array offset: 0x100, array step: 0x30 */\r
-    __IO uint32_t SEC_CTRL_APB_BRIDGE0_MEM_CTRL1;    /**< Security access rules for APB Bridge 0 peripherals. Each APB bridge sector is 4 Kbytes. There are 32 APB Bridge 0 sectors in total., array offset: 0x104, array step: 0x30 */\r
-    __IO uint32_t SEC_CTRL_APB_BRIDGE0_MEM_CTRL2;    /**< Security access rules for APB Bridge 0 peripherals. Each APB bridge sector is 4 Kbytes. There are 32 APB Bridge 0 sectors in total., array offset: 0x108, array step: 0x30 */\r
-    __IO uint32_t SEC_CTRL_APB_BRIDGE0_MEM_CTRL3;    /**< Security access rules for APB Bridge 0 peripherals. Each APB bridge sector is 4 Kbytes. There are 32 APB Bridge 0 sectors in total., array offset: 0x10C, array step: 0x30 */\r
-    __IO uint32_t SEC_CTRL_APB_BRIDGE1_MEM_CTRL0;    /**< Security access rules for APB Bridge 1 peripherals. Each APB bridge sector is 4 Kbytes. There are 32 APB Bridge 1 sectors in total., array offset: 0x110, array step: 0x30 */\r
-    __IO uint32_t SEC_CTRL_APB_BRIDGE1_MEM_CTRL1;    /**< Security access rules for APB Bridge 1 peripherals. Each APB bridge sector is 4 Kbytes. There are 32 APB Bridge 1 sectors in total., array offset: 0x114, array step: 0x30 */\r
-    __IO uint32_t SEC_CTRL_APB_BRIDGE1_MEM_CTRL2;    /**< Security access rules for APB Bridge 1 peripherals. Each APB bridge sector is 4 Kbytes. There are 32 APB Bridge 1 sectors in total., array offset: 0x118, array step: 0x30 */\r
-    __IO uint32_t SEC_CTRL_APB_BRIDGE1_MEM_CTRL3;    /**< Security access rules for APB Bridge 1 peripherals. Each APB bridge sector is 4 Kbytes. There are 32 APB Bridge 1 sectors in total., array offset: 0x11C, array step: 0x30 */\r
-  } SEC_CTRL_APB_BRIDGE[1];\r
-  __IO uint32_t SEC_CTRL_AHB0_0_SLAVE_RULE;        /**< Security access rules for AHB peripherals., offset: 0x120 */\r
-  __IO uint32_t SEC_CTRL_AHB0_1_SLAVE_RULE;        /**< Security access rules for AHB peripherals., offset: 0x124 */\r
-       uint8_t RESERVED_6[8];\r
-  __IO uint32_t SEC_CTRL_AHB1_0_SLAVE_RULE;        /**< Security access rules for AHB peripherals., offset: 0x130 */\r
-  __IO uint32_t SEC_CTRL_AHB1_1_SLAVE_RULE;        /**< Security access rules for AHB peripherals., offset: 0x134 */\r
-       uint8_t RESERVED_7[12];\r
-  struct {                                         /* offset: 0x144, array step: 0x14 */\r
-    __IO uint32_t SEC_CTRL_AHB2_0_SLAVE_RULE;        /**< Security access rules for AHB peripherals., array offset: 0x144, array step: 0x14 */\r
-    __IO uint32_t SEC_CTRL_AHB2_1_SLAVE_RULE;        /**< Security access rules for AHB peripherals., array offset: 0x148, array step: 0x14 */\r
-         uint8_t RESERVED_0[8];\r
-    __IO uint32_t SEC_CTRL_AHB2_0_MEM_RULE[1];       /**< , array offset: 0x154, array step: index*0x14, index2*0x4 */\r
-  } SEC_CTRL_AHB2[1];\r
-       uint8_t RESERVED_8[8];\r
-  struct {                                         /* offset: 0x160, array step: 0x14 */\r
-    __IO uint32_t SLAVE_RULE;                        /**< , array offset: 0x160, array step: 0x14 */\r
-         uint8_t RESERVED_0[12];\r
-    __IO uint32_t MEM_RULE[1];                       /**< , array offset: 0x170, array step: index*0x14, index2*0x4 */\r
-  } SEC_CTRL_USB_HS[1];\r
-       uint8_t RESERVED_9[3212];\r
-  __I  uint32_t SEC_VIO_ADDR[18];                  /**< most recent security violation address for AHB layer n, array offset: 0xE00, array step: 0x4 */\r
-       uint8_t RESERVED_10[56];\r
-  __I  uint32_t SEC_VIO_MISC_INFO[18];             /**< most recent security violation miscellaneous information for AHB layer n, array offset: 0xE80, array step: 0x4 */\r
-       uint8_t RESERVED_11[56];\r
-  __IO uint32_t SEC_VIO_INFO_VALID;                /**< security violation address/information registers valid flags, offset: 0xF00 */\r
-       uint8_t RESERVED_12[124];\r
-  __IO uint32_t SEC_GPIO_MASK0;                    /**< Secure GPIO mask for port 0 pins. This register is used to block leakage of Secure interface (GPIOs, I2C, UART configured as secure peripherals) pin states to non-secure world., offset: 0xF80 */\r
-  __IO uint32_t SEC_GPIO_MASK1;                    /**< Secure GPIO mask for port 1 pins., offset: 0xF84 */\r
-  __IO uint32_t SEC_GPIO_MASK2;                    /**< Secure GPIO mask for port 2 pins., offset: 0xF88 */\r
-  __IO uint32_t SEC_GPIO_MASK3;                    /**< Secure GPIO mask for port 3 pins., offset: 0xF8C */\r
-  __IO uint32_t SEC_CPU_INT_MASK0;                 /**< Secure Interrupt mask for CPU1, offset: 0xF90 */\r
-  __IO uint32_t SEC_CPU_INT_MASK1;                 /**< Secure Interrupt mask for CPU1, offset: 0xF94 */\r
-       uint8_t RESERVED_13[36];\r
-  __IO uint32_t SEC_MASK_LOCK;                     /**< Security General Purpose register access control., offset: 0xFBC */\r
-       uint8_t RESERVED_14[16];\r
-  __IO uint32_t MASTER_SEC_LEVEL;                  /**< master secure level register, offset: 0xFD0 */\r
-  __IO uint32_t MASTER_SEC_ANTI_POL_REG;           /**< master secure level anti-pole register, offset: 0xFD4 */\r
-       uint8_t RESERVED_15[20];\r
-  __IO uint32_t CM33_LOCK_REG;                     /**< Miscalleneous control signals for in CM33 (CPU0), offset: 0xFEC */\r
-  __IO uint32_t MCM33_LOCK_REG;                    /**< Miscalleneous control signals for in micro-CM33 (CPU1), offset: 0xFF0 */\r
-       uint8_t RESERVED_16[4];\r
-  __IO uint32_t MISC_CTRL_DP_REG;                  /**< secure control duplicate register, offset: 0xFF8 */\r
-  __IO uint32_t MISC_CTRL_REG;                     /**< secure control register, offset: 0xFFC */\r
+typedef struct\r
+{\r
+    struct\r
+    { /* offset: 0x0, array step: 0x30 */\r
+        __IO uint32_t\r
+            SLAVE_RULE; /**< Security access rules for Flash and ROM slaves., array offset: 0x0, array step: 0x30 */\r
+        uint8_t RESERVED_0[12];\r
+        __IO uint32_t SEC_CTRL_FLASH_MEM_RULE[3]; /**< Security access rules for FLASH sector 0 to sector 20. Each Flash\r
+                                                     sector is 32 Kbytes. There are 20 FLASH sectors in total., array\r
+                                                     offset: 0x10, array step: index*0x30, index2*0x4 */\r
+        uint8_t RESERVED_1[4];\r
+        __IO uint32_t SEC_CTRL_ROM_MEM_RULE[4]; /**< Security access rules for ROM sector 0 to sector 31. Each ROM\r
+                                                   sector is 4 Kbytes. There are 32 ROM sectors in total., array offset:\r
+                                                   0x20, array step: index*0x30, index2*0x4 */\r
+    } SEC_CTRL_FLASH_ROM[1];\r
+    struct\r
+    {                             /* offset: 0x30, array step: 0x14 */\r
+        __IO uint32_t SLAVE_RULE; /**< Security access rules for RAMX slaves., array offset: 0x30, array step: 0x14 */\r
+        uint8_t RESERVED_0[12];\r
+        __IO uint32_t MEM_RULE[1]; /**< Security access rules for RAMX slaves., array offset: 0x40, array step:\r
+                                      index*0x14, index2*0x4 */\r
+    } SEC_CTRL_RAMX[1];\r
+    uint8_t RESERVED_0[12];\r
+    struct\r
+    {                             /* offset: 0x50, array step: 0x18 */\r
+        __IO uint32_t SLAVE_RULE; /**< Security access rules for RAM0 slaves., array offset: 0x50, array step: 0x18 */\r
+        uint8_t RESERVED_0[12];\r
+        __IO uint32_t MEM_RULE[2]; /**< Security access rules for RAM0 slaves., array offset: 0x60, array step:\r
+                                      index*0x18, index2*0x4 */\r
+    } SEC_CTRL_RAM0[1];\r
+    uint8_t RESERVED_1[8];\r
+    struct\r
+    {                             /* offset: 0x70, array step: 0x18 */\r
+        __IO uint32_t SLAVE_RULE; /**< Security access rules for RAM1 slaves., array offset: 0x70, array step: 0x18 */\r
+        uint8_t RESERVED_0[12];\r
+        __IO uint32_t MEM_RULE[2]; /**< Security access rules for RAM1 slaves., array offset: 0x80, array step:\r
+                                      index*0x18, index2*0x4 */\r
+    } SEC_CTRL_RAM1[1];\r
+    uint8_t RESERVED_2[8];\r
+    struct\r
+    {                             /* offset: 0x90, array step: 0x18 */\r
+        __IO uint32_t SLAVE_RULE; /**< Security access rules for RAM2 slaves., array offset: 0x90, array step: 0x18 */\r
+        uint8_t RESERVED_0[12];\r
+        __IO uint32_t MEM_RULE[2]; /**< Security access rules for RAM2 slaves., array offset: 0xA0, array step:\r
+                                      index*0x18, index2*0x4 */\r
+    } SEC_CTRL_RAM2[1];\r
+    uint8_t RESERVED_3[8];\r
+    struct\r
+    {                             /* offset: 0xB0, array step: 0x18 */\r
+        __IO uint32_t SLAVE_RULE; /**< Security access rules for RAM3 slaves., array offset: 0xB0, array step: 0x18 */\r
+        uint8_t RESERVED_0[12];\r
+        __IO uint32_t MEM_RULE[2]; /**< Security access rules for RAM3 slaves., array offset: 0xC0, array step:\r
+                                      index*0x18, index2*0x4 */\r
+    } SEC_CTRL_RAM3[1];\r
+    uint8_t RESERVED_4[8];\r
+    struct\r
+    {                             /* offset: 0xD0, array step: 0x14 */\r
+        __IO uint32_t SLAVE_RULE; /**< Security access rules for RAM4 slaves., array offset: 0xD0, array step: 0x14 */\r
+        uint8_t RESERVED_0[12];\r
+        __IO uint32_t MEM_RULE[1]; /**< Security access rules for RAM4 slaves., array offset: 0xE0, array step:\r
+                                      index*0x14, index2*0x4 */\r
+    } SEC_CTRL_RAM4[1];\r
+    uint8_t RESERVED_5[12];\r
+    struct\r
+    { /* offset: 0xF0, array step: 0x30 */\r
+        __IO uint32_t\r
+            SLAVE_RULE; /**< Security access rules for both APB Bridges slaves., array offset: 0xF0, array step: 0x30 */\r
+        uint8_t RESERVED_0[12];\r
+        __IO uint32_t SEC_CTRL_APB_BRIDGE0_MEM_CTRL0; /**< Security access rules for APB Bridge 0 peripherals. Each APB\r
+                                                         bridge sector is 4 Kbytes. There are 32 APB Bridge 0 sectors in\r
+                                                         total., array offset: 0x100, array step: 0x30 */\r
+        __IO uint32_t SEC_CTRL_APB_BRIDGE0_MEM_CTRL1; /**< Security access rules for APB Bridge 0 peripherals. Each APB\r
+                                                         bridge sector is 4 Kbytes. There are 32 APB Bridge 0 sectors in\r
+                                                         total., array offset: 0x104, array step: 0x30 */\r
+        __IO uint32_t SEC_CTRL_APB_BRIDGE0_MEM_CTRL2; /**< Security access rules for APB Bridge 0 peripherals. Each APB\r
+                                                         bridge sector is 4 Kbytes. There are 32 APB Bridge 0 sectors in\r
+                                                         total., array offset: 0x108, array step: 0x30 */\r
+        uint8_t RESERVED_1[4];\r
+        __IO uint32_t SEC_CTRL_APB_BRIDGE1_MEM_CTRL0; /**< Security access rules for APB Bridge 1 peripherals. Each APB\r
+                                                         bridge sector is 4 Kbytes. There are 32 APB Bridge 1 sectors in\r
+                                                         total., array offset: 0x110, array step: 0x30 */\r
+        __IO uint32_t SEC_CTRL_APB_BRIDGE1_MEM_CTRL1; /**< Security access rules for APB Bridge 1 peripherals. Each APB\r
+                                                         bridge sector is 4 Kbytes. There are 32 APB Bridge 1 sectors in\r
+                                                         total., array offset: 0x114, array step: 0x30 */\r
+        __IO uint32_t SEC_CTRL_APB_BRIDGE1_MEM_CTRL2; /**< Security access rules for APB Bridge 1 peripherals. Each APB\r
+                                                         bridge sector is 4 Kbytes. There are 32 APB Bridge 1 sectors in\r
+                                                         total., array offset: 0x118, array step: 0x30 */\r
+        __IO uint32_t SEC_CTRL_APB_BRIDGE1_MEM_CTRL3; /**< Security access rules for APB Bridge 1 peripherals. Each APB\r
+                                                         bridge sector is 4 Kbytes. There are 32 APB Bridge 1 sectors in\r
+                                                         total., array offset: 0x11C, array step: 0x30 */\r
+    } SEC_CTRL_APB_BRIDGE[1];\r
+    __IO uint32_t SEC_CTRL_AHB_PORT8_SLAVE0_RULE; /**< Security access rules for AHB peripherals., offset: 0x120 */\r
+    __IO uint32_t SEC_CTRL_AHB_PORT8_SLAVE1_RULE; /**< Security access rules for AHB peripherals., offset: 0x124 */\r
+    uint8_t RESERVED_6[8];\r
+    __IO uint32_t SEC_CTRL_AHB_PORT9_SLAVE0_RULE; /**< Security access rules for AHB peripherals., offset: 0x130 */\r
+    __IO uint32_t SEC_CTRL_AHB_PORT9_SLAVE1_RULE; /**< Security access rules for AHB peripherals., offset: 0x134 */\r
+    uint8_t RESERVED_7[8];\r
+    struct\r
+    { /* offset: 0x140, array step: 0x14 */\r
+        __IO uint32_t\r
+            SLAVE0_RULE; /**< Security access rules for AHB peripherals., array offset: 0x140, array step: 0x14 */\r
+        __IO uint32_t\r
+            SLAVE1_RULE; /**< Security access rules for AHB peripherals., array offset: 0x144, array step: 0x14 */\r
+        uint8_t RESERVED_0[8];\r
+        __IO uint32_t SEC_CTRL_AHB_SEC_CTRL_MEM_RULE[1]; /**< Security access rules for AHB_SEC_CTRL_AHB., array offset:\r
+                                                            0x150, array step: index*0x14, index2*0x4 */\r
+    } SEC_CTRL_AHB_PORT10[1];\r
+    uint8_t RESERVED_8[12];\r
+    struct\r
+    {                             /* offset: 0x160, array step: 0x14 */\r
+        __IO uint32_t SLAVE_RULE; /**< Security access rules for USB High speed RAM slaves., array offset: 0x160, array\r
+                                     step: 0x14 */\r
+        uint8_t RESERVED_0[12];\r
+        __IO uint32_t MEM_RULE[1]; /**< Security access rules for RAM_USB_HS., array offset: 0x170, array step:\r
+                                      index*0x14, index2*0x4 */\r
+    } SEC_CTRL_USB_HS[1];\r
+    uint8_t RESERVED_9[3212];\r
+    __I uint32_t SEC_VIO_ADDR[12]; /**< most recent security violation address for AHB port n, array offset: 0xE00,\r
+                                      array step: 0x4 */\r
+    uint8_t RESERVED_10[80];\r
+    __I uint32_t SEC_VIO_MISC_INFO[12]; /**< most recent security violation miscellaneous information for AHB port n,\r
+                                           array offset: 0xE80, array step: 0x4 */\r
+    uint8_t RESERVED_11[80];\r
+    __IO uint32_t\r
+        SEC_VIO_INFO_VALID; /**< security violation address/information registers valid flags, offset: 0xF00 */\r
+    uint8_t RESERVED_12[124];\r
+    __IO uint32_t SEC_GPIO_MASK0; /**< Secure GPIO mask for port 0 pins., offset: 0xF80 */\r
+    __IO uint32_t SEC_GPIO_MASK1; /**< Secure GPIO mask for port 1 pins., offset: 0xF84 */\r
+    uint8_t RESERVED_13[8];\r
+    __IO uint32_t SEC_CPU_INT_MASK0; /**< Secure Interrupt mask for CPU1, offset: 0xF90 */\r
+    __IO uint32_t SEC_CPU_INT_MASK1; /**< Secure Interrupt mask for CPU1, offset: 0xF94 */\r
+    uint8_t RESERVED_14[36];\r
+    __IO uint32_t SEC_MASK_LOCK; /**< Security General Purpose register access control., offset: 0xFBC */\r
+    uint8_t RESERVED_15[16];\r
+    __IO uint32_t MASTER_SEC_LEVEL;        /**< master secure level register, offset: 0xFD0 */\r
+    __IO uint32_t MASTER_SEC_ANTI_POL_REG; /**< master secure level anti-pole register, offset: 0xFD4 */\r
+    uint8_t RESERVED_16[20];\r
+    __IO uint32_t CPU0_LOCK_REG; /**< Miscalleneous control signals for in Cortex M33 (CPU0), offset: 0xFEC */\r
+    __IO uint32_t CPU1_LOCK_REG; /**< Miscalleneous control signals for in micro-Cortex M33 (CPU1), offset: 0xFF0 */\r
+    uint8_t RESERVED_17[4];\r
+    __IO uint32_t MISC_CTRL_DP_REG; /**< secure control duplicate register, offset: 0xFF8 */\r
+    __IO uint32_t MISC_CTRL_REG;    /**< secure control register, offset: 0xFFC */\r
 } AHB_SECURE_CTRL_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -1356,7 +1478,7 @@ typedef struct {
  * @{\r
  */\r
 \r
-/*! @name SEC_CTRL_FLASH_ROM_SLAVE_RULE -  */\r
+/*! @name SEC_CTRL_FLASH_ROM_SLAVE_RULE - Security access rules for Flash and ROM slaves. */\r
 /*! @{ */\r
 #define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SLAVE_RULE_FLASH_RULE_MASK (0x3U)\r
 #define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SLAVE_RULE_FLASH_RULE_SHIFT (0U)\r
@@ -1366,7 +1488,9 @@ typedef struct {
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SLAVE_RULE_FLASH_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SLAVE_RULE_FLASH_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SLAVE_RULE_FLASH_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SLAVE_RULE_FLASH_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SLAVE_RULE_FLASH_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SLAVE_RULE_FLASH_RULE_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SLAVE_RULE_ROM_RULE_MASK (0x30U)\r
 #define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SLAVE_RULE_ROM_RULE_SHIFT (4U)\r
 /*! ROM_RULE - Security access rules for the whole ROM : 0x0300_0000 - 0x0301_FFFF\r
@@ -1375,175 +1499,211 @@ typedef struct {
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SLAVE_RULE_ROM_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SLAVE_RULE_ROM_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SLAVE_RULE_ROM_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SLAVE_RULE_ROM_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SLAVE_RULE_ROM_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SLAVE_RULE_ROM_RULE_MASK)\r
 /*! @} */\r
 \r
 /* The count of AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SLAVE_RULE */\r
 #define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SLAVE_RULE_COUNT (1U)\r
 \r
-/*! @name SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE - Security access rules for FLASH sector 0 to sector 20. Each Flash sector is 32 Kbytes. There are 20 FLASH sectors in total. */\r
+/*! @name SEC_CTRL_FLASH_MEM_RULE - Security access rules for FLASH sector 0 to sector 20. Each Flash sector is 32\r
+ * Kbytes. There are 20 FLASH sectors in total. */\r
 /*! @{ */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_RULE0_MASK (0x3U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_RULE0_SHIFT (0U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_RULE0_MASK (0x3U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_RULE0_SHIFT (0U)\r
 /*! RULE0 - secure control rule0. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_RULE0(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_RULE0_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_RULE0_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_RULE1_MASK (0x30U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_RULE1_SHIFT (4U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_RULE0(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_RULE0_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_RULE0_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_RULE1_MASK (0x30U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_RULE1_SHIFT (4U)\r
 /*! RULE1 - secure control rule1. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_RULE1(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_RULE1_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_RULE1_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_RULE2_MASK (0x300U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_RULE2_SHIFT (8U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_RULE1(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_RULE1_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_RULE1_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_RULE2_MASK (0x300U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_RULE2_SHIFT (8U)\r
 /*! RULE2 - secure control rule2. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_RULE2(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_RULE2_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_RULE2_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_RULE3_MASK (0x3000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_RULE3_SHIFT (12U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_RULE2(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_RULE2_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_RULE2_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_RULE3_MASK (0x3000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_RULE3_SHIFT (12U)\r
 /*! RULE3 - secure control rule3. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_RULE3(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_RULE3_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_RULE3_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_RULE4_MASK (0x30000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_RULE4_SHIFT (16U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_RULE3(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_RULE3_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_RULE3_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_RULE4_MASK (0x30000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_RULE4_SHIFT (16U)\r
 /*! RULE4 - secure control rule4. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_RULE4(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_RULE4_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_RULE4_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_RULE5_MASK (0x300000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_RULE5_SHIFT (20U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_RULE4(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_RULE4_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_RULE4_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_RULE5_MASK (0x300000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_RULE5_SHIFT (20U)\r
 /*! RULE5 - secure control rule5. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_RULE5(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_RULE5_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_RULE5_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_RULE6_MASK (0x3000000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_RULE6_SHIFT (24U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_RULE5(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_RULE5_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_RULE5_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_RULE6_MASK (0x3000000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_RULE6_SHIFT (24U)\r
 /*! RULE6 - secure control rule6. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_RULE6(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_RULE6_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_RULE6_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_RULE7_MASK (0x30000000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_RULE7_SHIFT (28U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_RULE6(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_RULE6_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_RULE6_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_RULE7_MASK (0x30000000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_RULE7_SHIFT (28U)\r
 /*! RULE7 - secure control rule7. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_RULE7(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_RULE7_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_RULE7_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_RULE7(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_RULE7_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_RULE7_MASK)\r
 /*! @} */\r
 \r
-/* The count of AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_COUNT (1U)\r
+/* The count of AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE */\r
+#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_COUNT (1U)\r
 \r
-/* The count of AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_FLASH_MEM_RULE_COUNT2 (3U)\r
+/* The count of AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE */\r
+#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_MEM_RULE_COUNT2 (3U)\r
 \r
-/*! @name SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE - Security access rules for ROM sector 0 to sector 31. Each ROM sector is 4 Kbytes. There are 32 ROM sectors in total. */\r
+/*! @name SEC_CTRL_ROM_MEM_RULE - Security access rules for ROM sector 0 to sector 31. Each ROM sector is 4 Kbytes.\r
+ * There are 32 ROM sectors in total. */\r
 /*! @{ */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_RULE0_MASK (0x3U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_RULE0_SHIFT (0U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_RULE0_MASK (0x3U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_RULE0_SHIFT (0U)\r
 /*! RULE0 - secure control rule0. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_RULE0(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_RULE0_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_RULE0_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_RULE1_MASK (0x30U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_RULE1_SHIFT (4U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_RULE0(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_RULE0_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_RULE0_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_RULE1_MASK (0x30U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_RULE1_SHIFT (4U)\r
 /*! RULE1 - secure control rule1. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_RULE1(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_RULE1_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_RULE1_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_RULE2_MASK (0x300U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_RULE2_SHIFT (8U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_RULE1(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_RULE1_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_RULE1_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_RULE2_MASK (0x300U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_RULE2_SHIFT (8U)\r
 /*! RULE2 - secure control rule2. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_RULE2(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_RULE2_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_RULE2_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_RULE3_MASK (0x3000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_RULE3_SHIFT (12U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_RULE2(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_RULE2_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_RULE2_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_RULE3_MASK (0x3000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_RULE3_SHIFT (12U)\r
 /*! RULE3 - secure control rule3. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_RULE3(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_RULE3_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_RULE3_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_RULE4_MASK (0x30000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_RULE4_SHIFT (16U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_RULE3(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_RULE3_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_RULE3_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_RULE4_MASK (0x30000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_RULE4_SHIFT (16U)\r
 /*! RULE4 - secure control rule4. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_RULE4(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_RULE4_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_RULE4_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_RULE5_MASK (0x300000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_RULE5_SHIFT (20U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_RULE4(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_RULE4_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_RULE4_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_RULE5_MASK (0x300000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_RULE5_SHIFT (20U)\r
 /*! RULE5 - secure control rule5. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_RULE5(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_RULE5_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_RULE5_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_RULE6_MASK (0x3000000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_RULE6_SHIFT (24U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_RULE5(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_RULE5_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_RULE5_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_RULE6_MASK (0x3000000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_RULE6_SHIFT (24U)\r
 /*! RULE6 - secure control rule6. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_RULE6(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_RULE6_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_RULE6_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_RULE7_MASK (0x30000000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_RULE7_SHIFT (28U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_RULE6(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_RULE6_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_RULE6_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_RULE7_MASK (0x30000000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_RULE7_SHIFT (28U)\r
 /*! RULE7 - secure control rule7. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_RULE7(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_RULE7_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_RULE7_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_RULE7(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_RULE7_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_RULE7_MASK)\r
 /*! @} */\r
 \r
-/* The count of AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_COUNT (1U)\r
+/* The count of AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE */\r
+#define AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_COUNT (1U)\r
 \r
-/* The count of AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_FLASH_ROM_SEC_CTRL_ROM_MEM_RULE_COUNT2 (4U)\r
+/* The count of AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE */\r
+#define AHB_SECURE_CTRL_SEC_CTRL_ROM_MEM_RULE_COUNT2 (4U)\r
 \r
 /*! @name SEC_CTRL_RAMX_SLAVE_RULE - Security access rules for RAMX slaves. */\r
 /*! @{ */\r
@@ -1555,93 +1715,111 @@ typedef struct {
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_SLAVE_RULE_RAMX_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAMX_SLAVE_RULE_RAMX_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAMX_SLAVE_RULE_RAMX_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_SLAVE_RULE_RAMX_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAMX_SLAVE_RULE_RAMX_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAMX_SLAVE_RULE_RAMX_RULE_MASK)\r
 /*! @} */\r
 \r
 /* The count of AHB_SECURE_CTRL_SEC_CTRL_RAMX_SLAVE_RULE */\r
 #define AHB_SECURE_CTRL_SEC_CTRL_RAMX_SLAVE_RULE_COUNT (1U)\r
 \r
-/*! @name SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE -  */\r
+/*! @name SEC_CTRL_RAMX_MEM_RULE - Security access rules for RAMX slaves. */\r
 /*! @{ */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_RULE0_MASK (0x3U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_RULE0_SHIFT (0U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_RULE0_MASK (0x3U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_RULE0_SHIFT (0U)\r
 /*! RULE0 - secure control rule0. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_RULE0(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_RULE0_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_RULE0_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_RULE1_MASK (0x30U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_RULE1_SHIFT (4U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_RULE0(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_RULE0_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_RULE0_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_RULE1_MASK (0x30U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_RULE1_SHIFT (4U)\r
 /*! RULE1 - secure control rule1. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_RULE1(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_RULE1_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_RULE1_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_RULE2_MASK (0x300U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_RULE2_SHIFT (8U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_RULE1(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_RULE1_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_RULE1_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_RULE2_MASK (0x300U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_RULE2_SHIFT (8U)\r
 /*! RULE2 - secure control rule2. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_RULE2(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_RULE2_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_RULE2_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_RULE3_MASK (0x3000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_RULE3_SHIFT (12U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_RULE2(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_RULE2_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_RULE2_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_RULE3_MASK (0x3000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_RULE3_SHIFT (12U)\r
 /*! RULE3 - secure control rule3. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_RULE3(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_RULE3_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_RULE3_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_RULE4_MASK (0x30000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_RULE4_SHIFT (16U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_RULE3(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_RULE3_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_RULE3_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_RULE4_MASK (0x30000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_RULE4_SHIFT (16U)\r
 /*! RULE4 - secure control rule4. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_RULE4(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_RULE4_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_RULE4_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_RULE5_MASK (0x300000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_RULE5_SHIFT (20U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_RULE4(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_RULE4_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_RULE4_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_RULE5_MASK (0x300000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_RULE5_SHIFT (20U)\r
 /*! RULE5 - secure control rule5. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_RULE5(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_RULE5_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_RULE5_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_RULE6_MASK (0x3000000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_RULE6_SHIFT (24U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_RULE5(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_RULE5_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_RULE5_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_RULE6_MASK (0x3000000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_RULE6_SHIFT (24U)\r
 /*! RULE6 - secure control rule6. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_RULE6(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_RULE6_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_RULE6_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_RULE7_MASK (0x30000000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_RULE7_SHIFT (28U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_RULE6(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_RULE6_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_RULE6_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_RULE7_MASK (0x30000000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_RULE7_SHIFT (28U)\r
 /*! RULE7 - secure control rule7. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_RULE7(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_RULE7_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_RULE7_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_RULE7(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_RULE7_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_RULE7_MASK)\r
 /*! @} */\r
 \r
-/* The count of AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_COUNT (1U)\r
+/* The count of AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE */\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_COUNT (1U)\r
 \r
-/* The count of AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_SEC_CTRL_RAMX_MEM_RULE_MEM_RULE_COUNT2 (1U)\r
+/* The count of AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE */\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAMX_MEM_RULE_COUNT2 (1U)\r
 \r
 /*! @name SEC_CTRL_RAM0_SLAVE_RULE - Security access rules for RAM0 slaves. */\r
 /*! @{ */\r
@@ -1653,191 +1831,227 @@ typedef struct {
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_SLAVE_RULE_RAM0_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM0_SLAVE_RULE_RAM0_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAM0_SLAVE_RULE_RAM0_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_SLAVE_RULE_RAM0_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM0_SLAVE_RULE_RAM0_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAM0_SLAVE_RULE_RAM0_RULE_MASK)\r
 /*! @} */\r
 \r
 /* The count of AHB_SECURE_CTRL_SEC_CTRL_RAM0_SLAVE_RULE */\r
 #define AHB_SECURE_CTRL_SEC_CTRL_RAM0_SLAVE_RULE_COUNT (1U)\r
 \r
-/*! @name SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE -  */\r
+/*! @name SEC_CTRL_RAM0_MEM_RULE - Security access rules for RAM0 slaves. */\r
 /*! @{ */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_RULE0_MASK (0x3U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_RULE0_SHIFT (0U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_RULE0_MASK (0x3U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_RULE0_SHIFT (0U)\r
 /*! RULE0 - secure control rule0. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_RULE0(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_RULE0_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_RULE0_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_RULE1_MASK (0x30U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_RULE1_SHIFT (4U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_RULE0(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_RULE0_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_RULE0_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_RULE1_MASK (0x30U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_RULE1_SHIFT (4U)\r
 /*! RULE1 - secure control rule1. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_RULE1(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_RULE1_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_RULE1_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_RULE2_MASK (0x300U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_RULE2_SHIFT (8U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_RULE1(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_RULE1_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_RULE1_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_RULE2_MASK (0x300U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_RULE2_SHIFT (8U)\r
 /*! RULE2 - secure control rule2. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_RULE2(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_RULE2_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_RULE2_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_RULE3_MASK (0x3000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_RULE3_SHIFT (12U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_RULE2(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_RULE2_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_RULE2_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_RULE3_MASK (0x3000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_RULE3_SHIFT (12U)\r
 /*! RULE3 - secure control rule3. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_RULE3(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_RULE3_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_RULE3_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_RULE4_MASK (0x30000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_RULE4_SHIFT (16U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_RULE3(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_RULE3_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_RULE3_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_RULE4_MASK (0x30000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_RULE4_SHIFT (16U)\r
 /*! RULE4 - secure control rule4. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_RULE4(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_RULE4_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_RULE4_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_RULE5_MASK (0x300000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_RULE5_SHIFT (20U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_RULE4(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_RULE4_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_RULE4_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_RULE5_MASK (0x300000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_RULE5_SHIFT (20U)\r
 /*! RULE5 - secure control rule5. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_RULE5(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_RULE5_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_RULE5_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_RULE6_MASK (0x3000000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_RULE6_SHIFT (24U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_RULE5(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_RULE5_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_RULE5_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_RULE6_MASK (0x3000000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_RULE6_SHIFT (24U)\r
 /*! RULE6 - secure control rule6. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_RULE6(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_RULE6_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_RULE6_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_RULE7_MASK (0x30000000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_RULE7_SHIFT (28U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_RULE6(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_RULE6_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_RULE6_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_RULE7_MASK (0x30000000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_RULE7_SHIFT (28U)\r
 /*! RULE7 - secure control rule7. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_RULE7(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_RULE7_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_RULE7_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_RULE7(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_RULE7_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_RULE7_MASK)\r
 /*! @} */\r
 \r
-/* The count of AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_COUNT (1U)\r
+/* The count of AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE */\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_COUNT (1U)\r
 \r
-/* The count of AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_SEC_CTRL_RAM0_MEM_RULE_MEM_RULE_COUNT2 (2U)\r
+/* The count of AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE */\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM0_MEM_RULE_COUNT2 (2U)\r
 \r
 /*! @name SEC_CTRL_RAM1_SLAVE_RULE - Security access rules for RAM1 slaves. */\r
 /*! @{ */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_SLAVE_RULE_RAM0_RULE_MASK (0x3U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_SLAVE_RULE_RAM0_RULE_SHIFT (0U)\r
-/*! RAM0_RULE - Security access rules for the whole RAM1 : 0x2001_0000 - 0x2001_FFFF" name="0\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_SLAVE_RULE_RAM1_RULE_MASK (0x3U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_SLAVE_RULE_RAM1_RULE_SHIFT (0U)\r
+/*! RAM1_RULE - Security access rules for the whole RAM1 : 0x2001_0000 - 0x2001_FFFF" name="0\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_SLAVE_RULE_RAM0_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM1_SLAVE_RULE_RAM0_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAM1_SLAVE_RULE_RAM0_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_SLAVE_RULE_RAM1_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM1_SLAVE_RULE_RAM1_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAM1_SLAVE_RULE_RAM1_RULE_MASK)\r
 /*! @} */\r
 \r
 /* The count of AHB_SECURE_CTRL_SEC_CTRL_RAM1_SLAVE_RULE */\r
 #define AHB_SECURE_CTRL_SEC_CTRL_RAM1_SLAVE_RULE_COUNT (1U)\r
 \r
-/*! @name SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE -  */\r
+/*! @name SEC_CTRL_RAM1_MEM_RULE - Security access rules for RAM1 slaves. */\r
 /*! @{ */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_RULE0_MASK (0x3U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_RULE0_SHIFT (0U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_RULE0_MASK (0x3U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_RULE0_SHIFT (0U)\r
 /*! RULE0 - secure control rule0. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_RULE0(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_RULE0_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_RULE0_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_RULE1_MASK (0x30U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_RULE1_SHIFT (4U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_RULE0(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_RULE0_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_RULE0_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_RULE1_MASK (0x30U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_RULE1_SHIFT (4U)\r
 /*! RULE1 - secure control rule1. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_RULE1(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_RULE1_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_RULE1_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_RULE2_MASK (0x300U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_RULE2_SHIFT (8U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_RULE1(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_RULE1_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_RULE1_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_RULE2_MASK (0x300U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_RULE2_SHIFT (8U)\r
 /*! RULE2 - secure control rule2. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_RULE2(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_RULE2_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_RULE2_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_RULE3_MASK (0x3000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_RULE3_SHIFT (12U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_RULE2(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_RULE2_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_RULE2_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_RULE3_MASK (0x3000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_RULE3_SHIFT (12U)\r
 /*! RULE3 - secure control rule3. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_RULE3(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_RULE3_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_RULE3_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_RULE4_MASK (0x30000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_RULE4_SHIFT (16U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_RULE3(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_RULE3_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_RULE3_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_RULE4_MASK (0x30000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_RULE4_SHIFT (16U)\r
 /*! RULE4 - secure control rule4. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_RULE4(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_RULE4_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_RULE4_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_RULE5_MASK (0x300000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_RULE5_SHIFT (20U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_RULE4(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_RULE4_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_RULE4_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_RULE5_MASK (0x300000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_RULE5_SHIFT (20U)\r
 /*! RULE5 - secure control rule5. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_RULE5(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_RULE5_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_RULE5_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_RULE6_MASK (0x3000000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_RULE6_SHIFT (24U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_RULE5(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_RULE5_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_RULE5_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_RULE6_MASK (0x3000000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_RULE6_SHIFT (24U)\r
 /*! RULE6 - secure control rule6. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_RULE6(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_RULE6_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_RULE6_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_RULE7_MASK (0x30000000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_RULE7_SHIFT (28U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_RULE6(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_RULE6_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_RULE6_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_RULE7_MASK (0x30000000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_RULE7_SHIFT (28U)\r
 /*! RULE7 - secure control rule7. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_RULE7(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_RULE7_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_RULE7_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_RULE7(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_RULE7_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_RULE7_MASK)\r
 /*! @} */\r
 \r
-/* The count of AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_COUNT (1U)\r
+/* The count of AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE */\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_COUNT (1U)\r
 \r
-/* The count of AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_SEC_CTRL_RAM1_MEM_RULE_MEM_RULE_COUNT2 (2U)\r
+/* The count of AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE */\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM1_MEM_RULE_COUNT2 (2U)\r
 \r
 /*! @name SEC_CTRL_RAM2_SLAVE_RULE - Security access rules for RAM2 slaves. */\r
 /*! @{ */\r
@@ -1849,93 +2063,111 @@ typedef struct {
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_SLAVE_RULE_RAM2_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM2_SLAVE_RULE_RAM2_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAM2_SLAVE_RULE_RAM2_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_SLAVE_RULE_RAM2_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM2_SLAVE_RULE_RAM2_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAM2_SLAVE_RULE_RAM2_RULE_MASK)\r
 /*! @} */\r
 \r
 /* The count of AHB_SECURE_CTRL_SEC_CTRL_RAM2_SLAVE_RULE */\r
 #define AHB_SECURE_CTRL_SEC_CTRL_RAM2_SLAVE_RULE_COUNT (1U)\r
 \r
-/*! @name SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE -  */\r
+/*! @name SEC_CTRL_RAM2_MEM_RULE - Security access rules for RAM2 slaves. */\r
 /*! @{ */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_RULE0_MASK (0x3U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_RULE0_SHIFT (0U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_RULE0_MASK (0x3U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_RULE0_SHIFT (0U)\r
 /*! RULE0 - secure control rule0. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_RULE0(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_RULE0_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_RULE0_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_RULE1_MASK (0x30U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_RULE1_SHIFT (4U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_RULE0(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_RULE0_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_RULE0_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_RULE1_MASK (0x30U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_RULE1_SHIFT (4U)\r
 /*! RULE1 - secure control rule1. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_RULE1(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_RULE1_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_RULE1_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_RULE2_MASK (0x300U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_RULE2_SHIFT (8U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_RULE1(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_RULE1_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_RULE1_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_RULE2_MASK (0x300U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_RULE2_SHIFT (8U)\r
 /*! RULE2 - secure control rule2. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_RULE2(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_RULE2_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_RULE2_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_RULE3_MASK (0x3000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_RULE3_SHIFT (12U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_RULE2(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_RULE2_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_RULE2_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_RULE3_MASK (0x3000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_RULE3_SHIFT (12U)\r
 /*! RULE3 - secure control rule3. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_RULE3(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_RULE3_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_RULE3_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_RULE4_MASK (0x30000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_RULE4_SHIFT (16U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_RULE3(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_RULE3_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_RULE3_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_RULE4_MASK (0x30000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_RULE4_SHIFT (16U)\r
 /*! RULE4 - secure control rule4. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_RULE4(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_RULE4_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_RULE4_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_RULE5_MASK (0x300000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_RULE5_SHIFT (20U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_RULE4(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_RULE4_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_RULE4_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_RULE5_MASK (0x300000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_RULE5_SHIFT (20U)\r
 /*! RULE5 - secure control rule5. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_RULE5(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_RULE5_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_RULE5_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_RULE6_MASK (0x3000000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_RULE6_SHIFT (24U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_RULE5(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_RULE5_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_RULE5_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_RULE6_MASK (0x3000000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_RULE6_SHIFT (24U)\r
 /*! RULE6 - secure control rule6. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_RULE6(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_RULE6_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_RULE6_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_RULE7_MASK (0x30000000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_RULE7_SHIFT (28U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_RULE6(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_RULE6_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_RULE6_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_RULE7_MASK (0x30000000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_RULE7_SHIFT (28U)\r
 /*! RULE7 - secure control rule7. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_RULE7(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_RULE7_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_RULE7_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_RULE7(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_RULE7_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_RULE7_MASK)\r
 /*! @} */\r
 \r
-/* The count of AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_COUNT (1U)\r
+/* The count of AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE */\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_COUNT (1U)\r
 \r
-/* The count of AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_SEC_CTRL_RAM2_MEM_RULE_MEM_RULE_COUNT2 (2U)\r
+/* The count of AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE */\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM2_MEM_RULE_COUNT2 (2U)\r
 \r
 /*! @name SEC_CTRL_RAM3_SLAVE_RULE - Security access rules for RAM3 slaves. */\r
 /*! @{ */\r
@@ -1947,93 +2179,111 @@ typedef struct {
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_SLAVE_RULE_RAM3_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM3_SLAVE_RULE_RAM3_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAM3_SLAVE_RULE_RAM3_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_SLAVE_RULE_RAM3_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM3_SLAVE_RULE_RAM3_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAM3_SLAVE_RULE_RAM3_RULE_MASK)\r
 /*! @} */\r
 \r
 /* The count of AHB_SECURE_CTRL_SEC_CTRL_RAM3_SLAVE_RULE */\r
 #define AHB_SECURE_CTRL_SEC_CTRL_RAM3_SLAVE_RULE_COUNT (1U)\r
 \r
-/*! @name SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE -  */\r
+/*! @name SEC_CTRL_RAM3_MEM_RULE - Security access rules for RAM3 slaves. */\r
 /*! @{ */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_RULE0_MASK (0x3U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_RULE0_SHIFT (0U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_RULE0_MASK (0x3U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_RULE0_SHIFT (0U)\r
 /*! RULE0 - secure control rule0. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_RULE0(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_RULE0_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_RULE0_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_RULE1_MASK (0x30U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_RULE1_SHIFT (4U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_RULE0(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_RULE0_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_RULE0_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_RULE1_MASK (0x30U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_RULE1_SHIFT (4U)\r
 /*! RULE1 - secure control rule1. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_RULE1(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_RULE1_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_RULE1_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_RULE2_MASK (0x300U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_RULE2_SHIFT (8U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_RULE1(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_RULE1_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_RULE1_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_RULE2_MASK (0x300U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_RULE2_SHIFT (8U)\r
 /*! RULE2 - secure control rule2. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_RULE2(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_RULE2_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_RULE2_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_RULE3_MASK (0x3000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_RULE3_SHIFT (12U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_RULE2(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_RULE2_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_RULE2_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_RULE3_MASK (0x3000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_RULE3_SHIFT (12U)\r
 /*! RULE3 - secure control rule3. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_RULE3(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_RULE3_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_RULE3_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_RULE4_MASK (0x30000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_RULE4_SHIFT (16U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_RULE3(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_RULE3_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_RULE3_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_RULE4_MASK (0x30000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_RULE4_SHIFT (16U)\r
 /*! RULE4 - secure control rule4. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_RULE4(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_RULE4_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_RULE4_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_RULE5_MASK (0x300000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_RULE5_SHIFT (20U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_RULE4(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_RULE4_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_RULE4_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_RULE5_MASK (0x300000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_RULE5_SHIFT (20U)\r
 /*! RULE5 - secure control rule5. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_RULE5(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_RULE5_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_RULE5_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_RULE6_MASK (0x3000000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_RULE6_SHIFT (24U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_RULE5(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_RULE5_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_RULE5_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_RULE6_MASK (0x3000000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_RULE6_SHIFT (24U)\r
 /*! RULE6 - secure control rule6. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_RULE6(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_RULE6_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_RULE6_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_RULE7_MASK (0x30000000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_RULE7_SHIFT (28U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_RULE6(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_RULE6_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_RULE6_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_RULE7_MASK (0x30000000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_RULE7_SHIFT (28U)\r
 /*! RULE7 - secure control rule7. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_RULE7(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_RULE7_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_RULE7_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_RULE7(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_RULE7_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_RULE7_MASK)\r
 /*! @} */\r
 \r
-/* The count of AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_COUNT (1U)\r
+/* The count of AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE */\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_COUNT (1U)\r
 \r
-/* The count of AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_SEC_CTRL_RAM3_MEM_RULE_MEM_RULE_COUNT2 (2U)\r
+/* The count of AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE */\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM3_MEM_RULE_COUNT2 (2U)\r
 \r
 /*! @name SEC_CTRL_RAM4_SLAVE_RULE - Security access rules for RAM4 slaves. */\r
 /*! @{ */\r
@@ -2045,59 +2295,69 @@ typedef struct {
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM4_SLAVE_RULE_RAM4_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM4_SLAVE_RULE_RAM4_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAM4_SLAVE_RULE_RAM4_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM4_SLAVE_RULE_RAM4_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM4_SLAVE_RULE_RAM4_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAM4_SLAVE_RULE_RAM4_RULE_MASK)\r
 /*! @} */\r
 \r
 /* The count of AHB_SECURE_CTRL_SEC_CTRL_RAM4_SLAVE_RULE */\r
 #define AHB_SECURE_CTRL_SEC_CTRL_RAM4_SLAVE_RULE_COUNT (1U)\r
 \r
-/*! @name SEC_CTRL_RAM4_SEC_CTRL_RAM4_MEM_RULE_MEM_RULE -  */\r
+/*! @name SEC_CTRL_RAM4_MEM_RULE - Security access rules for RAM4 slaves. */\r
 /*! @{ */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM4_SEC_CTRL_RAM4_MEM_RULE_MEM_RULE_RULE0_MASK (0x3U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM4_SEC_CTRL_RAM4_MEM_RULE_MEM_RULE_RULE0_SHIFT (0U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM4_MEM_RULE_RULE0_MASK (0x3U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM4_MEM_RULE_RULE0_SHIFT (0U)\r
 /*! RULE0 - secure control rule0. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM4_SEC_CTRL_RAM4_MEM_RULE_MEM_RULE_RULE0(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM4_SEC_CTRL_RAM4_MEM_RULE_MEM_RULE_RULE0_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAM4_SEC_CTRL_RAM4_MEM_RULE_MEM_RULE_RULE0_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM4_SEC_CTRL_RAM4_MEM_RULE_MEM_RULE_RULE1_MASK (0x30U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM4_SEC_CTRL_RAM4_MEM_RULE_MEM_RULE_RULE1_SHIFT (4U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM4_MEM_RULE_RULE0(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM4_MEM_RULE_RULE0_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAM4_MEM_RULE_RULE0_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM4_MEM_RULE_RULE1_MASK (0x30U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM4_MEM_RULE_RULE1_SHIFT (4U)\r
 /*! RULE1 - secure control rule1. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM4_SEC_CTRL_RAM4_MEM_RULE_MEM_RULE_RULE1(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM4_SEC_CTRL_RAM4_MEM_RULE_MEM_RULE_RULE1_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAM4_SEC_CTRL_RAM4_MEM_RULE_MEM_RULE_RULE1_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM4_SEC_CTRL_RAM4_MEM_RULE_MEM_RULE_RULE2_MASK (0x300U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM4_SEC_CTRL_RAM4_MEM_RULE_MEM_RULE_RULE2_SHIFT (8U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM4_MEM_RULE_RULE1(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM4_MEM_RULE_RULE1_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAM4_MEM_RULE_RULE1_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM4_MEM_RULE_RULE2_MASK (0x300U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM4_MEM_RULE_RULE2_SHIFT (8U)\r
 /*! RULE2 - secure control rule2. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM4_SEC_CTRL_RAM4_MEM_RULE_MEM_RULE_RULE2(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM4_SEC_CTRL_RAM4_MEM_RULE_MEM_RULE_RULE2_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAM4_SEC_CTRL_RAM4_MEM_RULE_MEM_RULE_RULE2_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM4_SEC_CTRL_RAM4_MEM_RULE_MEM_RULE_RULE3_MASK (0x3000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM4_SEC_CTRL_RAM4_MEM_RULE_MEM_RULE_RULE3_SHIFT (12U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM4_MEM_RULE_RULE2(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM4_MEM_RULE_RULE2_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAM4_MEM_RULE_RULE2_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM4_MEM_RULE_RULE3_MASK (0x3000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM4_MEM_RULE_RULE3_SHIFT (12U)\r
 /*! RULE3 - secure control rule3. it can be set when check_reg's write_lock is '0'\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM4_SEC_CTRL_RAM4_MEM_RULE_MEM_RULE_RULE3(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM4_SEC_CTRL_RAM4_MEM_RULE_MEM_RULE_RULE3_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_RAM4_SEC_CTRL_RAM4_MEM_RULE_MEM_RULE_RULE3_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM4_MEM_RULE_RULE3(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_RAM4_MEM_RULE_RULE3_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_RAM4_MEM_RULE_RULE3_MASK)\r
 /*! @} */\r
 \r
-/* The count of AHB_SECURE_CTRL_SEC_CTRL_RAM4_SEC_CTRL_RAM4_MEM_RULE_MEM_RULE */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM4_SEC_CTRL_RAM4_MEM_RULE_MEM_RULE_COUNT (1U)\r
+/* The count of AHB_SECURE_CTRL_SEC_CTRL_RAM4_MEM_RULE */\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM4_MEM_RULE_COUNT (1U)\r
 \r
-/* The count of AHB_SECURE_CTRL_SEC_CTRL_RAM4_SEC_CTRL_RAM4_MEM_RULE_MEM_RULE */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_RAM4_SEC_CTRL_RAM4_MEM_RULE_MEM_RULE_COUNT2 (1U)\r
+/* The count of AHB_SECURE_CTRL_SEC_CTRL_RAM4_MEM_RULE */\r
+#define AHB_SECURE_CTRL_SEC_CTRL_RAM4_MEM_RULE_COUNT2 (1U)\r
 \r
-/*! @name SEC_CTRL_APB_BRIDGE_SLAVE_RULE -  */\r
+/*! @name SEC_CTRL_APB_BRIDGE_SLAVE_RULE - Security access rules for both APB Bridges slaves. */\r
 /*! @{ */\r
 #define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SLAVE_RULE_APBBRIDGE0_RULE_MASK (0x3U)\r
 #define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SLAVE_RULE_APBBRIDGE0_RULE_SHIFT (0U)\r
@@ -2107,7 +2367,9 @@ typedef struct {
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SLAVE_RULE_APBBRIDGE0_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SLAVE_RULE_APBBRIDGE0_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SLAVE_RULE_APBBRIDGE0_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SLAVE_RULE_APBBRIDGE0_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SLAVE_RULE_APBBRIDGE0_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SLAVE_RULE_APBBRIDGE0_RULE_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SLAVE_RULE_APBBRIDGE1_RULE_MASK (0x30U)\r
 #define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SLAVE_RULE_APBBRIDGE1_RULE_SHIFT (4U)\r
 /*! APBBRIDGE1_RULE - Security access rules for the whole APB Bridge 1\r
@@ -2116,667 +2378,738 @@ typedef struct {
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SLAVE_RULE_APBBRIDGE1_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SLAVE_RULE_APBBRIDGE1_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SLAVE_RULE_APBBRIDGE1_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SLAVE_RULE_APBBRIDGE1_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SLAVE_RULE_APBBRIDGE1_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SLAVE_RULE_APBBRIDGE1_RULE_MASK)\r
 /*! @} */\r
 \r
 /* The count of AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SLAVE_RULE */\r
 #define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SLAVE_RULE_COUNT (1U)\r
 \r
-/*! @name SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0 - Security access rules for APB Bridge 0 peripherals. Each APB bridge sector is 4 Kbytes. There are 32 APB Bridge 0 sectors in total. */\r
+/*! @name SEC_CTRL_APB_BRIDGE0_MEM_CTRL0 - Security access rules for APB Bridge 0 peripherals. Each APB bridge sector is\r
+ * 4 Kbytes. There are 32 APB Bridge 0 sectors in total. */\r
 /*! @{ */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_SYSCON_RULE_MASK (0x3U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_SYSCON_RULE_SHIFT (0U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_SYSCON_RULE_MASK (0x3U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_SYSCON_RULE_SHIFT (0U)\r
 /*! SYSCON_RULE - System Configuration\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_SYSCON_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_SYSCON_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_SYSCON_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_IOCON_RULE_MASK (0x30U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_IOCON_RULE_SHIFT (4U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_SYSCON_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_SYSCON_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_SYSCON_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_IOCON_RULE_MASK (0x30U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_IOCON_RULE_SHIFT (4U)\r
 /*! IOCON_RULE - I/O Configuration\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_IOCON_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_IOCON_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_IOCON_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_GINT0_RULE_MASK (0x300U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_GINT0_RULE_SHIFT (8U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_IOCON_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_IOCON_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_IOCON_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_GINT0_RULE_MASK (0x300U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_GINT0_RULE_SHIFT (8U)\r
 /*! GINT0_RULE - GPIO input Interrupt 0\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_GINT0_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_GINT0_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_GINT0_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_GINT1_RULE_MASK (0x3000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_GINT1_RULE_SHIFT (12U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_GINT0_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_GINT0_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_GINT0_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_GINT1_RULE_MASK (0x3000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_GINT1_RULE_SHIFT (12U)\r
 /*! GINT1_RULE - GPIO input Interrupt 1\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_GINT1_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_GINT1_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_GINT1_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_PINT_RULE_MASK (0x30000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_PINT_RULE_SHIFT (16U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_GINT1_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_GINT1_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_GINT1_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_PINT_RULE_MASK (0x30000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_PINT_RULE_SHIFT (16U)\r
 /*! PINT_RULE - Pin Interrupt and Pattern match\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_PINT_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_PINT_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_PINT_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_SEC_PINT_RULE_MASK (0x300000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_SEC_PINT_RULE_SHIFT (20U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_PINT_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_PINT_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_PINT_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_SEC_PINT_RULE_MASK (0x300000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_SEC_PINT_RULE_SHIFT (20U)\r
 /*! SEC_PINT_RULE - Secure Pin Interrupt and Pattern match\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_SEC_PINT_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_SEC_PINT_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_SEC_PINT_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_PMUX_RULE_MASK (0x3000000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_PMUX_RULE_SHIFT (24U)\r
-/*! PMUX_RULE - Peripherals mux\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_SEC_PINT_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_SEC_PINT_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_SEC_PINT_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_INPUTMUX_RULE_MASK (0x3000000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_INPUTMUX_RULE_SHIFT (24U)\r
+/*! INPUTMUX_RULE - Peripheral input multiplexing\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_PMUX_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_PMUX_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_PMUX_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_INPUTMUX_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_INPUTMUX_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_INPUTMUX_RULE_MASK)\r
 /*! @} */\r
 \r
-/* The count of AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0 */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_COUNT (1U)\r
+/* The count of AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0 */\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_COUNT (1U)\r
 \r
-/*! @name SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1 - Security access rules for APB Bridge 0 peripherals. Each APB bridge sector is 4 Kbytes. There are 32 APB Bridge 0 sectors in total. */\r
+/*! @name SEC_CTRL_APB_BRIDGE0_MEM_CTRL1 - Security access rules for APB Bridge 0 peripherals. Each APB bridge sector is\r
+ * 4 Kbytes. There are 32 APB Bridge 0 sectors in total. */\r
 /*! @{ */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_CTIMER0_RULE_MASK (0x3U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_CTIMER0_RULE_SHIFT (0U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_CTIMER0_RULE_MASK (0x3U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_CTIMER0_RULE_SHIFT (0U)\r
 /*! CTIMER0_RULE - Standard counter/Timer 0\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_CTIMER0_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_CTIMER0_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_CTIMER0_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_CTIMER1_RULE_MASK (0x30U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_CTIMER1_RULE_SHIFT (4U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_CTIMER0_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_CTIMER0_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_CTIMER0_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_CTIMER1_RULE_MASK (0x30U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_CTIMER1_RULE_SHIFT (4U)\r
 /*! CTIMER1_RULE - Standard counter/Timer 1\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_CTIMER1_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_CTIMER1_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_CTIMER1_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_WWDT_RULE_MASK (0x30000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_WWDT_RULE_SHIFT (16U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_CTIMER1_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_CTIMER1_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_CTIMER1_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_WWDT_RULE_MASK (0x30000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_WWDT_RULE_SHIFT (16U)\r
 /*! WWDT_RULE - Windiwed wtachdog Timer\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_WWDT_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_WWDT_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_WWDT_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_MRT_RULE_MASK (0x300000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_MRT_RULE_SHIFT (20U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_WWDT_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_WWDT_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_WWDT_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_MRT_RULE_MASK (0x300000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_MRT_RULE_SHIFT (20U)\r
 /*! MRT_RULE - Multi-rate Timer\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_MRT_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_MRT_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_MRT_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_UTICK_RULE_MASK (0x3000000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_UTICK_RULE_SHIFT (24U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_MRT_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_MRT_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_MRT_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_UTICK_RULE_MASK (0x3000000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_UTICK_RULE_SHIFT (24U)\r
 /*! UTICK_RULE - Micro-Timer\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_UTICK_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_UTICK_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_UTICK_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_UTICK_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_UTICK_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_UTICK_RULE_MASK)\r
 /*! @} */\r
 \r
-/* The count of AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1 */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_COUNT (1U)\r
+/* The count of AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1 */\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL1_COUNT (1U)\r
 \r
-/*! @name SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL2 - Security access rules for APB Bridge 0 peripherals. Each APB bridge sector is 4 Kbytes. There are 32 APB Bridge 0 sectors in total. */\r
+/*! @name SEC_CTRL_APB_BRIDGE0_MEM_CTRL2 - Security access rules for APB Bridge 0 peripherals. Each APB bridge sector is\r
+ * 4 Kbytes. There are 32 APB Bridge 0 sectors in total. */\r
 /*! @{ */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL2_ANACTRL_RULE_MASK (0x3000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL2_ANACTRL_RULE_SHIFT (12U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL2_ANACTRL_RULE_MASK (0x3000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL2_ANACTRL_RULE_SHIFT (12U)\r
 /*! ANACTRL_RULE - Analog Modules controller\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL2_ANACTRL_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL2_ANACTRL_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL2_ANACTRL_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL2_EFUSE_RULE_MASK (0x300000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL2_EFUSE_RULE_SHIFT (20U)\r
-/*! EFUSE_RULE - eFUSE (One Time Programmable) memory controller\r
- *  0b00..Non-secure and Non-priviledge user access allowed.\r
- *  0b01..Non-secure and Privilege access allowed.\r
- *  0b10..Secure and Non-priviledge user access allowed.\r
- *  0b11..Secure and Priviledge user access allowed.\r
- */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL2_EFUSE_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL2_EFUSE_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL2_EFUSE_RULE_MASK)\r
-/*! @} */\r
-\r
-/* The count of AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL2 */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL2_COUNT (1U)\r
-\r
-/*! @name SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL3 - Security access rules for APB Bridge 0 peripherals. Each APB bridge sector is 4 Kbytes. There are 32 APB Bridge 0 sectors in total. */\r
-/*! @{ */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL3_CAPTOUCH_RULE_MASK (0x300U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL3_CAPTOUCH_RULE_SHIFT (8U)\r
-/*! CAPTOUCH_RULE - Capacitive Touch controller\r
- *  0b00..Non-secure and Non-priviledge user access allowed.\r
- *  0b01..Non-secure and Privilege access allowed.\r
- *  0b10..Secure and Non-priviledge user access allowed.\r
- *  0b11..Secure and Priviledge user access allowed.\r
- */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL3_CAPTOUCH_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL3_CAPTOUCH_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL3_CAPTOUCH_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL3_EZH_RULE_MASK (0x300000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL3_EZH_RULE_SHIFT (20U)\r
-/*! EZH_RULE - EZH slave interface\r
- *  0b00..Non-secure and Non-priviledge user access allowed.\r
- *  0b01..Non-secure and Privilege access allowed.\r
- *  0b10..Secure and Non-priviledge user access allowed.\r
- *  0b11..Secure and Priviledge user access allowed.\r
- */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL3_EZH_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL3_EZH_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL3_EZH_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL2_ANACTRL_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL2_ANACTRL_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL2_ANACTRL_RULE_MASK)\r
 /*! @} */\r
 \r
-/* The count of AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL3 */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL3_COUNT (1U)\r
+/* The count of AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL2 */\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE0_MEM_CTRL2_COUNT (1U)\r
 \r
-/*! @name SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL0 - Security access rules for APB Bridge 1 peripherals. Each APB bridge sector is 4 Kbytes. There are 32 APB Bridge 1 sectors in total. */\r
+/*! @name SEC_CTRL_APB_BRIDGE1_MEM_CTRL0 - Security access rules for APB Bridge 1 peripherals. Each APB bridge sector is\r
+ * 4 Kbytes. There are 32 APB Bridge 1 sectors in total. */\r
 /*! @{ */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL0_PMC_RULE_MASK (0x3U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL0_PMC_RULE_SHIFT (0U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL0_PMC_RULE_MASK (0x3U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL0_PMC_RULE_SHIFT (0U)\r
 /*! PMC_RULE - Power Management Controller\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL0_PMC_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL0_PMC_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL0_PMC_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL0_PVT_RULE_MASK (0x300U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL0_PVT_RULE_SHIFT (8U)\r
-/*! PVT_RULE - Process and Voltage Monitoring controller\r
- *  0b00..Non-secure and Non-priviledge user access allowed.\r
- *  0b01..Non-secure and Privilege access allowed.\r
- *  0b10..Secure and Non-priviledge user access allowed.\r
- *  0b11..Secure and Priviledge user access allowed.\r
- */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL0_PVT_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL0_PVT_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL0_PVT_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL0_SYSCTRL_RULE_MASK (0x3000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL0_SYSCTRL_RULE_SHIFT (12U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL0_PMC_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL0_PMC_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL0_PMC_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL0_SYSCTRL_RULE_MASK (0x3000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL0_SYSCTRL_RULE_SHIFT (12U)\r
 /*! SYSCTRL_RULE - System Controller\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL0_SYSCTRL_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL0_SYSCTRL_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL0_SYSCTRL_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL0_SYSCTRL_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL0_SYSCTRL_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL0_SYSCTRL_RULE_MASK)\r
 /*! @} */\r
 \r
-/* The count of AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL0 */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL0_COUNT (1U)\r
+/* The count of AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL0 */\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL0_COUNT (1U)\r
 \r
-/*! @name SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1 - Security access rules for APB Bridge 1 peripherals. Each APB bridge sector is 4 Kbytes. There are 32 APB Bridge 1 sectors in total. */\r
+/*! @name SEC_CTRL_APB_BRIDGE1_MEM_CTRL1 - Security access rules for APB Bridge 1 peripherals. Each APB bridge sector is\r
+ * 4 Kbytes. There are 32 APB Bridge 1 sectors in total. */\r
 /*! @{ */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_CTIMER2_RULE_MASK (0x3U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_CTIMER2_RULE_SHIFT (0U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_CTIMER2_RULE_MASK (0x3U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_CTIMER2_RULE_SHIFT (0U)\r
 /*! CTIMER2_RULE - Standard counter/Timer 2\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_CTIMER2_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_CTIMER2_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_CTIMER2_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_CTIMER3_RULE_MASK (0x30U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_CTIMER3_RULE_SHIFT (4U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_CTIMER2_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_CTIMER2_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_CTIMER2_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_CTIMER3_RULE_MASK (0x30U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_CTIMER3_RULE_SHIFT (4U)\r
 /*! CTIMER3_RULE - Standard counter/Timer 3\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_CTIMER3_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_CTIMER3_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_CTIMER3_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_CTIMER4_RULE_MASK (0x300U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_CTIMER4_RULE_SHIFT (8U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_CTIMER3_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_CTIMER3_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_CTIMER3_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_CTIMER4_RULE_MASK (0x300U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_CTIMER4_RULE_SHIFT (8U)\r
 /*! CTIMER4_RULE - Standard counter/Timer 4\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_CTIMER4_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_CTIMER4_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_CTIMER4_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_RTC_RULE_MASK (0x30000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_RTC_RULE_SHIFT (16U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_CTIMER4_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_CTIMER4_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_CTIMER4_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_RTC_RULE_MASK (0x30000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_RTC_RULE_SHIFT (16U)\r
 /*! RTC_RULE - Real Time Counter\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_RTC_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_RTC_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_RTC_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_OSEVENT_RULE_MASK (0x300000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_OSEVENT_RULE_SHIFT (20U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_RTC_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_RTC_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_RTC_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_OSEVENT_RULE_MASK (0x300000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_OSEVENT_RULE_SHIFT (20U)\r
 /*! OSEVENT_RULE - OS Event Timer\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_OSEVENT_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_OSEVENT_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_OSEVENT_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_OSEVENT_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_OSEVENT_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_OSEVENT_RULE_MASK)\r
 /*! @} */\r
 \r
-/* The count of AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1 */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_COUNT (1U)\r
+/* The count of AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1 */\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL1_COUNT (1U)\r
 \r
-/*! @name SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL2 - Security access rules for APB Bridge 1 peripherals. Each APB bridge sector is 4 Kbytes. There are 32 APB Bridge 1 sectors in total. */\r
+/*! @name SEC_CTRL_APB_BRIDGE1_MEM_CTRL2 - Security access rules for APB Bridge 1 peripherals. Each APB bridge sector is\r
+ * 4 Kbytes. There are 32 APB Bridge 1 sectors in total. */\r
 /*! @{ */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL2_FLASH_CTRL_RULE_MASK (0x30000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL2_FLASH_CTRL_RULE_SHIFT (16U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL2_FLASH_CTRL_RULE_MASK (0x30000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL2_FLASH_CTRL_RULE_SHIFT (16U)\r
 /*! FLASH_CTRL_RULE - Flash Controller\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL2_FLASH_CTRL_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL2_FLASH_CTRL_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL2_FLASH_CTRL_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL2_PRINCE_RULE_MASK (0x300000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL2_PRINCE_RULE_SHIFT (20U)\r
-/*! PRINCE_RULE\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL2_FLASH_CTRL_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL2_FLASH_CTRL_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL2_FLASH_CTRL_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL2_PRINCE_RULE_MASK (0x300000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL2_PRINCE_RULE_SHIFT (20U)\r
+/*! PRINCE_RULE - Prince\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL2_PRINCE_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL2_PRINCE_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL2_PRINCE_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL2_PRINCE_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL2_PRINCE_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL2_PRINCE_RULE_MASK)\r
 /*! @} */\r
 \r
-/* The count of AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL2 */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL2_COUNT (1U)\r
+/* The count of AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL2 */\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL2_COUNT (1U)\r
 \r
-/*! @name SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3 - Security access rules for APB Bridge 1 peripherals. Each APB bridge sector is 4 Kbytes. There are 32 APB Bridge 1 sectors in total. */\r
+/*! @name SEC_CTRL_APB_BRIDGE1_MEM_CTRL3 - Security access rules for APB Bridge 1 peripherals. Each APB bridge sector is\r
+ * 4 Kbytes. There are 32 APB Bridge 1 sectors in total. */\r
 /*! @{ */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_USBHPHY_RULE_MASK (0x3U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_USBHPHY_RULE_SHIFT (0U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_USBHPHY_RULE_MASK (0x3U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_USBHPHY_RULE_SHIFT (0U)\r
 /*! USBHPHY_RULE - USB High Speed Phy controller\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_USBHPHY_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_USBHPHY_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_USBHPHY_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_RNG_RULE_MASK (0x300U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_RNG_RULE_SHIFT (8U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_USBHPHY_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_USBHPHY_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_USBHPHY_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_RNG_RULE_MASK (0x300U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_RNG_RULE_SHIFT (8U)\r
 /*! RNG_RULE - True Random Number Generator\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_RNG_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_RNG_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_RNG_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_PUFF_RULE_MASK (0x3000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_PUFF_RULE_SHIFT (12U)\r
-/*! PUFF_RULE\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_RNG_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_RNG_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_RNG_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_PUF_RULE_MASK (0x3000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_PUF_RULE_SHIFT (12U)\r
+/*! PUF_RULE - PUF\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_PUFF_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_PUFF_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_PUFF_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_PLU_RULE_MASK (0x300000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_PLU_RULE_SHIFT (20U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_PUF_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_PUF_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_PUF_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_PLU_RULE_MASK (0x300000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_PLU_RULE_SHIFT (20U)\r
 /*! PLU_RULE - Programmable Look-Up logic\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_PLU_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_PLU_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_PLU_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_ROMPC_RULE_MASK (0x3000000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_ROMPC_RULE_SHIFT (24U)\r
-/*! ROMPC_RULE - ROM patch controller\r
- *  0b00..Non-secure and Non-priviledge user access allowed.\r
- *  0b01..Non-secure and Privilege access allowed.\r
- *  0b10..Secure and Non-priviledge user access allowed.\r
- *  0b11..Secure and Priviledge user access allowed.\r
- */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_ROMPC_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_ROMPC_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_ROMPC_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_PLU_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_PLU_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_PLU_RULE_MASK)\r
 /*! @} */\r
 \r
-/* The count of AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3 */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_COUNT (1U)\r
+/* The count of AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3 */\r
+#define AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE1_MEM_CTRL3_COUNT (1U)\r
 \r
-/*! @name SEC_CTRL_AHB0_0_SLAVE_RULE - Security access rules for AHB peripherals. */\r
+/*! @name SEC_CTRL_AHB_PORT8_SLAVE0_RULE - Security access rules for AHB peripherals. */\r
 /*! @{ */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB0_0_SLAVE_RULE_DMA0_RULE_MASK (0x300U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB0_0_SLAVE_RULE_DMA0_RULE_SHIFT (8U)\r
-/*! DMA0_RULE\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE0_RULE_DMA0_RULE_MASK (0x300U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE0_RULE_DMA0_RULE_SHIFT (8U)\r
+/*! DMA0_RULE - DMA Controller\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB0_0_SLAVE_RULE_DMA0_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB0_0_SLAVE_RULE_DMA0_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_AHB0_0_SLAVE_RULE_DMA0_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB0_0_SLAVE_RULE_FS_USB_DEV_RULE_MASK (0x30000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB0_0_SLAVE_RULE_FS_USB_DEV_RULE_SHIFT (16U)\r
-/*! FS_USB_DEV_RULE\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE0_RULE_DMA0_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE0_RULE_DMA0_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE0_RULE_DMA0_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE0_RULE_FS_USB_DEV_RULE_MASK (0x30000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE0_RULE_FS_USB_DEV_RULE_SHIFT (16U)\r
+/*! FS_USB_DEV_RULE - USB Full-speed device\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB0_0_SLAVE_RULE_FS_USB_DEV_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB0_0_SLAVE_RULE_FS_USB_DEV_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_AHB0_0_SLAVE_RULE_FS_USB_DEV_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB0_0_SLAVE_RULE_SCT_RULE_MASK (0x300000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB0_0_SLAVE_RULE_SCT_RULE_SHIFT (20U)\r
-/*! SCT_RULE\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE0_RULE_FS_USB_DEV_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE0_RULE_FS_USB_DEV_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE0_RULE_FS_USB_DEV_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE0_RULE_SCT_RULE_MASK (0x300000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE0_RULE_SCT_RULE_SHIFT (20U)\r
+/*! SCT_RULE - SCTimer\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB0_0_SLAVE_RULE_SCT_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB0_0_SLAVE_RULE_SCT_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_AHB0_0_SLAVE_RULE_SCT_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB0_0_SLAVE_RULE_FLEXCOMM0_RULE_MASK (0x3000000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB0_0_SLAVE_RULE_FLEXCOMM0_RULE_SHIFT (24U)\r
-/*! FLEXCOMM0_RULE\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE0_RULE_SCT_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE0_RULE_SCT_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE0_RULE_SCT_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE0_RULE_FLEXCOMM0_RULE_MASK (0x3000000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE0_RULE_FLEXCOMM0_RULE_SHIFT (24U)\r
+/*! FLEXCOMM0_RULE - Flexcomm interface 0\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB0_0_SLAVE_RULE_FLEXCOMM0_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB0_0_SLAVE_RULE_FLEXCOMM0_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_AHB0_0_SLAVE_RULE_FLEXCOMM0_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB0_0_SLAVE_RULE_FLEXCOMM1_RULE_MASK (0x30000000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB0_0_SLAVE_RULE_FLEXCOMM1_RULE_SHIFT (28U)\r
-/*! FLEXCOMM1_RULE\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE0_RULE_FLEXCOMM0_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE0_RULE_FLEXCOMM0_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE0_RULE_FLEXCOMM0_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE0_RULE_FLEXCOMM1_RULE_MASK (0x30000000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE0_RULE_FLEXCOMM1_RULE_SHIFT (28U)\r
+/*! FLEXCOMM1_RULE - Flexcomm interface 1\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB0_0_SLAVE_RULE_FLEXCOMM1_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB0_0_SLAVE_RULE_FLEXCOMM1_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_AHB0_0_SLAVE_RULE_FLEXCOMM1_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE0_RULE_FLEXCOMM1_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE0_RULE_FLEXCOMM1_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE0_RULE_FLEXCOMM1_RULE_MASK)\r
 /*! @} */\r
 \r
-/*! @name SEC_CTRL_AHB0_1_SLAVE_RULE - Security access rules for AHB peripherals. */\r
+/*! @name SEC_CTRL_AHB_PORT8_SLAVE1_RULE - Security access rules for AHB peripherals. */\r
 /*! @{ */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB0_1_SLAVE_RULE_FLEXCOMM2_RULE_MASK (0x3U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB0_1_SLAVE_RULE_FLEXCOMM2_RULE_SHIFT (0U)\r
-/*! FLEXCOMM2_RULE\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE1_RULE_FLEXCOMM2_RULE_MASK (0x3U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE1_RULE_FLEXCOMM2_RULE_SHIFT (0U)\r
+/*! FLEXCOMM2_RULE - Flexcomm interface 2\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB0_1_SLAVE_RULE_FLEXCOMM2_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB0_1_SLAVE_RULE_FLEXCOMM2_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_AHB0_1_SLAVE_RULE_FLEXCOMM2_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB0_1_SLAVE_RULE_FLEXCOMM3_RULE_MASK (0x30U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB0_1_SLAVE_RULE_FLEXCOMM3_RULE_SHIFT (4U)\r
-/*! FLEXCOMM3_RULE\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE1_RULE_FLEXCOMM2_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE1_RULE_FLEXCOMM2_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE1_RULE_FLEXCOMM2_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE1_RULE_FLEXCOMM3_RULE_MASK (0x30U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE1_RULE_FLEXCOMM3_RULE_SHIFT (4U)\r
+/*! FLEXCOMM3_RULE - Flexcomm interface 3\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB0_1_SLAVE_RULE_FLEXCOMM3_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB0_1_SLAVE_RULE_FLEXCOMM3_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_AHB0_1_SLAVE_RULE_FLEXCOMM3_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB0_1_SLAVE_RULE_FLEXCOMM4_RULE_MASK (0x300U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB0_1_SLAVE_RULE_FLEXCOMM4_RULE_SHIFT (8U)\r
-/*! FLEXCOMM4_RULE\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE1_RULE_FLEXCOMM3_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE1_RULE_FLEXCOMM3_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE1_RULE_FLEXCOMM3_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE1_RULE_FLEXCOMM4_RULE_MASK (0x300U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE1_RULE_FLEXCOMM4_RULE_SHIFT (8U)\r
+/*! FLEXCOMM4_RULE - Flexcomm interface 4\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB0_1_SLAVE_RULE_FLEXCOMM4_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB0_1_SLAVE_RULE_FLEXCOMM4_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_AHB0_1_SLAVE_RULE_FLEXCOMM4_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB0_1_SLAVE_RULE_MAILBOX_RULE_MASK (0x3000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB0_1_SLAVE_RULE_MAILBOX_RULE_SHIFT (12U)\r
-/*! MAILBOX_RULE\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE1_RULE_FLEXCOMM4_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE1_RULE_FLEXCOMM4_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE1_RULE_FLEXCOMM4_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE1_RULE_MAILBOX_RULE_MASK (0x3000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE1_RULE_MAILBOX_RULE_SHIFT (12U)\r
+/*! MAILBOX_RULE - Inter CPU communication Mailbox\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB0_1_SLAVE_RULE_MAILBOX_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB0_1_SLAVE_RULE_MAILBOX_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_AHB0_1_SLAVE_RULE_MAILBOX_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB0_1_SLAVE_RULE_GPIO0_RULE_MASK (0x30000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB0_1_SLAVE_RULE_GPIO0_RULE_SHIFT (16U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE1_RULE_MAILBOX_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE1_RULE_MAILBOX_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE1_RULE_MAILBOX_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE1_RULE_GPIO0_RULE_MASK (0x30000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE1_RULE_GPIO0_RULE_SHIFT (16U)\r
 /*! GPIO0_RULE - High Speed GPIO\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB0_1_SLAVE_RULE_GPIO0_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB0_1_SLAVE_RULE_GPIO0_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_AHB0_1_SLAVE_RULE_GPIO0_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE1_RULE_GPIO0_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE1_RULE_GPIO0_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT8_SLAVE1_RULE_GPIO0_RULE_MASK)\r
 /*! @} */\r
 \r
-/*! @name SEC_CTRL_AHB1_0_SLAVE_RULE - Security access rules for AHB peripherals. */\r
+/*! @name SEC_CTRL_AHB_PORT9_SLAVE0_RULE - Security access rules for AHB peripherals. */\r
 /*! @{ */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB1_0_SLAVE_RULE_USB_HS_DEV_RULE_MASK (0x30000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB1_0_SLAVE_RULE_USB_HS_DEV_RULE_SHIFT (16U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT9_SLAVE0_RULE_USB_HS_DEV_RULE_MASK (0x30000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT9_SLAVE0_RULE_USB_HS_DEV_RULE_SHIFT (16U)\r
 /*! USB_HS_DEV_RULE - USB high Speed device registers\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB1_0_SLAVE_RULE_USB_HS_DEV_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB1_0_SLAVE_RULE_USB_HS_DEV_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_AHB1_0_SLAVE_RULE_USB_HS_DEV_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB1_0_SLAVE_RULE_CRC_RULE_MASK (0x300000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB1_0_SLAVE_RULE_CRC_RULE_SHIFT (20U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT9_SLAVE0_RULE_USB_HS_DEV_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT9_SLAVE0_RULE_USB_HS_DEV_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT9_SLAVE0_RULE_USB_HS_DEV_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT9_SLAVE0_RULE_CRC_RULE_MASK (0x300000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT9_SLAVE0_RULE_CRC_RULE_SHIFT (20U)\r
 /*! CRC_RULE - CRC engine\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB1_0_SLAVE_RULE_CRC_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB1_0_SLAVE_RULE_CRC_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_AHB1_0_SLAVE_RULE_CRC_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB1_0_SLAVE_RULE_FLEXCOMM5_RULE_MASK (0x3000000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB1_0_SLAVE_RULE_FLEXCOMM5_RULE_SHIFT (24U)\r
-/*! FLEXCOMM5_RULE\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT9_SLAVE0_RULE_CRC_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT9_SLAVE0_RULE_CRC_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT9_SLAVE0_RULE_CRC_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT9_SLAVE0_RULE_FLEXCOMM5_RULE_MASK (0x3000000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT9_SLAVE0_RULE_FLEXCOMM5_RULE_SHIFT (24U)\r
+/*! FLEXCOMM5_RULE - Flexcomm interface 5\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB1_0_SLAVE_RULE_FLEXCOMM5_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB1_0_SLAVE_RULE_FLEXCOMM5_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_AHB1_0_SLAVE_RULE_FLEXCOMM5_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB1_0_SLAVE_RULE_FLEXCOMM6_RULE_MASK (0x30000000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB1_0_SLAVE_RULE_FLEXCOMM6_RULE_SHIFT (28U)\r
-/*! FLEXCOMM6_RULE\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT9_SLAVE0_RULE_FLEXCOMM5_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT9_SLAVE0_RULE_FLEXCOMM5_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT9_SLAVE0_RULE_FLEXCOMM5_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT9_SLAVE0_RULE_FLEXCOMM6_RULE_MASK (0x30000000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT9_SLAVE0_RULE_FLEXCOMM6_RULE_SHIFT (28U)\r
+/*! FLEXCOMM6_RULE - Flexcomm interface 6\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB1_0_SLAVE_RULE_FLEXCOMM6_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB1_0_SLAVE_RULE_FLEXCOMM6_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_AHB1_0_SLAVE_RULE_FLEXCOMM6_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT9_SLAVE0_RULE_FLEXCOMM6_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT9_SLAVE0_RULE_FLEXCOMM6_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT9_SLAVE0_RULE_FLEXCOMM6_RULE_MASK)\r
 /*! @} */\r
 \r
-/*! @name SEC_CTRL_AHB1_1_SLAVE_RULE - Security access rules for AHB peripherals. */\r
+/*! @name SEC_CTRL_AHB_PORT9_SLAVE1_RULE - Security access rules for AHB peripherals. */\r
 /*! @{ */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB1_1_SLAVE_RULE_FLEXCOMM7_RULE_MASK (0x3U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB1_1_SLAVE_RULE_FLEXCOMM7_RULE_SHIFT (0U)\r
-/*! FLEXCOMM7_RULE\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT9_SLAVE1_RULE_FLEXCOMM7_RULE_MASK (0x3U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT9_SLAVE1_RULE_FLEXCOMM7_RULE_SHIFT (0U)\r
+/*! FLEXCOMM7_RULE - Flexcomm interface 7\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB1_1_SLAVE_RULE_FLEXCOMM7_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB1_1_SLAVE_RULE_FLEXCOMM7_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_AHB1_1_SLAVE_RULE_FLEXCOMM7_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB1_1_SLAVE_RULE_SDIO_RULE_MASK (0x3000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB1_1_SLAVE_RULE_SDIO_RULE_SHIFT (12U)\r
-/*! SDIO_RULE\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT9_SLAVE1_RULE_FLEXCOMM7_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT9_SLAVE1_RULE_FLEXCOMM7_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT9_SLAVE1_RULE_FLEXCOMM7_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT9_SLAVE1_RULE_SDIO_RULE_MASK (0x3000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT9_SLAVE1_RULE_SDIO_RULE_SHIFT (12U)\r
+/*! SDIO_RULE - SDMMC card interface\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB1_1_SLAVE_RULE_SDIO_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB1_1_SLAVE_RULE_SDIO_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_AHB1_1_SLAVE_RULE_SDIO_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB1_1_SLAVE_RULE_DBG_MAILBOX_RULE_MASK (0x30000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB1_1_SLAVE_RULE_DBG_MAILBOX_RULE_SHIFT (16U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT9_SLAVE1_RULE_SDIO_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT9_SLAVE1_RULE_SDIO_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT9_SLAVE1_RULE_SDIO_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT9_SLAVE1_RULE_DBG_MAILBOX_RULE_MASK (0x30000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT9_SLAVE1_RULE_DBG_MAILBOX_RULE_SHIFT (16U)\r
 /*! DBG_MAILBOX_RULE - Debug mailbox (aka ISP-AP)\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB1_1_SLAVE_RULE_DBG_MAILBOX_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB1_1_SLAVE_RULE_DBG_MAILBOX_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_AHB1_1_SLAVE_RULE_DBG_MAILBOX_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB1_1_SLAVE_RULE_HS_LSPI_RULE_MASK (0x30000000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB1_1_SLAVE_RULE_HS_LSPI_RULE_SHIFT (28U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT9_SLAVE1_RULE_DBG_MAILBOX_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT9_SLAVE1_RULE_DBG_MAILBOX_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT9_SLAVE1_RULE_DBG_MAILBOX_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT9_SLAVE1_RULE_HS_LSPI_RULE_MASK (0x30000000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT9_SLAVE1_RULE_HS_LSPI_RULE_SHIFT (28U)\r
 /*! HS_LSPI_RULE - High Speed SPI\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB1_1_SLAVE_RULE_HS_LSPI_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB1_1_SLAVE_RULE_HS_LSPI_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_AHB1_1_SLAVE_RULE_HS_LSPI_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT9_SLAVE1_RULE_HS_LSPI_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT9_SLAVE1_RULE_HS_LSPI_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT9_SLAVE1_RULE_HS_LSPI_RULE_MASK)\r
 /*! @} */\r
 \r
-/*! @name SEC_CTRL_AHB2_SEC_CTRL_AHB2_0_SLAVE_RULE - Security access rules for AHB peripherals. */\r
+/*! @name SEC_CTRL_AHB_PORT10_SLAVE0_RULE - Security access rules for AHB peripherals. */\r
 /*! @{ */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_0_SLAVE_RULE_ADC_RULE_MASK (0x3U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_0_SLAVE_RULE_ADC_RULE_SHIFT (0U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE0_RULE_ADC_RULE_MASK (0x3U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE0_RULE_ADC_RULE_SHIFT (0U)\r
 /*! ADC_RULE - ADC\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_0_SLAVE_RULE_ADC_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_0_SLAVE_RULE_ADC_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_0_SLAVE_RULE_ADC_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_0_SLAVE_RULE_USB_FS_HOST_RULE_MASK (0x300U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_0_SLAVE_RULE_USB_FS_HOST_RULE_SHIFT (8U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE0_RULE_ADC_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE0_RULE_ADC_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE0_RULE_ADC_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE0_RULE_USB_FS_HOST_RULE_MASK (0x300U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE0_RULE_USB_FS_HOST_RULE_SHIFT (8U)\r
 /*! USB_FS_HOST_RULE - USB Full Speed Host registers.\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_0_SLAVE_RULE_USB_FS_HOST_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_0_SLAVE_RULE_USB_FS_HOST_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_0_SLAVE_RULE_USB_FS_HOST_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_0_SLAVE_RULE_USB_HS_HOST_RULE_MASK (0x3000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_0_SLAVE_RULE_USB_HS_HOST_RULE_SHIFT (12U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE0_RULE_USB_FS_HOST_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE0_RULE_USB_FS_HOST_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE0_RULE_USB_FS_HOST_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE0_RULE_USB_HS_HOST_RULE_MASK (0x3000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE0_RULE_USB_HS_HOST_RULE_SHIFT (12U)\r
 /*! USB_HS_HOST_RULE - USB High speed host registers\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_0_SLAVE_RULE_USB_HS_HOST_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_0_SLAVE_RULE_USB_HS_HOST_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_0_SLAVE_RULE_USB_HS_HOST_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_0_SLAVE_RULE_HASH_RULE_MASK (0x30000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_0_SLAVE_RULE_HASH_RULE_SHIFT (16U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE0_RULE_USB_HS_HOST_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE0_RULE_USB_HS_HOST_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE0_RULE_USB_HS_HOST_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE0_RULE_HASH_RULE_MASK (0x30000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE0_RULE_HASH_RULE_SHIFT (16U)\r
 /*! HASH_RULE - SHA-2 crypto registers\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_0_SLAVE_RULE_HASH_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_0_SLAVE_RULE_HASH_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_0_SLAVE_RULE_HASH_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_0_SLAVE_RULE_CASPER_RULE_MASK (0x300000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_0_SLAVE_RULE_CASPER_RULE_SHIFT (20U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE0_RULE_HASH_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE0_RULE_HASH_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE0_RULE_HASH_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE0_RULE_CASPER_RULE_MASK (0x300000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE0_RULE_CASPER_RULE_SHIFT (20U)\r
 /*! CASPER_RULE - RSA/ECC crypto accelerator\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_0_SLAVE_RULE_CASPER_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_0_SLAVE_RULE_CASPER_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_0_SLAVE_RULE_CASPER_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_0_SLAVE_RULE_PQ_RULE_MASK (0x3000000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_0_SLAVE_RULE_PQ_RULE_SHIFT (24U)\r
-/*! PQ_RULE - Power Quad (CM33 processor hardware accelerator)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE0_RULE_CASPER_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE0_RULE_CASPER_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE0_RULE_CASPER_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE0_RULE_PQ_RULE_MASK (0x3000000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE0_RULE_PQ_RULE_SHIFT (24U)\r
+/*! PQ_RULE - Power Quad (CPU0 processor hardware accelerator)\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_0_SLAVE_RULE_PQ_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_0_SLAVE_RULE_PQ_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_0_SLAVE_RULE_PQ_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_0_SLAVE_RULE_DMA1_RULE_MASK (0x30000000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_0_SLAVE_RULE_DMA1_RULE_SHIFT (28U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE0_RULE_PQ_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE0_RULE_PQ_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE0_RULE_PQ_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE0_RULE_DMA1_RULE_MASK (0x30000000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE0_RULE_DMA1_RULE_SHIFT (28U)\r
 /*! DMA1_RULE - DMA Controller (Secure)\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_0_SLAVE_RULE_DMA1_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_0_SLAVE_RULE_DMA1_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_0_SLAVE_RULE_DMA1_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE0_RULE_DMA1_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE0_RULE_DMA1_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE0_RULE_DMA1_RULE_MASK)\r
 /*! @} */\r
 \r
-/* The count of AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_0_SLAVE_RULE */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_0_SLAVE_RULE_COUNT (1U)\r
+/* The count of AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE0_RULE */\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE0_RULE_COUNT (1U)\r
 \r
-/*! @name SEC_CTRL_AHB2_SEC_CTRL_AHB2_1_SLAVE_RULE - Security access rules for AHB peripherals. */\r
+/*! @name SEC_CTRL_AHB_PORT10_SLAVE1_RULE - Security access rules for AHB peripherals. */\r
 /*! @{ */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_1_SLAVE_RULE_GPIO1_RULE_MASK (0x3U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_1_SLAVE_RULE_GPIO1_RULE_SHIFT (0U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE1_RULE_GPIO1_RULE_MASK (0x3U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE1_RULE_GPIO1_RULE_SHIFT (0U)\r
 /*! GPIO1_RULE - Secure High Speed GPIO\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_1_SLAVE_RULE_GPIO1_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_1_SLAVE_RULE_GPIO1_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_1_SLAVE_RULE_GPIO1_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_1_SLAVE_RULE_AHB_SEC_CTRL_RULE_MASK (0x30U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_1_SLAVE_RULE_AHB_SEC_CTRL_RULE_SHIFT (4U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE1_RULE_GPIO1_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE1_RULE_GPIO1_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE1_RULE_GPIO1_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE1_RULE_AHB_SEC_CTRL_RULE_MASK (0x30U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE1_RULE_AHB_SEC_CTRL_RULE_SHIFT (4U)\r
 /*! AHB_SEC_CTRL_RULE - AHB Secure Controller\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_1_SLAVE_RULE_AHB_SEC_CTRL_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_1_SLAVE_RULE_AHB_SEC_CTRL_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_1_SLAVE_RULE_AHB_SEC_CTRL_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE1_RULE_AHB_SEC_CTRL_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE1_RULE_AHB_SEC_CTRL_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE1_RULE_AHB_SEC_CTRL_RULE_MASK)\r
 /*! @} */\r
 \r
-/* The count of AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_1_SLAVE_RULE */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_1_SLAVE_RULE_COUNT (1U)\r
+/* The count of AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE1_RULE */\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_PORT10_SLAVE1_RULE_COUNT (1U)\r
 \r
-/*! @name SEC_CTRL_AHB2_SEC_CTRL_AHB2_MEM_RULE_SEC_CTRL_AHB2_0_MEM_RULE -  */\r
+/*! @name SEC_CTRL_AHB_SEC_CTRL_MEM_RULE - Security access rules for AHB_SEC_CTRL_AHB. */\r
 /*! @{ */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_MEM_RULE_SEC_CTRL_AHB2_0_MEM_RULE_AHB_SEC_CTRL_SECT_0_RULE_MASK (0x3U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_MEM_RULE_SEC_CTRL_AHB2_0_MEM_RULE_AHB_SEC_CTRL_SECT_0_RULE_SHIFT (0U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_SEC_CTRL_MEM_RULE_AHB_SEC_CTRL_SECT_0_RULE_MASK (0x3U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_SEC_CTRL_MEM_RULE_AHB_SEC_CTRL_SECT_0_RULE_SHIFT (0U)\r
 /*! AHB_SEC_CTRL_SECT_0_RULE - Address space: 0x400A_0000 - 0x400A_CFFF\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_MEM_RULE_SEC_CTRL_AHB2_0_MEM_RULE_AHB_SEC_CTRL_SECT_0_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_MEM_RULE_SEC_CTRL_AHB2_0_MEM_RULE_AHB_SEC_CTRL_SECT_0_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_MEM_RULE_SEC_CTRL_AHB2_0_MEM_RULE_AHB_SEC_CTRL_SECT_0_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_MEM_RULE_SEC_CTRL_AHB2_0_MEM_RULE_AHB_SEC_CTRL_SECT_1_RULE_MASK (0x30U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_MEM_RULE_SEC_CTRL_AHB2_0_MEM_RULE_AHB_SEC_CTRL_SECT_1_RULE_SHIFT (4U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_SEC_CTRL_MEM_RULE_AHB_SEC_CTRL_SECT_0_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB_SEC_CTRL_MEM_RULE_AHB_SEC_CTRL_SECT_0_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_AHB_SEC_CTRL_MEM_RULE_AHB_SEC_CTRL_SECT_0_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_SEC_CTRL_MEM_RULE_AHB_SEC_CTRL_SECT_1_RULE_MASK (0x30U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_SEC_CTRL_MEM_RULE_AHB_SEC_CTRL_SECT_1_RULE_SHIFT (4U)\r
 /*! AHB_SEC_CTRL_SECT_1_RULE - Address space: 0x400A_D000 - 0x400A_DFFF\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_MEM_RULE_SEC_CTRL_AHB2_0_MEM_RULE_AHB_SEC_CTRL_SECT_1_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_MEM_RULE_SEC_CTRL_AHB2_0_MEM_RULE_AHB_SEC_CTRL_SECT_1_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_MEM_RULE_SEC_CTRL_AHB2_0_MEM_RULE_AHB_SEC_CTRL_SECT_1_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_MEM_RULE_SEC_CTRL_AHB2_0_MEM_RULE_AHB_SEC_CTRL_SECT_2_RULE_MASK (0x300U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_MEM_RULE_SEC_CTRL_AHB2_0_MEM_RULE_AHB_SEC_CTRL_SECT_2_RULE_SHIFT (8U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_SEC_CTRL_MEM_RULE_AHB_SEC_CTRL_SECT_1_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB_SEC_CTRL_MEM_RULE_AHB_SEC_CTRL_SECT_1_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_AHB_SEC_CTRL_MEM_RULE_AHB_SEC_CTRL_SECT_1_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_SEC_CTRL_MEM_RULE_AHB_SEC_CTRL_SECT_2_RULE_MASK (0x300U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_SEC_CTRL_MEM_RULE_AHB_SEC_CTRL_SECT_2_RULE_SHIFT (8U)\r
 /*! AHB_SEC_CTRL_SECT_2_RULE - Address space: 0x400A_E000 - 0x400A_EFFF\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_MEM_RULE_SEC_CTRL_AHB2_0_MEM_RULE_AHB_SEC_CTRL_SECT_2_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_MEM_RULE_SEC_CTRL_AHB2_0_MEM_RULE_AHB_SEC_CTRL_SECT_2_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_MEM_RULE_SEC_CTRL_AHB2_0_MEM_RULE_AHB_SEC_CTRL_SECT_2_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_MEM_RULE_SEC_CTRL_AHB2_0_MEM_RULE_AHB_SEC_CTRL_SECT_3_RULE_MASK (0x3000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_MEM_RULE_SEC_CTRL_AHB2_0_MEM_RULE_AHB_SEC_CTRL_SECT_3_RULE_SHIFT (12U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_SEC_CTRL_MEM_RULE_AHB_SEC_CTRL_SECT_2_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB_SEC_CTRL_MEM_RULE_AHB_SEC_CTRL_SECT_2_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_AHB_SEC_CTRL_MEM_RULE_AHB_SEC_CTRL_SECT_2_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_SEC_CTRL_MEM_RULE_AHB_SEC_CTRL_SECT_3_RULE_MASK (0x3000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_SEC_CTRL_MEM_RULE_AHB_SEC_CTRL_SECT_3_RULE_SHIFT (12U)\r
 /*! AHB_SEC_CTRL_SECT_3_RULE - Address space: 0x400A_F000 - 0x400A_FFFF\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_MEM_RULE_SEC_CTRL_AHB2_0_MEM_RULE_AHB_SEC_CTRL_SECT_3_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_MEM_RULE_SEC_CTRL_AHB2_0_MEM_RULE_AHB_SEC_CTRL_SECT_3_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_MEM_RULE_SEC_CTRL_AHB2_0_MEM_RULE_AHB_SEC_CTRL_SECT_3_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_SEC_CTRL_MEM_RULE_AHB_SEC_CTRL_SECT_3_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_AHB_SEC_CTRL_MEM_RULE_AHB_SEC_CTRL_SECT_3_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_AHB_SEC_CTRL_MEM_RULE_AHB_SEC_CTRL_SECT_3_RULE_MASK)\r
 /*! @} */\r
 \r
-/* The count of AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_MEM_RULE_SEC_CTRL_AHB2_0_MEM_RULE */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_MEM_RULE_SEC_CTRL_AHB2_0_MEM_RULE_COUNT (1U)\r
+/* The count of AHB_SECURE_CTRL_SEC_CTRL_AHB_SEC_CTRL_MEM_RULE */\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_SEC_CTRL_MEM_RULE_COUNT (1U)\r
 \r
-/* The count of AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_MEM_RULE_SEC_CTRL_AHB2_0_MEM_RULE */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_AHB2_SEC_CTRL_AHB2_MEM_RULE_SEC_CTRL_AHB2_0_MEM_RULE_COUNT2 (1U)\r
+/* The count of AHB_SECURE_CTRL_SEC_CTRL_AHB_SEC_CTRL_MEM_RULE */\r
+#define AHB_SECURE_CTRL_SEC_CTRL_AHB_SEC_CTRL_MEM_RULE_COUNT2 (1U)\r
 \r
-/*! @name SEC_CTRL_USB_HS_SLAVE_RULE -  */\r
+/*! @name SEC_CTRL_USB_HS_SLAVE_RULE - Security access rules for USB High speed RAM slaves. */\r
 /*! @{ */\r
 #define AHB_SECURE_CTRL_SEC_CTRL_USB_HS_SLAVE_RULE_RAM_USB_HS_RULE_MASK (0x3U)\r
 #define AHB_SECURE_CTRL_SEC_CTRL_USB_HS_SLAVE_RULE_RAM_USB_HS_RULE_SHIFT (0U)\r
@@ -2786,900 +3119,1937 @@ typedef struct {
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_USB_HS_SLAVE_RULE_RAM_USB_HS_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_USB_HS_SLAVE_RULE_RAM_USB_HS_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_USB_HS_SLAVE_RULE_RAM_USB_HS_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_USB_HS_SLAVE_RULE_RAM_USB_HS_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_USB_HS_SLAVE_RULE_RAM_USB_HS_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_USB_HS_SLAVE_RULE_RAM_USB_HS_RULE_MASK)\r
 /*! @} */\r
 \r
 /* The count of AHB_SECURE_CTRL_SEC_CTRL_USB_HS_SLAVE_RULE */\r
 #define AHB_SECURE_CTRL_SEC_CTRL_USB_HS_SLAVE_RULE_COUNT (1U)\r
 \r
-/*! @name SEC_CTRL_USB_HS_SEC_CTRL_USB_HS_MEM_RULE_MEM_RULE -  */\r
+/*! @name SEC_CTRL_USB_HS_MEM_RULE - Security access rules for RAM_USB_HS. */\r
 /*! @{ */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_USB_HS_SEC_CTRL_USB_HS_MEM_RULE_MEM_RULE_SRAM_SECT_0_RULE_MASK (0x3U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_USB_HS_SEC_CTRL_USB_HS_MEM_RULE_MEM_RULE_SRAM_SECT_0_RULE_SHIFT (0U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_USB_HS_MEM_RULE_SRAM_SECT_0_RULE_MASK (0x3U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_USB_HS_MEM_RULE_SRAM_SECT_0_RULE_SHIFT (0U)\r
 /*! SRAM_SECT_0_RULE - Address space: 0x4010_0000 - 0x4010_0FFF\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_USB_HS_SEC_CTRL_USB_HS_MEM_RULE_MEM_RULE_SRAM_SECT_0_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_USB_HS_SEC_CTRL_USB_HS_MEM_RULE_MEM_RULE_SRAM_SECT_0_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_USB_HS_SEC_CTRL_USB_HS_MEM_RULE_MEM_RULE_SRAM_SECT_0_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_USB_HS_SEC_CTRL_USB_HS_MEM_RULE_MEM_RULE_SRAM_SECT_1_RULE_MASK (0x30U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_USB_HS_SEC_CTRL_USB_HS_MEM_RULE_MEM_RULE_SRAM_SECT_1_RULE_SHIFT (4U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_USB_HS_MEM_RULE_SRAM_SECT_0_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_USB_HS_MEM_RULE_SRAM_SECT_0_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_USB_HS_MEM_RULE_SRAM_SECT_0_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_USB_HS_MEM_RULE_SRAM_SECT_1_RULE_MASK (0x30U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_USB_HS_MEM_RULE_SRAM_SECT_1_RULE_SHIFT (4U)\r
 /*! SRAM_SECT_1_RULE - Address space: 0x4010_1000 - 0x4010_1FFF\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_USB_HS_SEC_CTRL_USB_HS_MEM_RULE_MEM_RULE_SRAM_SECT_1_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_USB_HS_SEC_CTRL_USB_HS_MEM_RULE_MEM_RULE_SRAM_SECT_1_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_USB_HS_SEC_CTRL_USB_HS_MEM_RULE_MEM_RULE_SRAM_SECT_1_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_USB_HS_SEC_CTRL_USB_HS_MEM_RULE_MEM_RULE_SRAM_SECT_2_RULE_MASK (0x300U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_USB_HS_SEC_CTRL_USB_HS_MEM_RULE_MEM_RULE_SRAM_SECT_2_RULE_SHIFT (8U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_USB_HS_MEM_RULE_SRAM_SECT_1_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_USB_HS_MEM_RULE_SRAM_SECT_1_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_USB_HS_MEM_RULE_SRAM_SECT_1_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_USB_HS_MEM_RULE_SRAM_SECT_2_RULE_MASK (0x300U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_USB_HS_MEM_RULE_SRAM_SECT_2_RULE_SHIFT (8U)\r
 /*! SRAM_SECT_2_RULE - Address space: 0x4010_2000 - 0x4010_2FFF\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_USB_HS_SEC_CTRL_USB_HS_MEM_RULE_MEM_RULE_SRAM_SECT_2_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_USB_HS_SEC_CTRL_USB_HS_MEM_RULE_MEM_RULE_SRAM_SECT_2_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_USB_HS_SEC_CTRL_USB_HS_MEM_RULE_MEM_RULE_SRAM_SECT_2_RULE_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_USB_HS_SEC_CTRL_USB_HS_MEM_RULE_MEM_RULE_SRAM_SECT_3_RULE_MASK (0x3000U)\r
-#define AHB_SECURE_CTRL_SEC_CTRL_USB_HS_SEC_CTRL_USB_HS_MEM_RULE_MEM_RULE_SRAM_SECT_3_RULE_SHIFT (12U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_USB_HS_MEM_RULE_SRAM_SECT_2_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_USB_HS_MEM_RULE_SRAM_SECT_2_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_USB_HS_MEM_RULE_SRAM_SECT_2_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_USB_HS_MEM_RULE_SRAM_SECT_3_RULE_MASK (0x3000U)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_USB_HS_MEM_RULE_SRAM_SECT_3_RULE_SHIFT (12U)\r
 /*! SRAM_SECT_3_RULE - Address space: 0x4010_3000 - 0x4010_3FFF\r
  *  0b00..Non-secure and Non-priviledge user access allowed.\r
  *  0b01..Non-secure and Privilege access allowed.\r
  *  0b10..Secure and Non-priviledge user access allowed.\r
  *  0b11..Secure and Priviledge user access allowed.\r
  */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_USB_HS_SEC_CTRL_USB_HS_MEM_RULE_MEM_RULE_SRAM_SECT_3_RULE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_USB_HS_SEC_CTRL_USB_HS_MEM_RULE_MEM_RULE_SRAM_SECT_3_RULE_SHIFT)) & AHB_SECURE_CTRL_SEC_CTRL_USB_HS_SEC_CTRL_USB_HS_MEM_RULE_MEM_RULE_SRAM_SECT_3_RULE_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CTRL_USB_HS_MEM_RULE_SRAM_SECT_3_RULE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CTRL_USB_HS_MEM_RULE_SRAM_SECT_3_RULE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CTRL_USB_HS_MEM_RULE_SRAM_SECT_3_RULE_MASK)\r
 /*! @} */\r
 \r
-/* The count of AHB_SECURE_CTRL_SEC_CTRL_USB_HS_SEC_CTRL_USB_HS_MEM_RULE_MEM_RULE */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_USB_HS_SEC_CTRL_USB_HS_MEM_RULE_MEM_RULE_COUNT (1U)\r
+/* The count of AHB_SECURE_CTRL_SEC_CTRL_USB_HS_MEM_RULE */\r
+#define AHB_SECURE_CTRL_SEC_CTRL_USB_HS_MEM_RULE_COUNT (1U)\r
 \r
-/* The count of AHB_SECURE_CTRL_SEC_CTRL_USB_HS_SEC_CTRL_USB_HS_MEM_RULE_MEM_RULE */\r
-#define AHB_SECURE_CTRL_SEC_CTRL_USB_HS_SEC_CTRL_USB_HS_MEM_RULE_MEM_RULE_COUNT2 (1U)\r
+/* The count of AHB_SECURE_CTRL_SEC_CTRL_USB_HS_MEM_RULE */\r
+#define AHB_SECURE_CTRL_SEC_CTRL_USB_HS_MEM_RULE_COUNT2 (1U)\r
 \r
-/*! @name SEC_VIO_ADDR - most recent security violation address for AHB layer n */\r
+/*! @name SEC_VIO_ADDR - most recent security violation address for AHB port n */\r
 /*! @{ */\r
 #define AHB_SECURE_CTRL_SEC_VIO_ADDR_SEC_VIO_ADDR_MASK (0xFFFFFFFFU)\r
 #define AHB_SECURE_CTRL_SEC_VIO_ADDR_SEC_VIO_ADDR_SHIFT (0U)\r
-#define AHB_SECURE_CTRL_SEC_VIO_ADDR_SEC_VIO_ADDR(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_VIO_ADDR_SEC_VIO_ADDR_SHIFT)) & AHB_SECURE_CTRL_SEC_VIO_ADDR_SEC_VIO_ADDR_MASK)\r
+/*! SEC_VIO_ADDR - security violation address for AHB port\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_VIO_ADDR_SEC_VIO_ADDR(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_VIO_ADDR_SEC_VIO_ADDR_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_VIO_ADDR_SEC_VIO_ADDR_MASK)\r
 /*! @} */\r
 \r
 /* The count of AHB_SECURE_CTRL_SEC_VIO_ADDR */\r
-#define AHB_SECURE_CTRL_SEC_VIO_ADDR_COUNT       (18U)\r
+#define AHB_SECURE_CTRL_SEC_VIO_ADDR_COUNT (12U)\r
 \r
-/*! @name SEC_VIO_MISC_INFO - most recent security violation miscellaneous information for AHB layer n */\r
+/*! @name SEC_VIO_MISC_INFO - most recent security violation miscellaneous information for AHB port n */\r
 /*! @{ */\r
 #define AHB_SECURE_CTRL_SEC_VIO_MISC_INFO_SEC_VIO_INFO_WRITE_MASK (0x1U)\r
 #define AHB_SECURE_CTRL_SEC_VIO_MISC_INFO_SEC_VIO_INFO_WRITE_SHIFT (0U)\r
-#define AHB_SECURE_CTRL_SEC_VIO_MISC_INFO_SEC_VIO_INFO_WRITE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_VIO_MISC_INFO_SEC_VIO_INFO_WRITE_SHIFT)) & AHB_SECURE_CTRL_SEC_VIO_MISC_INFO_SEC_VIO_INFO_WRITE_MASK)\r
+/*! SEC_VIO_INFO_WRITE - security violation access read/write indicator.\r
+ *  0b0..Read access.\r
+ *  0b1..Write access.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_VIO_MISC_INFO_SEC_VIO_INFO_WRITE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_VIO_MISC_INFO_SEC_VIO_INFO_WRITE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_VIO_MISC_INFO_SEC_VIO_INFO_WRITE_MASK)\r
 #define AHB_SECURE_CTRL_SEC_VIO_MISC_INFO_SEC_VIO_INFO_DATA_ACCESS_MASK (0x2U)\r
 #define AHB_SECURE_CTRL_SEC_VIO_MISC_INFO_SEC_VIO_INFO_DATA_ACCESS_SHIFT (1U)\r
-#define AHB_SECURE_CTRL_SEC_VIO_MISC_INFO_SEC_VIO_INFO_DATA_ACCESS(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_VIO_MISC_INFO_SEC_VIO_INFO_DATA_ACCESS_SHIFT)) & AHB_SECURE_CTRL_SEC_VIO_MISC_INFO_SEC_VIO_INFO_DATA_ACCESS_MASK)\r
+/*! SEC_VIO_INFO_DATA_ACCESS - security violation access data/code indicator.\r
+ *  0b0..Code access.\r
+ *  0b1..Data access.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_VIO_MISC_INFO_SEC_VIO_INFO_DATA_ACCESS(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_VIO_MISC_INFO_SEC_VIO_INFO_DATA_ACCESS_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_VIO_MISC_INFO_SEC_VIO_INFO_DATA_ACCESS_MASK)\r
 #define AHB_SECURE_CTRL_SEC_VIO_MISC_INFO_SEC_VIO_INFO_MASTER_SEC_LEVEL_MASK (0xF0U)\r
 #define AHB_SECURE_CTRL_SEC_VIO_MISC_INFO_SEC_VIO_INFO_MASTER_SEC_LEVEL_SHIFT (4U)\r
-#define AHB_SECURE_CTRL_SEC_VIO_MISC_INFO_SEC_VIO_INFO_MASTER_SEC_LEVEL(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_VIO_MISC_INFO_SEC_VIO_INFO_MASTER_SEC_LEVEL_SHIFT)) & AHB_SECURE_CTRL_SEC_VIO_MISC_INFO_SEC_VIO_INFO_MASTER_SEC_LEVEL_MASK)\r
+/*! SEC_VIO_INFO_MASTER_SEC_LEVEL - bit [5:4]: master sec level and privilege level bit [7:6]: anti-pol value for master\r
+ * sec level and privilege level\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_VIO_MISC_INFO_SEC_VIO_INFO_MASTER_SEC_LEVEL(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_VIO_MISC_INFO_SEC_VIO_INFO_MASTER_SEC_LEVEL_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_VIO_MISC_INFO_SEC_VIO_INFO_MASTER_SEC_LEVEL_MASK)\r
 #define AHB_SECURE_CTRL_SEC_VIO_MISC_INFO_SEC_VIO_INFO_MASTER_MASK (0xF00U)\r
 #define AHB_SECURE_CTRL_SEC_VIO_MISC_INFO_SEC_VIO_INFO_MASTER_SHIFT (8U)\r
-#define AHB_SECURE_CTRL_SEC_VIO_MISC_INFO_SEC_VIO_INFO_MASTER(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_VIO_MISC_INFO_SEC_VIO_INFO_MASTER_SHIFT)) & AHB_SECURE_CTRL_SEC_VIO_MISC_INFO_SEC_VIO_INFO_MASTER_MASK)\r
+/*! SEC_VIO_INFO_MASTER - security violation master number\r
+ *  0b0000..CPU0 Code.\r
+ *  0b0001..CPU0 System.\r
+ *  0b0010..CPU1 Data.\r
+ *  0b0011..CPU1 System.\r
+ *  0b0100..USB-HS Device.\r
+ *  0b0101..SDMA0.\r
+ *  0b1000..SDIO.\r
+ *  0b1001..PowerQuad.\r
+ *  0b1010..HASH.\r
+ *  0b1011..USB-FS Host.\r
+ *  0b1100..SDMA1.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_VIO_MISC_INFO_SEC_VIO_INFO_MASTER(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_VIO_MISC_INFO_SEC_VIO_INFO_MASTER_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_VIO_MISC_INFO_SEC_VIO_INFO_MASTER_MASK)\r
 /*! @} */\r
 \r
 /* The count of AHB_SECURE_CTRL_SEC_VIO_MISC_INFO */\r
-#define AHB_SECURE_CTRL_SEC_VIO_MISC_INFO_COUNT  (18U)\r
+#define AHB_SECURE_CTRL_SEC_VIO_MISC_INFO_COUNT (12U)\r
 \r
 /*! @name SEC_VIO_INFO_VALID - security violation address/information registers valid flags */\r
 /*! @{ */\r
 #define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID0_MASK (0x1U)\r
 #define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID0_SHIFT (0U)\r
-#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID0(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID0_SHIFT)) & AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID0_MASK)\r
+/*! VIO_INFO_VALID0 - violation information valid flag for AHB port 0. Write 1 to clear.\r
+ *  0b0..Not valid.\r
+ *  0b1..Valid (violation occurred).\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID0(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID0_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID0_MASK)\r
 #define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID1_MASK (0x2U)\r
 #define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID1_SHIFT (1U)\r
-#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID1(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID1_SHIFT)) & AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID1_MASK)\r
+/*! VIO_INFO_VALID1 - violation information valid flag for AHB port 1. Write 1 to clear.\r
+ *  0b0..Not valid.\r
+ *  0b1..Valid (violation occurred).\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID1(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID1_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID1_MASK)\r
 #define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID2_MASK (0x4U)\r
 #define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID2_SHIFT (2U)\r
-#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID2(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID2_SHIFT)) & AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID2_MASK)\r
+/*! VIO_INFO_VALID2 - violation information valid flag for AHB port 2. Write 1 to clear.\r
+ *  0b0..Not valid.\r
+ *  0b1..Valid (violation occurred).\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID2(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID2_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID2_MASK)\r
 #define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID3_MASK (0x8U)\r
 #define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID3_SHIFT (3U)\r
-#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID3(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID3_SHIFT)) & AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID3_MASK)\r
+/*! VIO_INFO_VALID3 - violation information valid flag for AHB port 3. Write 1 to clear.\r
+ *  0b0..Not valid.\r
+ *  0b1..Valid (violation occurred).\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID3(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID3_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID3_MASK)\r
 #define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID4_MASK (0x10U)\r
 #define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID4_SHIFT (4U)\r
-#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID4(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID4_SHIFT)) & AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID4_MASK)\r
+/*! VIO_INFO_VALID4 - violation information valid flag for AHB port 4. Write 1 to clear.\r
+ *  0b0..Not valid.\r
+ *  0b1..Valid (violation occurred).\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID4(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID4_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID4_MASK)\r
 #define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID5_MASK (0x20U)\r
 #define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID5_SHIFT (5U)\r
-#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID5(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID5_SHIFT)) & AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID5_MASK)\r
+/*! VIO_INFO_VALID5 - violation information valid flag for AHB port 5. Write 1 to clear.\r
+ *  0b0..Not valid.\r
+ *  0b1..Valid (violation occurred).\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID5(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID5_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID5_MASK)\r
 #define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID6_MASK (0x40U)\r
 #define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID6_SHIFT (6U)\r
-#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID6(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID6_SHIFT)) & AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID6_MASK)\r
+/*! VIO_INFO_VALID6 - violation information valid flag for AHB port 6. Write 1 to clear.\r
+ *  0b0..Not valid.\r
+ *  0b1..Valid (violation occurred).\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID6(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID6_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID6_MASK)\r
 #define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID7_MASK (0x80U)\r
 #define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID7_SHIFT (7U)\r
-#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID7(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID7_SHIFT)) & AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID7_MASK)\r
+/*! VIO_INFO_VALID7 - violation information valid flag for AHB port 7. Write 1 to clear.\r
+ *  0b0..Not valid.\r
+ *  0b1..Valid (violation occurred).\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID7(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID7_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID7_MASK)\r
 #define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID8_MASK (0x100U)\r
 #define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID8_SHIFT (8U)\r
-#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID8(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID8_SHIFT)) & AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID8_MASK)\r
+/*! VIO_INFO_VALID8 - violation information valid flag for AHB port 8. Write 1 to clear.\r
+ *  0b0..Not valid.\r
+ *  0b1..Valid (violation occurred).\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID8(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID8_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID8_MASK)\r
 #define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID9_MASK (0x200U)\r
 #define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID9_SHIFT (9U)\r
-#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID9(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID9_SHIFT)) & AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID9_MASK)\r
+/*! VIO_INFO_VALID9 - violation information valid flag for AHB port 9. Write 1 to clear.\r
+ *  0b0..Not valid.\r
+ *  0b1..Valid (violation occurred).\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID9(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID9_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID9_MASK)\r
 #define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID10_MASK (0x400U)\r
 #define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID10_SHIFT (10U)\r
-#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID10(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID10_SHIFT)) & AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID10_MASK)\r
+/*! VIO_INFO_VALID10 - violation information valid flag for AHB port 10. Write 1 to clear.\r
+ *  0b0..Not valid.\r
+ *  0b1..Valid (violation occurred).\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID10(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID10_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID10_MASK)\r
 #define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID11_MASK (0x800U)\r
 #define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID11_SHIFT (11U)\r
-#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID11(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID11_SHIFT)) & AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID11_MASK)\r
-#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID12_MASK (0x1000U)\r
-#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID12_SHIFT (12U)\r
-#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID12(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID12_SHIFT)) & AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID12_MASK)\r
-#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID13_MASK (0x2000U)\r
-#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID13_SHIFT (13U)\r
-#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID13(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID13_SHIFT)) & AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID13_MASK)\r
-#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID14_MASK (0x4000U)\r
-#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID14_SHIFT (14U)\r
-#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID14(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID14_SHIFT)) & AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID14_MASK)\r
-#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID15_MASK (0x8000U)\r
-#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID15_SHIFT (15U)\r
-#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID15(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID15_SHIFT)) & AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID15_MASK)\r
-#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID16_MASK (0x10000U)\r
-#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID16_SHIFT (16U)\r
-#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID16(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID16_SHIFT)) & AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID16_MASK)\r
-#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID17_MASK (0x20000U)\r
-#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID17_SHIFT (17U)\r
-#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID17(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID17_SHIFT)) & AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID17_MASK)\r
-/*! @} */\r
-\r
-/*! @name SEC_GPIO_MASK0 - Secure GPIO mask for port 0 pins. This register is used to block leakage of Secure interface (GPIOs, I2C, UART configured as secure peripherals) pin states to non-secure world. */\r
+/*! VIO_INFO_VALID11 - violation information valid flag for AHB port 11. Write 1 to clear.\r
+ *  0b0..Not valid.\r
+ *  0b1..Valid (violation occurred).\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID11(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID11_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_VIO_INFO_VALID_VIO_INFO_VALID11_MASK)\r
+/*! @} */\r
+\r
+/*! @name SEC_GPIO_MASK0 - Secure GPIO mask for port 0 pins. */\r
 /*! @{ */\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN0_SEC_MASK_MASK (0x1U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN0_SEC_MASK_SHIFT (0U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN0_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN0_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN0_SEC_MASK_MASK)\r
+/*! PIO0_PIN0_SEC_MASK - Secure mask for pin P0_0\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN0_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN0_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN0_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN1_SEC_MASK_MASK (0x2U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN1_SEC_MASK_SHIFT (1U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN1_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN1_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN1_SEC_MASK_MASK)\r
+/*! PIO0_PIN1_SEC_MASK - Secure mask for pin P0_1\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN1_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN1_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN1_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN2_SEC_MASK_MASK (0x4U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN2_SEC_MASK_SHIFT (2U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN2_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN2_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN2_SEC_MASK_MASK)\r
+/*! PIO0_PIN2_SEC_MASK - Secure mask for pin P0_2\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN2_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN2_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN2_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN3_SEC_MASK_MASK (0x8U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN3_SEC_MASK_SHIFT (3U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN3_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN3_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN3_SEC_MASK_MASK)\r
+/*! PIO0_PIN3_SEC_MASK - Secure mask for pin P0_3\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN3_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN3_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN3_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN4_SEC_MASK_MASK (0x10U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN4_SEC_MASK_SHIFT (4U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN4_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN4_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN4_SEC_MASK_MASK)\r
+/*! PIO0_PIN4_SEC_MASK - Secure mask for pin P0_4\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN4_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN4_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN4_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN5_SEC_MASK_MASK (0x20U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN5_SEC_MASK_SHIFT (5U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN5_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN5_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN5_SEC_MASK_MASK)\r
+/*! PIO0_PIN5_SEC_MASK - Secure mask for pin P0_5\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN5_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN5_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN5_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN6_SEC_MASK_MASK (0x40U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN6_SEC_MASK_SHIFT (6U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN6_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN6_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN6_SEC_MASK_MASK)\r
+/*! PIO0_PIN6_SEC_MASK - Secure mask for pin P0_6\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN6_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN6_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN6_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN7_SEC_MASK_MASK (0x80U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN7_SEC_MASK_SHIFT (7U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN7_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN7_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN7_SEC_MASK_MASK)\r
+/*! PIO0_PIN7_SEC_MASK - Secure mask for pin P0_7\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN7_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN7_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN7_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN8_SEC_MASK_MASK (0x100U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN8_SEC_MASK_SHIFT (8U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN8_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN8_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN8_SEC_MASK_MASK)\r
+/*! PIO0_PIN8_SEC_MASK - Secure mask for pin P0_8\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN8_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN8_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN8_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN9_SEC_MASK_MASK (0x200U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN9_SEC_MASK_SHIFT (9U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN9_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN9_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN9_SEC_MASK_MASK)\r
+/*! PIO0_PIN9_SEC_MASK - Secure mask for pin P0_9\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN9_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN9_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN9_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN10_SEC_MASK_MASK (0x400U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN10_SEC_MASK_SHIFT (10U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN10_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN10_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN10_SEC_MASK_MASK)\r
+/*! PIO0_PIN10_SEC_MASK - Secure mask for pin P0_10\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN10_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN10_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN10_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN11_SEC_MASK_MASK (0x800U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN11_SEC_MASK_SHIFT (11U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN11_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN11_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN11_SEC_MASK_MASK)\r
+/*! PIO0_PIN11_SEC_MASK - Secure mask for pin P0_11\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN11_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN11_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN11_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN12_SEC_MASK_MASK (0x1000U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN12_SEC_MASK_SHIFT (12U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN12_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN12_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN12_SEC_MASK_MASK)\r
+/*! PIO0_PIN12_SEC_MASK - Secure mask for pin P0_12\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN12_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN12_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN12_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN13_SEC_MASK_MASK (0x2000U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN13_SEC_MASK_SHIFT (13U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN13_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN13_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN13_SEC_MASK_MASK)\r
+/*! PIO0_PIN13_SEC_MASK - Secure mask for pin P0_13\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN13_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN13_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN13_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN14_SEC_MASK_MASK (0x4000U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN14_SEC_MASK_SHIFT (14U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN14_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN14_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN14_SEC_MASK_MASK)\r
+/*! PIO0_PIN14_SEC_MASK - Secure mask for pin P0_14\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN14_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN14_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN14_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN15_SEC_MASK_MASK (0x8000U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN15_SEC_MASK_SHIFT (15U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN15_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN15_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN15_SEC_MASK_MASK)\r
+/*! PIO0_PIN15_SEC_MASK - Secure mask for pin P0_15\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN15_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN15_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN15_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN16_SEC_MASK_MASK (0x10000U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN16_SEC_MASK_SHIFT (16U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN16_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN16_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN16_SEC_MASK_MASK)\r
+/*! PIO0_PIN16_SEC_MASK - Secure mask for pin P0_16\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN16_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN16_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN16_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN17_SEC_MASK_MASK (0x20000U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN17_SEC_MASK_SHIFT (17U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN17_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN17_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN17_SEC_MASK_MASK)\r
+/*! PIO0_PIN17_SEC_MASK - Secure mask for pin P0_17\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN17_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN17_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN17_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN18_SEC_MASK_MASK (0x40000U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN18_SEC_MASK_SHIFT (18U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN18_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN18_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN18_SEC_MASK_MASK)\r
+/*! PIO0_PIN18_SEC_MASK - Secure mask for pin P0_18\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN18_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN18_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN18_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN19_SEC_MASK_MASK (0x80000U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN19_SEC_MASK_SHIFT (19U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN19_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN19_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN19_SEC_MASK_MASK)\r
+/*! PIO0_PIN19_SEC_MASK - Secure mask for pin P0_19\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN19_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN19_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN19_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN20_SEC_MASK_MASK (0x100000U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN20_SEC_MASK_SHIFT (20U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN20_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN20_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN20_SEC_MASK_MASK)\r
+/*! PIO0_PIN20_SEC_MASK - Secure mask for pin P0_20\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN20_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN20_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN20_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN21_SEC_MASK_MASK (0x200000U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN21_SEC_MASK_SHIFT (21U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN21_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN21_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN21_SEC_MASK_MASK)\r
+/*! PIO0_PIN21_SEC_MASK - Secure mask for pin P0_21\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN21_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN21_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN21_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN22_SEC_MASK_MASK (0x400000U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN22_SEC_MASK_SHIFT (22U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN22_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN22_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN22_SEC_MASK_MASK)\r
+/*! PIO0_PIN22_SEC_MASK - Secure mask for pin P0_22\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN22_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN22_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN22_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN23_SEC_MASK_MASK (0x800000U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN23_SEC_MASK_SHIFT (23U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN23_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN23_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN23_SEC_MASK_MASK)\r
+/*! PIO0_PIN23_SEC_MASK - Secure mask for pin P0_23\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN23_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN23_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN23_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN24_SEC_MASK_MASK (0x1000000U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN24_SEC_MASK_SHIFT (24U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN24_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN24_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN24_SEC_MASK_MASK)\r
+/*! PIO0_PIN24_SEC_MASK - Secure mask for pin P0_24\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN24_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN24_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN24_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN25_SEC_MASK_MASK (0x2000000U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN25_SEC_MASK_SHIFT (25U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN25_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN25_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN25_SEC_MASK_MASK)\r
+/*! PIO0_PIN25_SEC_MASK - Secure mask for pin P0_25\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN25_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN25_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN25_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN26_SEC_MASK_MASK (0x4000000U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN26_SEC_MASK_SHIFT (26U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN26_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN26_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN26_SEC_MASK_MASK)\r
+/*! PIO0_PIN26_SEC_MASK - Secure mask for pin P0_26\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN26_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN26_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN26_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN27_SEC_MASK_MASK (0x8000000U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN27_SEC_MASK_SHIFT (27U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN27_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN27_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN27_SEC_MASK_MASK)\r
+/*! PIO0_PIN27_SEC_MASK - Secure mask for pin P0_27\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN27_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN27_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN27_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN28_SEC_MASK_MASK (0x10000000U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN28_SEC_MASK_SHIFT (28U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN28_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN28_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN28_SEC_MASK_MASK)\r
+/*! PIO0_PIN28_SEC_MASK - Secure mask for pin P0_28\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN28_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN28_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN28_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN29_SEC_MASK_MASK (0x20000000U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN29_SEC_MASK_SHIFT (29U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN29_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN29_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN29_SEC_MASK_MASK)\r
+/*! PIO0_PIN29_SEC_MASK - Secure mask for pin P0_29\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN29_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN29_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN29_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN30_SEC_MASK_MASK (0x40000000U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN30_SEC_MASK_SHIFT (30U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN30_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN30_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN30_SEC_MASK_MASK)\r
+/*! PIO0_PIN30_SEC_MASK - Secure mask for pin P0_30\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN30_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN30_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN30_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN31_SEC_MASK_MASK (0x80000000U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN31_SEC_MASK_SHIFT (31U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN31_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN31_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN31_SEC_MASK_MASK)\r
+/*! PIO0_PIN31_SEC_MASK - Secure mask for pin P0_31\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN31_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN31_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK0_PIO0_PIN31_SEC_MASK_MASK)\r
 /*! @} */\r
 \r
 /*! @name SEC_GPIO_MASK1 - Secure GPIO mask for port 1 pins. */\r
 /*! @{ */\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN0_SEC_MASK_MASK (0x1U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN0_SEC_MASK_SHIFT (0U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN0_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN0_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN0_SEC_MASK_MASK)\r
+/*! PIO1_PIN0_SEC_MASK - Secure mask for pin P1_0\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN0_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN0_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN0_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN1_SEC_MASK_MASK (0x2U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN1_SEC_MASK_SHIFT (1U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN1_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN1_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN1_SEC_MASK_MASK)\r
+/*! PIO1_PIN1_SEC_MASK - Secure mask for pin P1_1\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN1_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN1_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN1_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN2_SEC_MASK_MASK (0x4U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN2_SEC_MASK_SHIFT (2U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN2_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN2_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN2_SEC_MASK_MASK)\r
+/*! PIO1_PIN2_SEC_MASK - Secure mask for pin P1_2\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN2_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN2_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN2_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN3_SEC_MASK_MASK (0x8U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN3_SEC_MASK_SHIFT (3U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN3_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN3_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN3_SEC_MASK_MASK)\r
+/*! PIO1_PIN3_SEC_MASK - Secure mask for pin P1_3\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN3_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN3_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN3_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN4_SEC_MASK_MASK (0x10U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN4_SEC_MASK_SHIFT (4U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN4_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN4_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN4_SEC_MASK_MASK)\r
+/*! PIO1_PIN4_SEC_MASK - Secure mask for pin P1_4\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN4_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN4_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN4_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN5_SEC_MASK_MASK (0x20U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN5_SEC_MASK_SHIFT (5U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN5_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN5_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN5_SEC_MASK_MASK)\r
+/*! PIO1_PIN5_SEC_MASK - Secure mask for pin P1_5\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN5_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN5_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN5_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN6_SEC_MASK_MASK (0x40U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN6_SEC_MASK_SHIFT (6U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN6_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN6_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN6_SEC_MASK_MASK)\r
+/*! PIO1_PIN6_SEC_MASK - Secure mask for pin P1_6\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN6_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN6_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN6_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN7_SEC_MASK_MASK (0x80U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN7_SEC_MASK_SHIFT (7U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN7_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN7_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN7_SEC_MASK_MASK)\r
+/*! PIO1_PIN7_SEC_MASK - Secure mask for pin P1_7\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN7_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN7_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN7_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN8_SEC_MASK_MASK (0x100U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN8_SEC_MASK_SHIFT (8U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN8_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN8_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN8_SEC_MASK_MASK)\r
+/*! PIO1_PIN8_SEC_MASK - Secure mask for pin P1_8\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN8_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN8_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN8_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN9_SEC_MASK_MASK (0x200U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN9_SEC_MASK_SHIFT (9U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN9_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN9_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN9_SEC_MASK_MASK)\r
+/*! PIO1_PIN9_SEC_MASK - Secure mask for pin P1_9\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN9_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN9_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN9_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN10_SEC_MASK_MASK (0x400U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN10_SEC_MASK_SHIFT (10U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN10_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN10_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN10_SEC_MASK_MASK)\r
+/*! PIO1_PIN10_SEC_MASK - Secure mask for pin P1_10\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN10_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN10_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN10_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN11_SEC_MASK_MASK (0x800U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN11_SEC_MASK_SHIFT (11U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN11_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN11_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN11_SEC_MASK_MASK)\r
+/*! PIO1_PIN11_SEC_MASK - Secure mask for pin P1_11\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN11_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN11_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN11_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN12_SEC_MASK_MASK (0x1000U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN12_SEC_MASK_SHIFT (12U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN12_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN12_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN12_SEC_MASK_MASK)\r
+/*! PIO1_PIN12_SEC_MASK - Secure mask for pin P1_12\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN12_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN12_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN12_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN13_SEC_MASK_MASK (0x2000U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN13_SEC_MASK_SHIFT (13U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN13_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN13_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN13_SEC_MASK_MASK)\r
+/*! PIO1_PIN13_SEC_MASK - Secure mask for pin P1_13\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN13_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN13_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN13_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN14_SEC_MASK_MASK (0x4000U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN14_SEC_MASK_SHIFT (14U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN14_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN14_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN14_SEC_MASK_MASK)\r
+/*! PIO1_PIN14_SEC_MASK - Secure mask for pin P1_14\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN14_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN14_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN14_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN15_SEC_MASK_MASK (0x8000U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN15_SEC_MASK_SHIFT (15U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN15_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN15_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN15_SEC_MASK_MASK)\r
+/*! PIO1_PIN15_SEC_MASK - Secure mask for pin P1_15\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN15_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN15_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN15_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN16_SEC_MASK_MASK (0x10000U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN16_SEC_MASK_SHIFT (16U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN16_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN16_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN16_SEC_MASK_MASK)\r
+/*! PIO1_PIN16_SEC_MASK - Secure mask for pin P1_16\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN16_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN16_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN16_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN17_SEC_MASK_MASK (0x20000U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN17_SEC_MASK_SHIFT (17U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN17_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN17_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN17_SEC_MASK_MASK)\r
+/*! PIO1_PIN17_SEC_MASK - Secure mask for pin P1_17\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN17_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN17_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN17_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN18_SEC_MASK_MASK (0x40000U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN18_SEC_MASK_SHIFT (18U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN18_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN18_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN18_SEC_MASK_MASK)\r
+/*! PIO1_PIN18_SEC_MASK - Secure mask for pin P1_18\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN18_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN18_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN18_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN19_SEC_MASK_MASK (0x80000U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN19_SEC_MASK_SHIFT (19U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN19_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN19_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN19_SEC_MASK_MASK)\r
+/*! PIO1_PIN19_SEC_MASK - Secure mask for pin P1_19\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN19_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN19_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN19_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN20_SEC_MASK_MASK (0x100000U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN20_SEC_MASK_SHIFT (20U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN20_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN20_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN20_SEC_MASK_MASK)\r
+/*! PIO1_PIN20_SEC_MASK - Secure mask for pin P1_20\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN20_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN20_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN20_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN21_SEC_MASK_MASK (0x200000U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN21_SEC_MASK_SHIFT (21U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN21_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN21_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN21_SEC_MASK_MASK)\r
+/*! PIO1_PIN21_SEC_MASK - Secure mask for pin P1_21\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN21_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN21_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN21_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN22_SEC_MASK_MASK (0x400000U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN22_SEC_MASK_SHIFT (22U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN22_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN22_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN22_SEC_MASK_MASK)\r
+/*! PIO1_PIN22_SEC_MASK - Secure mask for pin P1_22\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN22_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN22_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN22_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN23_SEC_MASK_MASK (0x800000U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN23_SEC_MASK_SHIFT (23U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN23_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN23_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN23_SEC_MASK_MASK)\r
+/*! PIO1_PIN23_SEC_MASK - Secure mask for pin P1_23\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN23_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN23_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN23_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN24_SEC_MASK_MASK (0x1000000U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN24_SEC_MASK_SHIFT (24U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN24_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN24_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN24_SEC_MASK_MASK)\r
+/*! PIO1_PIN24_SEC_MASK - Secure mask for pin P1_24\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN24_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN24_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN24_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN25_SEC_MASK_MASK (0x2000000U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN25_SEC_MASK_SHIFT (25U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN25_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN25_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN25_SEC_MASK_MASK)\r
+/*! PIO1_PIN25_SEC_MASK - Secure mask for pin P1_25\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN25_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN25_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN25_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN26_SEC_MASK_MASK (0x4000000U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN26_SEC_MASK_SHIFT (26U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN26_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN26_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN26_SEC_MASK_MASK)\r
+/*! PIO1_PIN26_SEC_MASK - Secure mask for pin P1_26\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN26_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN26_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN26_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN27_SEC_MASK_MASK (0x8000000U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN27_SEC_MASK_SHIFT (27U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN27_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN27_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN27_SEC_MASK_MASK)\r
+/*! PIO1_PIN27_SEC_MASK - Secure mask for pin P1_27\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN27_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN27_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN27_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN28_SEC_MASK_MASK (0x10000000U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN28_SEC_MASK_SHIFT (28U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN28_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN28_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN28_SEC_MASK_MASK)\r
+/*! PIO1_PIN28_SEC_MASK - Secure mask for pin P1_28\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN28_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN28_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN28_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN29_SEC_MASK_MASK (0x20000000U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN29_SEC_MASK_SHIFT (29U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN29_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN29_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN29_SEC_MASK_MASK)\r
+/*! PIO1_PIN29_SEC_MASK - Secure mask for pin P1_29\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN29_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN29_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN29_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN30_SEC_MASK_MASK (0x40000000U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN30_SEC_MASK_SHIFT (30U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN30_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN30_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN30_SEC_MASK_MASK)\r
+/*! PIO1_PIN30_SEC_MASK - Secure mask for pin P1_30\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN30_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN30_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN30_SEC_MASK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN31_SEC_MASK_MASK (0x80000000U)\r
 #define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN31_SEC_MASK_SHIFT (31U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN31_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN31_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN31_SEC_MASK_MASK)\r
-/*! @} */\r
-\r
-/*! @name SEC_GPIO_MASK2 - Secure GPIO mask for port 2 pins. */\r
-/*! @{ */\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN0_SEC_MASK_MASK (0x1U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN0_SEC_MASK_SHIFT (0U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN0_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN0_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN0_SEC_MASK_MASK)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN1_SEC_MASK_MASK (0x2U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN1_SEC_MASK_SHIFT (1U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN1_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN1_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN1_SEC_MASK_MASK)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN2_SEC_MASK_MASK (0x4U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN2_SEC_MASK_SHIFT (2U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN2_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN2_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN2_SEC_MASK_MASK)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN3_SEC_MASK_MASK (0x8U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN3_SEC_MASK_SHIFT (3U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN3_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN3_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN3_SEC_MASK_MASK)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN4_SEC_MASK_MASK (0x10U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN4_SEC_MASK_SHIFT (4U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN4_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN4_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN4_SEC_MASK_MASK)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN5_SEC_MASK_MASK (0x20U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN5_SEC_MASK_SHIFT (5U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN5_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN5_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN5_SEC_MASK_MASK)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN6_SEC_MASK_MASK (0x40U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN6_SEC_MASK_SHIFT (6U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN6_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN6_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN6_SEC_MASK_MASK)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN7_SEC_MASK_MASK (0x80U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN7_SEC_MASK_SHIFT (7U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN7_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN7_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN7_SEC_MASK_MASK)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN8_SEC_MASK_MASK (0x100U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN8_SEC_MASK_SHIFT (8U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN8_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN8_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN8_SEC_MASK_MASK)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN9_SEC_MASK_MASK (0x200U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN9_SEC_MASK_SHIFT (9U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN9_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN9_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN9_SEC_MASK_MASK)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN10_SEC_MASK_MASK (0x400U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN10_SEC_MASK_SHIFT (10U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN10_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN10_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN10_SEC_MASK_MASK)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN11_SEC_MASK_MASK (0x800U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN11_SEC_MASK_SHIFT (11U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN11_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN11_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN11_SEC_MASK_MASK)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN12_SEC_MASK_MASK (0x1000U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN12_SEC_MASK_SHIFT (12U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN12_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN12_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN12_SEC_MASK_MASK)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN13_SEC_MASK_MASK (0x2000U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN13_SEC_MASK_SHIFT (13U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN13_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN13_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN13_SEC_MASK_MASK)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN14_SEC_MASK_MASK (0x4000U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN14_SEC_MASK_SHIFT (14U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN14_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN14_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN14_SEC_MASK_MASK)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN15_SEC_MASK_MASK (0x8000U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN15_SEC_MASK_SHIFT (15U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN15_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN15_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN15_SEC_MASK_MASK)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN16_SEC_MASK_MASK (0x10000U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN16_SEC_MASK_SHIFT (16U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN16_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN16_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN16_SEC_MASK_MASK)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN17_SEC_MASK_MASK (0x20000U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN17_SEC_MASK_SHIFT (17U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN17_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN17_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN17_SEC_MASK_MASK)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN18_SEC_MASK_MASK (0x40000U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN18_SEC_MASK_SHIFT (18U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN18_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN18_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN18_SEC_MASK_MASK)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN19_SEC_MASK_MASK (0x80000U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN19_SEC_MASK_SHIFT (19U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN19_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN19_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN19_SEC_MASK_MASK)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN20_SEC_MASK_MASK (0x100000U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN20_SEC_MASK_SHIFT (20U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN20_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN20_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN20_SEC_MASK_MASK)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN21_SEC_MASK_MASK (0x200000U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN21_SEC_MASK_SHIFT (21U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN21_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN21_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN21_SEC_MASK_MASK)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN22_SEC_MASK_MASK (0x400000U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN22_SEC_MASK_SHIFT (22U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN22_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN22_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN22_SEC_MASK_MASK)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN23_SEC_MASK_MASK (0x800000U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN23_SEC_MASK_SHIFT (23U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN23_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN23_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN23_SEC_MASK_MASK)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN24_SEC_MASK_MASK (0x1000000U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN24_SEC_MASK_SHIFT (24U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN24_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN24_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN24_SEC_MASK_MASK)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN25_SEC_MASK_MASK (0x2000000U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN25_SEC_MASK_SHIFT (25U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN25_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN25_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN25_SEC_MASK_MASK)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN26_SEC_MASK_MASK (0x4000000U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN26_SEC_MASK_SHIFT (26U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN26_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN26_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN26_SEC_MASK_MASK)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN27_SEC_MASK_MASK (0x8000000U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN27_SEC_MASK_SHIFT (27U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN27_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN27_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN27_SEC_MASK_MASK)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN28_SEC_MASK_MASK (0x10000000U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN28_SEC_MASK_SHIFT (28U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN28_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN28_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN28_SEC_MASK_MASK)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN29_SEC_MASK_MASK (0x20000000U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN29_SEC_MASK_SHIFT (29U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN29_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN29_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN29_SEC_MASK_MASK)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN30_SEC_MASK_MASK (0x40000000U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN30_SEC_MASK_SHIFT (30U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN30_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN30_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN30_SEC_MASK_MASK)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN31_SEC_MASK_MASK (0x80000000U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN31_SEC_MASK_SHIFT (31U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN31_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN31_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK2_PIO2_PIN31_SEC_MASK_MASK)\r
-/*! @} */\r
-\r
-/*! @name SEC_GPIO_MASK3 - Secure GPIO mask for port 3 pins. */\r
-/*! @{ */\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK3_PIO3_PIN0_SEC_MASK_MASK (0x1U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK3_PIO3_PIN0_SEC_MASK_SHIFT (0U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK3_PIO3_PIN0_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK3_PIO3_PIN0_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK3_PIO3_PIN0_SEC_MASK_MASK)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK3_PIO3_PIN1_SEC_MASK_MASK (0x2U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK3_PIO3_PIN1_SEC_MASK_SHIFT (1U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK3_PIO3_PIN1_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK3_PIO3_PIN1_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK3_PIO3_PIN1_SEC_MASK_MASK)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK3_PIO3_PIN2_SEC_MASK_MASK (0x4U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK3_PIO3_PIN2_SEC_MASK_SHIFT (2U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK3_PIO3_PIN2_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK3_PIO3_PIN2_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK3_PIO3_PIN2_SEC_MASK_MASK)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK3_PIO3_PIN3_SEC_MASK_MASK (0x8U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK3_PIO3_PIN3_SEC_MASK_SHIFT (3U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK3_PIO3_PIN3_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK3_PIO3_PIN3_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK3_PIO3_PIN3_SEC_MASK_MASK)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK3_PIO3_PIN4_SEC_MASK_MASK (0x10U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK3_PIO3_PIN4_SEC_MASK_SHIFT (4U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK3_PIO3_PIN4_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK3_PIO3_PIN4_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK3_PIO3_PIN4_SEC_MASK_MASK)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK3_PIO3_PIN5_SEC_MASK_MASK (0x20U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK3_PIO3_PIN5_SEC_MASK_SHIFT (5U)\r
-#define AHB_SECURE_CTRL_SEC_GPIO_MASK3_PIO3_PIN5_SEC_MASK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK3_PIO3_PIN5_SEC_MASK_SHIFT)) & AHB_SECURE_CTRL_SEC_GPIO_MASK3_PIO3_PIN5_SEC_MASK_MASK)\r
+/*! PIO1_PIN31_SEC_MASK - Secure mask for pin P1_31\r
+ *  0b1..Pin state is readable by non-secure world.\r
+ *  0b0..Pin state is blocked to non-secure world.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN31_SEC_MASK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN31_SEC_MASK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_GPIO_MASK1_PIO1_PIN31_SEC_MASK_MASK)\r
 /*! @} */\r
 \r
 /*! @name SEC_CPU_INT_MASK0 - Secure Interrupt mask for CPU1 */\r
 /*! @{ */\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_SYS_IRQ_MASK (0x1U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_SYS_IRQ_SHIFT (0U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_SYS_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_SYS_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_SYS_IRQ_MASK)\r
+/*! SYS_IRQ - Watchdog Timer, Brown Out Detectors and Flash Controller interrupts\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_SYS_IRQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_SYS_IRQ_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_SYS_IRQ_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_SDMA0_IRQ_MASK (0x2U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_SDMA0_IRQ_SHIFT (1U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_SDMA0_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_SDMA0_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_SDMA0_IRQ_MASK)\r
+/*! SDMA0_IRQ - System DMA 0 (non-secure) interrupt.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_SDMA0_IRQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_SDMA0_IRQ_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_SDMA0_IRQ_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_GLOBALINT0_IRQ_MASK (0x4U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_GLOBALINT0_IRQ_SHIFT (2U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_GLOBALINT0_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_GLOBALINT0_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_GLOBALINT0_IRQ_MASK)\r
+/*! GPIO_GLOBALINT0_IRQ - GPIO Group 0 interrupt.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_GLOBALINT0_IRQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_GLOBALINT0_IRQ_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_GLOBALINT0_IRQ_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_GLOBALINT1_IRQ_MASK (0x8U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_GLOBALINT1_IRQ_SHIFT (3U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_GLOBALINT1_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_GLOBALINT1_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_GLOBALINT1_IRQ_MASK)\r
+/*! GPIO_GLOBALINT1_IRQ - GPIO Group 1 interrupt.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_GLOBALINT1_IRQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_GLOBALINT1_IRQ_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_GLOBALINT1_IRQ_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_INT0_IRQ0_MASK (0x10U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_INT0_IRQ0_SHIFT (4U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_INT0_IRQ0(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_INT0_IRQ0_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_INT0_IRQ0_MASK)\r
+/*! GPIO_INT0_IRQ0 - Pin interrupt 0 or pattern match engine slice 0 interrupt.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_INT0_IRQ0(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_INT0_IRQ0_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_INT0_IRQ0_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_INT0_IRQ1_MASK (0x20U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_INT0_IRQ1_SHIFT (5U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_INT0_IRQ1(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_INT0_IRQ1_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_INT0_IRQ1_MASK)\r
+/*! GPIO_INT0_IRQ1 - Pin interrupt 1 or pattern match engine slice 1 interrupt.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_INT0_IRQ1(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_INT0_IRQ1_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_INT0_IRQ1_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_INT0_IRQ2_MASK (0x40U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_INT0_IRQ2_SHIFT (6U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_INT0_IRQ2(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_INT0_IRQ2_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_INT0_IRQ2_MASK)\r
+/*! GPIO_INT0_IRQ2 - Pin interrupt 2 or pattern match engine slice 2 interrupt.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_INT0_IRQ2(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_INT0_IRQ2_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_INT0_IRQ2_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_INT0_IRQ3_MASK (0x80U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_INT0_IRQ3_SHIFT (7U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_INT0_IRQ3(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_INT0_IRQ3_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_INT0_IRQ3_MASK)\r
+/*! GPIO_INT0_IRQ3 - Pin interrupt 3 or pattern match engine slice 3 interrupt.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_INT0_IRQ3(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_INT0_IRQ3_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_GPIO_INT0_IRQ3_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_UTICK_IRQ_MASK (0x100U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_UTICK_IRQ_SHIFT (8U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_UTICK_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_UTICK_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_UTICK_IRQ_MASK)\r
+/*! UTICK_IRQ - Micro Tick Timer interrupt.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_UTICK_IRQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_UTICK_IRQ_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_UTICK_IRQ_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_MRT_IRQ_MASK (0x200U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_MRT_IRQ_SHIFT (9U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_MRT_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_MRT_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_MRT_IRQ_MASK)\r
+/*! MRT_IRQ - Multi-Rate Timer interrupt.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_MRT_IRQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_MRT_IRQ_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_MRT_IRQ_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_CTIMER0_IRQ_MASK (0x400U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_CTIMER0_IRQ_SHIFT (10U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_CTIMER0_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_CTIMER0_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_CTIMER0_IRQ_MASK)\r
+/*! CTIMER0_IRQ - Standard counter/timer 0 interrupt.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_CTIMER0_IRQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_CTIMER0_IRQ_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_CTIMER0_IRQ_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_CTIMER1_IRQ_MASK (0x800U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_CTIMER1_IRQ_SHIFT (11U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_CTIMER1_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_CTIMER1_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_CTIMER1_IRQ_MASK)\r
+/*! CTIMER1_IRQ - Standard counter/timer 1 interrupt.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_CTIMER1_IRQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_CTIMER1_IRQ_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_CTIMER1_IRQ_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_SCT_IRQ_MASK (0x1000U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_SCT_IRQ_SHIFT (12U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_SCT_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_SCT_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_SCT_IRQ_MASK)\r
+/*! SCT_IRQ - SCTimer/PWM interrupt.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_SCT_IRQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_SCT_IRQ_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_SCT_IRQ_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_CTIMER3_IRQ_MASK (0x2000U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_CTIMER3_IRQ_SHIFT (13U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_CTIMER3_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_CTIMER3_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_CTIMER3_IRQ_MASK)\r
+/*! CTIMER3_IRQ - Standard counter/timer 3 interrupt.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_CTIMER3_IRQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_CTIMER3_IRQ_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_CTIMER3_IRQ_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM0_IRQ_MASK (0x4000U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM0_IRQ_SHIFT (14U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM0_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM0_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM0_IRQ_MASK)\r
+/*! FLEXCOMM0_IRQ - Flexcomm 0 interrupt (USART, SPI, I2C, I2S).\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM0_IRQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM0_IRQ_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM0_IRQ_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM1_IRQ_MASK (0x8000U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM1_IRQ_SHIFT (15U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM1_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM1_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM1_IRQ_MASK)\r
+/*! FLEXCOMM1_IRQ - Flexcomm 1 interrupt (USART, SPI, I2C, I2S).\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM1_IRQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM1_IRQ_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM1_IRQ_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM2_IRQ_MASK (0x10000U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM2_IRQ_SHIFT (16U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM2_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM2_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM2_IRQ_MASK)\r
+/*! FLEXCOMM2_IRQ - Flexcomm 2 interrupt (USART, SPI, I2C, I2S).\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM2_IRQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM2_IRQ_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM2_IRQ_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM3_IRQ_MASK (0x20000U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM3_IRQ_SHIFT (17U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM3_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM3_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM3_IRQ_MASK)\r
+/*! FLEXCOMM3_IRQ - Flexcomm 3 interrupt (USART, SPI, I2C, I2S).\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM3_IRQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM3_IRQ_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM3_IRQ_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM4_IRQ_MASK (0x40000U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM4_IRQ_SHIFT (18U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM4_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM4_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM4_IRQ_MASK)\r
+/*! FLEXCOMM4_IRQ - Flexcomm 4 interrupt (USART, SPI, I2C, I2S).\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM4_IRQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM4_IRQ_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM4_IRQ_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM5_IRQ_MASK (0x80000U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM5_IRQ_SHIFT (19U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM5_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM5_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM5_IRQ_MASK)\r
+/*! FLEXCOMM5_IRQ - Flexcomm 5 interrupt (USART, SPI, I2C, I2S).\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM5_IRQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM5_IRQ_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM5_IRQ_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM6_IRQ_MASK (0x100000U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM6_IRQ_SHIFT (20U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM6_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM6_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM6_IRQ_MASK)\r
+/*! FLEXCOMM6_IRQ - Flexcomm 6 interrupt (USART, SPI, I2C, I2S).\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM6_IRQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM6_IRQ_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM6_IRQ_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM7_IRQ_MASK (0x200000U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM7_IRQ_SHIFT (21U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM7_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM7_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM7_IRQ_MASK)\r
+/*! FLEXCOMM7_IRQ - Flexcomm 7 interrupt (USART, SPI, I2C, I2S).\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM7_IRQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM7_IRQ_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_FLEXCOMM7_IRQ_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_ADC_IRQ_MASK (0x400000U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_ADC_IRQ_SHIFT (22U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_ADC_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_ADC_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_ADC_IRQ_MASK)\r
+/*! ADC_IRQ - General Purpose ADC interrupt.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_ADC_IRQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_ADC_IRQ_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_ADC_IRQ_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_RESERVED0_MASK (0x800000U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_RESERVED0_SHIFT (23U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_RESERVED0(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_RESERVED0_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_RESERVED0_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_ACMP_CAPT0_IRQ_MASK (0x1000000U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_ACMP_CAPT0_IRQ_SHIFT (24U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_ACMP_CAPT0_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_ACMP_CAPT0_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_ACMP_CAPT0_IRQ_MASK)\r
+/*! RESERVED0 - Reserved. Read value is undefined, only zero should be written.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_RESERVED0(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_RESERVED0_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_RESERVED0_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_ACMP_IRQ_MASK (0x1000000U)\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_ACMP_IRQ_SHIFT (24U)\r
+/*! ACMP_IRQ - Analog Comparator interrupt.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_ACMP_IRQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_ACMP_IRQ_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_ACMP_IRQ_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_RESERVED1_MASK (0x2000000U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_RESERVED1_SHIFT (25U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_RESERVED1(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_RESERVED1_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_RESERVED1_MASK)\r
+/*! RESERVED1 - Reserved. Read value is undefined, only zero should be written.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_RESERVED1(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_RESERVED1_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_RESERVED1_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_RESERVED2_MASK (0x4000000U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_RESERVED2_SHIFT (26U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_RESERVED2(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_RESERVED2_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_RESERVED2_MASK)\r
+/*! RESERVED2 - Reserved. Read value is undefined, only zero should be written.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_RESERVED2(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_RESERVED2_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_RESERVED2_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_USB0_NEEDCLK_MASK (0x8000000U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_USB0_NEEDCLK_SHIFT (27U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_USB0_NEEDCLK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_USB0_NEEDCLK_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_USB0_NEEDCLK_MASK)\r
+/*! USB0_NEEDCLK - USB Full Speed Controller Clock request interrupt.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_USB0_NEEDCLK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_USB0_NEEDCLK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_USB0_NEEDCLK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_USB0_IRQ_MASK (0x10000000U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_USB0_IRQ_SHIFT (28U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_USB0_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_USB0_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_USB0_IRQ_MASK)\r
+/*! USB0_IRQ - USB Full Speed Controller interrupt.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_USB0_IRQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_USB0_IRQ_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_USB0_IRQ_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_RTC_IRQ_MASK (0x20000000U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_RTC_IRQ_SHIFT (29U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_RTC_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_RTC_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_RTC_IRQ_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_EZH_ARCH_B_IRQ_MASK (0x40000000U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_EZH_ARCH_B_IRQ_SHIFT (30U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_EZH_ARCH_B_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_EZH_ARCH_B_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_EZH_ARCH_B_IRQ_MASK)\r
+/*! RTC_IRQ - RTC_LITE0_ALARM_IRQ, RTC_LITE0_WAKEUP_IRQ\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_RTC_IRQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_RTC_IRQ_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_RTC_IRQ_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_RESERVED3_MASK (0x40000000U)\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_RESERVED3_SHIFT (30U)\r
+/*! RESERVED3 - Reserved. Read value is undefined, only zero should be written.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_RESERVED3(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_RESERVED3_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_RESERVED3_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_MAILBOX_IRQ_MASK (0x80000000U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_MAILBOX_IRQ_SHIFT (31U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_MAILBOX_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_MAILBOX_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_MAILBOX_IRQ_MASK)\r
+/*! MAILBOX_IRQ - Mailbox interrupt.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_MAILBOX_IRQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_MAILBOX_IRQ_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK0_MAILBOX_IRQ_MASK)\r
 /*! @} */\r
 \r
 /*! @name SEC_CPU_INT_MASK1 - Secure Interrupt mask for CPU1 */\r
 /*! @{ */\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_GPIO_INT0_IRQ4_MASK (0x1U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_GPIO_INT0_IRQ4_SHIFT (0U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_GPIO_INT0_IRQ4(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_GPIO_INT0_IRQ4_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_GPIO_INT0_IRQ4_MASK)\r
+/*! GPIO_INT0_IRQ4 - Pin interrupt 4 or pattern match engine slice 4 interrupt.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_GPIO_INT0_IRQ4(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_GPIO_INT0_IRQ4_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_GPIO_INT0_IRQ4_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_GPIO_INT0_IRQ5_MASK (0x2U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_GPIO_INT0_IRQ5_SHIFT (1U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_GPIO_INT0_IRQ5(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_GPIO_INT0_IRQ5_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_GPIO_INT0_IRQ5_MASK)\r
+/*! GPIO_INT0_IRQ5 - Pin interrupt 5 or pattern match engine slice 5 interrupt.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_GPIO_INT0_IRQ5(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_GPIO_INT0_IRQ5_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_GPIO_INT0_IRQ5_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_GPIO_INT0_IRQ6_MASK (0x4U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_GPIO_INT0_IRQ6_SHIFT (2U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_GPIO_INT0_IRQ6(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_GPIO_INT0_IRQ6_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_GPIO_INT0_IRQ6_MASK)\r
+/*! GPIO_INT0_IRQ6 - Pin interrupt 6 or pattern match engine slice 6 interrupt.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_GPIO_INT0_IRQ6(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_GPIO_INT0_IRQ6_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_GPIO_INT0_IRQ6_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_GPIO_INT0_IRQ7_MASK (0x8U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_GPIO_INT0_IRQ7_SHIFT (3U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_GPIO_INT0_IRQ7(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_GPIO_INT0_IRQ7_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_GPIO_INT0_IRQ7_MASK)\r
+/*! GPIO_INT0_IRQ7 - Pin interrupt 7 or pattern match engine slice 7 interrupt.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_GPIO_INT0_IRQ7(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_GPIO_INT0_IRQ7_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_GPIO_INT0_IRQ7_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_CTIMER2_IRQ_MASK (0x10U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_CTIMER2_IRQ_SHIFT (4U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_CTIMER2_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_CTIMER2_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_CTIMER2_IRQ_MASK)\r
+/*! CTIMER2_IRQ - Standard counter/timer 2 interrupt.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_CTIMER2_IRQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_CTIMER2_IRQ_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_CTIMER2_IRQ_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_CTIMER4_IRQ_MASK (0x20U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_CTIMER4_IRQ_SHIFT (5U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_CTIMER4_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_CTIMER4_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_CTIMER4_IRQ_MASK)\r
+/*! CTIMER4_IRQ - Standard counter/timer 4 interrupt.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_CTIMER4_IRQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_CTIMER4_IRQ_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_CTIMER4_IRQ_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_OS_EVENT_TIMER_IRQ_MASK (0x40U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_OS_EVENT_TIMER_IRQ_SHIFT (6U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_OS_EVENT_TIMER_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_OS_EVENT_TIMER_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_OS_EVENT_TIMER_IRQ_MASK)\r
+/*! OS_EVENT_TIMER_IRQ - OS Event Timer and OS Event Timer Wakeup interrupts\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_OS_EVENT_TIMER_IRQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_OS_EVENT_TIMER_IRQ_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_OS_EVENT_TIMER_IRQ_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED0_MASK (0x80U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED0_SHIFT (7U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED0(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED0_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED0_MASK)\r
+/*! RESERVED0 - Reserved. Read value is undefined, only zero should be written.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED0(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED0_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED0_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED1_MASK (0x100U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED1_SHIFT (8U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED1(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED1_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED1_MASK)\r
+/*! RESERVED1 - Reserved. Read value is undefined, only zero should be written.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED1(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED1_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED1_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED2_MASK (0x200U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED2_SHIFT (9U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED2(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED2_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED2_MASK)\r
+/*! RESERVED2 - Reserved. Read value is undefined, only zero should be written.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED2(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED2_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED2_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SDIO_IRQ_MASK (0x400U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SDIO_IRQ_SHIFT (10U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SDIO_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SDIO_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SDIO_IRQ_MASK)\r
+/*! SDIO_IRQ - SDIO Controller interrupt.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SDIO_IRQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SDIO_IRQ_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SDIO_IRQ_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED3_MASK (0x800U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED3_SHIFT (11U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED3(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED3_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED3_MASK)\r
+/*! RESERVED3 - Reserved. Read value is undefined, only zero should be written.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED3(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED3_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED3_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED4_MASK (0x1000U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED4_SHIFT (12U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED4(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED4_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED4_MASK)\r
+/*! RESERVED4 - Reserved. Read value is undefined, only zero should be written.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED4(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED4_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED4_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED5_MASK (0x2000U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED5_SHIFT (13U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED5(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED5_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED5_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_USB1_UTMI_IRQ_MASK (0x4000U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_USB1_UTMI_IRQ_SHIFT (14U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_USB1_UTMI_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_USB1_UTMI_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_USB1_UTMI_IRQ_MASK)\r
+/*! RESERVED5 - Reserved. Read value is undefined, only zero should be written.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED5(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED5_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_RESERVED5_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_USB1_PHY_IRQ_MASK (0x4000U)\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_USB1_PHY_IRQ_SHIFT (14U)\r
+/*! USB1_PHY_IRQ - USB High Speed PHY Controller interrupt.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_USB1_PHY_IRQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_USB1_PHY_IRQ_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_USB1_PHY_IRQ_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_USB1_IRQ_MASK (0x8000U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_USB1_IRQ_SHIFT (15U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_USB1_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_USB1_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_USB1_IRQ_MASK)\r
+/*! USB1_IRQ - USB High Speed Controller interrupt.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_USB1_IRQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_USB1_IRQ_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_USB1_IRQ_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_USB1_NEEDCLK_MASK (0x10000U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_USB1_NEEDCLK_SHIFT (16U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_USB1_NEEDCLK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_USB1_NEEDCLK_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_USB1_NEEDCLK_MASK)\r
+/*! USB1_NEEDCLK - USB High Speed Controller Clock request interrupt.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_USB1_NEEDCLK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_USB1_NEEDCLK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_USB1_NEEDCLK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SEC_HYPERVISOR_CALL_IRQ_MASK (0x20000U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SEC_HYPERVISOR_CALL_IRQ_SHIFT (17U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SEC_HYPERVISOR_CALL_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SEC_HYPERVISOR_CALL_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SEC_HYPERVISOR_CALL_IRQ_MASK)\r
+/*! SEC_HYPERVISOR_CALL_IRQ - Secure fault Hyper Visor call interrupt.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SEC_HYPERVISOR_CALL_IRQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SEC_HYPERVISOR_CALL_IRQ_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SEC_HYPERVISOR_CALL_IRQ_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SEC_GPIO_INT0_IRQ0_MASK (0x40000U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SEC_GPIO_INT0_IRQ0_SHIFT (18U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SEC_GPIO_INT0_IRQ0(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SEC_GPIO_INT0_IRQ0_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SEC_GPIO_INT0_IRQ0_MASK)\r
+/*! SEC_GPIO_INT0_IRQ0 - Secure Pin interrupt 0 or pattern match engine slice 0 interrupt.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SEC_GPIO_INT0_IRQ0(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SEC_GPIO_INT0_IRQ0_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SEC_GPIO_INT0_IRQ0_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SEC_GPIO_INT0_IRQ1_MASK (0x80000U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SEC_GPIO_INT0_IRQ1_SHIFT (19U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SEC_GPIO_INT0_IRQ1(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SEC_GPIO_INT0_IRQ1_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SEC_GPIO_INT0_IRQ1_MASK)\r
+/*! SEC_GPIO_INT0_IRQ1 - Secure Pin interrupt 1 or pattern match engine slice 1 interrupt.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SEC_GPIO_INT0_IRQ1(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SEC_GPIO_INT0_IRQ1_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SEC_GPIO_INT0_IRQ1_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_PLU_IRQ_MASK (0x100000U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_PLU_IRQ_SHIFT (20U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_PLU_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_PLU_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_PLU_IRQ_MASK)\r
+/*! PLU_IRQ - Programmable Look-Up Controller interrupt.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_PLU_IRQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_PLU_IRQ_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_PLU_IRQ_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SEC_VIO_IRQ_MASK (0x200000U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SEC_VIO_IRQ_SHIFT (21U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SEC_VIO_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SEC_VIO_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SEC_VIO_IRQ_MASK)\r
+/*! SEC_VIO_IRQ - Security Violation interrupt.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SEC_VIO_IRQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SEC_VIO_IRQ_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SEC_VIO_IRQ_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SHA_IRQ_MASK (0x400000U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SHA_IRQ_SHIFT (22U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SHA_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SHA_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SHA_IRQ_MASK)\r
+/*! SHA_IRQ - HASH-AES interrupt.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SHA_IRQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SHA_IRQ_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SHA_IRQ_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_CASPER_IRQ_MASK (0x800000U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_CASPER_IRQ_SHIFT (23U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_CASPER_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_CASPER_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_CASPER_IRQ_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_QDDKEY_IRQ_MASK (0x1000000U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_QDDKEY_IRQ_SHIFT (24U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_QDDKEY_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_QDDKEY_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_QDDKEY_IRQ_MASK)\r
+/*! CASPER_IRQ - CASPER interrupt.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_CASPER_IRQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_CASPER_IRQ_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_CASPER_IRQ_MASK)\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_PUFKEY_IRQ_MASK (0x1000000U)\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_PUFKEY_IRQ_SHIFT (24U)\r
+/*! PUFKEY_IRQ - PUF interrupt.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_PUFKEY_IRQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_PUFKEY_IRQ_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_PUFKEY_IRQ_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_PQ_IRQ_MASK (0x2000000U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_PQ_IRQ_SHIFT (25U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_PQ_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_PQ_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_PQ_IRQ_MASK)\r
+/*! PQ_IRQ - Power Quad interrupt.\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_PQ_IRQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_PQ_IRQ_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_PQ_IRQ_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SDMA1_IRQ_MASK (0x4000000U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SDMA1_IRQ_SHIFT (26U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SDMA1_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SDMA1_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SDMA1_IRQ_MASK)\r
+/*! SDMA1_IRQ - System DMA 1 (Secure) interrupt\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SDMA1_IRQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SDMA1_IRQ_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_SDMA1_IRQ_MASK)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_LSPI_HS_IRQ_MASK (0x8000000U)\r
 #define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_LSPI_HS_IRQ_SHIFT (27U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_LSPI_HS_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_LSPI_HS_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_LSPI_HS_IRQ_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_PVTVF0_AMBER_IRQ_MASK (0x10000000U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_PVTVF0_AMBER_IRQ_SHIFT (28U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_PVTVF0_AMBER_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_PVTVF0_AMBER_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_PVTVF0_AMBER_IRQ_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_PVTVF0_RED_IRQ_MASK (0x20000000U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_PVTVF0_RED_IRQ_SHIFT (29U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_PVTVF0_RED_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_PVTVF0_RED_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_PVTVF0_RED_IRQ_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_PVTVF1_AMBER_IRQ_MASK (0x40000000U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_PVTVF1_AMBER_IRQ_SHIFT (30U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_PVTVF1_AMBER_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_PVTVF1_AMBER_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_PVTVF1_AMBER_IRQ_MASK)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_PVTVF1_RED_IRQ_MASK (0x80000000U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_PVTVF1_RED_IRQ_SHIFT (31U)\r
-#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_PVTVF1_RED_IRQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_PVTVF1_RED_IRQ_SHIFT)) & AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_PVTVF1_RED_IRQ_MASK)\r
+/*! LSPI_HS_IRQ - High Speed SPI interrupt\r
+ *  0b0..\r
+ *  0b1..\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_LSPI_HS_IRQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_LSPI_HS_IRQ_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_CPU_INT_MASK1_LSPI_HS_IRQ_MASK)\r
 /*! @} */\r
 \r
 /*! @name SEC_MASK_LOCK - Security General Purpose register access control. */\r
 /*! @{ */\r
 #define AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_GPIO_MASK0_LOCK_MASK (0x3U)\r
 #define AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_GPIO_MASK0_LOCK_SHIFT (0U)\r
-#define AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_GPIO_MASK0_LOCK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_GPIO_MASK0_LOCK_SHIFT)) & AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_GPIO_MASK0_LOCK_MASK)\r
+/*! SEC_GPIO_MASK0_LOCK - SEC_GPIO_MASK0 register write-lock.\r
+ *  0b10..Writable.\r
+ *  0b01..Restricted mode.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_GPIO_MASK0_LOCK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_GPIO_MASK0_LOCK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_GPIO_MASK0_LOCK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_GPIO_MASK1_LOCK_MASK (0xCU)\r
 #define AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_GPIO_MASK1_LOCK_SHIFT (2U)\r
-#define AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_GPIO_MASK1_LOCK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_GPIO_MASK1_LOCK_SHIFT)) & AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_GPIO_MASK1_LOCK_MASK)\r
-#define AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_GPIO_MASK2_LOCK_MASK (0x30U)\r
-#define AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_GPIO_MASK2_LOCK_SHIFT (4U)\r
-#define AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_GPIO_MASK2_LOCK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_GPIO_MASK2_LOCK_SHIFT)) & AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_GPIO_MASK2_LOCK_MASK)\r
-#define AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_GPIO_MASK3_LOCK_MASK (0xC0U)\r
-#define AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_GPIO_MASK3_LOCK_SHIFT (6U)\r
-#define AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_GPIO_MASK3_LOCK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_GPIO_MASK3_LOCK_SHIFT)) & AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_GPIO_MASK3_LOCK_MASK)\r
+/*! SEC_GPIO_MASK1_LOCK - SEC_GPIO_MASK1 register write-lock.\r
+ *  0b10..Writable.\r
+ *  0b01..Restricted mode.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_GPIO_MASK1_LOCK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_GPIO_MASK1_LOCK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_GPIO_MASK1_LOCK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_CPU1_INT_MASK0_LOCK_MASK (0x300U)\r
 #define AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_CPU1_INT_MASK0_LOCK_SHIFT (8U)\r
-#define AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_CPU1_INT_MASK0_LOCK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_CPU1_INT_MASK0_LOCK_SHIFT)) & AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_CPU1_INT_MASK0_LOCK_MASK)\r
+/*! SEC_CPU1_INT_MASK0_LOCK - SEC_CPU_INT_MASK0 register write-lock.\r
+ *  0b10..Writable.\r
+ *  0b01..Restricted mode.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_CPU1_INT_MASK0_LOCK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_CPU1_INT_MASK0_LOCK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_CPU1_INT_MASK0_LOCK_MASK)\r
 #define AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_CPU1_INT_MASK1_LOCK_MASK (0xC00U)\r
 #define AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_CPU1_INT_MASK1_LOCK_SHIFT (10U)\r
-#define AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_CPU1_INT_MASK1_LOCK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_CPU1_INT_MASK1_LOCK_SHIFT)) & AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_CPU1_INT_MASK1_LOCK_MASK)\r
+/*! SEC_CPU1_INT_MASK1_LOCK - SEC_CPU_INT_MASK1 register write-lock.\r
+ *  0b10..Writable.\r
+ *  0b01..Restricted mode.\r
+ */\r
+#define AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_CPU1_INT_MASK1_LOCK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_CPU1_INT_MASK1_LOCK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_SEC_MASK_LOCK_SEC_CPU1_INT_MASK1_LOCK_MASK)\r
 /*! @} */\r
 \r
 /*! @name MASTER_SEC_LEVEL - master secure level register */\r
 /*! @{ */\r
-#define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_MCM33C_MASK (0x30U)\r
-#define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_MCM33C_SHIFT (4U)\r
-#define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_MCM33C(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_LEVEL_MCM33C_SHIFT)) & AHB_SECURE_CTRL_MASTER_SEC_LEVEL_MCM33C_MASK)\r
-#define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_MCM33S_MASK (0xC0U)\r
-#define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_MCM33S_SHIFT (6U)\r
-#define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_MCM33S(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_LEVEL_MCM33S_SHIFT)) & AHB_SECURE_CTRL_MASTER_SEC_LEVEL_MCM33S_MASK)\r
+#define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_CPU1C_MASK (0x30U)\r
+#define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_CPU1C_SHIFT (4U)\r
+/*! CPU1C - Micro-Cortex M33 (CPU1) Code bus.\r
+ *  0b00..Non-secure and Non-priviledge user access allowed.\r
+ *  0b01..Non-secure and Privilege access allowed.\r
+ *  0b10..Secure and Non-priviledge user access allowed.\r
+ *  0b11..Secure and Priviledge user access allowed.\r
+ */\r
+#define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_CPU1C(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_LEVEL_CPU1C_SHIFT)) & \\r
+     AHB_SECURE_CTRL_MASTER_SEC_LEVEL_CPU1C_MASK)\r
+#define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_CPU1S_MASK (0xC0U)\r
+#define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_CPU1S_SHIFT (6U)\r
+/*! CPU1S - Micro-Cortex M33 (CPU1) System bus.\r
+ *  0b00..Non-secure and Non-priviledge user access allowed.\r
+ *  0b01..Non-secure and Privilege access allowed.\r
+ *  0b10..Secure and Non-priviledge user access allowed.\r
+ *  0b11..Secure and Priviledge user access allowed.\r
+ */\r
+#define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_CPU1S(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_LEVEL_CPU1S_SHIFT)) & \\r
+     AHB_SECURE_CTRL_MASTER_SEC_LEVEL_CPU1S_MASK)\r
 #define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_USBFSD_MASK (0x300U)\r
 #define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_USBFSD_SHIFT (8U)\r
-#define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_USBFSD(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_LEVEL_USBFSD_SHIFT)) & AHB_SECURE_CTRL_MASTER_SEC_LEVEL_USBFSD_MASK)\r
+/*! USBFSD - USB Full Speed Device.\r
+ *  0b00..Non-secure and Non-priviledge user access allowed.\r
+ *  0b01..Non-secure and Privilege access allowed.\r
+ *  0b10..Secure and Non-priviledge user access allowed.\r
+ *  0b11..Secure and Priviledge user access allowed.\r
+ */\r
+#define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_USBFSD(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_LEVEL_USBFSD_SHIFT)) & \\r
+     AHB_SECURE_CTRL_MASTER_SEC_LEVEL_USBFSD_MASK)\r
 #define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_SDMA0_MASK (0xC00U)\r
 #define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_SDMA0_SHIFT (10U)\r
-#define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_SDMA0(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_LEVEL_SDMA0_SHIFT)) & AHB_SECURE_CTRL_MASTER_SEC_LEVEL_SDMA0_MASK)\r
-#define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_EZH_D_MASK (0x3000U)\r
-#define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_EZH_D_SHIFT (12U)\r
-#define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_EZH_D(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_LEVEL_EZH_D_SHIFT)) & AHB_SECURE_CTRL_MASTER_SEC_LEVEL_EZH_D_MASK)\r
-#define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_EZH_I_MASK (0xC000U)\r
-#define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_EZH_I_SHIFT (14U)\r
-#define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_EZH_I(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_LEVEL_EZH_I_SHIFT)) & AHB_SECURE_CTRL_MASTER_SEC_LEVEL_EZH_I_MASK)\r
+/*! SDMA0 - System DMA 0.\r
+ *  0b00..Non-secure and Non-priviledge user access allowed.\r
+ *  0b01..Non-secure and Privilege access allowed.\r
+ *  0b10..Secure and Non-priviledge user access allowed.\r
+ *  0b11..Secure and Priviledge user access allowed.\r
+ */\r
+#define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_SDMA0(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_LEVEL_SDMA0_SHIFT)) & \\r
+     AHB_SECURE_CTRL_MASTER_SEC_LEVEL_SDMA0_MASK)\r
 #define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_SDIO_MASK (0x30000U)\r
 #define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_SDIO_SHIFT (16U)\r
-#define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_SDIO(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_LEVEL_SDIO_SHIFT)) & AHB_SECURE_CTRL_MASTER_SEC_LEVEL_SDIO_MASK)\r
+/*! SDIO - SDIO.\r
+ *  0b00..Non-secure and Non-priviledge user access allowed.\r
+ *  0b01..Non-secure and Privilege access allowed.\r
+ *  0b10..Secure and Non-priviledge user access allowed.\r
+ *  0b11..Secure and Priviledge user access allowed.\r
+ */\r
+#define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_SDIO(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_LEVEL_SDIO_SHIFT)) & \\r
+     AHB_SECURE_CTRL_MASTER_SEC_LEVEL_SDIO_MASK)\r
 #define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_PQ_MASK (0xC0000U)\r
 #define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_PQ_SHIFT (18U)\r
-#define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_PQ(x)   (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_LEVEL_PQ_SHIFT)) & AHB_SECURE_CTRL_MASTER_SEC_LEVEL_PQ_MASK)\r
+/*! PQ - Power Quad.\r
+ *  0b00..Non-secure and Non-priviledge user access allowed.\r
+ *  0b01..Non-secure and Privilege access allowed.\r
+ *  0b10..Secure and Non-priviledge user access allowed.\r
+ *  0b11..Secure and Priviledge user access allowed.\r
+ */\r
+#define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_PQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_LEVEL_PQ_SHIFT)) & \\r
+     AHB_SECURE_CTRL_MASTER_SEC_LEVEL_PQ_MASK)\r
 #define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_HASH_MASK (0x300000U)\r
 #define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_HASH_SHIFT (20U)\r
-#define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_HASH(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_LEVEL_HASH_SHIFT)) & AHB_SECURE_CTRL_MASTER_SEC_LEVEL_HASH_MASK)\r
+/*! HASH - Hash.\r
+ *  0b00..Non-secure and Non-priviledge user access allowed.\r
+ *  0b01..Non-secure and Privilege access allowed.\r
+ *  0b10..Secure and Non-priviledge user access allowed.\r
+ *  0b11..Secure and Priviledge user access allowed.\r
+ */\r
+#define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_HASH(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_LEVEL_HASH_SHIFT)) & \\r
+     AHB_SECURE_CTRL_MASTER_SEC_LEVEL_HASH_MASK)\r
 #define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_USBFSH_MASK (0xC00000U)\r
 #define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_USBFSH_SHIFT (22U)\r
-#define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_USBFSH(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_LEVEL_USBFSH_SHIFT)) & AHB_SECURE_CTRL_MASTER_SEC_LEVEL_USBFSH_MASK)\r
+/*! USBFSH - USB Full speed Host.\r
+ *  0b00..Non-secure and Non-priviledge user access allowed.\r
+ *  0b01..Non-secure and Privilege access allowed.\r
+ *  0b10..Secure and Non-priviledge user access allowed.\r
+ *  0b11..Secure and Priviledge user access allowed.\r
+ */\r
+#define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_USBFSH(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_LEVEL_USBFSH_SHIFT)) & \\r
+     AHB_SECURE_CTRL_MASTER_SEC_LEVEL_USBFSH_MASK)\r
 #define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_SDMA1_MASK (0x3000000U)\r
 #define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_SDMA1_SHIFT (24U)\r
-#define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_SDMA1(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_LEVEL_SDMA1_SHIFT)) & AHB_SECURE_CTRL_MASTER_SEC_LEVEL_SDMA1_MASK)\r
+/*! SDMA1 - System DMA 1 security level.\r
+ *  0b00..Non-secure and Non-priviledge user access allowed.\r
+ *  0b01..Non-secure and Privilege access allowed.\r
+ *  0b10..Secure and Non-priviledge user access allowed.\r
+ *  0b11..Secure and Priviledge user access allowed.\r
+ */\r
+#define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_SDMA1(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_LEVEL_SDMA1_SHIFT)) & \\r
+     AHB_SECURE_CTRL_MASTER_SEC_LEVEL_SDMA1_MASK)\r
 #define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_MASTER_SEC_LEVEL_LOCK_MASK (0xC0000000U)\r
 #define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_MASTER_SEC_LEVEL_LOCK_SHIFT (30U)\r
-#define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_MASTER_SEC_LEVEL_LOCK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_LEVEL_MASTER_SEC_LEVEL_LOCK_SHIFT)) & AHB_SECURE_CTRL_MASTER_SEC_LEVEL_MASTER_SEC_LEVEL_LOCK_MASK)\r
+/*! MASTER_SEC_LEVEL_LOCK - MASTER_SEC_LEVEL write-lock.\r
+ *  0b10..Writable.\r
+ *  0b01..Restricted mode.\r
+ */\r
+#define AHB_SECURE_CTRL_MASTER_SEC_LEVEL_MASTER_SEC_LEVEL_LOCK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_LEVEL_MASTER_SEC_LEVEL_LOCK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_MASTER_SEC_LEVEL_MASTER_SEC_LEVEL_LOCK_MASK)\r
 /*! @} */\r
 \r
 /*! @name MASTER_SEC_ANTI_POL_REG - master secure level anti-pole register */\r
 /*! @{ */\r
-#define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_MCM33C_MASK (0x30U)\r
-#define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_MCM33C_SHIFT (4U)\r
-#define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_MCM33C(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_MCM33C_SHIFT)) & AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_MCM33C_MASK)\r
-#define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_MCM33S_MASK (0xC0U)\r
-#define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_MCM33S_SHIFT (6U)\r
-#define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_MCM33S(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_MCM33S_SHIFT)) & AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_MCM33S_MASK)\r
+#define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_CPU1C_MASK (0x30U)\r
+#define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_CPU1C_SHIFT (4U)\r
+/*! CPU1C - Micro-Cortex M33 (CPU1) Code bus. Must be equal to NOT(MASTER_SEC_LEVEL.CPU1C)\r
+ *  0b11..Non-secure and Non-priviledge user access allowed.\r
+ *  0b10..Non-secure and Privilege access allowed.\r
+ *  0b01..Secure and Non-priviledge user access allowed.\r
+ *  0b00..Secure and Priviledge user access allowed.\r
+ */\r
+#define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_CPU1C(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_CPU1C_SHIFT)) & \\r
+     AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_CPU1C_MASK)\r
+#define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_CPU1S_MASK (0xC0U)\r
+#define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_CPU1S_SHIFT (6U)\r
+/*! CPU1S - Micro-Cortex M33 (CPU1) System bus. Must be equal to NOT(MASTER_SEC_LEVEL.CPU1S)\r
+ *  0b11..Non-secure and Non-priviledge user access allowed.\r
+ *  0b10..Non-secure and Privilege access allowed.\r
+ *  0b01..Secure and Non-priviledge user access allowed.\r
+ *  0b00..Secure and Priviledge user access allowed.\r
+ */\r
+#define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_CPU1S(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_CPU1S_SHIFT)) & \\r
+     AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_CPU1S_MASK)\r
 #define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_USBFSD_MASK (0x300U)\r
 #define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_USBFSD_SHIFT (8U)\r
-#define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_USBFSD(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_USBFSD_SHIFT)) & AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_USBFSD_MASK)\r
+/*! USBFSD - USB Full Speed Device. Must be equal to NOT(MASTER_SEC_LEVEL.USBFSD)\r
+ *  0b11..Non-secure and Non-priviledge user access allowed.\r
+ *  0b10..Non-secure and Privilege access allowed.\r
+ *  0b01..Secure and Non-priviledge user access allowed.\r
+ *  0b00..Secure and Priviledge user access allowed.\r
+ */\r
+#define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_USBFSD(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_USBFSD_SHIFT)) & \\r
+     AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_USBFSD_MASK)\r
 #define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_SDMA0_MASK (0xC00U)\r
 #define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_SDMA0_SHIFT (10U)\r
-#define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_SDMA0(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_SDMA0_SHIFT)) & AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_SDMA0_MASK)\r
-#define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_EZH_D_MASK (0x3000U)\r
-#define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_EZH_D_SHIFT (12U)\r
-#define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_EZH_D(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_EZH_D_SHIFT)) & AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_EZH_D_MASK)\r
-#define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_EZH_I_MASK (0xC000U)\r
-#define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_EZH_I_SHIFT (14U)\r
-#define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_EZH_I(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_EZH_I_SHIFT)) & AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_EZH_I_MASK)\r
+/*! SDMA0 - System DMA 0. Must be equal to NOT(MASTER_SEC_LEVEL.SDMA0)\r
+ *  0b11..Non-secure and Non-priviledge user access allowed.\r
+ *  0b10..Non-secure and Privilege access allowed.\r
+ *  0b01..Secure and Non-priviledge user access allowed.\r
+ *  0b00..Secure and Priviledge user access allowed.\r
+ */\r
+#define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_SDMA0(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_SDMA0_SHIFT)) & \\r
+     AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_SDMA0_MASK)\r
 #define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_SDIO_MASK (0x30000U)\r
 #define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_SDIO_SHIFT (16U)\r
-#define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_SDIO(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_SDIO_SHIFT)) & AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_SDIO_MASK)\r
+/*! SDIO - SDIO. Must be equal to NOT(MASTER_SEC_LEVEL.SDIO)\r
+ *  0b11..Non-secure and Non-priviledge user access allowed.\r
+ *  0b10..Non-secure and Privilege access allowed.\r
+ *  0b01..Secure and Non-priviledge user access allowed.\r
+ *  0b00..Secure and Priviledge user access allowed.\r
+ */\r
+#define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_SDIO(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_SDIO_SHIFT)) & \\r
+     AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_SDIO_MASK)\r
 #define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_PQ_MASK (0xC0000U)\r
 #define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_PQ_SHIFT (18U)\r
-#define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_PQ(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_PQ_SHIFT)) & AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_PQ_MASK)\r
+/*! PQ - Power Quad. Must be equal to NOT(MASTER_SEC_LEVEL.PQ)\r
+ *  0b11..Non-secure and Non-priviledge user access allowed.\r
+ *  0b10..Non-secure and Privilege access allowed.\r
+ *  0b01..Secure and Non-priviledge user access allowed.\r
+ *  0b00..Secure and Priviledge user access allowed.\r
+ */\r
+#define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_PQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_PQ_SHIFT)) & \\r
+     AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_PQ_MASK)\r
 #define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_HASH_MASK (0x300000U)\r
 #define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_HASH_SHIFT (20U)\r
-#define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_HASH(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_HASH_SHIFT)) & AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_HASH_MASK)\r
+/*! HASH - Hash. Must be equal to NOT(MASTER_SEC_LEVEL.HASH)\r
+ *  0b11..Non-secure and Non-priviledge user access allowed.\r
+ *  0b10..Non-secure and Privilege access allowed.\r
+ *  0b01..Secure and Non-priviledge user access allowed.\r
+ *  0b00..Secure and Priviledge user access allowed.\r
+ */\r
+#define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_HASH(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_HASH_SHIFT)) & \\r
+     AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_HASH_MASK)\r
 #define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_USBFSH_MASK (0xC00000U)\r
 #define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_USBFSH_SHIFT (22U)\r
-#define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_USBFSH(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_USBFSH_SHIFT)) & AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_USBFSH_MASK)\r
+/*! USBFSH - USB Full speed Host. Must be equal to NOT(MASTER_SEC_LEVEL.USBFSH)\r
+ *  0b11..Non-secure and Non-priviledge user access allowed.\r
+ *  0b10..Non-secure and Privilege access allowed.\r
+ *  0b01..Secure and Non-priviledge user access allowed.\r
+ *  0b00..Secure and Priviledge user access allowed.\r
+ */\r
+#define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_USBFSH(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_USBFSH_SHIFT)) & \\r
+     AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_USBFSH_MASK)\r
 #define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_SDMA1_MASK (0x3000000U)\r
 #define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_SDMA1_SHIFT (24U)\r
-#define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_SDMA1(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_SDMA1_SHIFT)) & AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_SDMA1_MASK)\r
+/*! SDMA1 - System DMA 1 security level. Must be equal to NOT(MASTER_SEC_LEVEL.SDMA1)\r
+ *  0b11..Non-secure and Non-priviledge user access allowed.\r
+ *  0b10..Non-secure and Privilege access allowed.\r
+ *  0b01..Secure and Non-priviledge user access allowed.\r
+ *  0b00..Secure and Priviledge user access allowed.\r
+ */\r
+#define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_SDMA1(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_SDMA1_SHIFT)) & \\r
+     AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_SDMA1_MASK)\r
 #define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_MASTER_SEC_LEVEL_ANTIPOL_LOCK_MASK (0xC0000000U)\r
 #define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_MASTER_SEC_LEVEL_ANTIPOL_LOCK_SHIFT (30U)\r
-#define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_MASTER_SEC_LEVEL_ANTIPOL_LOCK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_MASTER_SEC_LEVEL_ANTIPOL_LOCK_SHIFT)) & AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_MASTER_SEC_LEVEL_ANTIPOL_LOCK_MASK)\r
-/*! @} */\r
-\r
-/*! @name CM33_LOCK_REG - Miscalleneous control signals for in CM33 (CPU0) */\r
-/*! @{ */\r
-#define AHB_SECURE_CTRL_CM33_LOCK_REG_LOCK_NS_VTOR_MASK (0x3U)\r
-#define AHB_SECURE_CTRL_CM33_LOCK_REG_LOCK_NS_VTOR_SHIFT (0U)\r
-#define AHB_SECURE_CTRL_CM33_LOCK_REG_LOCK_NS_VTOR(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_CM33_LOCK_REG_LOCK_NS_VTOR_SHIFT)) & AHB_SECURE_CTRL_CM33_LOCK_REG_LOCK_NS_VTOR_MASK)\r
-#define AHB_SECURE_CTRL_CM33_LOCK_REG_LOCK_NS_MPU_MASK (0xCU)\r
-#define AHB_SECURE_CTRL_CM33_LOCK_REG_LOCK_NS_MPU_SHIFT (2U)\r
-#define AHB_SECURE_CTRL_CM33_LOCK_REG_LOCK_NS_MPU(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_CM33_LOCK_REG_LOCK_NS_MPU_SHIFT)) & AHB_SECURE_CTRL_CM33_LOCK_REG_LOCK_NS_MPU_MASK)\r
-#define AHB_SECURE_CTRL_CM33_LOCK_REG_LOCK_S_VTAIRCR_MASK (0x30U)\r
-#define AHB_SECURE_CTRL_CM33_LOCK_REG_LOCK_S_VTAIRCR_SHIFT (4U)\r
-#define AHB_SECURE_CTRL_CM33_LOCK_REG_LOCK_S_VTAIRCR(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_CM33_LOCK_REG_LOCK_S_VTAIRCR_SHIFT)) & AHB_SECURE_CTRL_CM33_LOCK_REG_LOCK_S_VTAIRCR_MASK)\r
-#define AHB_SECURE_CTRL_CM33_LOCK_REG_LOCK_S_MPU_MASK (0xC0U)\r
-#define AHB_SECURE_CTRL_CM33_LOCK_REG_LOCK_S_MPU_SHIFT (6U)\r
-#define AHB_SECURE_CTRL_CM33_LOCK_REG_LOCK_S_MPU(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_CM33_LOCK_REG_LOCK_S_MPU_SHIFT)) & AHB_SECURE_CTRL_CM33_LOCK_REG_LOCK_S_MPU_MASK)\r
-#define AHB_SECURE_CTRL_CM33_LOCK_REG_LOCK_SAU_MASK (0x300U)\r
-#define AHB_SECURE_CTRL_CM33_LOCK_REG_LOCK_SAU_SHIFT (8U)\r
-#define AHB_SECURE_CTRL_CM33_LOCK_REG_LOCK_SAU(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_CM33_LOCK_REG_LOCK_SAU_SHIFT)) & AHB_SECURE_CTRL_CM33_LOCK_REG_LOCK_SAU_MASK)\r
-#define AHB_SECURE_CTRL_CM33_LOCK_REG_CM33_LOCK_REG_LOCK_MASK (0xC0000000U)\r
-#define AHB_SECURE_CTRL_CM33_LOCK_REG_CM33_LOCK_REG_LOCK_SHIFT (30U)\r
-#define AHB_SECURE_CTRL_CM33_LOCK_REG_CM33_LOCK_REG_LOCK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_CM33_LOCK_REG_CM33_LOCK_REG_LOCK_SHIFT)) & AHB_SECURE_CTRL_CM33_LOCK_REG_CM33_LOCK_REG_LOCK_MASK)\r
-/*! @} */\r
-\r
-/*! @name MCM33_LOCK_REG - Miscalleneous control signals for in micro-CM33 (CPU1) */\r
-/*! @{ */\r
-#define AHB_SECURE_CTRL_MCM33_LOCK_REG_LOCK_NS_VTOR_MASK (0x3U)\r
-#define AHB_SECURE_CTRL_MCM33_LOCK_REG_LOCK_NS_VTOR_SHIFT (0U)\r
-#define AHB_SECURE_CTRL_MCM33_LOCK_REG_LOCK_NS_VTOR(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MCM33_LOCK_REG_LOCK_NS_VTOR_SHIFT)) & AHB_SECURE_CTRL_MCM33_LOCK_REG_LOCK_NS_VTOR_MASK)\r
-#define AHB_SECURE_CTRL_MCM33_LOCK_REG_LOCK_NS_MPU_MASK (0xCU)\r
-#define AHB_SECURE_CTRL_MCM33_LOCK_REG_LOCK_NS_MPU_SHIFT (2U)\r
-#define AHB_SECURE_CTRL_MCM33_LOCK_REG_LOCK_NS_MPU(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MCM33_LOCK_REG_LOCK_NS_MPU_SHIFT)) & AHB_SECURE_CTRL_MCM33_LOCK_REG_LOCK_NS_MPU_MASK)\r
-#define AHB_SECURE_CTRL_MCM33_LOCK_REG_MCM33_LOCK_REG_LOCK_MASK (0xC0000000U)\r
-#define AHB_SECURE_CTRL_MCM33_LOCK_REG_MCM33_LOCK_REG_LOCK_SHIFT (30U)\r
-#define AHB_SECURE_CTRL_MCM33_LOCK_REG_MCM33_LOCK_REG_LOCK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MCM33_LOCK_REG_MCM33_LOCK_REG_LOCK_SHIFT)) & AHB_SECURE_CTRL_MCM33_LOCK_REG_MCM33_LOCK_REG_LOCK_MASK)\r
+/*! MASTER_SEC_LEVEL_ANTIPOL_LOCK - MASTER_SEC_ANTI_POL_REG register write-lock.\r
+ *  0b10..Writable.\r
+ *  0b01..Restricted mode.\r
+ */\r
+#define AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_MASTER_SEC_LEVEL_ANTIPOL_LOCK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_MASTER_SEC_LEVEL_ANTIPOL_LOCK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_MASTER_SEC_ANTI_POL_REG_MASTER_SEC_LEVEL_ANTIPOL_LOCK_MASK)\r
+/*! @} */\r
+\r
+/*! @name CPU0_LOCK_REG - Miscalleneous control signals for in Cortex M33 (CPU0) */\r
+/*! @{ */\r
+#define AHB_SECURE_CTRL_CPU0_LOCK_REG_LOCK_NS_VTOR_MASK (0x3U)\r
+#define AHB_SECURE_CTRL_CPU0_LOCK_REG_LOCK_NS_VTOR_SHIFT (0U)\r
+/*! LOCK_NS_VTOR - Cortex M33 (CPU0) VTOR_NS register write-lock.\r
+ *  0b10..Writable.\r
+ *  0b01..Restricted mode.\r
+ */\r
+#define AHB_SECURE_CTRL_CPU0_LOCK_REG_LOCK_NS_VTOR(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_CPU0_LOCK_REG_LOCK_NS_VTOR_SHIFT)) & \\r
+     AHB_SECURE_CTRL_CPU0_LOCK_REG_LOCK_NS_VTOR_MASK)\r
+#define AHB_SECURE_CTRL_CPU0_LOCK_REG_LOCK_NS_MPU_MASK (0xCU)\r
+#define AHB_SECURE_CTRL_CPU0_LOCK_REG_LOCK_NS_MPU_SHIFT (2U)\r
+/*! LOCK_NS_MPU - Cortex M33 (CPU0) non-secure MPU register write-lock.\r
+ *  0b10..Writable.\r
+ *  0b01..Restricted mode.\r
+ */\r
+#define AHB_SECURE_CTRL_CPU0_LOCK_REG_LOCK_NS_MPU(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_CPU0_LOCK_REG_LOCK_NS_MPU_SHIFT)) & \\r
+     AHB_SECURE_CTRL_CPU0_LOCK_REG_LOCK_NS_MPU_MASK)\r
+#define AHB_SECURE_CTRL_CPU0_LOCK_REG_LOCK_S_VTAIRCR_MASK (0x30U)\r
+#define AHB_SECURE_CTRL_CPU0_LOCK_REG_LOCK_S_VTAIRCR_SHIFT (4U)\r
+/*! LOCK_S_VTAIRCR - Cortex M33 (CPU0) VTOR_S, AIRCR.PRIS, IRCR.BFHFNMINS registers write-lock.\r
+ *  0b10..Writable.\r
+ *  0b01..Restricted mode.\r
+ */\r
+#define AHB_SECURE_CTRL_CPU0_LOCK_REG_LOCK_S_VTAIRCR(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_CPU0_LOCK_REG_LOCK_S_VTAIRCR_SHIFT)) & \\r
+     AHB_SECURE_CTRL_CPU0_LOCK_REG_LOCK_S_VTAIRCR_MASK)\r
+#define AHB_SECURE_CTRL_CPU0_LOCK_REG_LOCK_S_MPU_MASK (0xC0U)\r
+#define AHB_SECURE_CTRL_CPU0_LOCK_REG_LOCK_S_MPU_SHIFT (6U)\r
+/*! LOCK_S_MPU - Cortex M33 (CPU0) Secure MPU registers write-lock.\r
+ *  0b10..Writable.\r
+ *  0b01..Restricted mode.\r
+ */\r
+#define AHB_SECURE_CTRL_CPU0_LOCK_REG_LOCK_S_MPU(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_CPU0_LOCK_REG_LOCK_S_MPU_SHIFT)) & \\r
+     AHB_SECURE_CTRL_CPU0_LOCK_REG_LOCK_S_MPU_MASK)\r
+#define AHB_SECURE_CTRL_CPU0_LOCK_REG_LOCK_SAU_MASK (0x300U)\r
+#define AHB_SECURE_CTRL_CPU0_LOCK_REG_LOCK_SAU_SHIFT (8U)\r
+/*! LOCK_SAU - Cortex M33 (CPU0) SAU registers write-lock.\r
+ *  0b10..Writable.\r
+ *  0b01..Restricted mode.\r
+ */\r
+#define AHB_SECURE_CTRL_CPU0_LOCK_REG_LOCK_SAU(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_CPU0_LOCK_REG_LOCK_SAU_SHIFT)) & \\r
+     AHB_SECURE_CTRL_CPU0_LOCK_REG_LOCK_SAU_MASK)\r
+#define AHB_SECURE_CTRL_CPU0_LOCK_REG_CPU0_LOCK_REG_LOCK_MASK (0xC0000000U)\r
+#define AHB_SECURE_CTRL_CPU0_LOCK_REG_CPU0_LOCK_REG_LOCK_SHIFT (30U)\r
+/*! CPU0_LOCK_REG_LOCK - CPU0_LOCK_REG write-lock.\r
+ *  0b10..Writable.\r
+ *  0b01..Restricted mode.\r
+ */\r
+#define AHB_SECURE_CTRL_CPU0_LOCK_REG_CPU0_LOCK_REG_LOCK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_CPU0_LOCK_REG_CPU0_LOCK_REG_LOCK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_CPU0_LOCK_REG_CPU0_LOCK_REG_LOCK_MASK)\r
+/*! @} */\r
+\r
+/*! @name CPU1_LOCK_REG - Miscalleneous control signals for in micro-Cortex M33 (CPU1) */\r
+/*! @{ */\r
+#define AHB_SECURE_CTRL_CPU1_LOCK_REG_LOCK_NS_VTOR_MASK (0x3U)\r
+#define AHB_SECURE_CTRL_CPU1_LOCK_REG_LOCK_NS_VTOR_SHIFT (0U)\r
+/*! LOCK_NS_VTOR - micro-Cortex M33 (CPU1) VTOR_NS register write-lock.\r
+ *  0b10..Writable.\r
+ *  0b01..Restricted mode.\r
+ */\r
+#define AHB_SECURE_CTRL_CPU1_LOCK_REG_LOCK_NS_VTOR(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_CPU1_LOCK_REG_LOCK_NS_VTOR_SHIFT)) & \\r
+     AHB_SECURE_CTRL_CPU1_LOCK_REG_LOCK_NS_VTOR_MASK)\r
+#define AHB_SECURE_CTRL_CPU1_LOCK_REG_LOCK_NS_MPU_MASK (0xCU)\r
+#define AHB_SECURE_CTRL_CPU1_LOCK_REG_LOCK_NS_MPU_SHIFT (2U)\r
+/*! LOCK_NS_MPU - micro-Cortex M33 (CPU1) non-secure MPU register write-lock.\r
+ *  0b10..Writable.\r
+ *  0b01..Restricted mode.\r
+ */\r
+#define AHB_SECURE_CTRL_CPU1_LOCK_REG_LOCK_NS_MPU(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_CPU1_LOCK_REG_LOCK_NS_MPU_SHIFT)) & \\r
+     AHB_SECURE_CTRL_CPU1_LOCK_REG_LOCK_NS_MPU_MASK)\r
+#define AHB_SECURE_CTRL_CPU1_LOCK_REG_CPU1_LOCK_REG_LOCK_MASK (0xC0000000U)\r
+#define AHB_SECURE_CTRL_CPU1_LOCK_REG_CPU1_LOCK_REG_LOCK_SHIFT (30U)\r
+/*! CPU1_LOCK_REG_LOCK - CPU1_LOCK_REG write-lock.\r
+ *  0b10..Writable.\r
+ *  0b01..Restricted mode.\r
+ */\r
+#define AHB_SECURE_CTRL_CPU1_LOCK_REG_CPU1_LOCK_REG_LOCK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_CPU1_LOCK_REG_CPU1_LOCK_REG_LOCK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_CPU1_LOCK_REG_CPU1_LOCK_REG_LOCK_MASK)\r
 /*! @} */\r
 \r
 /*! @name MISC_CTRL_DP_REG - secure control duplicate register */\r
 /*! @{ */\r
 #define AHB_SECURE_CTRL_MISC_CTRL_DP_REG_WRITE_LOCK_MASK (0x3U)\r
 #define AHB_SECURE_CTRL_MISC_CTRL_DP_REG_WRITE_LOCK_SHIFT (0U)\r
-#define AHB_SECURE_CTRL_MISC_CTRL_DP_REG_WRITE_LOCK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MISC_CTRL_DP_REG_WRITE_LOCK_SHIFT)) & AHB_SECURE_CTRL_MISC_CTRL_DP_REG_WRITE_LOCK_MASK)\r
+/*! WRITE_LOCK - Write lock.\r
+ *  0b10..Secure control registers can be written.\r
+ *  0b01..Restricted mode.\r
+ */\r
+#define AHB_SECURE_CTRL_MISC_CTRL_DP_REG_WRITE_LOCK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MISC_CTRL_DP_REG_WRITE_LOCK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_MISC_CTRL_DP_REG_WRITE_LOCK_MASK)\r
 #define AHB_SECURE_CTRL_MISC_CTRL_DP_REG_ENABLE_SECURE_CHECKING_MASK (0xCU)\r
 #define AHB_SECURE_CTRL_MISC_CTRL_DP_REG_ENABLE_SECURE_CHECKING_SHIFT (2U)\r
-#define AHB_SECURE_CTRL_MISC_CTRL_DP_REG_ENABLE_SECURE_CHECKING(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MISC_CTRL_DP_REG_ENABLE_SECURE_CHECKING_SHIFT)) & AHB_SECURE_CTRL_MISC_CTRL_DP_REG_ENABLE_SECURE_CHECKING_MASK)\r
+/*! ENABLE_SECURE_CHECKING - Enable secure check for AHB matrix.\r
+ *  0b10..Disable check.\r
+ *  0b01..Restricted mode.\r
+ */\r
+#define AHB_SECURE_CTRL_MISC_CTRL_DP_REG_ENABLE_SECURE_CHECKING(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MISC_CTRL_DP_REG_ENABLE_SECURE_CHECKING_SHIFT)) & \\r
+     AHB_SECURE_CTRL_MISC_CTRL_DP_REG_ENABLE_SECURE_CHECKING_MASK)\r
 #define AHB_SECURE_CTRL_MISC_CTRL_DP_REG_ENABLE_S_PRIV_CHECK_MASK (0x30U)\r
 #define AHB_SECURE_CTRL_MISC_CTRL_DP_REG_ENABLE_S_PRIV_CHECK_SHIFT (4U)\r
-#define AHB_SECURE_CTRL_MISC_CTRL_DP_REG_ENABLE_S_PRIV_CHECK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MISC_CTRL_DP_REG_ENABLE_S_PRIV_CHECK_SHIFT)) & AHB_SECURE_CTRL_MISC_CTRL_DP_REG_ENABLE_S_PRIV_CHECK_MASK)\r
+/*! ENABLE_S_PRIV_CHECK - Enable secure privilege check for AHB matrix.\r
+ *  0b10..Disable check.\r
+ *  0b01..Restricted mode.\r
+ */\r
+#define AHB_SECURE_CTRL_MISC_CTRL_DP_REG_ENABLE_S_PRIV_CHECK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MISC_CTRL_DP_REG_ENABLE_S_PRIV_CHECK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_MISC_CTRL_DP_REG_ENABLE_S_PRIV_CHECK_MASK)\r
 #define AHB_SECURE_CTRL_MISC_CTRL_DP_REG_ENABLE_NS_PRIV_CHECK_MASK (0xC0U)\r
 #define AHB_SECURE_CTRL_MISC_CTRL_DP_REG_ENABLE_NS_PRIV_CHECK_SHIFT (6U)\r
-#define AHB_SECURE_CTRL_MISC_CTRL_DP_REG_ENABLE_NS_PRIV_CHECK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MISC_CTRL_DP_REG_ENABLE_NS_PRIV_CHECK_SHIFT)) & AHB_SECURE_CTRL_MISC_CTRL_DP_REG_ENABLE_NS_PRIV_CHECK_MASK)\r
+/*! ENABLE_NS_PRIV_CHECK - Enable non-secure privilege check for AHB matrix.\r
+ *  0b10..Disable check.\r
+ *  0b01..Restricted mode.\r
+ */\r
+#define AHB_SECURE_CTRL_MISC_CTRL_DP_REG_ENABLE_NS_PRIV_CHECK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MISC_CTRL_DP_REG_ENABLE_NS_PRIV_CHECK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_MISC_CTRL_DP_REG_ENABLE_NS_PRIV_CHECK_MASK)\r
 #define AHB_SECURE_CTRL_MISC_CTRL_DP_REG_DISABLE_VIOLATION_ABORT_MASK (0x300U)\r
 #define AHB_SECURE_CTRL_MISC_CTRL_DP_REG_DISABLE_VIOLATION_ABORT_SHIFT (8U)\r
-#define AHB_SECURE_CTRL_MISC_CTRL_DP_REG_DISABLE_VIOLATION_ABORT(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MISC_CTRL_DP_REG_DISABLE_VIOLATION_ABORT_SHIFT)) & AHB_SECURE_CTRL_MISC_CTRL_DP_REG_DISABLE_VIOLATION_ABORT_MASK)\r
+/*! DISABLE_VIOLATION_ABORT - Disable secure violation abort.\r
+ *  0b10..Enable abort fort secure checker.\r
+ *  0b01..Disable abort fort secure checker.\r
+ */\r
+#define AHB_SECURE_CTRL_MISC_CTRL_DP_REG_DISABLE_VIOLATION_ABORT(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MISC_CTRL_DP_REG_DISABLE_VIOLATION_ABORT_SHIFT)) & \\r
+     AHB_SECURE_CTRL_MISC_CTRL_DP_REG_DISABLE_VIOLATION_ABORT_MASK)\r
 #define AHB_SECURE_CTRL_MISC_CTRL_DP_REG_DISABLE_SIMPLE_MASTER_STRICT_MODE_MASK (0xC00U)\r
 #define AHB_SECURE_CTRL_MISC_CTRL_DP_REG_DISABLE_SIMPLE_MASTER_STRICT_MODE_SHIFT (10U)\r
-#define AHB_SECURE_CTRL_MISC_CTRL_DP_REG_DISABLE_SIMPLE_MASTER_STRICT_MODE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MISC_CTRL_DP_REG_DISABLE_SIMPLE_MASTER_STRICT_MODE_SHIFT)) & AHB_SECURE_CTRL_MISC_CTRL_DP_REG_DISABLE_SIMPLE_MASTER_STRICT_MODE_MASK)\r
+/*! DISABLE_SIMPLE_MASTER_STRICT_MODE - Disable simple master strict mode.\r
+ *  0b10..Simple master in strict mode.\r
+ *  0b01..Simple master in tier mode.\r
+ */\r
+#define AHB_SECURE_CTRL_MISC_CTRL_DP_REG_DISABLE_SIMPLE_MASTER_STRICT_MODE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MISC_CTRL_DP_REG_DISABLE_SIMPLE_MASTER_STRICT_MODE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_MISC_CTRL_DP_REG_DISABLE_SIMPLE_MASTER_STRICT_MODE_MASK)\r
 #define AHB_SECURE_CTRL_MISC_CTRL_DP_REG_DISABLE_SMART_MASTER_STRICT_MODE_MASK (0x3000U)\r
 #define AHB_SECURE_CTRL_MISC_CTRL_DP_REG_DISABLE_SMART_MASTER_STRICT_MODE_SHIFT (12U)\r
-#define AHB_SECURE_CTRL_MISC_CTRL_DP_REG_DISABLE_SMART_MASTER_STRICT_MODE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MISC_CTRL_DP_REG_DISABLE_SMART_MASTER_STRICT_MODE_SHIFT)) & AHB_SECURE_CTRL_MISC_CTRL_DP_REG_DISABLE_SMART_MASTER_STRICT_MODE_MASK)\r
+/*! DISABLE_SMART_MASTER_STRICT_MODE - Disable smart master strict mode.\r
+ *  0b10..Smart master in strict mode.\r
+ *  0b01..Smart master in tier mode.\r
+ */\r
+#define AHB_SECURE_CTRL_MISC_CTRL_DP_REG_DISABLE_SMART_MASTER_STRICT_MODE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MISC_CTRL_DP_REG_DISABLE_SMART_MASTER_STRICT_MODE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_MISC_CTRL_DP_REG_DISABLE_SMART_MASTER_STRICT_MODE_MASK)\r
 #define AHB_SECURE_CTRL_MISC_CTRL_DP_REG_IDAU_ALL_NS_MASK (0xC000U)\r
 #define AHB_SECURE_CTRL_MISC_CTRL_DP_REG_IDAU_ALL_NS_SHIFT (14U)\r
-#define AHB_SECURE_CTRL_MISC_CTRL_DP_REG_IDAU_ALL_NS(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MISC_CTRL_DP_REG_IDAU_ALL_NS_SHIFT)) & AHB_SECURE_CTRL_MISC_CTRL_DP_REG_IDAU_ALL_NS_MASK)\r
+/*! IDAU_ALL_NS - Disable IDAU.\r
+ *  0b10..IDAU is enabled.\r
+ *  0b01..IDAU is disable.\r
+ */\r
+#define AHB_SECURE_CTRL_MISC_CTRL_DP_REG_IDAU_ALL_NS(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MISC_CTRL_DP_REG_IDAU_ALL_NS_SHIFT)) & \\r
+     AHB_SECURE_CTRL_MISC_CTRL_DP_REG_IDAU_ALL_NS_MASK)\r
 /*! @} */\r
 \r
 /*! @name MISC_CTRL_REG - secure control register */\r
 /*! @{ */\r
 #define AHB_SECURE_CTRL_MISC_CTRL_REG_WRITE_LOCK_MASK (0x3U)\r
 #define AHB_SECURE_CTRL_MISC_CTRL_REG_WRITE_LOCK_SHIFT (0U)\r
-#define AHB_SECURE_CTRL_MISC_CTRL_REG_WRITE_LOCK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MISC_CTRL_REG_WRITE_LOCK_SHIFT)) & AHB_SECURE_CTRL_MISC_CTRL_REG_WRITE_LOCK_MASK)\r
+/*! WRITE_LOCK - Write lock.\r
+ *  0b10..Secure control registers can be written.\r
+ *  0b01..Restricted mode.\r
+ */\r
+#define AHB_SECURE_CTRL_MISC_CTRL_REG_WRITE_LOCK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MISC_CTRL_REG_WRITE_LOCK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_MISC_CTRL_REG_WRITE_LOCK_MASK)\r
 #define AHB_SECURE_CTRL_MISC_CTRL_REG_ENABLE_SECURE_CHECKING_MASK (0xCU)\r
 #define AHB_SECURE_CTRL_MISC_CTRL_REG_ENABLE_SECURE_CHECKING_SHIFT (2U)\r
-#define AHB_SECURE_CTRL_MISC_CTRL_REG_ENABLE_SECURE_CHECKING(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MISC_CTRL_REG_ENABLE_SECURE_CHECKING_SHIFT)) & AHB_SECURE_CTRL_MISC_CTRL_REG_ENABLE_SECURE_CHECKING_MASK)\r
+/*! ENABLE_SECURE_CHECKING - Enable secure check for AHB matrix.\r
+ *  0b10..Disable check.\r
+ *  0b01..Restricted mode.\r
+ */\r
+#define AHB_SECURE_CTRL_MISC_CTRL_REG_ENABLE_SECURE_CHECKING(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MISC_CTRL_REG_ENABLE_SECURE_CHECKING_SHIFT)) & \\r
+     AHB_SECURE_CTRL_MISC_CTRL_REG_ENABLE_SECURE_CHECKING_MASK)\r
 #define AHB_SECURE_CTRL_MISC_CTRL_REG_ENABLE_S_PRIV_CHECK_MASK (0x30U)\r
 #define AHB_SECURE_CTRL_MISC_CTRL_REG_ENABLE_S_PRIV_CHECK_SHIFT (4U)\r
-#define AHB_SECURE_CTRL_MISC_CTRL_REG_ENABLE_S_PRIV_CHECK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MISC_CTRL_REG_ENABLE_S_PRIV_CHECK_SHIFT)) & AHB_SECURE_CTRL_MISC_CTRL_REG_ENABLE_S_PRIV_CHECK_MASK)\r
+/*! ENABLE_S_PRIV_CHECK - Enable secure privilege check for AHB matrix.\r
+ *  0b10..Disable check.\r
+ *  0b01..Restricted mode.\r
+ */\r
+#define AHB_SECURE_CTRL_MISC_CTRL_REG_ENABLE_S_PRIV_CHECK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MISC_CTRL_REG_ENABLE_S_PRIV_CHECK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_MISC_CTRL_REG_ENABLE_S_PRIV_CHECK_MASK)\r
 #define AHB_SECURE_CTRL_MISC_CTRL_REG_ENABLE_NS_PRIV_CHECK_MASK (0xC0U)\r
 #define AHB_SECURE_CTRL_MISC_CTRL_REG_ENABLE_NS_PRIV_CHECK_SHIFT (6U)\r
-#define AHB_SECURE_CTRL_MISC_CTRL_REG_ENABLE_NS_PRIV_CHECK(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MISC_CTRL_REG_ENABLE_NS_PRIV_CHECK_SHIFT)) & AHB_SECURE_CTRL_MISC_CTRL_REG_ENABLE_NS_PRIV_CHECK_MASK)\r
+/*! ENABLE_NS_PRIV_CHECK - Enable non-secure privilege check for AHB matrix.\r
+ *  0b10..Disable check.\r
+ *  0b01..Restricted mode.\r
+ */\r
+#define AHB_SECURE_CTRL_MISC_CTRL_REG_ENABLE_NS_PRIV_CHECK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MISC_CTRL_REG_ENABLE_NS_PRIV_CHECK_SHIFT)) & \\r
+     AHB_SECURE_CTRL_MISC_CTRL_REG_ENABLE_NS_PRIV_CHECK_MASK)\r
 #define AHB_SECURE_CTRL_MISC_CTRL_REG_DISABLE_VIOLATION_ABORT_MASK (0x300U)\r
 #define AHB_SECURE_CTRL_MISC_CTRL_REG_DISABLE_VIOLATION_ABORT_SHIFT (8U)\r
-#define AHB_SECURE_CTRL_MISC_CTRL_REG_DISABLE_VIOLATION_ABORT(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MISC_CTRL_REG_DISABLE_VIOLATION_ABORT_SHIFT)) & AHB_SECURE_CTRL_MISC_CTRL_REG_DISABLE_VIOLATION_ABORT_MASK)\r
+/*! DISABLE_VIOLATION_ABORT - Disable secure violation abort.\r
+ *  0b10..Enable abort fort secure checker.\r
+ *  0b01..Disable abort fort secure checker.\r
+ */\r
+#define AHB_SECURE_CTRL_MISC_CTRL_REG_DISABLE_VIOLATION_ABORT(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MISC_CTRL_REG_DISABLE_VIOLATION_ABORT_SHIFT)) & \\r
+     AHB_SECURE_CTRL_MISC_CTRL_REG_DISABLE_VIOLATION_ABORT_MASK)\r
 #define AHB_SECURE_CTRL_MISC_CTRL_REG_DISABLE_SIMPLE_MASTER_STRICT_MODE_MASK (0xC00U)\r
 #define AHB_SECURE_CTRL_MISC_CTRL_REG_DISABLE_SIMPLE_MASTER_STRICT_MODE_SHIFT (10U)\r
-#define AHB_SECURE_CTRL_MISC_CTRL_REG_DISABLE_SIMPLE_MASTER_STRICT_MODE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MISC_CTRL_REG_DISABLE_SIMPLE_MASTER_STRICT_MODE_SHIFT)) & AHB_SECURE_CTRL_MISC_CTRL_REG_DISABLE_SIMPLE_MASTER_STRICT_MODE_MASK)\r
+/*! DISABLE_SIMPLE_MASTER_STRICT_MODE - Disable simple master strict mode.\r
+ *  0b10..Simple master in strict mode.\r
+ *  0b01..Simple master in tier mode.\r
+ */\r
+#define AHB_SECURE_CTRL_MISC_CTRL_REG_DISABLE_SIMPLE_MASTER_STRICT_MODE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MISC_CTRL_REG_DISABLE_SIMPLE_MASTER_STRICT_MODE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_MISC_CTRL_REG_DISABLE_SIMPLE_MASTER_STRICT_MODE_MASK)\r
 #define AHB_SECURE_CTRL_MISC_CTRL_REG_DISABLE_SMART_MASTER_STRICT_MODE_MASK (0x3000U)\r
 #define AHB_SECURE_CTRL_MISC_CTRL_REG_DISABLE_SMART_MASTER_STRICT_MODE_SHIFT (12U)\r
-#define AHB_SECURE_CTRL_MISC_CTRL_REG_DISABLE_SMART_MASTER_STRICT_MODE(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MISC_CTRL_REG_DISABLE_SMART_MASTER_STRICT_MODE_SHIFT)) & AHB_SECURE_CTRL_MISC_CTRL_REG_DISABLE_SMART_MASTER_STRICT_MODE_MASK)\r
+/*! DISABLE_SMART_MASTER_STRICT_MODE - Disable smart master strict mode.\r
+ *  0b10..Smart master in strict mode.\r
+ *  0b01..Smart master in tier mode.\r
+ */\r
+#define AHB_SECURE_CTRL_MISC_CTRL_REG_DISABLE_SMART_MASTER_STRICT_MODE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MISC_CTRL_REG_DISABLE_SMART_MASTER_STRICT_MODE_SHIFT)) & \\r
+     AHB_SECURE_CTRL_MISC_CTRL_REG_DISABLE_SMART_MASTER_STRICT_MODE_MASK)\r
 #define AHB_SECURE_CTRL_MISC_CTRL_REG_IDAU_ALL_NS_MASK (0xC000U)\r
 #define AHB_SECURE_CTRL_MISC_CTRL_REG_IDAU_ALL_NS_SHIFT (14U)\r
-#define AHB_SECURE_CTRL_MISC_CTRL_REG_IDAU_ALL_NS(x) (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MISC_CTRL_REG_IDAU_ALL_NS_SHIFT)) & AHB_SECURE_CTRL_MISC_CTRL_REG_IDAU_ALL_NS_MASK)\r
+/*! IDAU_ALL_NS - Disable IDAU.\r
+ *  0b10..IDAU is enabled.\r
+ *  0b01..IDAU is disable.\r
+ */\r
+#define AHB_SECURE_CTRL_MISC_CTRL_REG_IDAU_ALL_NS(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << AHB_SECURE_CTRL_MISC_CTRL_REG_IDAU_ALL_NS_SHIFT)) & \\r
+     AHB_SECURE_CTRL_MISC_CTRL_REG_IDAU_ALL_NS_MASK)\r
 /*! @} */\r
 \r
-\r
 /*!\r
  * @}\r
  */ /* end of group AHB_SECURE_CTRL_Register_Masks */\r
 \r
-\r
 /* AHB_SECURE_CTRL - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral AHB_SECURE_CTRL base address */\r
-  #define AHB_SECURE_CTRL_BASE                     (0x500AC000u)\r
-  /** Peripheral AHB_SECURE_CTRL base address */\r
-  #define AHB_SECURE_CTRL_BASE_NS                  (0x400AC000u)\r
-  /** Peripheral AHB_SECURE_CTRL base pointer */\r
-  #define AHB_SECURE_CTRL                          ((AHB_SECURE_CTRL_Type *)AHB_SECURE_CTRL_BASE)\r
-  /** Peripheral AHB_SECURE_CTRL base pointer */\r
-  #define AHB_SECURE_CTRL_NS                       ((AHB_SECURE_CTRL_Type *)AHB_SECURE_CTRL_BASE_NS)\r
-  /** Array initializer of AHB_SECURE_CTRL peripheral base addresses */\r
-  #define AHB_SECURE_CTRL_BASE_ADDRS               { AHB_SECURE_CTRL_BASE }\r
-  /** Array initializer of AHB_SECURE_CTRL peripheral base pointers */\r
-  #define AHB_SECURE_CTRL_BASE_PTRS                { AHB_SECURE_CTRL }\r
-  /** Array initializer of AHB_SECURE_CTRL peripheral base addresses */\r
-  #define AHB_SECURE_CTRL_BASE_ADDRS_NS            { AHB_SECURE_CTRL_BASE_NS }\r
-  /** Array initializer of AHB_SECURE_CTRL peripheral base pointers */\r
-  #define AHB_SECURE_CTRL_BASE_PTRS_NS             { AHB_SECURE_CTRL_NS }\r
+/** Peripheral AHB_SECURE_CTRL base address */\r
+#define AHB_SECURE_CTRL_BASE (0x500AC000u)\r
+/** Peripheral AHB_SECURE_CTRL base address */\r
+#define AHB_SECURE_CTRL_BASE_NS (0x400AC000u)\r
+/** Peripheral AHB_SECURE_CTRL base pointer */\r
+#define AHB_SECURE_CTRL ((AHB_SECURE_CTRL_Type *)AHB_SECURE_CTRL_BASE)\r
+/** Peripheral AHB_SECURE_CTRL base pointer */\r
+#define AHB_SECURE_CTRL_NS ((AHB_SECURE_CTRL_Type *)AHB_SECURE_CTRL_BASE_NS)\r
+/** Array initializer of AHB_SECURE_CTRL peripheral base addresses */\r
+#define AHB_SECURE_CTRL_BASE_ADDRS \\r
+    {                              \\r
+        AHB_SECURE_CTRL_BASE       \\r
+    }\r
+/** Array initializer of AHB_SECURE_CTRL peripheral base pointers */\r
+#define AHB_SECURE_CTRL_BASE_PTRS \\r
+    {                             \\r
+        AHB_SECURE_CTRL           \\r
+    }\r
+/** Array initializer of AHB_SECURE_CTRL peripheral base addresses */\r
+#define AHB_SECURE_CTRL_BASE_ADDRS_NS \\r
+    {                                 \\r
+        AHB_SECURE_CTRL_BASE_NS       \\r
+    }\r
+/** Array initializer of AHB_SECURE_CTRL peripheral base pointers */\r
+#define AHB_SECURE_CTRL_BASE_PTRS_NS \\r
+    {                                \\r
+        AHB_SECURE_CTRL_NS           \\r
+    }\r
 #else\r
-  /** Peripheral AHB_SECURE_CTRL base address */\r
-  #define AHB_SECURE_CTRL_BASE                     (0x400AC000u)\r
-  /** Peripheral AHB_SECURE_CTRL base pointer */\r
-  #define AHB_SECURE_CTRL                          ((AHB_SECURE_CTRL_Type *)AHB_SECURE_CTRL_BASE)\r
-  /** Array initializer of AHB_SECURE_CTRL peripheral base addresses */\r
-  #define AHB_SECURE_CTRL_BASE_ADDRS               { AHB_SECURE_CTRL_BASE }\r
-  /** Array initializer of AHB_SECURE_CTRL peripheral base pointers */\r
-  #define AHB_SECURE_CTRL_BASE_PTRS                { AHB_SECURE_CTRL }\r
+/** Peripheral AHB_SECURE_CTRL base address */\r
+#define AHB_SECURE_CTRL_BASE (0x400AC000u)\r
+/** Peripheral AHB_SECURE_CTRL base pointer */\r
+#define AHB_SECURE_CTRL ((AHB_SECURE_CTRL_Type *)AHB_SECURE_CTRL_BASE)\r
+/** Array initializer of AHB_SECURE_CTRL peripheral base addresses */\r
+#define AHB_SECURE_CTRL_BASE_ADDRS \\r
+    {                              \\r
+        AHB_SECURE_CTRL_BASE       \\r
+    }\r
+/** Array initializer of AHB_SECURE_CTRL peripheral base pointers */\r
+#define AHB_SECURE_CTRL_BASE_PTRS \\r
+    {                             \\r
+        AHB_SECURE_CTRL           \\r
+    }\r
 #endif\r
 \r
 /*!\r
  * @}\r
  */ /* end of group AHB_SECURE_CTRL_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- ANACTRL Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -3690,34 +5060,27 @@ typedef struct {
  */\r
 \r
 /** ANACTRL - Register Layout Typedef */\r
-typedef struct {\r
-  __IO uint32_t ANALOG_CTRL_CFG;                   /**< Various Analog blocks configuration (like FRO 192MHz trimmings source ...), offset: 0x0 */\r
-  __I  uint32_t ANALOG_CTRL_STATUS;                /**< Analog Macroblock Identity registers, Flash Status registers, offset: 0x4 */\r
-       uint8_t RESERVED_0[4];\r
-  __IO uint32_t FREQ_ME_CTRL;                      /**< Frequency Measure function control register, offset: 0xC */\r
-  __IO uint32_t FRO192M_CTRL;                      /**< 192MHz Free Running OScillator (FRO) Control register, offset: 0x10 */\r
-  __I  uint32_t FRO192M_STATUS;                    /**< 192MHz Free Running OScillator (FRO) Status register, offset: 0x14 */\r
-  __IO uint32_t ADC_CTRL;                          /**< General Purpose ADC VBAT Divider branch control, offset: 0x18 */\r
-       uint8_t RESERVED_1[4];\r
-  __IO uint32_t XO32M_CTRL;                        /**< 32 MHz Crystal Oscillator Control register, offset: 0x20 */\r
-  __I  uint32_t XO32M_STATUS;                      /**< 32 MHz Crystal Oscillator Status register, offset: 0x24 */\r
-       uint8_t RESERVED_2[8];\r
-  __IO uint32_t BOD_DCDC_INT_CTRL;                 /**< Brown Out Detectors (BoDs) & DCDC interrupts generation control register, offset: 0x30 */\r
-  __I  uint32_t BOD_DCDC_INT_STATUS;               /**< BoDs & DCDC interrupts status register, offset: 0x34 */\r
-       uint8_t RESERVED_3[8];\r
-  __IO uint32_t RINGO0_CTRL;                       /**< First Ring Oscillator module control register., offset: 0x40 */\r
-  __IO uint32_t RINGO1_CTRL;                       /**< Second Ring Oscillator module control register., offset: 0x44 */\r
-  __IO uint32_t RINGO2_CTRL;                       /**< Third Ring Oscillator module control register., offset: 0x48 */\r
-       uint8_t RESERVED_4[100];\r
-  __IO uint32_t LDO_XO32M;                         /**< High Speed Crystal Oscillator (12 MHz - 32 MHz) Voltage Source Supply Control register, offset: 0xB0 */\r
-       uint8_t RESERVED_5[12];\r
-  __IO uint32_t XO_CAL_CFG;                        /**< All Crystal Oscillators (both the 32 KHz and the High speed) Capacitive Banks Calibration Configuration register, offset: 0xC0 */\r
-  __IO uint32_t XO_CAL_CMD;                        /**< All Crystal Oscillators (both the 32 KHz and the High Speed) Capacitive Banks Calibration Command register., offset: 0xC4 */\r
-  __I  uint32_t XO_CAL_STATUS;                     /**< All Crystal Oscillators (both the 32 KHz and the High speed) Capacitive Banks Calibration Status register., offset: 0xC8 */\r
-       uint8_t RESERVED_6[52];\r
-  __IO uint32_t USBHS_PHY_CTRL;                    /**< USB High Speed Phy Control, offset: 0x100 */\r
-  __IO uint32_t USBHS_PHY_TRIM;                    /**< USB High Speed Phy Trim values, offset: 0x104 */\r
-  __I  uint32_t USBHS_PHY_STATUS;                  /**< USB High Speed Phy Status, offset: 0x108 */\r
+typedef struct\r
+{\r
+    uint8_t RESERVED_0[4];\r
+    __I uint32_t ANALOG_CTRL_STATUS; /**< Analog Macroblock Identity registers, Flash Status registers, offset: 0x4 */\r
+    uint8_t RESERVED_1[4];\r
+    __IO uint32_t FREQ_ME_CTRL;  /**< Frequency Measure function control register, offset: 0xC */\r
+    __IO uint32_t FRO192M_CTRL;  /**< 192MHz Free Running OScillator (FRO) Control register, offset: 0x10 */\r
+    __I uint32_t FRO192M_STATUS; /**< 192MHz Free Running OScillator (FRO) Status register, offset: 0x14 */\r
+    uint8_t RESERVED_2[8];\r
+    __IO uint32_t XO32M_CTRL;  /**< High speed Crystal Oscillator Control register, offset: 0x20 */\r
+    __I uint32_t XO32M_STATUS; /**< High speed Crystal Oscillator Status register, offset: 0x24 */\r
+    uint8_t RESERVED_3[8];\r
+    __IO uint32_t BOD_DCDC_INT_CTRL;  /**< Brown Out Detectors (BoDs) & DCDC interrupts generation control register,\r
+                                         offset: 0x30 */\r
+    __I uint32_t BOD_DCDC_INT_STATUS; /**< BoDs & DCDC interrupts status register, offset: 0x34 */\r
+    uint8_t RESERVED_4[8];\r
+    __IO uint32_t RINGO0_CTRL; /**< First Ring Oscillator module control register., offset: 0x40 */\r
+    __IO uint32_t RINGO1_CTRL; /**< Second Ring Oscillator module control register., offset: 0x44 */\r
+    __IO uint32_t RINGO2_CTRL; /**< Third Ring Oscillator module control register., offset: 0x48 */\r
+    uint8_t RESERVED_5[180];\r
+    __IO uint32_t USBHS_PHY_CTRL; /**< USB High Speed Phy Control, offset: 0x100 */\r
 } ANACTRL_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -3729,198 +5092,156 @@ typedef struct {
  * @{\r
  */\r
 \r
-/*! @name ANALOG_CTRL_CFG - Various Analog blocks configuration (like FRO 192MHz trimmings source ...) */\r
-/*! @{ */\r
-#define ANACTRL_ANALOG_CTRL_CFG_FRO192M_TRIM_SRC_MASK (0x1U)\r
-#define ANACTRL_ANALOG_CTRL_CFG_FRO192M_TRIM_SRC_SHIFT (0U)\r
-/*! FRO192M_TRIM_SRC - FRO192M trimming and 'Enable' source.\r
- *  0b0..FRO192M trimming and 'Enable' comes from eFUSE.\r
- *  0b1..FRO192M trimming and 'Enable' comes from FRO192M_CTRL registers.\r
- */\r
-#define ANACTRL_ANALOG_CTRL_CFG_FRO192M_TRIM_SRC(x) (((uint32_t)(((uint32_t)(x)) << ANACTRL_ANALOG_CTRL_CFG_FRO192M_TRIM_SRC_SHIFT)) & ANACTRL_ANALOG_CTRL_CFG_FRO192M_TRIM_SRC_MASK)\r
-/*! @} */\r
-\r
 /*! @name ANALOG_CTRL_STATUS - Analog Macroblock Identity registers, Flash Status registers */\r
 /*! @{ */\r
-#define ANACTRL_ANALOG_CTRL_STATUS_PMU_ID_MASK   (0x3FU)\r
-#define ANACTRL_ANALOG_CTRL_STATUS_PMU_ID_SHIFT  (0U)\r
-#define ANACTRL_ANALOG_CTRL_STATUS_PMU_ID(x)     (((uint32_t)(((uint32_t)(x)) << ANACTRL_ANALOG_CTRL_STATUS_PMU_ID_SHIFT)) & ANACTRL_ANALOG_CTRL_STATUS_PMU_ID_MASK)\r
-#define ANACTRL_ANALOG_CTRL_STATUS_OSC_ID_MASK   (0xFC0U)\r
-#define ANACTRL_ANALOG_CTRL_STATUS_OSC_ID_SHIFT  (6U)\r
-#define ANACTRL_ANALOG_CTRL_STATUS_OSC_ID(x)     (((uint32_t)(((uint32_t)(x)) << ANACTRL_ANALOG_CTRL_STATUS_OSC_ID_SHIFT)) & ANACTRL_ANALOG_CTRL_STATUS_OSC_ID_MASK)\r
 #define ANACTRL_ANALOG_CTRL_STATUS_FLASH_PWRDWN_MASK (0x1000U)\r
 #define ANACTRL_ANALOG_CTRL_STATUS_FLASH_PWRDWN_SHIFT (12U)\r
 /*! FLASH_PWRDWN - Flash Power Down status.\r
  *  0b0..Flash is not in power down mode.\r
  *  0b1..Flash is in power down mode.\r
  */\r
-#define ANACTRL_ANALOG_CTRL_STATUS_FLASH_PWRDWN(x) (((uint32_t)(((uint32_t)(x)) << ANACTRL_ANALOG_CTRL_STATUS_FLASH_PWRDWN_SHIFT)) & ANACTRL_ANALOG_CTRL_STATUS_FLASH_PWRDWN_MASK)\r
+#define ANACTRL_ANALOG_CTRL_STATUS_FLASH_PWRDWN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_ANALOG_CTRL_STATUS_FLASH_PWRDWN_SHIFT)) & \\r
+     ANACTRL_ANALOG_CTRL_STATUS_FLASH_PWRDWN_MASK)\r
 #define ANACTRL_ANALOG_CTRL_STATUS_FLASH_INIT_ERROR_MASK (0x2000U)\r
 #define ANACTRL_ANALOG_CTRL_STATUS_FLASH_INIT_ERROR_SHIFT (13U)\r
 /*! FLASH_INIT_ERROR - Flash initialization error status.\r
  *  0b0..No error.\r
  *  0b1..At least one error occured during flash initialization..\r
  */\r
-#define ANACTRL_ANALOG_CTRL_STATUS_FLASH_INIT_ERROR(x) (((uint32_t)(((uint32_t)(x)) << ANACTRL_ANALOG_CTRL_STATUS_FLASH_INIT_ERROR_SHIFT)) & ANACTRL_ANALOG_CTRL_STATUS_FLASH_INIT_ERROR_MASK)\r
-#define ANACTRL_ANALOG_CTRL_STATUS_FINAL_TEST_DONE_VECT_MASK (0xF0000000U)\r
-#define ANACTRL_ANALOG_CTRL_STATUS_FINAL_TEST_DONE_VECT_SHIFT (28U)\r
-#define ANACTRL_ANALOG_CTRL_STATUS_FINAL_TEST_DONE_VECT(x) (((uint32_t)(((uint32_t)(x)) << ANACTRL_ANALOG_CTRL_STATUS_FINAL_TEST_DONE_VECT_SHIFT)) & ANACTRL_ANALOG_CTRL_STATUS_FINAL_TEST_DONE_VECT_MASK)\r
+#define ANACTRL_ANALOG_CTRL_STATUS_FLASH_INIT_ERROR(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_ANALOG_CTRL_STATUS_FLASH_INIT_ERROR_SHIFT)) & \\r
+     ANACTRL_ANALOG_CTRL_STATUS_FLASH_INIT_ERROR_MASK)\r
 /*! @} */\r
 \r
 /*! @name FREQ_ME_CTRL - Frequency Measure function control register */\r
 /*! @{ */\r
-#define ANACTRL_FREQ_ME_CTRL_CAPVAL_SCALE_MASK   (0x7FFFFFFFU)\r
-#define ANACTRL_FREQ_ME_CTRL_CAPVAL_SCALE_SHIFT  (0U)\r
-#define ANACTRL_FREQ_ME_CTRL_CAPVAL_SCALE(x)     (((uint32_t)(((uint32_t)(x)) << ANACTRL_FREQ_ME_CTRL_CAPVAL_SCALE_SHIFT)) & ANACTRL_FREQ_ME_CTRL_CAPVAL_SCALE_MASK)\r
-#define ANACTRL_FREQ_ME_CTRL_PROG_MASK           (0x80000000U)\r
-#define ANACTRL_FREQ_ME_CTRL_PROG_SHIFT          (31U)\r
-#define ANACTRL_FREQ_ME_CTRL_PROG(x)             (((uint32_t)(((uint32_t)(x)) << ANACTRL_FREQ_ME_CTRL_PROG_SHIFT)) & ANACTRL_FREQ_ME_CTRL_PROG_MASK)\r
+#define ANACTRL_FREQ_ME_CTRL_CAPVAL_SCALE_MASK (0x7FFFFFFFU)\r
+#define ANACTRL_FREQ_ME_CTRL_CAPVAL_SCALE_SHIFT (0U)\r
+/*! CAPVAL_SCALE - Frequency measure result /Frequency measur scale\r
+ */\r
+#define ANACTRL_FREQ_ME_CTRL_CAPVAL_SCALE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_FREQ_ME_CTRL_CAPVAL_SCALE_SHIFT)) & ANACTRL_FREQ_ME_CTRL_CAPVAL_SCALE_MASK)\r
+#define ANACTRL_FREQ_ME_CTRL_PROG_MASK (0x80000000U)\r
+#define ANACTRL_FREQ_ME_CTRL_PROG_SHIFT (31U)\r
+/*! PROG - Set this bit to one to initiate a frequency measurement cycle. Hardware clears this bit\r
+ *    when the measurement cycle has completed and there is valid capture data in the CAPVAL field\r
+ *    (bits 30:0).\r
+ */\r
+#define ANACTRL_FREQ_ME_CTRL_PROG(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_FREQ_ME_CTRL_PROG_SHIFT)) & ANACTRL_FREQ_ME_CTRL_PROG_MASK)\r
 /*! @} */\r
 \r
 /*! @name FRO192M_CTRL - 192MHz Free Running OScillator (FRO) Control register */\r
 /*! @{ */\r
-#define ANACTRL_FRO192M_CTRL_BIAS_TRIM_MASK      (0x3FU)\r
-#define ANACTRL_FRO192M_CTRL_BIAS_TRIM_SHIFT     (0U)\r
-#define ANACTRL_FRO192M_CTRL_BIAS_TRIM(x)        (((uint32_t)(((uint32_t)(x)) << ANACTRL_FRO192M_CTRL_BIAS_TRIM_SHIFT)) & ANACTRL_FRO192M_CTRL_BIAS_TRIM_MASK)\r
-#define ANACTRL_FRO192M_CTRL_TEMP_TRIM_MASK      (0x3F80U)\r
-#define ANACTRL_FRO192M_CTRL_TEMP_TRIM_SHIFT     (7U)\r
-#define ANACTRL_FRO192M_CTRL_TEMP_TRIM(x)        (((uint32_t)(((uint32_t)(x)) << ANACTRL_FRO192M_CTRL_TEMP_TRIM_SHIFT)) & ANACTRL_FRO192M_CTRL_TEMP_TRIM_MASK)\r
-#define ANACTRL_FRO192M_CTRL_ENA_12MHZCLK_MASK   (0x4000U)\r
-#define ANACTRL_FRO192M_CTRL_ENA_12MHZCLK_SHIFT  (14U)\r
+#define ANACTRL_FRO192M_CTRL_ENA_12MHZCLK_MASK (0x4000U)\r
+#define ANACTRL_FRO192M_CTRL_ENA_12MHZCLK_SHIFT (14U)\r
 /*! ENA_12MHZCLK - 12 MHz clock control.\r
  *  0b0..12 MHz clock is disabled.\r
  *  0b1..12 MHz clock is enabled.\r
  */\r
-#define ANACTRL_FRO192M_CTRL_ENA_12MHZCLK(x)     (((uint32_t)(((uint32_t)(x)) << ANACTRL_FRO192M_CTRL_ENA_12MHZCLK_SHIFT)) & ANACTRL_FRO192M_CTRL_ENA_12MHZCLK_MASK)\r
-#define ANACTRL_FRO192M_CTRL_ENA_48MHZCLK_MASK   (0x8000U)\r
-#define ANACTRL_FRO192M_CTRL_ENA_48MHZCLK_SHIFT  (15U)\r
+#define ANACTRL_FRO192M_CTRL_ENA_12MHZCLK(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_FRO192M_CTRL_ENA_12MHZCLK_SHIFT)) & ANACTRL_FRO192M_CTRL_ENA_12MHZCLK_MASK)\r
+#define ANACTRL_FRO192M_CTRL_ENA_48MHZCLK_MASK (0x8000U)\r
+#define ANACTRL_FRO192M_CTRL_ENA_48MHZCLK_SHIFT (15U)\r
 /*! ENA_48MHZCLK - 48 MHz clock control.\r
- *  0b0..48 MHz clock is disabled.\r
+ *  0b0..Reserved.\r
  *  0b1..48 MHz clock is enabled.\r
  */\r
-#define ANACTRL_FRO192M_CTRL_ENA_48MHZCLK(x)     (((uint32_t)(((uint32_t)(x)) << ANACTRL_FRO192M_CTRL_ENA_48MHZCLK_SHIFT)) & ANACTRL_FRO192M_CTRL_ENA_48MHZCLK_MASK)\r
-#define ANACTRL_FRO192M_CTRL_DAC_TRIM_MASK       (0xFF0000U)\r
-#define ANACTRL_FRO192M_CTRL_DAC_TRIM_SHIFT      (16U)\r
-#define ANACTRL_FRO192M_CTRL_DAC_TRIM(x)         (((uint32_t)(((uint32_t)(x)) << ANACTRL_FRO192M_CTRL_DAC_TRIM_SHIFT)) & ANACTRL_FRO192M_CTRL_DAC_TRIM_MASK)\r
-#define ANACTRL_FRO192M_CTRL_USBCLKADJ_MASK      (0x1000000U)\r
-#define ANACTRL_FRO192M_CTRL_USBCLKADJ_SHIFT     (24U)\r
-#define ANACTRL_FRO192M_CTRL_USBCLKADJ(x)        (((uint32_t)(((uint32_t)(x)) << ANACTRL_FRO192M_CTRL_USBCLKADJ_SHIFT)) & ANACTRL_FRO192M_CTRL_USBCLKADJ_MASK)\r
-#define ANACTRL_FRO192M_CTRL_USBMODCHG_MASK      (0x2000000U)\r
-#define ANACTRL_FRO192M_CTRL_USBMODCHG_SHIFT     (25U)\r
-#define ANACTRL_FRO192M_CTRL_USBMODCHG(x)        (((uint32_t)(((uint32_t)(x)) << ANACTRL_FRO192M_CTRL_USBMODCHG_SHIFT)) & ANACTRL_FRO192M_CTRL_USBMODCHG_MASK)\r
-#define ANACTRL_FRO192M_CTRL_ATB_CTRL_MASK       (0x30000000U)\r
-#define ANACTRL_FRO192M_CTRL_ATB_CTRL_SHIFT      (28U)\r
-#define ANACTRL_FRO192M_CTRL_ATB_CTRL(x)         (((uint32_t)(((uint32_t)(x)) << ANACTRL_FRO192M_CTRL_ATB_CTRL_SHIFT)) & ANACTRL_FRO192M_CTRL_ATB_CTRL_MASK)\r
-#define ANACTRL_FRO192M_CTRL_ENA_96MHZCLK_MASK   (0x40000000U)\r
-#define ANACTRL_FRO192M_CTRL_ENA_96MHZCLK_SHIFT  (30U)\r
+#define ANACTRL_FRO192M_CTRL_ENA_48MHZCLK(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_FRO192M_CTRL_ENA_48MHZCLK_SHIFT)) & ANACTRL_FRO192M_CTRL_ENA_48MHZCLK_MASK)\r
+#define ANACTRL_FRO192M_CTRL_DAC_TRIM_MASK (0xFF0000U)\r
+#define ANACTRL_FRO192M_CTRL_DAC_TRIM_SHIFT (16U)\r
+/*! DAC_TRIM - Frequency trim.\r
+ */\r
+#define ANACTRL_FRO192M_CTRL_DAC_TRIM(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_FRO192M_CTRL_DAC_TRIM_SHIFT)) & ANACTRL_FRO192M_CTRL_DAC_TRIM_MASK)\r
+#define ANACTRL_FRO192M_CTRL_USBCLKADJ_MASK (0x1000000U)\r
+#define ANACTRL_FRO192M_CTRL_USBCLKADJ_SHIFT (24U)\r
+/*! USBCLKADJ - If this bit is set and the USB peripheral is enabled into full speed device mode,\r
+ *    the USB block will provide FRO clock adjustments to lock it to the host clock using the SOF\r
+ *    packets.\r
+ */\r
+#define ANACTRL_FRO192M_CTRL_USBCLKADJ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_FRO192M_CTRL_USBCLKADJ_SHIFT)) & ANACTRL_FRO192M_CTRL_USBCLKADJ_MASK)\r
+#define ANACTRL_FRO192M_CTRL_USBMODCHG_MASK (0x2000000U)\r
+#define ANACTRL_FRO192M_CTRL_USBMODCHG_SHIFT (25U)\r
+/*! USBMODCHG - If it reads as 1 when reading the DAC_TRIM field and USBCLKADJ=1, it should be re-read until it is 0.\r
+ */\r
+#define ANACTRL_FRO192M_CTRL_USBMODCHG(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_FRO192M_CTRL_USBMODCHG_SHIFT)) & ANACTRL_FRO192M_CTRL_USBMODCHG_MASK)\r
+#define ANACTRL_FRO192M_CTRL_ENA_96MHZCLK_MASK (0x40000000U)\r
+#define ANACTRL_FRO192M_CTRL_ENA_96MHZCLK_SHIFT (30U)\r
 /*! ENA_96MHZCLK - 96 MHz clock control.\r
  *  0b0..96 MHz clock is disabled.\r
  *  0b1..96 MHz clock is enabled.\r
  */\r
-#define ANACTRL_FRO192M_CTRL_ENA_96MHZCLK(x)     (((uint32_t)(((uint32_t)(x)) << ANACTRL_FRO192M_CTRL_ENA_96MHZCLK_SHIFT)) & ANACTRL_FRO192M_CTRL_ENA_96MHZCLK_MASK)\r
-#define ANACTRL_FRO192M_CTRL_WRTRIM_MASK         (0x80000000U)\r
-#define ANACTRL_FRO192M_CTRL_WRTRIM_SHIFT        (31U)\r
-#define ANACTRL_FRO192M_CTRL_WRTRIM(x)           (((uint32_t)(((uint32_t)(x)) << ANACTRL_FRO192M_CTRL_WRTRIM_SHIFT)) & ANACTRL_FRO192M_CTRL_WRTRIM_MASK)\r
+#define ANACTRL_FRO192M_CTRL_ENA_96MHZCLK(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_FRO192M_CTRL_ENA_96MHZCLK_SHIFT)) & ANACTRL_FRO192M_CTRL_ENA_96MHZCLK_MASK)\r
 /*! @} */\r
 \r
 /*! @name FRO192M_STATUS - 192MHz Free Running OScillator (FRO) Status register */\r
 /*! @{ */\r
-#define ANACTRL_FRO192M_STATUS_CLK_VALID_MASK    (0x1U)\r
-#define ANACTRL_FRO192M_STATUS_CLK_VALID_SHIFT   (0U)\r
+#define ANACTRL_FRO192M_STATUS_CLK_VALID_MASK (0x1U)\r
+#define ANACTRL_FRO192M_STATUS_CLK_VALID_SHIFT (0U)\r
 /*! CLK_VALID - Output clock valid signal. Indicates that CCO clock has settled.\r
  *  0b0..No output clock present (None of 12 MHz, 48 MHz or 96 MHz clock is available).\r
- *  0b1..Clock is present (12 MHz, 48 MHz or 96 MHz can be output if they are enable respectively by FRO192M_CTRL.ENA_12MHZCLK/ENA_48MHZCLK/ENA_96MHZCLK).\r
- */\r
-#define ANACTRL_FRO192M_STATUS_CLK_VALID(x)      (((uint32_t)(((uint32_t)(x)) << ANACTRL_FRO192M_STATUS_CLK_VALID_SHIFT)) & ANACTRL_FRO192M_STATUS_CLK_VALID_MASK)\r
-#define ANACTRL_FRO192M_STATUS_ATB_VCTRL_MASK    (0x2U)\r
-#define ANACTRL_FRO192M_STATUS_ATB_VCTRL_SHIFT   (1U)\r
-#define ANACTRL_FRO192M_STATUS_ATB_VCTRL(x)      (((uint32_t)(((uint32_t)(x)) << ANACTRL_FRO192M_STATUS_ATB_VCTRL_SHIFT)) & ANACTRL_FRO192M_STATUS_ATB_VCTRL_MASK)\r
-/*! @} */\r
-\r
-/*! @name ADC_CTRL - General Purpose ADC VBAT Divider branch control */\r
-/*! @{ */\r
-#define ANACTRL_ADC_CTRL_VBATDIVENABLE_MASK      (0x1U)\r
-#define ANACTRL_ADC_CTRL_VBATDIVENABLE_SHIFT     (0U)\r
-/*! VBATDIVENABLE - Switch On/Off VBAT divider branch.\r
- *  0b0..VBAT divider branch is disabled.\r
- *  0b1..VBAT divider branch is enabled.\r
- */\r
-#define ANACTRL_ADC_CTRL_VBATDIVENABLE(x)        (((uint32_t)(((uint32_t)(x)) << ANACTRL_ADC_CTRL_VBATDIVENABLE_SHIFT)) & ANACTRL_ADC_CTRL_VBATDIVENABLE_MASK)\r
-/*! @} */\r
-\r
-/*! @name XO32M_CTRL - 32 MHz Crystal Oscillator Control register */\r
-/*! @{ */\r
-#define ANACTRL_XO32M_CTRL_GM_MASK               (0xEU)\r
-#define ANACTRL_XO32M_CTRL_GM_SHIFT              (1U)\r
-#define ANACTRL_XO32M_CTRL_GM(x)                 (((uint32_t)(((uint32_t)(x)) << ANACTRL_XO32M_CTRL_GM_SHIFT)) & ANACTRL_XO32M_CTRL_GM_MASK)\r
-#define ANACTRL_XO32M_CTRL_SLAVE_MASK            (0x10U)\r
-#define ANACTRL_XO32M_CTRL_SLAVE_SHIFT           (4U)\r
-#define ANACTRL_XO32M_CTRL_SLAVE(x)              (((uint32_t)(((uint32_t)(x)) << ANACTRL_XO32M_CTRL_SLAVE_SHIFT)) & ANACTRL_XO32M_CTRL_SLAVE_MASK)\r
-#define ANACTRL_XO32M_CTRL_AMP_MASK              (0xE0U)\r
-#define ANACTRL_XO32M_CTRL_AMP_SHIFT             (5U)\r
-#define ANACTRL_XO32M_CTRL_AMP(x)                (((uint32_t)(((uint32_t)(x)) << ANACTRL_XO32M_CTRL_AMP_SHIFT)) & ANACTRL_XO32M_CTRL_AMP_MASK)\r
-#define ANACTRL_XO32M_CTRL_OSC_CAP_IN_MASK       (0x7F00U)\r
-#define ANACTRL_XO32M_CTRL_OSC_CAP_IN_SHIFT      (8U)\r
-#define ANACTRL_XO32M_CTRL_OSC_CAP_IN(x)         (((uint32_t)(((uint32_t)(x)) << ANACTRL_XO32M_CTRL_OSC_CAP_IN_SHIFT)) & ANACTRL_XO32M_CTRL_OSC_CAP_IN_MASK)\r
-#define ANACTRL_XO32M_CTRL_OSC_CAP_OUT_MASK      (0x3F8000U)\r
-#define ANACTRL_XO32M_CTRL_OSC_CAP_OUT_SHIFT     (15U)\r
-#define ANACTRL_XO32M_CTRL_OSC_CAP_OUT(x)        (((uint32_t)(((uint32_t)(x)) << ANACTRL_XO32M_CTRL_OSC_CAP_OUT_SHIFT)) & ANACTRL_XO32M_CTRL_OSC_CAP_OUT_MASK)\r
+ *  0b1..Clock is present (12 MHz, 48 MHz or 96 MHz can be output if they are enable respectively by\r
+ *       FRO192M_CTRL.ENA_12MHZCLK/ENA_48MHZCLK/ENA_96MHZCLK).\r
+ */\r
+#define ANACTRL_FRO192M_STATUS_CLK_VALID(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_FRO192M_STATUS_CLK_VALID_SHIFT)) & ANACTRL_FRO192M_STATUS_CLK_VALID_MASK)\r
+#define ANACTRL_FRO192M_STATUS_ATB_VCTRL_MASK (0x2U)\r
+#define ANACTRL_FRO192M_STATUS_ATB_VCTRL_SHIFT (1U)\r
+/*! ATB_VCTRL - CCO threshold voltage detector output (signal vcco_ok). Once the CCO voltage crosses\r
+ *    the threshold voltage of a SLVT transistor, this output signal will go high. It is also\r
+ *    possible to observe the clk_valid signal.\r
+ */\r
+#define ANACTRL_FRO192M_STATUS_ATB_VCTRL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_FRO192M_STATUS_ATB_VCTRL_SHIFT)) & ANACTRL_FRO192M_STATUS_ATB_VCTRL_MASK)\r
+/*! @} */\r
+\r
+/*! @name XO32M_CTRL - High speed Crystal Oscillator Control register */\r
+/*! @{ */\r
 #define ANACTRL_XO32M_CTRL_ACBUF_PASS_ENABLE_MASK (0x400000U)\r
 #define ANACTRL_XO32M_CTRL_ACBUF_PASS_ENABLE_SHIFT (22U)\r
 /*! ACBUF_PASS_ENABLE - Bypass enable of XO AC buffer enable in pll and top level.\r
  *  0b0..XO AC buffer bypass is disabled.\r
  *  0b1..XO AC buffer bypass is enabled.\r
  */\r
-#define ANACTRL_XO32M_CTRL_ACBUF_PASS_ENABLE(x)  (((uint32_t)(((uint32_t)(x)) << ANACTRL_XO32M_CTRL_ACBUF_PASS_ENABLE_SHIFT)) & ANACTRL_XO32M_CTRL_ACBUF_PASS_ENABLE_MASK)\r
+#define ANACTRL_XO32M_CTRL_ACBUF_PASS_ENABLE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_XO32M_CTRL_ACBUF_PASS_ENABLE_SHIFT)) & \\r
+     ANACTRL_XO32M_CTRL_ACBUF_PASS_ENABLE_MASK)\r
 #define ANACTRL_XO32M_CTRL_ENABLE_PLL_USB_OUT_MASK (0x800000U)\r
 #define ANACTRL_XO32M_CTRL_ENABLE_PLL_USB_OUT_SHIFT (23U)\r
-/*! ENABLE_PLL_USB_OUT - Enable XO 32 MHz output to USB HS PLL.\r
- *  0b0..XO 32 MHz output to USB HS PLL is disabled.\r
- *  0b1..XO 32 MHz output to USB HS PLL is enabled.\r
+/*! ENABLE_PLL_USB_OUT - Enable High speed Crystal oscillator output to USB HS PLL.\r
+ *  0b0..High speed Crystal oscillator output to USB HS PLL is disabled.\r
+ *  0b1..High speed Crystal oscillator output to USB HS PLL is enabled.\r
  */\r
-#define ANACTRL_XO32M_CTRL_ENABLE_PLL_USB_OUT(x) (((uint32_t)(((uint32_t)(x)) << ANACTRL_XO32M_CTRL_ENABLE_PLL_USB_OUT_SHIFT)) & ANACTRL_XO32M_CTRL_ENABLE_PLL_USB_OUT_MASK)\r
+#define ANACTRL_XO32M_CTRL_ENABLE_PLL_USB_OUT(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_XO32M_CTRL_ENABLE_PLL_USB_OUT_SHIFT)) & \\r
+     ANACTRL_XO32M_CTRL_ENABLE_PLL_USB_OUT_MASK)\r
 #define ANACTRL_XO32M_CTRL_ENABLE_SYSTEM_CLK_OUT_MASK (0x1000000U)\r
 #define ANACTRL_XO32M_CTRL_ENABLE_SYSTEM_CLK_OUT_SHIFT (24U)\r
 /*! ENABLE_SYSTEM_CLK_OUT - Enable XO 32 MHz output to CPU system.\r
- *  0b0..XO 32 MHz output to CPU system is disabled.\r
- *  0b1..XO 32 MHz output to CPU system is enabled.\r
- */\r
-#define ANACTRL_XO32M_CTRL_ENABLE_SYSTEM_CLK_OUT(x) (((uint32_t)(((uint32_t)(x)) << ANACTRL_XO32M_CTRL_ENABLE_SYSTEM_CLK_OUT_SHIFT)) & ANACTRL_XO32M_CTRL_ENABLE_SYSTEM_CLK_OUT_MASK)\r
-#define ANACTRL_XO32M_CTRL_CAPTESTSTARTSRCSEL_MASK (0x2000000U)\r
-#define ANACTRL_XO32M_CTRL_CAPTESTSTARTSRCSEL_SHIFT (25U)\r
-/*! CAPTESTSTARTSRCSEL - Source selection for 'xo32k_captest_start' signal.\r
- *  0b0..Sourced from CAPTESTSTART.\r
- *  0b1..Sourced from calibration.\r
- */\r
-#define ANACTRL_XO32M_CTRL_CAPTESTSTARTSRCSEL(x) (((uint32_t)(((uint32_t)(x)) << ANACTRL_XO32M_CTRL_CAPTESTSTARTSRCSEL_SHIFT)) & ANACTRL_XO32M_CTRL_CAPTESTSTARTSRCSEL_MASK)\r
-#define ANACTRL_XO32M_CTRL_CAPTESTSTART_MASK     (0x4000000U)\r
-#define ANACTRL_XO32M_CTRL_CAPTESTSTART_SHIFT    (26U)\r
-#define ANACTRL_XO32M_CTRL_CAPTESTSTART(x)       (((uint32_t)(((uint32_t)(x)) << ANACTRL_XO32M_CTRL_CAPTESTSTART_SHIFT)) & ANACTRL_XO32M_CTRL_CAPTESTSTART_MASK)\r
-#define ANACTRL_XO32M_CTRL_CAPTESTENABLE_MASK    (0x8000000U)\r
-#define ANACTRL_XO32M_CTRL_CAPTESTENABLE_SHIFT   (27U)\r
-/*! CAPTESTENABLE - Enable signal for captest.\r
- *  0b0..Captest is disabled.\r
- *  0b1..Captest is enabled.\r
- */\r
-#define ANACTRL_XO32M_CTRL_CAPTESTENABLE(x)      (((uint32_t)(((uint32_t)(x)) << ANACTRL_XO32M_CTRL_CAPTESTENABLE_SHIFT)) & ANACTRL_XO32M_CTRL_CAPTESTENABLE_MASK)\r
-#define ANACTRL_XO32M_CTRL_CAPTESTOSCINSEL_MASK  (0x10000000U)\r
-#define ANACTRL_XO32M_CTRL_CAPTESTOSCINSEL_SHIFT (28U)\r
-/*! CAPTESTOSCINSEL - Select the input for test.\r
- *  0b0..osc_out (oscillator output) pin.\r
- *  0b1..osc_in (oscillator) pin.\r
- */\r
-#define ANACTRL_XO32M_CTRL_CAPTESTOSCINSEL(x)    (((uint32_t)(((uint32_t)(x)) << ANACTRL_XO32M_CTRL_CAPTESTOSCINSEL_SHIFT)) & ANACTRL_XO32M_CTRL_CAPTESTOSCINSEL_MASK)\r
-/*! @} */\r
-\r
-/*! @name XO32M_STATUS - 32 MHz Crystal Oscillator Status register */\r
-/*! @{ */\r
-#define ANACTRL_XO32M_STATUS_XO_READY_MASK       (0x1U)\r
-#define ANACTRL_XO32M_STATUS_XO_READY_SHIFT      (0U)\r
+ *  0b0..High speed Crystal oscillator output to CPU system is disabled.\r
+ *  0b1..High speed Crystal oscillator output to CPU system is enabled.\r
+ */\r
+#define ANACTRL_XO32M_CTRL_ENABLE_SYSTEM_CLK_OUT(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_XO32M_CTRL_ENABLE_SYSTEM_CLK_OUT_SHIFT)) & \\r
+     ANACTRL_XO32M_CTRL_ENABLE_SYSTEM_CLK_OUT_MASK)\r
+/*! @} */\r
+\r
+/*! @name XO32M_STATUS - High speed Crystal Oscillator Status register */\r
+/*! @{ */\r
+#define ANACTRL_XO32M_STATUS_XO_READY_MASK (0x1U)\r
+#define ANACTRL_XO32M_STATUS_XO_READY_SHIFT (0U)\r
 /*! XO_READY - Indicates XO out frequency statibilty.\r
  *  0b0..XO output frequency is not yet stable.\r
  *  0b1..XO output frequency is stable.\r
  */\r
-#define ANACTRL_XO32M_STATUS_XO_READY(x)         (((uint32_t)(((uint32_t)(x)) << ANACTRL_XO32M_STATUS_XO_READY_SHIFT)) & ANACTRL_XO32M_STATUS_XO_READY_MASK)\r
+#define ANACTRL_XO32M_STATUS_XO_READY(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_XO32M_STATUS_XO_READY_SHIFT)) & ANACTRL_XO32M_STATUS_XO_READY_MASK)\r
 /*! @} */\r
 \r
 /*! @name BOD_DCDC_INT_CTRL - Brown Out Detectors (BoDs) & DCDC interrupts generation control register */\r
@@ -3931,30 +5252,48 @@ typedef struct {
  *  0b0..BOD VBAT interrupt is disabled.\r
  *  0b1..BOD VBAT interrupt is enabled.\r
  */\r
-#define ANACTRL_BOD_DCDC_INT_CTRL_BODVBAT_INT_ENABLE(x) (((uint32_t)(((uint32_t)(x)) << ANACTRL_BOD_DCDC_INT_CTRL_BODVBAT_INT_ENABLE_SHIFT)) & ANACTRL_BOD_DCDC_INT_CTRL_BODVBAT_INT_ENABLE_MASK)\r
+#define ANACTRL_BOD_DCDC_INT_CTRL_BODVBAT_INT_ENABLE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_BOD_DCDC_INT_CTRL_BODVBAT_INT_ENABLE_SHIFT)) & \\r
+     ANACTRL_BOD_DCDC_INT_CTRL_BODVBAT_INT_ENABLE_MASK)\r
 #define ANACTRL_BOD_DCDC_INT_CTRL_BODVBAT_INT_CLEAR_MASK (0x2U)\r
 #define ANACTRL_BOD_DCDC_INT_CTRL_BODVBAT_INT_CLEAR_SHIFT (1U)\r
-#define ANACTRL_BOD_DCDC_INT_CTRL_BODVBAT_INT_CLEAR(x) (((uint32_t)(((uint32_t)(x)) << ANACTRL_BOD_DCDC_INT_CTRL_BODVBAT_INT_CLEAR_SHIFT)) & ANACTRL_BOD_DCDC_INT_CTRL_BODVBAT_INT_CLEAR_MASK)\r
+/*! BODVBAT_INT_CLEAR - BOD VBAT interrupt clear.1: Clear the interrupt. Self-cleared bit.\r
+ */\r
+#define ANACTRL_BOD_DCDC_INT_CTRL_BODVBAT_INT_CLEAR(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_BOD_DCDC_INT_CTRL_BODVBAT_INT_CLEAR_SHIFT)) & \\r
+     ANACTRL_BOD_DCDC_INT_CTRL_BODVBAT_INT_CLEAR_MASK)\r
 #define ANACTRL_BOD_DCDC_INT_CTRL_BODCORE_INT_ENABLE_MASK (0x4U)\r
 #define ANACTRL_BOD_DCDC_INT_CTRL_BODCORE_INT_ENABLE_SHIFT (2U)\r
 /*! BODCORE_INT_ENABLE - BOD CORE interrupt control.\r
  *  0b0..BOD CORE interrupt is disabled.\r
  *  0b1..BOD CORE interrupt is enabled.\r
  */\r
-#define ANACTRL_BOD_DCDC_INT_CTRL_BODCORE_INT_ENABLE(x) (((uint32_t)(((uint32_t)(x)) << ANACTRL_BOD_DCDC_INT_CTRL_BODCORE_INT_ENABLE_SHIFT)) & ANACTRL_BOD_DCDC_INT_CTRL_BODCORE_INT_ENABLE_MASK)\r
+#define ANACTRL_BOD_DCDC_INT_CTRL_BODCORE_INT_ENABLE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_BOD_DCDC_INT_CTRL_BODCORE_INT_ENABLE_SHIFT)) & \\r
+     ANACTRL_BOD_DCDC_INT_CTRL_BODCORE_INT_ENABLE_MASK)\r
 #define ANACTRL_BOD_DCDC_INT_CTRL_BODCORE_INT_CLEAR_MASK (0x8U)\r
 #define ANACTRL_BOD_DCDC_INT_CTRL_BODCORE_INT_CLEAR_SHIFT (3U)\r
-#define ANACTRL_BOD_DCDC_INT_CTRL_BODCORE_INT_CLEAR(x) (((uint32_t)(((uint32_t)(x)) << ANACTRL_BOD_DCDC_INT_CTRL_BODCORE_INT_CLEAR_SHIFT)) & ANACTRL_BOD_DCDC_INT_CTRL_BODCORE_INT_CLEAR_MASK)\r
+/*! BODCORE_INT_CLEAR - BOD CORE interrupt clear.1: Clear the interrupt. Self-cleared bit.\r
+ */\r
+#define ANACTRL_BOD_DCDC_INT_CTRL_BODCORE_INT_CLEAR(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_BOD_DCDC_INT_CTRL_BODCORE_INT_CLEAR_SHIFT)) & \\r
+     ANACTRL_BOD_DCDC_INT_CTRL_BODCORE_INT_CLEAR_MASK)\r
 #define ANACTRL_BOD_DCDC_INT_CTRL_DCDC_INT_ENABLE_MASK (0x10U)\r
 #define ANACTRL_BOD_DCDC_INT_CTRL_DCDC_INT_ENABLE_SHIFT (4U)\r
 /*! DCDC_INT_ENABLE - DCDC interrupt control.\r
  *  0b0..DCDC interrupt is disabled.\r
  *  0b1..DCDC interrupt is enabled.\r
  */\r
-#define ANACTRL_BOD_DCDC_INT_CTRL_DCDC_INT_ENABLE(x) (((uint32_t)(((uint32_t)(x)) << ANACTRL_BOD_DCDC_INT_CTRL_DCDC_INT_ENABLE_SHIFT)) & ANACTRL_BOD_DCDC_INT_CTRL_DCDC_INT_ENABLE_MASK)\r
+#define ANACTRL_BOD_DCDC_INT_CTRL_DCDC_INT_ENABLE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_BOD_DCDC_INT_CTRL_DCDC_INT_ENABLE_SHIFT)) & \\r
+     ANACTRL_BOD_DCDC_INT_CTRL_DCDC_INT_ENABLE_MASK)\r
 #define ANACTRL_BOD_DCDC_INT_CTRL_DCDC_INT_CLEAR_MASK (0x20U)\r
 #define ANACTRL_BOD_DCDC_INT_CTRL_DCDC_INT_CLEAR_SHIFT (5U)\r
-#define ANACTRL_BOD_DCDC_INT_CTRL_DCDC_INT_CLEAR(x) (((uint32_t)(((uint32_t)(x)) << ANACTRL_BOD_DCDC_INT_CTRL_DCDC_INT_CLEAR_SHIFT)) & ANACTRL_BOD_DCDC_INT_CTRL_DCDC_INT_CLEAR_MASK)\r
+/*! DCDC_INT_CLEAR - DCDC interrupt clear.1: Clear the interrupt. Self-cleared bit.\r
+ */\r
+#define ANACTRL_BOD_DCDC_INT_CTRL_DCDC_INT_CLEAR(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_BOD_DCDC_INT_CTRL_DCDC_INT_CLEAR_SHIFT)) & \\r
+     ANACTRL_BOD_DCDC_INT_CTRL_DCDC_INT_CLEAR_MASK)\r
 /*! @} */\r
 \r
 /*! @name BOD_DCDC_INT_STATUS - BoDs & DCDC interrupts status register */\r
@@ -3965,496 +5304,454 @@ typedef struct {
  *  0b0..No interrupt pending..\r
  *  0b1..Interrupt pending..\r
  */\r
-#define ANACTRL_BOD_DCDC_INT_STATUS_BODVBAT_STATUS(x) (((uint32_t)(((uint32_t)(x)) << ANACTRL_BOD_DCDC_INT_STATUS_BODVBAT_STATUS_SHIFT)) & ANACTRL_BOD_DCDC_INT_STATUS_BODVBAT_STATUS_MASK)\r
+#define ANACTRL_BOD_DCDC_INT_STATUS_BODVBAT_STATUS(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_BOD_DCDC_INT_STATUS_BODVBAT_STATUS_SHIFT)) & \\r
+     ANACTRL_BOD_DCDC_INT_STATUS_BODVBAT_STATUS_MASK)\r
 #define ANACTRL_BOD_DCDC_INT_STATUS_BODVBAT_INT_STATUS_MASK (0x2U)\r
 #define ANACTRL_BOD_DCDC_INT_STATUS_BODVBAT_INT_STATUS_SHIFT (1U)\r
 /*! BODVBAT_INT_STATUS - BOD VBAT Interrupt status after Interrupt Enable.\r
  *  0b0..No interrupt pending..\r
  *  0b1..Interrupt pending..\r
  */\r
-#define ANACTRL_BOD_DCDC_INT_STATUS_BODVBAT_INT_STATUS(x) (((uint32_t)(((uint32_t)(x)) << ANACTRL_BOD_DCDC_INT_STATUS_BODVBAT_INT_STATUS_SHIFT)) & ANACTRL_BOD_DCDC_INT_STATUS_BODVBAT_INT_STATUS_MASK)\r
+#define ANACTRL_BOD_DCDC_INT_STATUS_BODVBAT_INT_STATUS(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_BOD_DCDC_INT_STATUS_BODVBAT_INT_STATUS_SHIFT)) & \\r
+     ANACTRL_BOD_DCDC_INT_STATUS_BODVBAT_INT_STATUS_MASK)\r
 #define ANACTRL_BOD_DCDC_INT_STATUS_BODVBAT_VAL_MASK (0x4U)\r
 #define ANACTRL_BOD_DCDC_INT_STATUS_BODVBAT_VAL_SHIFT (2U)\r
 /*! BODVBAT_VAL - Current value of BOD VBAT power status output.\r
  *  0b0..VBAT voltage level is below the threshold.\r
  *  0b1..VBAT voltage level is above the threshold.\r
  */\r
-#define ANACTRL_BOD_DCDC_INT_STATUS_BODVBAT_VAL(x) (((uint32_t)(((uint32_t)(x)) << ANACTRL_BOD_DCDC_INT_STATUS_BODVBAT_VAL_SHIFT)) & ANACTRL_BOD_DCDC_INT_STATUS_BODVBAT_VAL_MASK)\r
+#define ANACTRL_BOD_DCDC_INT_STATUS_BODVBAT_VAL(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_BOD_DCDC_INT_STATUS_BODVBAT_VAL_SHIFT)) & \\r
+     ANACTRL_BOD_DCDC_INT_STATUS_BODVBAT_VAL_MASK)\r
 #define ANACTRL_BOD_DCDC_INT_STATUS_BODCORE_STATUS_MASK (0x8U)\r
 #define ANACTRL_BOD_DCDC_INT_STATUS_BODCORE_STATUS_SHIFT (3U)\r
 /*! BODCORE_STATUS - BOD CORE Interrupt status before Interrupt Enable.\r
  *  0b0..No interrupt pending..\r
  *  0b1..Interrupt pending..\r
  */\r
-#define ANACTRL_BOD_DCDC_INT_STATUS_BODCORE_STATUS(x) (((uint32_t)(((uint32_t)(x)) << ANACTRL_BOD_DCDC_INT_STATUS_BODCORE_STATUS_SHIFT)) & ANACTRL_BOD_DCDC_INT_STATUS_BODCORE_STATUS_MASK)\r
+#define ANACTRL_BOD_DCDC_INT_STATUS_BODCORE_STATUS(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_BOD_DCDC_INT_STATUS_BODCORE_STATUS_SHIFT)) & \\r
+     ANACTRL_BOD_DCDC_INT_STATUS_BODCORE_STATUS_MASK)\r
 #define ANACTRL_BOD_DCDC_INT_STATUS_BODCORE_INT_STATUS_MASK (0x10U)\r
 #define ANACTRL_BOD_DCDC_INT_STATUS_BODCORE_INT_STATUS_SHIFT (4U)\r
 /*! BODCORE_INT_STATUS - BOD CORE Interrupt status after Interrupt Enable.\r
  *  0b0..No interrupt pending..\r
  *  0b1..Interrupt pending..\r
  */\r
-#define ANACTRL_BOD_DCDC_INT_STATUS_BODCORE_INT_STATUS(x) (((uint32_t)(((uint32_t)(x)) << ANACTRL_BOD_DCDC_INT_STATUS_BODCORE_INT_STATUS_SHIFT)) & ANACTRL_BOD_DCDC_INT_STATUS_BODCORE_INT_STATUS_MASK)\r
+#define ANACTRL_BOD_DCDC_INT_STATUS_BODCORE_INT_STATUS(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_BOD_DCDC_INT_STATUS_BODCORE_INT_STATUS_SHIFT)) & \\r
+     ANACTRL_BOD_DCDC_INT_STATUS_BODCORE_INT_STATUS_MASK)\r
 #define ANACTRL_BOD_DCDC_INT_STATUS_BODCORE_VAL_MASK (0x20U)\r
 #define ANACTRL_BOD_DCDC_INT_STATUS_BODCORE_VAL_SHIFT (5U)\r
 /*! BODCORE_VAL - Current value of BOD CORE power status output.\r
  *  0b0..CORE voltage level is below the threshold.\r
  *  0b1..CORE voltage level is above the threshold.\r
  */\r
-#define ANACTRL_BOD_DCDC_INT_STATUS_BODCORE_VAL(x) (((uint32_t)(((uint32_t)(x)) << ANACTRL_BOD_DCDC_INT_STATUS_BODCORE_VAL_SHIFT)) & ANACTRL_BOD_DCDC_INT_STATUS_BODCORE_VAL_MASK)\r
+#define ANACTRL_BOD_DCDC_INT_STATUS_BODCORE_VAL(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_BOD_DCDC_INT_STATUS_BODCORE_VAL_SHIFT)) & \\r
+     ANACTRL_BOD_DCDC_INT_STATUS_BODCORE_VAL_MASK)\r
 #define ANACTRL_BOD_DCDC_INT_STATUS_DCDC_STATUS_MASK (0x40U)\r
 #define ANACTRL_BOD_DCDC_INT_STATUS_DCDC_STATUS_SHIFT (6U)\r
 /*! DCDC_STATUS - DCDC Interrupt status before Interrupt Enable.\r
  *  0b0..No interrupt pending..\r
  *  0b1..Interrupt pending..\r
  */\r
-#define ANACTRL_BOD_DCDC_INT_STATUS_DCDC_STATUS(x) (((uint32_t)(((uint32_t)(x)) << ANACTRL_BOD_DCDC_INT_STATUS_DCDC_STATUS_SHIFT)) & ANACTRL_BOD_DCDC_INT_STATUS_DCDC_STATUS_MASK)\r
+#define ANACTRL_BOD_DCDC_INT_STATUS_DCDC_STATUS(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_BOD_DCDC_INT_STATUS_DCDC_STATUS_SHIFT)) & \\r
+     ANACTRL_BOD_DCDC_INT_STATUS_DCDC_STATUS_MASK)\r
 #define ANACTRL_BOD_DCDC_INT_STATUS_DCDC_INT_STATUS_MASK (0x80U)\r
 #define ANACTRL_BOD_DCDC_INT_STATUS_DCDC_INT_STATUS_SHIFT (7U)\r
 /*! DCDC_INT_STATUS - DCDC Interrupt status after Interrupt Enable.\r
  *  0b0..No interrupt pending..\r
  *  0b1..Interrupt pending..\r
  */\r
-#define ANACTRL_BOD_DCDC_INT_STATUS_DCDC_INT_STATUS(x) (((uint32_t)(((uint32_t)(x)) << ANACTRL_BOD_DCDC_INT_STATUS_DCDC_INT_STATUS_SHIFT)) & ANACTRL_BOD_DCDC_INT_STATUS_DCDC_INT_STATUS_MASK)\r
+#define ANACTRL_BOD_DCDC_INT_STATUS_DCDC_INT_STATUS(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_BOD_DCDC_INT_STATUS_DCDC_INT_STATUS_SHIFT)) & \\r
+     ANACTRL_BOD_DCDC_INT_STATUS_DCDC_INT_STATUS_MASK)\r
 #define ANACTRL_BOD_DCDC_INT_STATUS_DCDC_VAL_MASK (0x100U)\r
 #define ANACTRL_BOD_DCDC_INT_STATUS_DCDC_VAL_SHIFT (8U)\r
 /*! DCDC_VAL - Current value of DCDC power status output.\r
  *  0b0..DCDC output Voltage is below the targeted regulation level.\r
  *  0b1..DCDC output Voltage is above the targeted regulation level.\r
  */\r
-#define ANACTRL_BOD_DCDC_INT_STATUS_DCDC_VAL(x)  (((uint32_t)(((uint32_t)(x)) << ANACTRL_BOD_DCDC_INT_STATUS_DCDC_VAL_SHIFT)) & ANACTRL_BOD_DCDC_INT_STATUS_DCDC_VAL_MASK)\r
+#define ANACTRL_BOD_DCDC_INT_STATUS_DCDC_VAL(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_BOD_DCDC_INT_STATUS_DCDC_VAL_SHIFT)) & \\r
+     ANACTRL_BOD_DCDC_INT_STATUS_DCDC_VAL_MASK)\r
 /*! @} */\r
 \r
 /*! @name RINGO0_CTRL - First Ring Oscillator module control register. */\r
 /*! @{ */\r
-#define ANACTRL_RINGO0_CTRL_SL_MASK              (0x1U)\r
-#define ANACTRL_RINGO0_CTRL_SL_SHIFT             (0U)\r
+#define ANACTRL_RINGO0_CTRL_SL_MASK (0x1U)\r
+#define ANACTRL_RINGO0_CTRL_SL_SHIFT (0U)\r
 /*! SL - Select short or long ringo (for all ringos types).\r
  *  0b0..Select short ringo (few elements).\r
  *  0b1..Select long ringo (many elements).\r
  */\r
-#define ANACTRL_RINGO0_CTRL_SL(x)                (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO0_CTRL_SL_SHIFT)) & ANACTRL_RINGO0_CTRL_SL_MASK)\r
-#define ANACTRL_RINGO0_CTRL_FS_MASK              (0x2U)\r
-#define ANACTRL_RINGO0_CTRL_FS_SHIFT             (1U)\r
+#define ANACTRL_RINGO0_CTRL_SL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO0_CTRL_SL_SHIFT)) & ANACTRL_RINGO0_CTRL_SL_MASK)\r
+#define ANACTRL_RINGO0_CTRL_FS_MASK (0x2U)\r
+#define ANACTRL_RINGO0_CTRL_FS_SHIFT (1U)\r
 /*! FS - Ringo frequency output divider.\r
  *  0b0..High frequency output (frequency lower than 100 MHz).\r
  *  0b1..Low frequency output (frequency lower than 10 MHz).\r
  */\r
-#define ANACTRL_RINGO0_CTRL_FS(x)                (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO0_CTRL_FS_SHIFT)) & ANACTRL_RINGO0_CTRL_FS_MASK)\r
-#define ANACTRL_RINGO0_CTRL_SWN_SWP_MASK         (0xCU)\r
-#define ANACTRL_RINGO0_CTRL_SWN_SWP_SHIFT        (2U)\r
+#define ANACTRL_RINGO0_CTRL_FS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO0_CTRL_FS_SHIFT)) & ANACTRL_RINGO0_CTRL_FS_MASK)\r
+#define ANACTRL_RINGO0_CTRL_SWN_SWP_MASK (0xCU)\r
+#define ANACTRL_RINGO0_CTRL_SWN_SWP_SHIFT (2U)\r
 /*! SWN_SWP - PN-Ringos (P-Transistor and N-Transistor processing) control.\r
  *  0b00..Normal mode.\r
  *  0b01..P-Monitor mode. Measure with weak P transistor.\r
  *  0b10..P-Monitor mode. Measure with weak N transistor.\r
  *  0b11..Don't use.\r
  */\r
-#define ANACTRL_RINGO0_CTRL_SWN_SWP(x)           (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO0_CTRL_SWN_SWP_SHIFT)) & ANACTRL_RINGO0_CTRL_SWN_SWP_MASK)\r
-#define ANACTRL_RINGO0_CTRL_PD_MASK              (0x10U)\r
-#define ANACTRL_RINGO0_CTRL_PD_SHIFT             (4U)\r
+#define ANACTRL_RINGO0_CTRL_SWN_SWP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO0_CTRL_SWN_SWP_SHIFT)) & ANACTRL_RINGO0_CTRL_SWN_SWP_MASK)\r
+#define ANACTRL_RINGO0_CTRL_PD_MASK (0x10U)\r
+#define ANACTRL_RINGO0_CTRL_PD_SHIFT (4U)\r
 /*! PD - Ringo module Power control.\r
  *  0b0..The Ringo module is enabled.\r
  *  0b1..The Ringo module is disabled.\r
  */\r
-#define ANACTRL_RINGO0_CTRL_PD(x)                (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO0_CTRL_PD_SHIFT)) & ANACTRL_RINGO0_CTRL_PD_MASK)\r
-#define ANACTRL_RINGO0_CTRL_E_ND0_MASK           (0x20U)\r
-#define ANACTRL_RINGO0_CTRL_E_ND0_SHIFT          (5U)\r
+#define ANACTRL_RINGO0_CTRL_PD(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO0_CTRL_PD_SHIFT)) & ANACTRL_RINGO0_CTRL_PD_MASK)\r
+#define ANACTRL_RINGO0_CTRL_E_ND0_MASK (0x20U)\r
+#define ANACTRL_RINGO0_CTRL_E_ND0_SHIFT (5U)\r
 /*! E_ND0 - First NAND2-based ringo control.\r
  *  0b0..First NAND2-based ringo is disabled.\r
  *  0b1..First NAND2-based ringo is enabled.\r
  */\r
-#define ANACTRL_RINGO0_CTRL_E_ND0(x)             (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO0_CTRL_E_ND0_SHIFT)) & ANACTRL_RINGO0_CTRL_E_ND0_MASK)\r
-#define ANACTRL_RINGO0_CTRL_E_ND1_MASK           (0x40U)\r
-#define ANACTRL_RINGO0_CTRL_E_ND1_SHIFT          (6U)\r
+#define ANACTRL_RINGO0_CTRL_E_ND0(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO0_CTRL_E_ND0_SHIFT)) & ANACTRL_RINGO0_CTRL_E_ND0_MASK)\r
+#define ANACTRL_RINGO0_CTRL_E_ND1_MASK (0x40U)\r
+#define ANACTRL_RINGO0_CTRL_E_ND1_SHIFT (6U)\r
 /*! E_ND1 - Second NAND2-based ringo control.\r
  *  0b0..Second NAND2-based ringo is disabled.\r
  *  0b1..Second NAND2-based ringo is enabled.\r
  */\r
-#define ANACTRL_RINGO0_CTRL_E_ND1(x)             (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO0_CTRL_E_ND1_SHIFT)) & ANACTRL_RINGO0_CTRL_E_ND1_MASK)\r
-#define ANACTRL_RINGO0_CTRL_E_NR0_MASK           (0x80U)\r
-#define ANACTRL_RINGO0_CTRL_E_NR0_SHIFT          (7U)\r
+#define ANACTRL_RINGO0_CTRL_E_ND1(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO0_CTRL_E_ND1_SHIFT)) & ANACTRL_RINGO0_CTRL_E_ND1_MASK)\r
+#define ANACTRL_RINGO0_CTRL_E_NR0_MASK (0x80U)\r
+#define ANACTRL_RINGO0_CTRL_E_NR0_SHIFT (7U)\r
 /*! E_NR0 - First NOR2-based ringo control.\r
  *  0b0..First NOR2-based ringo is disabled.\r
  *  0b1..First NOR2-based ringo is enabled.\r
  */\r
-#define ANACTRL_RINGO0_CTRL_E_NR0(x)             (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO0_CTRL_E_NR0_SHIFT)) & ANACTRL_RINGO0_CTRL_E_NR0_MASK)\r
-#define ANACTRL_RINGO0_CTRL_E_NR1_MASK           (0x100U)\r
-#define ANACTRL_RINGO0_CTRL_E_NR1_SHIFT          (8U)\r
+#define ANACTRL_RINGO0_CTRL_E_NR0(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO0_CTRL_E_NR0_SHIFT)) & ANACTRL_RINGO0_CTRL_E_NR0_MASK)\r
+#define ANACTRL_RINGO0_CTRL_E_NR1_MASK (0x100U)\r
+#define ANACTRL_RINGO0_CTRL_E_NR1_SHIFT (8U)\r
 /*! E_NR1 - Second NOR2-based ringo control.\r
  *  0b0..Second NORD2-based ringo is disabled.\r
  *  0b1..Second NORD2-based ringo is enabled.\r
  */\r
-#define ANACTRL_RINGO0_CTRL_E_NR1(x)             (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO0_CTRL_E_NR1_SHIFT)) & ANACTRL_RINGO0_CTRL_E_NR1_MASK)\r
-#define ANACTRL_RINGO0_CTRL_E_IV0_MASK           (0x200U)\r
-#define ANACTRL_RINGO0_CTRL_E_IV0_SHIFT          (9U)\r
+#define ANACTRL_RINGO0_CTRL_E_NR1(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO0_CTRL_E_NR1_SHIFT)) & ANACTRL_RINGO0_CTRL_E_NR1_MASK)\r
+#define ANACTRL_RINGO0_CTRL_E_IV0_MASK (0x200U)\r
+#define ANACTRL_RINGO0_CTRL_E_IV0_SHIFT (9U)\r
 /*! E_IV0 - First Inverter-based ringo control.\r
  *  0b0..First INV-based ringo is disabled.\r
  *  0b1..First INV-based ringo is enabled.\r
  */\r
-#define ANACTRL_RINGO0_CTRL_E_IV0(x)             (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO0_CTRL_E_IV0_SHIFT)) & ANACTRL_RINGO0_CTRL_E_IV0_MASK)\r
-#define ANACTRL_RINGO0_CTRL_E_IV1_MASK           (0x400U)\r
-#define ANACTRL_RINGO0_CTRL_E_IV1_SHIFT          (10U)\r
+#define ANACTRL_RINGO0_CTRL_E_IV0(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO0_CTRL_E_IV0_SHIFT)) & ANACTRL_RINGO0_CTRL_E_IV0_MASK)\r
+#define ANACTRL_RINGO0_CTRL_E_IV1_MASK (0x400U)\r
+#define ANACTRL_RINGO0_CTRL_E_IV1_SHIFT (10U)\r
 /*! E_IV1 - Second Inverter-based ringo control.\r
  *  0b0..Second INV-based ringo is disabled.\r
  *  0b1..Second INV-based ringo is enabled.\r
  */\r
-#define ANACTRL_RINGO0_CTRL_E_IV1(x)             (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO0_CTRL_E_IV1_SHIFT)) & ANACTRL_RINGO0_CTRL_E_IV1_MASK)\r
-#define ANACTRL_RINGO0_CTRL_E_PN0_MASK           (0x800U)\r
-#define ANACTRL_RINGO0_CTRL_E_PN0_SHIFT          (11U)\r
+#define ANACTRL_RINGO0_CTRL_E_IV1(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO0_CTRL_E_IV1_SHIFT)) & ANACTRL_RINGO0_CTRL_E_IV1_MASK)\r
+#define ANACTRL_RINGO0_CTRL_E_PN0_MASK (0x800U)\r
+#define ANACTRL_RINGO0_CTRL_E_PN0_SHIFT (11U)\r
 /*! E_PN0 - First PN (P-Transistor and N-Transistor processing) monitor control.\r
  *  0b0..First PN-based ringo is disabled.\r
  *  0b1..First PN-based ringo is enabled.\r
  */\r
-#define ANACTRL_RINGO0_CTRL_E_PN0(x)             (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO0_CTRL_E_PN0_SHIFT)) & ANACTRL_RINGO0_CTRL_E_PN0_MASK)\r
-#define ANACTRL_RINGO0_CTRL_E_PN1_MASK           (0x1000U)\r
-#define ANACTRL_RINGO0_CTRL_E_PN1_SHIFT          (12U)\r
+#define ANACTRL_RINGO0_CTRL_E_PN0(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO0_CTRL_E_PN0_SHIFT)) & ANACTRL_RINGO0_CTRL_E_PN0_MASK)\r
+#define ANACTRL_RINGO0_CTRL_E_PN1_MASK (0x1000U)\r
+#define ANACTRL_RINGO0_CTRL_E_PN1_SHIFT (12U)\r
 /*! E_PN1 - Second PN (P-Transistor and N-Transistor processing) monitor control.\r
  *  0b0..Second PN-based ringo is disabled.\r
  *  0b1..Second PN-based ringo is enabled.\r
  */\r
-#define ANACTRL_RINGO0_CTRL_E_PN1(x)             (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO0_CTRL_E_PN1_SHIFT)) & ANACTRL_RINGO0_CTRL_E_PN1_MASK)\r
-#define ANACTRL_RINGO0_CTRL_DIVISOR_MASK         (0xF0000U)\r
-#define ANACTRL_RINGO0_CTRL_DIVISOR_SHIFT        (16U)\r
-#define ANACTRL_RINGO0_CTRL_DIVISOR(x)           (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO0_CTRL_DIVISOR_SHIFT)) & ANACTRL_RINGO0_CTRL_DIVISOR_MASK)\r
-#define ANACTRL_RINGO0_CTRL_DIV_UPDATE_REQ_MASK  (0x80000000U)\r
+#define ANACTRL_RINGO0_CTRL_E_PN1(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO0_CTRL_E_PN1_SHIFT)) & ANACTRL_RINGO0_CTRL_E_PN1_MASK)\r
+#define ANACTRL_RINGO0_CTRL_DIVISOR_MASK (0xF0000U)\r
+#define ANACTRL_RINGO0_CTRL_DIVISOR_SHIFT (16U)\r
+/*! DIVISOR - Ringo out Clock divider value. Frequency Output = Frequency input / (DIViSOR+1). (minimum = Frequency\r
+ * input / 16)\r
+ */\r
+#define ANACTRL_RINGO0_CTRL_DIVISOR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO0_CTRL_DIVISOR_SHIFT)) & ANACTRL_RINGO0_CTRL_DIVISOR_MASK)\r
+#define ANACTRL_RINGO0_CTRL_DIV_UPDATE_REQ_MASK (0x80000000U)\r
 #define ANACTRL_RINGO0_CTRL_DIV_UPDATE_REQ_SHIFT (31U)\r
-#define ANACTRL_RINGO0_CTRL_DIV_UPDATE_REQ(x)    (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO0_CTRL_DIV_UPDATE_REQ_SHIFT)) & ANACTRL_RINGO0_CTRL_DIV_UPDATE_REQ_MASK)\r
+/*! DIV_UPDATE_REQ - Ringo clock out Divider status flag. Set when a change is made to the divider\r
+ *    value, cleared when the change is complete.\r
+ */\r
+#define ANACTRL_RINGO0_CTRL_DIV_UPDATE_REQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO0_CTRL_DIV_UPDATE_REQ_SHIFT)) & \\r
+     ANACTRL_RINGO0_CTRL_DIV_UPDATE_REQ_MASK)\r
 /*! @} */\r
 \r
 /*! @name RINGO1_CTRL - Second Ring Oscillator module control register. */\r
 /*! @{ */\r
-#define ANACTRL_RINGO1_CTRL_S_MASK               (0x1U)\r
-#define ANACTRL_RINGO1_CTRL_S_SHIFT              (0U)\r
+#define ANACTRL_RINGO1_CTRL_S_MASK (0x1U)\r
+#define ANACTRL_RINGO1_CTRL_S_SHIFT (0U)\r
 /*! S - Select short or long ringo (for all ringos types).\r
  *  0b0..Select short ringo (few elements).\r
  *  0b1..Select long ringo (many elements).\r
  */\r
-#define ANACTRL_RINGO1_CTRL_S(x)                 (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO1_CTRL_S_SHIFT)) & ANACTRL_RINGO1_CTRL_S_MASK)\r
-#define ANACTRL_RINGO1_CTRL_FS_MASK              (0x2U)\r
-#define ANACTRL_RINGO1_CTRL_FS_SHIFT             (1U)\r
+#define ANACTRL_RINGO1_CTRL_S(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO1_CTRL_S_SHIFT)) & ANACTRL_RINGO1_CTRL_S_MASK)\r
+#define ANACTRL_RINGO1_CTRL_FS_MASK (0x2U)\r
+#define ANACTRL_RINGO1_CTRL_FS_SHIFT (1U)\r
 /*! FS - Ringo frequency output divider.\r
  *  0b0..High frequency output (frequency lower than 100 MHz).\r
  *  0b1..Low frequency output (frequency lower than 10 MHz).\r
  */\r
-#define ANACTRL_RINGO1_CTRL_FS(x)                (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO1_CTRL_FS_SHIFT)) & ANACTRL_RINGO1_CTRL_FS_MASK)\r
-#define ANACTRL_RINGO1_CTRL_PD_MASK              (0x4U)\r
-#define ANACTRL_RINGO1_CTRL_PD_SHIFT             (2U)\r
+#define ANACTRL_RINGO1_CTRL_FS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO1_CTRL_FS_SHIFT)) & ANACTRL_RINGO1_CTRL_FS_MASK)\r
+#define ANACTRL_RINGO1_CTRL_PD_MASK (0x4U)\r
+#define ANACTRL_RINGO1_CTRL_PD_SHIFT (2U)\r
 /*! PD - Ringo module Power control.\r
  *  0b0..The Ringo module is enabled.\r
  *  0b1..The Ringo module is disabled.\r
  */\r
-#define ANACTRL_RINGO1_CTRL_PD(x)                (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO1_CTRL_PD_SHIFT)) & ANACTRL_RINGO1_CTRL_PD_MASK)\r
-#define ANACTRL_RINGO1_CTRL_E_R24_MASK           (0x8U)\r
-#define ANACTRL_RINGO1_CTRL_E_R24_SHIFT          (3U)\r
+#define ANACTRL_RINGO1_CTRL_PD(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO1_CTRL_PD_SHIFT)) & ANACTRL_RINGO1_CTRL_PD_MASK)\r
+#define ANACTRL_RINGO1_CTRL_E_R24_MASK (0x8U)\r
+#define ANACTRL_RINGO1_CTRL_E_R24_SHIFT (3U)\r
 /*! E_R24 - .\r
  *  0b0..Ringo is disabled.\r
  *  0b1..Ringo is enabled.\r
  */\r
-#define ANACTRL_RINGO1_CTRL_E_R24(x)             (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO1_CTRL_E_R24_SHIFT)) & ANACTRL_RINGO1_CTRL_E_R24_MASK)\r
-#define ANACTRL_RINGO1_CTRL_E_R35_MASK           (0x10U)\r
-#define ANACTRL_RINGO1_CTRL_E_R35_SHIFT          (4U)\r
+#define ANACTRL_RINGO1_CTRL_E_R24(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO1_CTRL_E_R24_SHIFT)) & ANACTRL_RINGO1_CTRL_E_R24_MASK)\r
+#define ANACTRL_RINGO1_CTRL_E_R35_MASK (0x10U)\r
+#define ANACTRL_RINGO1_CTRL_E_R35_SHIFT (4U)\r
 /*! E_R35 - .\r
  *  0b0..Ringo is disabled.\r
  *  0b1..Ringo is enabled.\r
  */\r
-#define ANACTRL_RINGO1_CTRL_E_R35(x)             (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO1_CTRL_E_R35_SHIFT)) & ANACTRL_RINGO1_CTRL_E_R35_MASK)\r
-#define ANACTRL_RINGO1_CTRL_E_M2_MASK            (0x20U)\r
-#define ANACTRL_RINGO1_CTRL_E_M2_SHIFT           (5U)\r
+#define ANACTRL_RINGO1_CTRL_E_R35(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO1_CTRL_E_R35_SHIFT)) & ANACTRL_RINGO1_CTRL_E_R35_MASK)\r
+#define ANACTRL_RINGO1_CTRL_E_M2_MASK (0x20U)\r
+#define ANACTRL_RINGO1_CTRL_E_M2_SHIFT (5U)\r
 /*! E_M2 - Metal 2 (M2) monitor control.\r
  *  0b0..Ringo is disabled.\r
  *  0b1..Ringo is enabled.\r
  */\r
-#define ANACTRL_RINGO1_CTRL_E_M2(x)              (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO1_CTRL_E_M2_SHIFT)) & ANACTRL_RINGO1_CTRL_E_M2_MASK)\r
-#define ANACTRL_RINGO1_CTRL_E_M3_MASK            (0x40U)\r
-#define ANACTRL_RINGO1_CTRL_E_M3_SHIFT           (6U)\r
+#define ANACTRL_RINGO1_CTRL_E_M2(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO1_CTRL_E_M2_SHIFT)) & ANACTRL_RINGO1_CTRL_E_M2_MASK)\r
+#define ANACTRL_RINGO1_CTRL_E_M3_MASK (0x40U)\r
+#define ANACTRL_RINGO1_CTRL_E_M3_SHIFT (6U)\r
 /*! E_M3 - Metal 3 (M3) monitor control.\r
  *  0b0..Ringo is disabled.\r
  *  0b1..Ringo is enabled.\r
  */\r
-#define ANACTRL_RINGO1_CTRL_E_M3(x)              (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO1_CTRL_E_M3_SHIFT)) & ANACTRL_RINGO1_CTRL_E_M3_MASK)\r
-#define ANACTRL_RINGO1_CTRL_E_M4_MASK            (0x80U)\r
-#define ANACTRL_RINGO1_CTRL_E_M4_SHIFT           (7U)\r
+#define ANACTRL_RINGO1_CTRL_E_M3(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO1_CTRL_E_M3_SHIFT)) & ANACTRL_RINGO1_CTRL_E_M3_MASK)\r
+#define ANACTRL_RINGO1_CTRL_E_M4_MASK (0x80U)\r
+#define ANACTRL_RINGO1_CTRL_E_M4_SHIFT (7U)\r
 /*! E_M4 - Metal 4 (M4) monitor control.\r
  *  0b0..Ringo is disabled.\r
  *  0b1..Ringo is enabled.\r
  */\r
-#define ANACTRL_RINGO1_CTRL_E_M4(x)              (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO1_CTRL_E_M4_SHIFT)) & ANACTRL_RINGO1_CTRL_E_M4_MASK)\r
-#define ANACTRL_RINGO1_CTRL_E_M5_MASK            (0x100U)\r
-#define ANACTRL_RINGO1_CTRL_E_M5_SHIFT           (8U)\r
+#define ANACTRL_RINGO1_CTRL_E_M4(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO1_CTRL_E_M4_SHIFT)) & ANACTRL_RINGO1_CTRL_E_M4_MASK)\r
+#define ANACTRL_RINGO1_CTRL_E_M5_MASK (0x100U)\r
+#define ANACTRL_RINGO1_CTRL_E_M5_SHIFT (8U)\r
 /*! E_M5 - Metal 5 (M5) monitor control.\r
  *  0b0..Ringo is disabled.\r
  *  0b1..Ringo is enabled.\r
  */\r
-#define ANACTRL_RINGO1_CTRL_E_M5(x)              (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO1_CTRL_E_M5_SHIFT)) & ANACTRL_RINGO1_CTRL_E_M5_MASK)\r
-#define ANACTRL_RINGO1_CTRL_DIVISOR_MASK         (0xF0000U)\r
-#define ANACTRL_RINGO1_CTRL_DIVISOR_SHIFT        (16U)\r
-#define ANACTRL_RINGO1_CTRL_DIVISOR(x)           (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO1_CTRL_DIVISOR_SHIFT)) & ANACTRL_RINGO1_CTRL_DIVISOR_MASK)\r
-#define ANACTRL_RINGO1_CTRL_DIV_UPDATE_REQ_MASK  (0x80000000U)\r
+#define ANACTRL_RINGO1_CTRL_E_M5(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO1_CTRL_E_M5_SHIFT)) & ANACTRL_RINGO1_CTRL_E_M5_MASK)\r
+#define ANACTRL_RINGO1_CTRL_DIVISOR_MASK (0xF0000U)\r
+#define ANACTRL_RINGO1_CTRL_DIVISOR_SHIFT (16U)\r
+/*! DIVISOR - Ringo out Clock divider value. Frequency Output = Frequency input / (DIViSOR+1). (minimum = Frequency\r
+ * input / 16)\r
+ */\r
+#define ANACTRL_RINGO1_CTRL_DIVISOR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO1_CTRL_DIVISOR_SHIFT)) & ANACTRL_RINGO1_CTRL_DIVISOR_MASK)\r
+#define ANACTRL_RINGO1_CTRL_DIV_UPDATE_REQ_MASK (0x80000000U)\r
 #define ANACTRL_RINGO1_CTRL_DIV_UPDATE_REQ_SHIFT (31U)\r
-#define ANACTRL_RINGO1_CTRL_DIV_UPDATE_REQ(x)    (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO1_CTRL_DIV_UPDATE_REQ_SHIFT)) & ANACTRL_RINGO1_CTRL_DIV_UPDATE_REQ_MASK)\r
+/*! DIV_UPDATE_REQ - Ringo clock out Divider status flag. Set when a change is made to the divider\r
+ *    value, cleared when the change is complete.\r
+ */\r
+#define ANACTRL_RINGO1_CTRL_DIV_UPDATE_REQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO1_CTRL_DIV_UPDATE_REQ_SHIFT)) & \\r
+     ANACTRL_RINGO1_CTRL_DIV_UPDATE_REQ_MASK)\r
 /*! @} */\r
 \r
 /*! @name RINGO2_CTRL - Third Ring Oscillator module control register. */\r
 /*! @{ */\r
-#define ANACTRL_RINGO2_CTRL_S_MASK               (0x1U)\r
-#define ANACTRL_RINGO2_CTRL_S_SHIFT              (0U)\r
+#define ANACTRL_RINGO2_CTRL_S_MASK (0x1U)\r
+#define ANACTRL_RINGO2_CTRL_S_SHIFT (0U)\r
 /*! S - Select short or long ringo (for all ringos types).\r
  *  0b0..Select short ringo (few elements).\r
  *  0b1..Select long ringo (many elements).\r
  */\r
-#define ANACTRL_RINGO2_CTRL_S(x)                 (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO2_CTRL_S_SHIFT)) & ANACTRL_RINGO2_CTRL_S_MASK)\r
-#define ANACTRL_RINGO2_CTRL_FS_MASK              (0x2U)\r
-#define ANACTRL_RINGO2_CTRL_FS_SHIFT             (1U)\r
+#define ANACTRL_RINGO2_CTRL_S(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO2_CTRL_S_SHIFT)) & ANACTRL_RINGO2_CTRL_S_MASK)\r
+#define ANACTRL_RINGO2_CTRL_FS_MASK (0x2U)\r
+#define ANACTRL_RINGO2_CTRL_FS_SHIFT (1U)\r
 /*! FS - Ringo frequency output divider.\r
  *  0b0..High frequency output (frequency lower than 100 MHz).\r
  *  0b1..Low frequency output (frequency lower than 10 MHz).\r
  */\r
-#define ANACTRL_RINGO2_CTRL_FS(x)                (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO2_CTRL_FS_SHIFT)) & ANACTRL_RINGO2_CTRL_FS_MASK)\r
-#define ANACTRL_RINGO2_CTRL_PD_MASK              (0x4U)\r
-#define ANACTRL_RINGO2_CTRL_PD_SHIFT             (2U)\r
+#define ANACTRL_RINGO2_CTRL_FS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO2_CTRL_FS_SHIFT)) & ANACTRL_RINGO2_CTRL_FS_MASK)\r
+#define ANACTRL_RINGO2_CTRL_PD_MASK (0x4U)\r
+#define ANACTRL_RINGO2_CTRL_PD_SHIFT (2U)\r
 /*! PD - Ringo module Power control.\r
  *  0b0..The Ringo module is enabled.\r
  *  0b1..The Ringo module is disabled.\r
  */\r
-#define ANACTRL_RINGO2_CTRL_PD(x)                (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO2_CTRL_PD_SHIFT)) & ANACTRL_RINGO2_CTRL_PD_MASK)\r
-#define ANACTRL_RINGO2_CTRL_E_R24_MASK           (0x8U)\r
-#define ANACTRL_RINGO2_CTRL_E_R24_SHIFT          (3U)\r
+#define ANACTRL_RINGO2_CTRL_PD(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO2_CTRL_PD_SHIFT)) & ANACTRL_RINGO2_CTRL_PD_MASK)\r
+#define ANACTRL_RINGO2_CTRL_E_R24_MASK (0x8U)\r
+#define ANACTRL_RINGO2_CTRL_E_R24_SHIFT (3U)\r
 /*! E_R24 - .\r
  *  0b0..Ringo is disabled.\r
  *  0b1..Ringo is enabled.\r
  */\r
-#define ANACTRL_RINGO2_CTRL_E_R24(x)             (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO2_CTRL_E_R24_SHIFT)) & ANACTRL_RINGO2_CTRL_E_R24_MASK)\r
-#define ANACTRL_RINGO2_CTRL_E_R35_MASK           (0x10U)\r
-#define ANACTRL_RINGO2_CTRL_E_R35_SHIFT          (4U)\r
+#define ANACTRL_RINGO2_CTRL_E_R24(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO2_CTRL_E_R24_SHIFT)) & ANACTRL_RINGO2_CTRL_E_R24_MASK)\r
+#define ANACTRL_RINGO2_CTRL_E_R35_MASK (0x10U)\r
+#define ANACTRL_RINGO2_CTRL_E_R35_SHIFT (4U)\r
 /*! E_R35 - .\r
  *  0b0..Ringo is disabled.\r
  *  0b1..Ringo is enabled.\r
  */\r
-#define ANACTRL_RINGO2_CTRL_E_R35(x)             (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO2_CTRL_E_R35_SHIFT)) & ANACTRL_RINGO2_CTRL_E_R35_MASK)\r
-#define ANACTRL_RINGO2_CTRL_E_M2_MASK            (0x20U)\r
-#define ANACTRL_RINGO2_CTRL_E_M2_SHIFT           (5U)\r
+#define ANACTRL_RINGO2_CTRL_E_R35(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO2_CTRL_E_R35_SHIFT)) & ANACTRL_RINGO2_CTRL_E_R35_MASK)\r
+#define ANACTRL_RINGO2_CTRL_E_M2_MASK (0x20U)\r
+#define ANACTRL_RINGO2_CTRL_E_M2_SHIFT (5U)\r
 /*! E_M2 - Metal 2 (M2) monitor control.\r
  *  0b0..Ringo is disabled.\r
  *  0b1..Ringo is enabled.\r
  */\r
-#define ANACTRL_RINGO2_CTRL_E_M2(x)              (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO2_CTRL_E_M2_SHIFT)) & ANACTRL_RINGO2_CTRL_E_M2_MASK)\r
-#define ANACTRL_RINGO2_CTRL_E_M3_MASK            (0x40U)\r
-#define ANACTRL_RINGO2_CTRL_E_M3_SHIFT           (6U)\r
+#define ANACTRL_RINGO2_CTRL_E_M2(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO2_CTRL_E_M2_SHIFT)) & ANACTRL_RINGO2_CTRL_E_M2_MASK)\r
+#define ANACTRL_RINGO2_CTRL_E_M3_MASK (0x40U)\r
+#define ANACTRL_RINGO2_CTRL_E_M3_SHIFT (6U)\r
 /*! E_M3 - Metal 3 (M3) monitor control.\r
  *  0b0..Ringo is disabled.\r
  *  0b1..Ringo is enabled.\r
  */\r
-#define ANACTRL_RINGO2_CTRL_E_M3(x)              (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO2_CTRL_E_M3_SHIFT)) & ANACTRL_RINGO2_CTRL_E_M3_MASK)\r
-#define ANACTRL_RINGO2_CTRL_E_M4_MASK            (0x80U)\r
-#define ANACTRL_RINGO2_CTRL_E_M4_SHIFT           (7U)\r
+#define ANACTRL_RINGO2_CTRL_E_M3(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO2_CTRL_E_M3_SHIFT)) & ANACTRL_RINGO2_CTRL_E_M3_MASK)\r
+#define ANACTRL_RINGO2_CTRL_E_M4_MASK (0x80U)\r
+#define ANACTRL_RINGO2_CTRL_E_M4_SHIFT (7U)\r
 /*! E_M4 - Metal 4 (M4) monitor control.\r
  *  0b0..Ringo is disabled.\r
  *  0b1..Ringo is enabled.\r
  */\r
-#define ANACTRL_RINGO2_CTRL_E_M4(x)              (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO2_CTRL_E_M4_SHIFT)) & ANACTRL_RINGO2_CTRL_E_M4_MASK)\r
-#define ANACTRL_RINGO2_CTRL_E_M5_MASK            (0x100U)\r
-#define ANACTRL_RINGO2_CTRL_E_M5_SHIFT           (8U)\r
+#define ANACTRL_RINGO2_CTRL_E_M4(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO2_CTRL_E_M4_SHIFT)) & ANACTRL_RINGO2_CTRL_E_M4_MASK)\r
+#define ANACTRL_RINGO2_CTRL_E_M5_MASK (0x100U)\r
+#define ANACTRL_RINGO2_CTRL_E_M5_SHIFT (8U)\r
 /*! E_M5 - Metal 5 (M5) monitor control.\r
  *  0b0..Ringo is disabled.\r
  *  0b1..Ringo is enabled.\r
  */\r
-#define ANACTRL_RINGO2_CTRL_E_M5(x)              (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO2_CTRL_E_M5_SHIFT)) & ANACTRL_RINGO2_CTRL_E_M5_MASK)\r
-#define ANACTRL_RINGO2_CTRL_DIVISOR_MASK         (0xF0000U)\r
-#define ANACTRL_RINGO2_CTRL_DIVISOR_SHIFT        (16U)\r
-#define ANACTRL_RINGO2_CTRL_DIVISOR(x)           (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO2_CTRL_DIVISOR_SHIFT)) & ANACTRL_RINGO2_CTRL_DIVISOR_MASK)\r
-#define ANACTRL_RINGO2_CTRL_DIV_UPDATE_REQ_MASK  (0x80000000U)\r
+#define ANACTRL_RINGO2_CTRL_E_M5(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO2_CTRL_E_M5_SHIFT)) & ANACTRL_RINGO2_CTRL_E_M5_MASK)\r
+#define ANACTRL_RINGO2_CTRL_DIVISOR_MASK (0xF0000U)\r
+#define ANACTRL_RINGO2_CTRL_DIVISOR_SHIFT (16U)\r
+/*! DIVISOR - Ringo out Clock divider value. Frequency Output = Frequency input / (DIViSOR+1). (minimum = Frequency\r
+ * input / 16)\r
+ */\r
+#define ANACTRL_RINGO2_CTRL_DIVISOR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO2_CTRL_DIVISOR_SHIFT)) & ANACTRL_RINGO2_CTRL_DIVISOR_MASK)\r
+#define ANACTRL_RINGO2_CTRL_DIV_UPDATE_REQ_MASK (0x80000000U)\r
 #define ANACTRL_RINGO2_CTRL_DIV_UPDATE_REQ_SHIFT (31U)\r
-#define ANACTRL_RINGO2_CTRL_DIV_UPDATE_REQ(x)    (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO2_CTRL_DIV_UPDATE_REQ_SHIFT)) & ANACTRL_RINGO2_CTRL_DIV_UPDATE_REQ_MASK)\r
-/*! @} */\r
-\r
-/*! @name LDO_XO32M - High Speed Crystal Oscillator (12 MHz - 32 MHz) Voltage Source Supply Control register */\r
-/*! @{ */\r
-#define ANACTRL_LDO_XO32M_BYPASS_MASK            (0x2U)\r
-#define ANACTRL_LDO_XO32M_BYPASS_SHIFT           (1U)\r
-/*! BYPASS - Activate LDO bypass.\r
- *  0b0..Disable bypass mode (for normal operations).\r
- *  0b1..Activate LDO bypass.\r
- */\r
-#define ANACTRL_LDO_XO32M_BYPASS(x)              (((uint32_t)(((uint32_t)(x)) << ANACTRL_LDO_XO32M_BYPASS_SHIFT)) & ANACTRL_LDO_XO32M_BYPASS_MASK)\r
-#define ANACTRL_LDO_XO32M_HIGHZ_MASK             (0x4U)\r
-#define ANACTRL_LDO_XO32M_HIGHZ_SHIFT            (2U)\r
-/*! HIGHZ - .\r
- *  0b0..Output in High normal state.\r
- *  0b1..Output in High Impedance state.\r
- */\r
-#define ANACTRL_LDO_XO32M_HIGHZ(x)               (((uint32_t)(((uint32_t)(x)) << ANACTRL_LDO_XO32M_HIGHZ_SHIFT)) & ANACTRL_LDO_XO32M_HIGHZ_MASK)\r
-#define ANACTRL_LDO_XO32M_VOUT_MASK              (0x38U)\r
-#define ANACTRL_LDO_XO32M_VOUT_SHIFT             (3U)\r
-/*! VOUT - Sets the LDO output level.\r
- *  0b000..0.750 V.\r
- *  0b001..0.775 V.\r
- *  0b010..0.800 V.\r
- *  0b011..0.825 V.\r
- *  0b100..0.850 V.\r
- *  0b101..0.875 V.\r
- *  0b110..0.900 V.\r
- *  0b111..0.925 V.\r
- */\r
-#define ANACTRL_LDO_XO32M_VOUT(x)                (((uint32_t)(((uint32_t)(x)) << ANACTRL_LDO_XO32M_VOUT_SHIFT)) & ANACTRL_LDO_XO32M_VOUT_MASK)\r
-#define ANACTRL_LDO_XO32M_IBIAS_MASK             (0xC0U)\r
-#define ANACTRL_LDO_XO32M_IBIAS_SHIFT            (6U)\r
-#define ANACTRL_LDO_XO32M_IBIAS(x)               (((uint32_t)(((uint32_t)(x)) << ANACTRL_LDO_XO32M_IBIAS_SHIFT)) & ANACTRL_LDO_XO32M_IBIAS_MASK)\r
-#define ANACTRL_LDO_XO32M_STABMODE_MASK          (0x300U)\r
-#define ANACTRL_LDO_XO32M_STABMODE_SHIFT         (8U)\r
-#define ANACTRL_LDO_XO32M_STABMODE(x)            (((uint32_t)(((uint32_t)(x)) << ANACTRL_LDO_XO32M_STABMODE_SHIFT)) & ANACTRL_LDO_XO32M_STABMODE_MASK)\r
-/*! @} */\r
-\r
-/*! @name XO_CAL_CFG - All Crystal Oscillators (both the 32 KHz and the High speed) Capacitive Banks Calibration Configuration register */\r
-/*! @{ */\r
-#define ANACTRL_XO_CAL_CFG_START_INV_MASK        (0x1U)\r
-#define ANACTRL_XO_CAL_CFG_START_INV_SHIFT       (0U)\r
-#define ANACTRL_XO_CAL_CFG_START_INV(x)          (((uint32_t)(((uint32_t)(x)) << ANACTRL_XO_CAL_CFG_START_INV_SHIFT)) & ANACTRL_XO_CAL_CFG_START_INV_MASK)\r
-#define ANACTRL_XO_CAL_CFG_START_OVR_MASK        (0x2U)\r
-#define ANACTRL_XO_CAL_CFG_START_OVR_SHIFT       (1U)\r
-#define ANACTRL_XO_CAL_CFG_START_OVR(x)          (((uint32_t)(((uint32_t)(x)) << ANACTRL_XO_CAL_CFG_START_OVR_SHIFT)) & ANACTRL_XO_CAL_CFG_START_OVR_MASK)\r
-#define ANACTRL_XO_CAL_CFG_START_MASK            (0x4U)\r
-#define ANACTRL_XO_CAL_CFG_START_SHIFT           (2U)\r
-#define ANACTRL_XO_CAL_CFG_START(x)              (((uint32_t)(((uint32_t)(x)) << ANACTRL_XO_CAL_CFG_START_SHIFT)) & ANACTRL_XO_CAL_CFG_START_MASK)\r
-#define ANACTRL_XO_CAL_CFG_STOP_INV_MASK         (0x8U)\r
-#define ANACTRL_XO_CAL_CFG_STOP_INV_SHIFT        (3U)\r
-#define ANACTRL_XO_CAL_CFG_STOP_INV(x)           (((uint32_t)(((uint32_t)(x)) << ANACTRL_XO_CAL_CFG_STOP_INV_SHIFT)) & ANACTRL_XO_CAL_CFG_STOP_INV_MASK)\r
-#define ANACTRL_XO_CAL_CFG_STOP_CNTR_END_MASK    (0x10U)\r
-#define ANACTRL_XO_CAL_CFG_STOP_CNTR_END_SHIFT   (4U)\r
-#define ANACTRL_XO_CAL_CFG_STOP_CNTR_END(x)      (((uint32_t)(((uint32_t)(x)) << ANACTRL_XO_CAL_CFG_STOP_CNTR_END_SHIFT)) & ANACTRL_XO_CAL_CFG_STOP_CNTR_END_MASK)\r
-#define ANACTRL_XO_CAL_CFG_XO32K_MODE_MASK       (0x20U)\r
-#define ANACTRL_XO_CAL_CFG_XO32K_MODE_SHIFT      (5U)\r
-/*! XO32K_MODE - When 0 : High speed crystal oscillator calibration is used. When 1 : 32 kHz crystal oscillator calibration is used.\r
- *  0b0..High speed crystal oscillator (12 MHz- 32 MHz) is used\r
- *  0b1..32 kHz crystal oscillator calibration is used.\r
- */\r
-#define ANACTRL_XO_CAL_CFG_XO32K_MODE(x)         (((uint32_t)(((uint32_t)(x)) << ANACTRL_XO_CAL_CFG_XO32K_MODE_SHIFT)) & ANACTRL_XO_CAL_CFG_XO32K_MODE_MASK)\r
-/*! @} */\r
-\r
-/*! @name XO_CAL_CMD - All Crystal Oscillators (both the 32 KHz and the High Speed) Capacitive Banks Calibration Command register. */\r
-/*! @{ */\r
-#define ANACTRL_XO_CAL_CMD_START_MASK            (0x1U)\r
-#define ANACTRL_XO_CAL_CMD_START_SHIFT           (0U)\r
-#define ANACTRL_XO_CAL_CMD_START(x)              (((uint32_t)(((uint32_t)(x)) << ANACTRL_XO_CAL_CMD_START_SHIFT)) & ANACTRL_XO_CAL_CMD_START_MASK)\r
-#define ANACTRL_XO_CAL_CMD_STOP_MASK             (0x2U)\r
-#define ANACTRL_XO_CAL_CMD_STOP_SHIFT            (1U)\r
-#define ANACTRL_XO_CAL_CMD_STOP(x)               (((uint32_t)(((uint32_t)(x)) << ANACTRL_XO_CAL_CMD_STOP_SHIFT)) & ANACTRL_XO_CAL_CMD_STOP_MASK)\r
-#define ANACTRL_XO_CAL_CMD_OVR_MASK              (0x4U)\r
-#define ANACTRL_XO_CAL_CMD_OVR_SHIFT             (2U)\r
-#define ANACTRL_XO_CAL_CMD_OVR(x)                (((uint32_t)(((uint32_t)(x)) << ANACTRL_XO_CAL_CMD_OVR_SHIFT)) & ANACTRL_XO_CAL_CMD_OVR_MASK)\r
-/*! @} */\r
-\r
-/*! @name XO_CAL_STATUS - All Crystal Oscillators (both the 32 KHz and the High speed) Capacitive Banks Calibration Status register. */\r
-/*! @{ */\r
-#define ANACTRL_XO_CAL_STATUS_CAL_CNTR_MASK      (0xFFFFU)\r
-#define ANACTRL_XO_CAL_STATUS_CAL_CNTR_SHIFT     (0U)\r
-#define ANACTRL_XO_CAL_STATUS_CAL_CNTR(x)        (((uint32_t)(((uint32_t)(x)) << ANACTRL_XO_CAL_STATUS_CAL_CNTR_SHIFT)) & ANACTRL_XO_CAL_STATUS_CAL_CNTR_MASK)\r
-#define ANACTRL_XO_CAL_STATUS_DONE_MASK          (0x10000U)\r
-#define ANACTRL_XO_CAL_STATUS_DONE_SHIFT         (16U)\r
-#define ANACTRL_XO_CAL_STATUS_DONE(x)            (((uint32_t)(((uint32_t)(x)) << ANACTRL_XO_CAL_STATUS_DONE_SHIFT)) & ANACTRL_XO_CAL_STATUS_DONE_MASK)\r
+/*! DIV_UPDATE_REQ - Ringo clock out Divider status flag. Set when a change is made to the divider\r
+ *    value, cleared when the change is complete.\r
+ */\r
+#define ANACTRL_RINGO2_CTRL_DIV_UPDATE_REQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_RINGO2_CTRL_DIV_UPDATE_REQ_SHIFT)) & \\r
+     ANACTRL_RINGO2_CTRL_DIV_UPDATE_REQ_MASK)\r
 /*! @} */\r
 \r
 /*! @name USBHS_PHY_CTRL - USB High Speed Phy Control */\r
 /*! @{ */\r
 #define ANACTRL_USBHS_PHY_CTRL_usb_vbusvalid_ext_MASK (0x1U)\r
 #define ANACTRL_USBHS_PHY_CTRL_usb_vbusvalid_ext_SHIFT (0U)\r
-#define ANACTRL_USBHS_PHY_CTRL_usb_vbusvalid_ext(x) (((uint32_t)(((uint32_t)(x)) << ANACTRL_USBHS_PHY_CTRL_usb_vbusvalid_ext_SHIFT)) & ANACTRL_USBHS_PHY_CTRL_usb_vbusvalid_ext_MASK)\r
-#define ANACTRL_USBHS_PHY_CTRL_usb_id_ext_MASK   (0x2U)\r
-#define ANACTRL_USBHS_PHY_CTRL_usb_id_ext_SHIFT  (1U)\r
-#define ANACTRL_USBHS_PHY_CTRL_usb_id_ext(x)     (((uint32_t)(((uint32_t)(x)) << ANACTRL_USBHS_PHY_CTRL_usb_id_ext_SHIFT)) & ANACTRL_USBHS_PHY_CTRL_usb_id_ext_MASK)\r
-#define ANACTRL_USBHS_PHY_CTRL_iso_atx_MASK      (0x8U)\r
-#define ANACTRL_USBHS_PHY_CTRL_iso_atx_SHIFT     (3U)\r
-#define ANACTRL_USBHS_PHY_CTRL_iso_atx(x)        (((uint32_t)(((uint32_t)(x)) << ANACTRL_USBHS_PHY_CTRL_iso_atx_SHIFT)) & ANACTRL_USBHS_PHY_CTRL_iso_atx_MASK)\r
-/*! @} */\r
-\r
-/*! @name USBHS_PHY_TRIM - USB High Speed Phy Trim values */\r
-/*! @{ */\r
-#define ANACTRL_USBHS_PHY_TRIM_trim_usb_reg_env_tail_adj_vd_MASK (0x3U)\r
-#define ANACTRL_USBHS_PHY_TRIM_trim_usb_reg_env_tail_adj_vd_SHIFT (0U)\r
-#define ANACTRL_USBHS_PHY_TRIM_trim_usb_reg_env_tail_adj_vd(x) (((uint32_t)(((uint32_t)(x)) << ANACTRL_USBHS_PHY_TRIM_trim_usb_reg_env_tail_adj_vd_SHIFT)) & ANACTRL_USBHS_PHY_TRIM_trim_usb_reg_env_tail_adj_vd_MASK)\r
-#define ANACTRL_USBHS_PHY_TRIM_trim_usbphy_tx_d_cal_MASK (0x3CU)\r
-#define ANACTRL_USBHS_PHY_TRIM_trim_usbphy_tx_d_cal_SHIFT (2U)\r
-#define ANACTRL_USBHS_PHY_TRIM_trim_usbphy_tx_d_cal(x) (((uint32_t)(((uint32_t)(x)) << ANACTRL_USBHS_PHY_TRIM_trim_usbphy_tx_d_cal_SHIFT)) & ANACTRL_USBHS_PHY_TRIM_trim_usbphy_tx_d_cal_MASK)\r
-#define ANACTRL_USBHS_PHY_TRIM_trim_usbphy_tx_cal45dp_MASK (0x7C0U)\r
-#define ANACTRL_USBHS_PHY_TRIM_trim_usbphy_tx_cal45dp_SHIFT (6U)\r
-#define ANACTRL_USBHS_PHY_TRIM_trim_usbphy_tx_cal45dp(x) (((uint32_t)(((uint32_t)(x)) << ANACTRL_USBHS_PHY_TRIM_trim_usbphy_tx_cal45dp_SHIFT)) & ANACTRL_USBHS_PHY_TRIM_trim_usbphy_tx_cal45dp_MASK)\r
-#define ANACTRL_USBHS_PHY_TRIM_trim_usbphy_tx_cal45dm_MASK (0xF800U)\r
-#define ANACTRL_USBHS_PHY_TRIM_trim_usbphy_tx_cal45dm_SHIFT (11U)\r
-#define ANACTRL_USBHS_PHY_TRIM_trim_usbphy_tx_cal45dm(x) (((uint32_t)(((uint32_t)(x)) << ANACTRL_USBHS_PHY_TRIM_trim_usbphy_tx_cal45dm_SHIFT)) & ANACTRL_USBHS_PHY_TRIM_trim_usbphy_tx_cal45dm_MASK)\r
-#define ANACTRL_USBHS_PHY_TRIM_trim_usb2_refbias_tst_MASK (0x30000U)\r
-#define ANACTRL_USBHS_PHY_TRIM_trim_usb2_refbias_tst_SHIFT (16U)\r
-#define ANACTRL_USBHS_PHY_TRIM_trim_usb2_refbias_tst(x) (((uint32_t)(((uint32_t)(x)) << ANACTRL_USBHS_PHY_TRIM_trim_usb2_refbias_tst_SHIFT)) & ANACTRL_USBHS_PHY_TRIM_trim_usb2_refbias_tst_MASK)\r
-#define ANACTRL_USBHS_PHY_TRIM_trim_usb2_refbias_vbgadj_MASK (0x1C0000U)\r
-#define ANACTRL_USBHS_PHY_TRIM_trim_usb2_refbias_vbgadj_SHIFT (18U)\r
-#define ANACTRL_USBHS_PHY_TRIM_trim_usb2_refbias_vbgadj(x) (((uint32_t)(((uint32_t)(x)) << ANACTRL_USBHS_PHY_TRIM_trim_usb2_refbias_vbgadj_SHIFT)) & ANACTRL_USBHS_PHY_TRIM_trim_usb2_refbias_vbgadj_MASK)\r
-#define ANACTRL_USBHS_PHY_TRIM_trim_pll_ctrl0_div_sel_MASK (0xE00000U)\r
-#define ANACTRL_USBHS_PHY_TRIM_trim_pll_ctrl0_div_sel_SHIFT (21U)\r
-#define ANACTRL_USBHS_PHY_TRIM_trim_pll_ctrl0_div_sel(x) (((uint32_t)(((uint32_t)(x)) << ANACTRL_USBHS_PHY_TRIM_trim_pll_ctrl0_div_sel_SHIFT)) & ANACTRL_USBHS_PHY_TRIM_trim_pll_ctrl0_div_sel_MASK)\r
-/*! @} */\r
-\r
-/*! @name USBHS_PHY_STATUS - USB High Speed Phy Status */\r
-/*! @{ */\r
-#define ANACTRL_USBHS_PHY_STATUS_pfd_stable_MASK (0x1U)\r
-#define ANACTRL_USBHS_PHY_STATUS_pfd_stable_SHIFT (0U)\r
-#define ANACTRL_USBHS_PHY_STATUS_pfd_stable(x)   (((uint32_t)(((uint32_t)(x)) << ANACTRL_USBHS_PHY_STATUS_pfd_stable_SHIFT)) & ANACTRL_USBHS_PHY_STATUS_pfd_stable_MASK)\r
-#define ANACTRL_USBHS_PHY_STATUS_vbusvalid_3vdetect_1p8v_MASK (0x2U)\r
-#define ANACTRL_USBHS_PHY_STATUS_vbusvalid_3vdetect_1p8v_SHIFT (1U)\r
-#define ANACTRL_USBHS_PHY_STATUS_vbusvalid_3vdetect_1p8v(x) (((uint32_t)(((uint32_t)(x)) << ANACTRL_USBHS_PHY_STATUS_vbusvalid_3vdetect_1p8v_SHIFT)) & ANACTRL_USBHS_PHY_STATUS_vbusvalid_3vdetect_1p8v_MASK)\r
-#define ANACTRL_USBHS_PHY_STATUS_sess_vld_1p8v_MASK (0x4U)\r
-#define ANACTRL_USBHS_PHY_STATUS_sess_vld_1p8v_SHIFT (2U)\r
-#define ANACTRL_USBHS_PHY_STATUS_sess_vld_1p8v(x) (((uint32_t)(((uint32_t)(x)) << ANACTRL_USBHS_PHY_STATUS_sess_vld_1p8v_SHIFT)) & ANACTRL_USBHS_PHY_STATUS_sess_vld_1p8v_MASK)\r
-#define ANACTRL_USBHS_PHY_STATUS_usb2_rx_vpin_fs_1p8v_MASK (0x8U)\r
-#define ANACTRL_USBHS_PHY_STATUS_usb2_rx_vpin_fs_1p8v_SHIFT (3U)\r
-#define ANACTRL_USBHS_PHY_STATUS_usb2_rx_vpin_fs_1p8v(x) (((uint32_t)(((uint32_t)(x)) << ANACTRL_USBHS_PHY_STATUS_usb2_rx_vpin_fs_1p8v_SHIFT)) & ANACTRL_USBHS_PHY_STATUS_usb2_rx_vpin_fs_1p8v_MASK)\r
-#define ANACTRL_USBHS_PHY_STATUS_usb2_rx_vmin_fs_1p8v_MASK (0x10U)\r
-#define ANACTRL_USBHS_PHY_STATUS_usb2_rx_vmin_fs_1p8v_SHIFT (4U)\r
-#define ANACTRL_USBHS_PHY_STATUS_usb2_rx_vmin_fs_1p8v(x) (((uint32_t)(((uint32_t)(x)) << ANACTRL_USBHS_PHY_STATUS_usb2_rx_vmin_fs_1p8v_SHIFT)) & ANACTRL_USBHS_PHY_STATUS_usb2_rx_vmin_fs_1p8v_MASK)\r
-#define ANACTRL_USBHS_PHY_STATUS_usb2_plugged_in_1p8v_MASK (0x20U)\r
-#define ANACTRL_USBHS_PHY_STATUS_usb2_plugged_in_1p8v_SHIFT (5U)\r
-#define ANACTRL_USBHS_PHY_STATUS_usb2_plugged_in_1p8v(x) (((uint32_t)(((uint32_t)(x)) << ANACTRL_USBHS_PHY_STATUS_usb2_plugged_in_1p8v_SHIFT)) & ANACTRL_USBHS_PHY_STATUS_usb2_plugged_in_1p8v_MASK)\r
-#define ANACTRL_USBHS_PHY_STATUS_usb2_iddig_1p8v_MASK (0x40U)\r
-#define ANACTRL_USBHS_PHY_STATUS_usb2_iddig_1p8v_SHIFT (6U)\r
-#define ANACTRL_USBHS_PHY_STATUS_usb2_iddig_1p8v(x) (((uint32_t)(((uint32_t)(x)) << ANACTRL_USBHS_PHY_STATUS_usb2_iddig_1p8v_SHIFT)) & ANACTRL_USBHS_PHY_STATUS_usb2_iddig_1p8v_MASK)\r
+/*! usb_vbusvalid_ext - Override value for Vbus if using external detectors.\r
+ */\r
+#define ANACTRL_USBHS_PHY_CTRL_usb_vbusvalid_ext(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_USBHS_PHY_CTRL_usb_vbusvalid_ext_SHIFT)) & \\r
+     ANACTRL_USBHS_PHY_CTRL_usb_vbusvalid_ext_MASK)\r
+#define ANACTRL_USBHS_PHY_CTRL_usb_id_ext_MASK (0x2U)\r
+#define ANACTRL_USBHS_PHY_CTRL_usb_id_ext_SHIFT (1U)\r
+/*! usb_id_ext - Override value for ID if using external detectors.\r
+ */\r
+#define ANACTRL_USBHS_PHY_CTRL_usb_id_ext(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << ANACTRL_USBHS_PHY_CTRL_usb_id_ext_SHIFT)) & ANACTRL_USBHS_PHY_CTRL_usb_id_ext_MASK)\r
 /*! @} */\r
 \r
-\r
 /*!\r
  * @}\r
  */ /* end of group ANACTRL_Register_Masks */\r
 \r
-\r
 /* ANACTRL - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral ANACTRL base address */\r
-  #define ANACTRL_BASE                             (0x50013000u)\r
-  /** Peripheral ANACTRL base address */\r
-  #define ANACTRL_BASE_NS                          (0x40013000u)\r
-  /** Peripheral ANACTRL base pointer */\r
-  #define ANACTRL                                  ((ANACTRL_Type *)ANACTRL_BASE)\r
-  /** Peripheral ANACTRL base pointer */\r
-  #define ANACTRL_NS                               ((ANACTRL_Type *)ANACTRL_BASE_NS)\r
-  /** Array initializer of ANACTRL peripheral base addresses */\r
-  #define ANACTRL_BASE_ADDRS                       { ANACTRL_BASE }\r
-  /** Array initializer of ANACTRL peripheral base pointers */\r
-  #define ANACTRL_BASE_PTRS                        { ANACTRL }\r
-  /** Array initializer of ANACTRL peripheral base addresses */\r
-  #define ANACTRL_BASE_ADDRS_NS                    { ANACTRL_BASE_NS }\r
-  /** Array initializer of ANACTRL peripheral base pointers */\r
-  #define ANACTRL_BASE_PTRS_NS                     { ANACTRL_NS }\r
+/** Peripheral ANACTRL base address */\r
+#define ANACTRL_BASE (0x50013000u)\r
+/** Peripheral ANACTRL base address */\r
+#define ANACTRL_BASE_NS (0x40013000u)\r
+/** Peripheral ANACTRL base pointer */\r
+#define ANACTRL ((ANACTRL_Type *)ANACTRL_BASE)\r
+/** Peripheral ANACTRL base pointer */\r
+#define ANACTRL_NS ((ANACTRL_Type *)ANACTRL_BASE_NS)\r
+/** Array initializer of ANACTRL peripheral base addresses */\r
+#define ANACTRL_BASE_ADDRS \\r
+    {                      \\r
+        ANACTRL_BASE       \\r
+    }\r
+/** Array initializer of ANACTRL peripheral base pointers */\r
+#define ANACTRL_BASE_PTRS \\r
+    {                     \\r
+        ANACTRL           \\r
+    }\r
+/** Array initializer of ANACTRL peripheral base addresses */\r
+#define ANACTRL_BASE_ADDRS_NS \\r
+    {                         \\r
+        ANACTRL_BASE_NS       \\r
+    }\r
+/** Array initializer of ANACTRL peripheral base pointers */\r
+#define ANACTRL_BASE_PTRS_NS \\r
+    {                        \\r
+        ANACTRL_NS           \\r
+    }\r
 #else\r
-  /** Peripheral ANACTRL base address */\r
-  #define ANACTRL_BASE                             (0x40013000u)\r
-  /** Peripheral ANACTRL base pointer */\r
-  #define ANACTRL                                  ((ANACTRL_Type *)ANACTRL_BASE)\r
-  /** Array initializer of ANACTRL peripheral base addresses */\r
-  #define ANACTRL_BASE_ADDRS                       { ANACTRL_BASE }\r
-  /** Array initializer of ANACTRL peripheral base pointers */\r
-  #define ANACTRL_BASE_PTRS                        { ANACTRL }\r
+/** Peripheral ANACTRL base address */\r
+#define ANACTRL_BASE (0x40013000u)\r
+/** Peripheral ANACTRL base pointer */\r
+#define ANACTRL ((ANACTRL_Type *)ANACTRL_BASE)\r
+/** Array initializer of ANACTRL peripheral base addresses */\r
+#define ANACTRL_BASE_ADDRS \\r
+    {                      \\r
+        ANACTRL_BASE       \\r
+    }\r
+/** Array initializer of ANACTRL peripheral base pointers */\r
+#define ANACTRL_BASE_PTRS \\r
+    {                     \\r
+        ANACTRL           \\r
+    }\r
 #endif\r
 \r
 /*!\r
  * @}\r
  */ /* end of group ANACTRL_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- CASPER Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -4465,28 +5762,32 @@ typedef struct {
  */\r
 \r
 /** CASPER - Register Layout Typedef */\r
-typedef struct {\r
-  __IO uint32_t CTRL0;                             /**< Contains the offsets of AB and CD in the RAM., offset: 0x0 */\r
-  __IO uint32_t CTRL1;                             /**< Contains the opcode mode, iteration count, and result offset (in RAM) and also launches the accelerator. Note: with CP version: CTRL0 and CRTL1 can be written in one go with MCRR., offset: 0x4 */\r
-  __IO uint32_t LOADER;                            /**< Contains an optional loader to load into CTRL0/1 in steps to perform a set of operations., offset: 0x8 */\r
-  __IO uint32_t STATUS;                            /**< Indicates operational status and would contain the carry bit if used., offset: 0xC */\r
-  __IO uint32_t INTENSET;                          /**< Sets interrupts, offset: 0x10 */\r
-  __IO uint32_t INTENCLR;                          /**< Clears interrupts, offset: 0x14 */\r
-  __I  uint32_t INTSTAT;                           /**< Interrupt status bits (mask of INTENSET and STATUS), offset: 0x18 */\r
-       uint8_t RESERVED_0[4];\r
-  __IO uint32_t AREG;                              /**< A register, offset: 0x20 */\r
-  __IO uint32_t BREG;                              /**< B register, offset: 0x24 */\r
-  __IO uint32_t CREG;                              /**< C register, offset: 0x28 */\r
-  __IO uint32_t DREG;                              /**< D register, offset: 0x2C */\r
-  __IO uint32_t RES0;                              /**< Result register 0, offset: 0x30 */\r
-  __IO uint32_t RES1;                              /**< Result register 1, offset: 0x34 */\r
-  __IO uint32_t RES2;                              /**< Result register 2, offset: 0x38 */\r
-  __IO uint32_t RES3;                              /**< Result register 3, offset: 0x3C */\r
-       uint8_t RESERVED_1[32];\r
-  __IO uint32_t MASK;                              /**< Optional mask register, offset: 0x60 */\r
-  __IO uint32_t REMASK;                            /**< Optional re-mask register, offset: 0x64 */\r
-       uint8_t RESERVED_2[24];\r
-  __IO uint32_t LOCK;                              /**< Security lock register, offset: 0x80 */\r
+typedef struct\r
+{\r
+    __IO uint32_t CTRL0;    /**< Contains the offsets of AB and CD in the RAM., offset: 0x0 */\r
+    __IO uint32_t CTRL1;    /**< Contains the opcode mode, iteration count, and result offset (in RAM) and also launches\r
+                               the accelerator. Note: with CP version: CTRL0 and CRTL1 can be written in one go with MCRR.,\r
+                               offset: 0x4 */\r
+    __IO uint32_t LOADER;   /**< Contains an optional loader to load into CTRL0/1 in steps to perform a set of\r
+                               operations., offset: 0x8 */\r
+    __IO uint32_t STATUS;   /**< Indicates operational status and would contain the carry bit if used., offset: 0xC */\r
+    __IO uint32_t INTENSET; /**< Sets interrupts, offset: 0x10 */\r
+    __IO uint32_t INTENCLR; /**< Clears interrupts, offset: 0x14 */\r
+    __I uint32_t INTSTAT;   /**< Interrupt status bits (mask of INTENSET and STATUS), offset: 0x18 */\r
+    uint8_t RESERVED_0[4];\r
+    __IO uint32_t AREG; /**< A register, offset: 0x20 */\r
+    __IO uint32_t BREG; /**< B register, offset: 0x24 */\r
+    __IO uint32_t CREG; /**< C register, offset: 0x28 */\r
+    __IO uint32_t DREG; /**< D register, offset: 0x2C */\r
+    __IO uint32_t RES0; /**< Result register 0, offset: 0x30 */\r
+    __IO uint32_t RES1; /**< Result register 1, offset: 0x34 */\r
+    __IO uint32_t RES2; /**< Result register 2, offset: 0x38 */\r
+    __IO uint32_t RES3; /**< Result register 3, offset: 0x3C */\r
+    uint8_t RESERVED_1[32];\r
+    __IO uint32_t MASK;   /**< Optional mask register, offset: 0x60 */\r
+    __IO uint32_t REMASK; /**< Optional re-mask register, offset: 0x64 */\r
+    uint8_t RESERVED_2[24];\r
+    __IO uint32_t LOCK; /**< Security lock register, offset: 0x80 */\r
 } CASPER_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -4500,259 +5801,337 @@ typedef struct {
 \r
 /*! @name CTRL0 - Contains the offsets of AB and CD in the RAM. */\r
 /*! @{ */\r
-#define CASPER_CTRL0_ABBPAIR_MASK                (0x1U)\r
-#define CASPER_CTRL0_ABBPAIR_SHIFT               (0U)\r
+#define CASPER_CTRL0_ABBPAIR_MASK (0x1U)\r
+#define CASPER_CTRL0_ABBPAIR_SHIFT (0U)\r
 /*! ABBPAIR - Which bank-pair the offset ABOFF is within. This must be 0 if only 2-up\r
  *  0b0..Bank-pair 0 (1st)\r
  *  0b1..Bank-pair 1 (2nd)\r
  */\r
-#define CASPER_CTRL0_ABBPAIR(x)                  (((uint32_t)(((uint32_t)(x)) << CASPER_CTRL0_ABBPAIR_SHIFT)) & CASPER_CTRL0_ABBPAIR_MASK)\r
-#define CASPER_CTRL0_ABOFF_MASK                  (0x4U)\r
-#define CASPER_CTRL0_ABOFF_SHIFT                 (2U)\r
-#define CASPER_CTRL0_ABOFF(x)                    (((uint32_t)(((uint32_t)(x)) << CASPER_CTRL0_ABOFF_SHIFT)) & CASPER_CTRL0_ABOFF_MASK)\r
-#define CASPER_CTRL0_CDBPAIR_MASK                (0x10000U)\r
-#define CASPER_CTRL0_CDBPAIR_SHIFT               (16U)\r
+#define CASPER_CTRL0_ABBPAIR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << CASPER_CTRL0_ABBPAIR_SHIFT)) & CASPER_CTRL0_ABBPAIR_MASK)\r
+#define CASPER_CTRL0_ABOFF_MASK (0x4U)\r
+#define CASPER_CTRL0_ABOFF_SHIFT (2U)\r
+/*! ABOFF - Word or DWord Offset of AB values, with B at [2]=0 and A at [2]=1 as far as the code\r
+ *    sees (normally will be an interleaved bank so only sequential to AHB). Word offset only allowed\r
+ *    if 32 bit operation. Ideally not in the same RAM as the CD values if 4-up\r
+ */\r
+#define CASPER_CTRL0_ABOFF(x) (((uint32_t)(((uint32_t)(x)) << CASPER_CTRL0_ABOFF_SHIFT)) & CASPER_CTRL0_ABOFF_MASK)\r
+#define CASPER_CTRL0_CDBPAIR_MASK (0x10000U)\r
+#define CASPER_CTRL0_CDBPAIR_SHIFT (16U)\r
 /*! CDBPAIR - Which bank-pair the offset CDOFF is within. This must be 0 if only 2-up\r
  *  0b0..Bank-pair 0 (1st)\r
  *  0b1..Bank-pair 1 (2nd)\r
  */\r
-#define CASPER_CTRL0_CDBPAIR(x)                  (((uint32_t)(((uint32_t)(x)) << CASPER_CTRL0_CDBPAIR_SHIFT)) & CASPER_CTRL0_CDBPAIR_MASK)\r
-#define CASPER_CTRL0_CDOFF_MASK                  (0x1FFC0000U)\r
-#define CASPER_CTRL0_CDOFF_SHIFT                 (18U)\r
-#define CASPER_CTRL0_CDOFF(x)                    (((uint32_t)(((uint32_t)(x)) << CASPER_CTRL0_CDOFF_SHIFT)) & CASPER_CTRL0_CDOFF_MASK)\r
+#define CASPER_CTRL0_CDBPAIR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << CASPER_CTRL0_CDBPAIR_SHIFT)) & CASPER_CTRL0_CDBPAIR_MASK)\r
+#define CASPER_CTRL0_CDOFF_MASK (0x1FFC0000U)\r
+#define CASPER_CTRL0_CDOFF_SHIFT (18U)\r
+/*! CDOFF - Word or DWord Offset of CD, with D at [2]=0 and C at [2]=1 as far as the code sees\r
+ *    (normally will be an interleaved bank so only sequential to AHB). Word offset only allowed if 32\r
+ *    bit operation. Ideally not in the same RAM as the AB values\r
+ */\r
+#define CASPER_CTRL0_CDOFF(x) (((uint32_t)(((uint32_t)(x)) << CASPER_CTRL0_CDOFF_SHIFT)) & CASPER_CTRL0_CDOFF_MASK)\r
 /*! @} */\r
 \r
-/*! @name CTRL1 - Contains the opcode mode, iteration count, and result offset (in RAM) and also launches the accelerator. Note: with CP version: CTRL0 and CRTL1 can be written in one go with MCRR. */\r
+/*! @name CTRL1 - Contains the opcode mode, iteration count, and result offset (in RAM) and also launches the\r
+ * accelerator. Note: with CP version: CTRL0 and CRTL1 can be written in one go with MCRR. */\r
 /*! @{ */\r
-#define CASPER_CTRL1_ITER_MASK                   (0xFFU)\r
-#define CASPER_CTRL1_ITER_SHIFT                  (0U)\r
-#define CASPER_CTRL1_ITER(x)                     (((uint32_t)(((uint32_t)(x)) << CASPER_CTRL1_ITER_SHIFT)) & CASPER_CTRL1_ITER_MASK)\r
-#define CASPER_CTRL1_MODE_MASK                   (0xFF00U)\r
-#define CASPER_CTRL1_MODE_SHIFT                  (8U)\r
-#define CASPER_CTRL1_MODE(x)                     (((uint32_t)(((uint32_t)(x)) << CASPER_CTRL1_MODE_SHIFT)) & CASPER_CTRL1_MODE_MASK)\r
-#define CASPER_CTRL1_RESBPAIR_MASK               (0x10000U)\r
-#define CASPER_CTRL1_RESBPAIR_SHIFT              (16U)\r
-/*! RESBPAIR - Which bank-pair the offset RESOFF is within. This must be 0 if only 2-up. Ideally this is not the same bank as ABBPAIR (when 4-up supported)\r
+#define CASPER_CTRL1_ITER_MASK (0xFFU)\r
+#define CASPER_CTRL1_ITER_SHIFT (0U)\r
+/*! ITER - Iteration counter. Is number_cycles - 1. write 0 means Does one cycle - does not iterate.\r
+ */\r
+#define CASPER_CTRL1_ITER(x) (((uint32_t)(((uint32_t)(x)) << CASPER_CTRL1_ITER_SHIFT)) & CASPER_CTRL1_ITER_MASK)\r
+#define CASPER_CTRL1_MODE_MASK (0xFF00U)\r
+#define CASPER_CTRL1_MODE_SHIFT (8U)\r
+/*! MODE - Operation mode to perform. write 0 means Accelerator is inactive. write others means accelerator is active.\r
+ */\r
+#define CASPER_CTRL1_MODE(x) (((uint32_t)(((uint32_t)(x)) << CASPER_CTRL1_MODE_SHIFT)) & CASPER_CTRL1_MODE_MASK)\r
+#define CASPER_CTRL1_RESBPAIR_MASK (0x10000U)\r
+#define CASPER_CTRL1_RESBPAIR_SHIFT (16U)\r
+/*! RESBPAIR - Which bank-pair the offset RESOFF is within. This must be 0 if only 2-up. Ideally\r
+ *    this is not the same bank as ABBPAIR (when 4-up supported)\r
  *  0b0..Bank-pair 0 (1st)\r
  *  0b1..Bank-pair 1 (2nd)\r
  */\r
-#define CASPER_CTRL1_RESBPAIR(x)                 (((uint32_t)(((uint32_t)(x)) << CASPER_CTRL1_RESBPAIR_SHIFT)) & CASPER_CTRL1_RESBPAIR_MASK)\r
-#define CASPER_CTRL1_RESOFF_MASK                 (0x1FFC0000U)\r
-#define CASPER_CTRL1_RESOFF_SHIFT                (18U)\r
-#define CASPER_CTRL1_RESOFF(x)                   (((uint32_t)(((uint32_t)(x)) << CASPER_CTRL1_RESOFF_SHIFT)) & CASPER_CTRL1_RESOFF_MASK)\r
-#define CASPER_CTRL1_CSKIP_MASK                  (0xC0000000U)\r
-#define CASPER_CTRL1_CSKIP_SHIFT                 (30U)\r
-/*! CSKIP - Skip rules on Carry if needed. This operation will be skipped based on Carry value (from previous operation) if not 0:\r
- *  0b00..No Skip\r
- *  0b01..Skip if Carry is 1\r
- *  0b10..Skip if Carry is 0\r
- *  0b11..Set CTRLOFF to CDOFF and Skip\r
+#define CASPER_CTRL1_RESBPAIR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << CASPER_CTRL1_RESBPAIR_SHIFT)) & CASPER_CTRL1_RESBPAIR_MASK)\r
+#define CASPER_CTRL1_RESOFF_MASK (0x1FFC0000U)\r
+#define CASPER_CTRL1_RESOFF_SHIFT (18U)\r
+/*! RESOFF - Word or DWord Offset of result. Word offset only allowed if 32 bit operation. Ideally\r
+ *    not in the same RAM as the AB and CD values\r
+ */\r
+#define CASPER_CTRL1_RESOFF(x) (((uint32_t)(((uint32_t)(x)) << CASPER_CTRL1_RESOFF_SHIFT)) & CASPER_CTRL1_RESOFF_MASK)\r
+#define CASPER_CTRL1_CSKIP_MASK (0xC0000000U)\r
+#define CASPER_CTRL1_CSKIP_SHIFT (30U)\r
+/*! CSKIP - Skip rules on Carry if needed. This operation will be skipped based on Carry value (from previous operation)\r
+ * if not 0: 0b00..No Skip 0b01..Skip if Carry is 1 0b10..Skip if Carry is 0 0b11..Set CTRLOFF to CDOFF and Skip\r
  */\r
-#define CASPER_CTRL1_CSKIP(x)                    (((uint32_t)(((uint32_t)(x)) << CASPER_CTRL1_CSKIP_SHIFT)) & CASPER_CTRL1_CSKIP_MASK)\r
+#define CASPER_CTRL1_CSKIP(x) (((uint32_t)(((uint32_t)(x)) << CASPER_CTRL1_CSKIP_SHIFT)) & CASPER_CTRL1_CSKIP_MASK)\r
 /*! @} */\r
 \r
 /*! @name LOADER - Contains an optional loader to load into CTRL0/1 in steps to perform a set of operations. */\r
 /*! @{ */\r
-#define CASPER_LOADER_COUNT_MASK                 (0xFFU)\r
-#define CASPER_LOADER_COUNT_SHIFT                (0U)\r
-#define CASPER_LOADER_COUNT(x)                   (((uint32_t)(((uint32_t)(x)) << CASPER_LOADER_COUNT_SHIFT)) & CASPER_LOADER_COUNT_MASK)\r
-#define CASPER_LOADER_CTRLBPAIR_MASK             (0x10000U)\r
-#define CASPER_LOADER_CTRLBPAIR_SHIFT            (16U)\r
-/*! CTRLBPAIR - Which bank-pair the offset CTRLOFF is within. This must be 0 if only 2-up. Does not matter which bank is used as this is loaded when not performing an operation.\r
+#define CASPER_LOADER_COUNT_MASK (0xFFU)\r
+#define CASPER_LOADER_COUNT_SHIFT (0U)\r
+/*! COUNT - Number of control pairs to load 0 relative (so 1 means load 1). write 1 means Does one\r
+ *    op - does not iterate, write N means N control pairs to load\r
+ */\r
+#define CASPER_LOADER_COUNT(x) (((uint32_t)(((uint32_t)(x)) << CASPER_LOADER_COUNT_SHIFT)) & CASPER_LOADER_COUNT_MASK)\r
+#define CASPER_LOADER_CTRLBPAIR_MASK (0x10000U)\r
+#define CASPER_LOADER_CTRLBPAIR_SHIFT (16U)\r
+/*! CTRLBPAIR - Which bank-pair the offset CTRLOFF is within. This must be 0 if only 2-up. Does not\r
+ *    matter which bank is used as this is loaded when not performing an operation.\r
  *  0b0..Bank-pair 0 (1st)\r
  *  0b1..Bank-pair 1 (2nd)\r
  */\r
-#define CASPER_LOADER_CTRLBPAIR(x)               (((uint32_t)(((uint32_t)(x)) << CASPER_LOADER_CTRLBPAIR_SHIFT)) & CASPER_LOADER_CTRLBPAIR_MASK)\r
-#define CASPER_LOADER_CTRLOFF_MASK               (0x1FFC0000U)\r
-#define CASPER_LOADER_CTRLOFF_SHIFT              (18U)\r
-#define CASPER_LOADER_CTRLOFF(x)                 (((uint32_t)(((uint32_t)(x)) << CASPER_LOADER_CTRLOFF_SHIFT)) & CASPER_LOADER_CTRLOFF_MASK)\r
+#define CASPER_LOADER_CTRLBPAIR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << CASPER_LOADER_CTRLBPAIR_SHIFT)) & CASPER_LOADER_CTRLBPAIR_MASK)\r
+#define CASPER_LOADER_CTRLOFF_MASK (0x1FFC0000U)\r
+#define CASPER_LOADER_CTRLOFF_SHIFT (18U)\r
+/*! CTRLOFF - DWord Offset of CTRL pair to load next.\r
+ */\r
+#define CASPER_LOADER_CTRLOFF(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << CASPER_LOADER_CTRLOFF_SHIFT)) & CASPER_LOADER_CTRLOFF_MASK)\r
 /*! @} */\r
 \r
 /*! @name STATUS - Indicates operational status and would contain the carry bit if used. */\r
 /*! @{ */\r
-#define CASPER_STATUS_DONE_MASK                  (0x1U)\r
-#define CASPER_STATUS_DONE_SHIFT                 (0U)\r
+#define CASPER_STATUS_DONE_MASK (0x1U)\r
+#define CASPER_STATUS_DONE_SHIFT (0U)\r
 /*! DONE - Indicates if the accelerator has finished an operation. Write 1 to clear, or write CTRL1 to clear.\r
  *  0b0..Busy or just cleared\r
  *  0b1..Completed last operation\r
  */\r
-#define CASPER_STATUS_DONE(x)                    (((uint32_t)(((uint32_t)(x)) << CASPER_STATUS_DONE_SHIFT)) & CASPER_STATUS_DONE_MASK)\r
-#define CASPER_STATUS_CARRY_MASK                 (0x10U)\r
-#define CASPER_STATUS_CARRY_SHIFT                (4U)\r
+#define CASPER_STATUS_DONE(x) (((uint32_t)(((uint32_t)(x)) << CASPER_STATUS_DONE_SHIFT)) & CASPER_STATUS_DONE_MASK)\r
+#define CASPER_STATUS_CARRY_MASK (0x10U)\r
+#define CASPER_STATUS_CARRY_SHIFT (4U)\r
 /*! CARRY - Last carry value if operation produced a carry bit\r
  *  0b0..Carry was 0 or no carry\r
  *  0b1..Carry was 1\r
  */\r
-#define CASPER_STATUS_CARRY(x)                   (((uint32_t)(((uint32_t)(x)) << CASPER_STATUS_CARRY_SHIFT)) & CASPER_STATUS_CARRY_MASK)\r
-#define CASPER_STATUS_BUSY_MASK                  (0x20U)\r
-#define CASPER_STATUS_BUSY_SHIFT                 (5U)\r
+#define CASPER_STATUS_CARRY(x) (((uint32_t)(((uint32_t)(x)) << CASPER_STATUS_CARRY_SHIFT)) & CASPER_STATUS_CARRY_MASK)\r
+#define CASPER_STATUS_BUSY_MASK (0x20U)\r
+#define CASPER_STATUS_BUSY_SHIFT (5U)\r
 /*! BUSY - Indicates if the accelerator is busy performing an operation\r
  *  0b0..Not busy - is idle\r
  *  0b1..Is busy\r
  */\r
-#define CASPER_STATUS_BUSY(x)                    (((uint32_t)(((uint32_t)(x)) << CASPER_STATUS_BUSY_SHIFT)) & CASPER_STATUS_BUSY_MASK)\r
+#define CASPER_STATUS_BUSY(x) (((uint32_t)(((uint32_t)(x)) << CASPER_STATUS_BUSY_SHIFT)) & CASPER_STATUS_BUSY_MASK)\r
 /*! @} */\r
 \r
 /*! @name INTENSET - Sets interrupts */\r
 /*! @{ */\r
-#define CASPER_INTENSET_DONE_MASK                (0x1U)\r
-#define CASPER_INTENSET_DONE_SHIFT               (0U)\r
+#define CASPER_INTENSET_DONE_MASK (0x1U)\r
+#define CASPER_INTENSET_DONE_SHIFT (0U)\r
 /*! DONE - Set if the accelerator should interrupt when done.\r
  *  0b0..Do not interrupt when done\r
  *  0b1..Interrupt when done\r
  */\r
-#define CASPER_INTENSET_DONE(x)                  (((uint32_t)(((uint32_t)(x)) << CASPER_INTENSET_DONE_SHIFT)) & CASPER_INTENSET_DONE_MASK)\r
+#define CASPER_INTENSET_DONE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << CASPER_INTENSET_DONE_SHIFT)) & CASPER_INTENSET_DONE_MASK)\r
 /*! @} */\r
 \r
 /*! @name INTENCLR - Clears interrupts */\r
 /*! @{ */\r
-#define CASPER_INTENCLR_DONE_MASK                (0x1U)\r
-#define CASPER_INTENCLR_DONE_SHIFT               (0U)\r
+#define CASPER_INTENCLR_DONE_MASK (0x1U)\r
+#define CASPER_INTENCLR_DONE_SHIFT (0U)\r
 /*! DONE - Written to clear an interrupt set with INTENSET.\r
  *  0b0..If written 0, ignored\r
  *  0b1..If written 1, do not Interrupt when done\r
  */\r
-#define CASPER_INTENCLR_DONE(x)                  (((uint32_t)(((uint32_t)(x)) << CASPER_INTENCLR_DONE_SHIFT)) & CASPER_INTENCLR_DONE_MASK)\r
+#define CASPER_INTENCLR_DONE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << CASPER_INTENCLR_DONE_SHIFT)) & CASPER_INTENCLR_DONE_MASK)\r
 /*! @} */\r
 \r
 /*! @name INTSTAT - Interrupt status bits (mask of INTENSET and STATUS) */\r
 /*! @{ */\r
-#define CASPER_INTSTAT_DONE_MASK                 (0x1U)\r
-#define CASPER_INTSTAT_DONE_SHIFT                (0U)\r
+#define CASPER_INTSTAT_DONE_MASK (0x1U)\r
+#define CASPER_INTSTAT_DONE_SHIFT (0U)\r
 /*! DONE - If set, interrupt is caused by accelerator being done.\r
  *  0b0..Not caused by accelerator being done\r
  *  0b1..Caused by accelerator being done\r
  */\r
-#define CASPER_INTSTAT_DONE(x)                   (((uint32_t)(((uint32_t)(x)) << CASPER_INTSTAT_DONE_SHIFT)) & CASPER_INTSTAT_DONE_MASK)\r
+#define CASPER_INTSTAT_DONE(x) (((uint32_t)(((uint32_t)(x)) << CASPER_INTSTAT_DONE_SHIFT)) & CASPER_INTSTAT_DONE_MASK)\r
 /*! @} */\r
 \r
 /*! @name AREG - A register */\r
 /*! @{ */\r
-#define CASPER_AREG_REG_VALUE_MASK               (0xFFFFFFFFU)\r
-#define CASPER_AREG_REG_VALUE_SHIFT              (0U)\r
-#define CASPER_AREG_REG_VALUE(x)                 (((uint32_t)(((uint32_t)(x)) << CASPER_AREG_REG_VALUE_SHIFT)) & CASPER_AREG_REG_VALUE_MASK)\r
+#define CASPER_AREG_REG_VALUE_MASK (0xFFFFFFFFU)\r
+#define CASPER_AREG_REG_VALUE_SHIFT (0U)\r
+/*! REG_VALUE - Register to be fed into Multiplier. Is not normally written or read by application,\r
+ *    but is available when accelerator not busy.\r
+ */\r
+#define CASPER_AREG_REG_VALUE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << CASPER_AREG_REG_VALUE_SHIFT)) & CASPER_AREG_REG_VALUE_MASK)\r
 /*! @} */\r
 \r
 /*! @name BREG - B register */\r
 /*! @{ */\r
-#define CASPER_BREG_REG_VALUE_MASK               (0xFFFFFFFFU)\r
-#define CASPER_BREG_REG_VALUE_SHIFT              (0U)\r
-#define CASPER_BREG_REG_VALUE(x)                 (((uint32_t)(((uint32_t)(x)) << CASPER_BREG_REG_VALUE_SHIFT)) & CASPER_BREG_REG_VALUE_MASK)\r
+#define CASPER_BREG_REG_VALUE_MASK (0xFFFFFFFFU)\r
+#define CASPER_BREG_REG_VALUE_SHIFT (0U)\r
+/*! REG_VALUE - Register to be fed into Multiplier. Is not normally written or read by application,\r
+ *    but is available when accelerator not busy.\r
+ */\r
+#define CASPER_BREG_REG_VALUE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << CASPER_BREG_REG_VALUE_SHIFT)) & CASPER_BREG_REG_VALUE_MASK)\r
 /*! @} */\r
 \r
 /*! @name CREG - C register */\r
 /*! @{ */\r
-#define CASPER_CREG_REG_VALUE_MASK               (0xFFFFFFFFU)\r
-#define CASPER_CREG_REG_VALUE_SHIFT              (0U)\r
-#define CASPER_CREG_REG_VALUE(x)                 (((uint32_t)(((uint32_t)(x)) << CASPER_CREG_REG_VALUE_SHIFT)) & CASPER_CREG_REG_VALUE_MASK)\r
+#define CASPER_CREG_REG_VALUE_MASK (0xFFFFFFFFU)\r
+#define CASPER_CREG_REG_VALUE_SHIFT (0U)\r
+/*! REG_VALUE - Register to be fed into Multiplier. Is not normally written or read by application,\r
+ *    but is available when accelerator not busy.\r
+ */\r
+#define CASPER_CREG_REG_VALUE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << CASPER_CREG_REG_VALUE_SHIFT)) & CASPER_CREG_REG_VALUE_MASK)\r
 /*! @} */\r
 \r
 /*! @name DREG - D register */\r
 /*! @{ */\r
-#define CASPER_DREG_REG_VALUE_MASK               (0xFFFFFFFFU)\r
-#define CASPER_DREG_REG_VALUE_SHIFT              (0U)\r
-#define CASPER_DREG_REG_VALUE(x)                 (((uint32_t)(((uint32_t)(x)) << CASPER_DREG_REG_VALUE_SHIFT)) & CASPER_DREG_REG_VALUE_MASK)\r
+#define CASPER_DREG_REG_VALUE_MASK (0xFFFFFFFFU)\r
+#define CASPER_DREG_REG_VALUE_SHIFT (0U)\r
+/*! REG_VALUE - Register to be fed into Multiplier. Is not normally written or read by application,\r
+ *    but is available when accelerator not busy.\r
+ */\r
+#define CASPER_DREG_REG_VALUE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << CASPER_DREG_REG_VALUE_SHIFT)) & CASPER_DREG_REG_VALUE_MASK)\r
 /*! @} */\r
 \r
 /*! @name RES0 - Result register 0 */\r
 /*! @{ */\r
-#define CASPER_RES0_REG_VALUE_MASK               (0xFFFFFFFFU)\r
-#define CASPER_RES0_REG_VALUE_SHIFT              (0U)\r
-#define CASPER_RES0_REG_VALUE(x)                 (((uint32_t)(((uint32_t)(x)) << CASPER_RES0_REG_VALUE_SHIFT)) & CASPER_RES0_REG_VALUE_MASK)\r
+#define CASPER_RES0_REG_VALUE_MASK (0xFFFFFFFFU)\r
+#define CASPER_RES0_REG_VALUE_SHIFT (0U)\r
+/*! REG_VALUE - Register to hold working result (from multiplier, adder/xor, etc). Is not normally\r
+ *    written or read by application, but is available when accelerator not busy.\r
+ */\r
+#define CASPER_RES0_REG_VALUE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << CASPER_RES0_REG_VALUE_SHIFT)) & CASPER_RES0_REG_VALUE_MASK)\r
 /*! @} */\r
 \r
 /*! @name RES1 - Result register 1 */\r
 /*! @{ */\r
-#define CASPER_RES1_REG_VALUE_MASK               (0xFFFFFFFFU)\r
-#define CASPER_RES1_REG_VALUE_SHIFT              (0U)\r
-#define CASPER_RES1_REG_VALUE(x)                 (((uint32_t)(((uint32_t)(x)) << CASPER_RES1_REG_VALUE_SHIFT)) & CASPER_RES1_REG_VALUE_MASK)\r
+#define CASPER_RES1_REG_VALUE_MASK (0xFFFFFFFFU)\r
+#define CASPER_RES1_REG_VALUE_SHIFT (0U)\r
+/*! REG_VALUE - Register to hold working result (from multiplier, adder/xor, etc). Is not normally\r
+ *    written or read by application, but is available when accelerator not busy.\r
+ */\r
+#define CASPER_RES1_REG_VALUE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << CASPER_RES1_REG_VALUE_SHIFT)) & CASPER_RES1_REG_VALUE_MASK)\r
 /*! @} */\r
 \r
 /*! @name RES2 - Result register 2 */\r
 /*! @{ */\r
-#define CASPER_RES2_REG_VALUE_MASK               (0xFFFFFFFFU)\r
-#define CASPER_RES2_REG_VALUE_SHIFT              (0U)\r
-#define CASPER_RES2_REG_VALUE(x)                 (((uint32_t)(((uint32_t)(x)) << CASPER_RES2_REG_VALUE_SHIFT)) & CASPER_RES2_REG_VALUE_MASK)\r
+#define CASPER_RES2_REG_VALUE_MASK (0xFFFFFFFFU)\r
+#define CASPER_RES2_REG_VALUE_SHIFT (0U)\r
+/*! REG_VALUE - Register to hold working result (from multiplier, adder/xor, etc). Is not normally\r
+ *    written or read by application, but is available when accelerator not busy.\r
+ */\r
+#define CASPER_RES2_REG_VALUE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << CASPER_RES2_REG_VALUE_SHIFT)) & CASPER_RES2_REG_VALUE_MASK)\r
 /*! @} */\r
 \r
 /*! @name RES3 - Result register 3 */\r
 /*! @{ */\r
-#define CASPER_RES3_REG_VALUE_MASK               (0xFFFFFFFFU)\r
-#define CASPER_RES3_REG_VALUE_SHIFT              (0U)\r
-#define CASPER_RES3_REG_VALUE(x)                 (((uint32_t)(((uint32_t)(x)) << CASPER_RES3_REG_VALUE_SHIFT)) & CASPER_RES3_REG_VALUE_MASK)\r
+#define CASPER_RES3_REG_VALUE_MASK (0xFFFFFFFFU)\r
+#define CASPER_RES3_REG_VALUE_SHIFT (0U)\r
+/*! REG_VALUE - Register to hold working result (from multiplier, adder/xor, etc). Is not normally\r
+ *    written or read by application, but is available when accelerator not busy.\r
+ */\r
+#define CASPER_RES3_REG_VALUE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << CASPER_RES3_REG_VALUE_SHIFT)) & CASPER_RES3_REG_VALUE_MASK)\r
 /*! @} */\r
 \r
 /*! @name MASK - Optional mask register */\r
 /*! @{ */\r
-#define CASPER_MASK_MASK_MASK                    (0xFFFFFFFFU)\r
-#define CASPER_MASK_MASK_SHIFT                   (0U)\r
-#define CASPER_MASK_MASK(x)                      (((uint32_t)(((uint32_t)(x)) << CASPER_MASK_MASK_SHIFT)) & CASPER_MASK_MASK_MASK)\r
+#define CASPER_MASK_MASK_MASK (0xFFFFFFFFU)\r
+#define CASPER_MASK_MASK_SHIFT (0U)\r
+/*! MASK - Mask to apply as side channel countermeasure. 0: No mask to be used. N: Mask to XOR onto values\r
+ */\r
+#define CASPER_MASK_MASK(x) (((uint32_t)(((uint32_t)(x)) << CASPER_MASK_MASK_SHIFT)) & CASPER_MASK_MASK_MASK)\r
 /*! @} */\r
 \r
 /*! @name REMASK - Optional re-mask register */\r
 /*! @{ */\r
-#define CASPER_REMASK_MASK_MASK                  (0xFFFFFFFFU)\r
-#define CASPER_REMASK_MASK_SHIFT                 (0U)\r
-#define CASPER_REMASK_MASK(x)                    (((uint32_t)(((uint32_t)(x)) << CASPER_REMASK_MASK_SHIFT)) & CASPER_REMASK_MASK_MASK)\r
+#define CASPER_REMASK_MASK_MASK (0xFFFFFFFFU)\r
+#define CASPER_REMASK_MASK_SHIFT (0U)\r
+/*! MASK - Mask to apply as side channel countermeasure. 0: No mask to be used. N: Mask to XOR onto values\r
+ */\r
+#define CASPER_REMASK_MASK(x) (((uint32_t)(((uint32_t)(x)) << CASPER_REMASK_MASK_SHIFT)) & CASPER_REMASK_MASK_MASK)\r
 /*! @} */\r
 \r
 /*! @name LOCK - Security lock register */\r
 /*! @{ */\r
-#define CASPER_LOCK_LOCK_MASK                    (0x1U)\r
-#define CASPER_LOCK_LOCK_SHIFT                   (0U)\r
+#define CASPER_LOCK_LOCK_MASK (0x1U)\r
+#define CASPER_LOCK_LOCK_SHIFT (0U)\r
 /*! LOCK - Reads back with security level locked to, or 0. Writes as 0 to unlock, 1 to lock.\r
  *  0b0..unlock\r
  *  0b1..Lock to current security level\r
  */\r
-#define CASPER_LOCK_LOCK(x)                      (((uint32_t)(((uint32_t)(x)) << CASPER_LOCK_LOCK_SHIFT)) & CASPER_LOCK_LOCK_MASK)\r
-#define CASPER_LOCK_KEY_MASK                     (0x1FFF0U)\r
-#define CASPER_LOCK_KEY_SHIFT                    (4U)\r
+#define CASPER_LOCK_LOCK(x) (((uint32_t)(((uint32_t)(x)) << CASPER_LOCK_LOCK_SHIFT)) & CASPER_LOCK_LOCK_MASK)\r
+#define CASPER_LOCK_KEY_MASK (0x1FFF0U)\r
+#define CASPER_LOCK_KEY_SHIFT (4U)\r
 /*! KEY - Must be written as 0x73D to change the register.\r
  *  0b0011100111101..If set during write, will allow lock or unlock\r
  */\r
-#define CASPER_LOCK_KEY(x)                       (((uint32_t)(((uint32_t)(x)) << CASPER_LOCK_KEY_SHIFT)) & CASPER_LOCK_KEY_MASK)\r
+#define CASPER_LOCK_KEY(x) (((uint32_t)(((uint32_t)(x)) << CASPER_LOCK_KEY_SHIFT)) & CASPER_LOCK_KEY_MASK)\r
 /*! @} */\r
 \r
-\r
 /*!\r
  * @}\r
  */ /* end of group CASPER_Register_Masks */\r
 \r
-\r
 /* CASPER - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral CASPER base address */\r
-  #define CASPER_BASE                              (0x500A5000u)\r
-  /** Peripheral CASPER base address */\r
-  #define CASPER_BASE_NS                           (0x400A5000u)\r
-  /** Peripheral CASPER base pointer */\r
-  #define CASPER                                   ((CASPER_Type *)CASPER_BASE)\r
-  /** Peripheral CASPER base pointer */\r
-  #define CASPER_NS                                ((CASPER_Type *)CASPER_BASE_NS)\r
-  /** Array initializer of CASPER peripheral base addresses */\r
-  #define CASPER_BASE_ADDRS                        { CASPER_BASE }\r
-  /** Array initializer of CASPER peripheral base pointers */\r
-  #define CASPER_BASE_PTRS                         { CASPER }\r
-  /** Array initializer of CASPER peripheral base addresses */\r
-  #define CASPER_BASE_ADDRS_NS                     { CASPER_BASE_NS }\r
-  /** Array initializer of CASPER peripheral base pointers */\r
-  #define CASPER_BASE_PTRS_NS                      { CASPER_NS }\r
+/** Peripheral CASPER base address */\r
+#define CASPER_BASE (0x500A5000u)\r
+/** Peripheral CASPER base address */\r
+#define CASPER_BASE_NS (0x400A5000u)\r
+/** Peripheral CASPER base pointer */\r
+#define CASPER ((CASPER_Type *)CASPER_BASE)\r
+/** Peripheral CASPER base pointer */\r
+#define CASPER_NS ((CASPER_Type *)CASPER_BASE_NS)\r
+/** Array initializer of CASPER peripheral base addresses */\r
+#define CASPER_BASE_ADDRS \\r
+    {                     \\r
+        CASPER_BASE       \\r
+    }\r
+/** Array initializer of CASPER peripheral base pointers */\r
+#define CASPER_BASE_PTRS \\r
+    {                    \\r
+        CASPER           \\r
+    }\r
+/** Array initializer of CASPER peripheral base addresses */\r
+#define CASPER_BASE_ADDRS_NS \\r
+    {                        \\r
+        CASPER_BASE_NS       \\r
+    }\r
+/** Array initializer of CASPER peripheral base pointers */\r
+#define CASPER_BASE_PTRS_NS \\r
+    {                       \\r
+        CASPER_NS           \\r
+    }\r
 #else\r
-  /** Peripheral CASPER base address */\r
-  #define CASPER_BASE                              (0x400A5000u)\r
-  /** Peripheral CASPER base pointer */\r
-  #define CASPER                                   ((CASPER_Type *)CASPER_BASE)\r
-  /** Array initializer of CASPER peripheral base addresses */\r
-  #define CASPER_BASE_ADDRS                        { CASPER_BASE }\r
-  /** Array initializer of CASPER peripheral base pointers */\r
-  #define CASPER_BASE_PTRS                         { CASPER }\r
+/** Peripheral CASPER base address */\r
+#define CASPER_BASE (0x400A5000u)\r
+/** Peripheral CASPER base pointer */\r
+#define CASPER ((CASPER_Type *)CASPER_BASE)\r
+/** Array initializer of CASPER peripheral base addresses */\r
+#define CASPER_BASE_ADDRS \\r
+    {                     \\r
+        CASPER_BASE       \\r
+    }\r
+/** Array initializer of CASPER peripheral base pointers */\r
+#define CASPER_BASE_PTRS \\r
+    {                    \\r
+        CASPER           \\r
+    }\r
 #endif\r
 \r
 /*!\r
  * @}\r
  */ /* end of group CASPER_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- CRC Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -4763,13 +6142,15 @@ typedef struct {
  */\r
 \r
 /** CRC - Register Layout Typedef */\r
-typedef struct {\r
-  __IO uint32_t MODE;                              /**< CRC mode register, offset: 0x0 */\r
-  __IO uint32_t SEED;                              /**< CRC seed register, offset: 0x4 */\r
-  union {                                          /* offset: 0x8 */\r
-    __I  uint32_t SUM;                               /**< CRC checksum register, offset: 0x8 */\r
-    __O  uint32_t WR_DATA;                           /**< CRC data register, offset: 0x8 */\r
-  };\r
+typedef struct\r
+{\r
+    __IO uint32_t MODE; /**< CRC mode register, offset: 0x0 */\r
+    __IO uint32_t SEED; /**< CRC seed register, offset: 0x4 */\r
+    union\r
+    {                         /* offset: 0x8 */\r
+        __I uint32_t SUM;     /**< CRC checksum register, offset: 0x8 */\r
+        __O uint32_t WR_DATA; /**< CRC data register, offset: 0x8 */\r
+    };\r
 } CRC_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -4783,84 +6164,123 @@ typedef struct {
 \r
 /*! @name MODE - CRC mode register */\r
 /*! @{ */\r
-#define CRC_MODE_CRC_POLY_MASK                   (0x3U)\r
-#define CRC_MODE_CRC_POLY_SHIFT                  (0U)\r
-#define CRC_MODE_CRC_POLY(x)                     (((uint32_t)(((uint32_t)(x)) << CRC_MODE_CRC_POLY_SHIFT)) & CRC_MODE_CRC_POLY_MASK)\r
-#define CRC_MODE_BIT_RVS_WR_MASK                 (0x4U)\r
-#define CRC_MODE_BIT_RVS_WR_SHIFT                (2U)\r
-#define CRC_MODE_BIT_RVS_WR(x)                   (((uint32_t)(((uint32_t)(x)) << CRC_MODE_BIT_RVS_WR_SHIFT)) & CRC_MODE_BIT_RVS_WR_MASK)\r
-#define CRC_MODE_CMPL_WR_MASK                    (0x8U)\r
-#define CRC_MODE_CMPL_WR_SHIFT                   (3U)\r
-#define CRC_MODE_CMPL_WR(x)                      (((uint32_t)(((uint32_t)(x)) << CRC_MODE_CMPL_WR_SHIFT)) & CRC_MODE_CMPL_WR_MASK)\r
-#define CRC_MODE_BIT_RVS_SUM_MASK                (0x10U)\r
-#define CRC_MODE_BIT_RVS_SUM_SHIFT               (4U)\r
-#define CRC_MODE_BIT_RVS_SUM(x)                  (((uint32_t)(((uint32_t)(x)) << CRC_MODE_BIT_RVS_SUM_SHIFT)) & CRC_MODE_BIT_RVS_SUM_MASK)\r
-#define CRC_MODE_CMPL_SUM_MASK                   (0x20U)\r
-#define CRC_MODE_CMPL_SUM_SHIFT                  (5U)\r
-#define CRC_MODE_CMPL_SUM(x)                     (((uint32_t)(((uint32_t)(x)) << CRC_MODE_CMPL_SUM_SHIFT)) & CRC_MODE_CMPL_SUM_MASK)\r
+#define CRC_MODE_CRC_POLY_MASK (0x3U)\r
+#define CRC_MODE_CRC_POLY_SHIFT (0U)\r
+/*! CRC_POLY - CRC polynomial: 1X = CRC-32 polynomial 01 = CRC-16 polynomial 00 = CRC-CCITT polynomial\r
+ */\r
+#define CRC_MODE_CRC_POLY(x) (((uint32_t)(((uint32_t)(x)) << CRC_MODE_CRC_POLY_SHIFT)) & CRC_MODE_CRC_POLY_MASK)\r
+#define CRC_MODE_BIT_RVS_WR_MASK (0x4U)\r
+#define CRC_MODE_BIT_RVS_WR_SHIFT (2U)\r
+/*! BIT_RVS_WR - Data bit order: 1 = Bit order reverse for CRC_WR_DATA (per byte) 0 = No bit order reverse for\r
+ * CRC_WR_DATA (per byte)\r
+ */\r
+#define CRC_MODE_BIT_RVS_WR(x) (((uint32_t)(((uint32_t)(x)) << CRC_MODE_BIT_RVS_WR_SHIFT)) & CRC_MODE_BIT_RVS_WR_MASK)\r
+#define CRC_MODE_CMPL_WR_MASK (0x8U)\r
+#define CRC_MODE_CMPL_WR_SHIFT (3U)\r
+/*! CMPL_WR - Data complement: 1 = 1's complement for CRC_WR_DATA 0 = No 1's complement for CRC_WR_DATA\r
+ */\r
+#define CRC_MODE_CMPL_WR(x) (((uint32_t)(((uint32_t)(x)) << CRC_MODE_CMPL_WR_SHIFT)) & CRC_MODE_CMPL_WR_MASK)\r
+#define CRC_MODE_BIT_RVS_SUM_MASK (0x10U)\r
+#define CRC_MODE_BIT_RVS_SUM_SHIFT (4U)\r
+/*! BIT_RVS_SUM - CRC sum bit order: 1 = Bit order reverse for CRC_SUM 0 = No bit order reverse for CRC_SUM\r
+ */\r
+#define CRC_MODE_BIT_RVS_SUM(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << CRC_MODE_BIT_RVS_SUM_SHIFT)) & CRC_MODE_BIT_RVS_SUM_MASK)\r
+#define CRC_MODE_CMPL_SUM_MASK (0x20U)\r
+#define CRC_MODE_CMPL_SUM_SHIFT (5U)\r
+/*! CMPL_SUM - CRC sum complement: 1 = 1's complement for CRC_SUM 0 = No 1's complement for CRC_SUM\r
+ */\r
+#define CRC_MODE_CMPL_SUM(x) (((uint32_t)(((uint32_t)(x)) << CRC_MODE_CMPL_SUM_SHIFT)) & CRC_MODE_CMPL_SUM_MASK)\r
 /*! @} */\r
 \r
 /*! @name SEED - CRC seed register */\r
 /*! @{ */\r
-#define CRC_SEED_CRC_SEED_MASK                   (0xFFFFFFFFU)\r
-#define CRC_SEED_CRC_SEED_SHIFT                  (0U)\r
-#define CRC_SEED_CRC_SEED(x)                     (((uint32_t)(((uint32_t)(x)) << CRC_SEED_CRC_SEED_SHIFT)) & CRC_SEED_CRC_SEED_MASK)\r
+#define CRC_SEED_CRC_SEED_MASK (0xFFFFFFFFU)\r
+#define CRC_SEED_CRC_SEED_SHIFT (0U)\r
+/*! CRC_SEED - A write access to this register will load CRC seed value to CRC_SUM register with\r
+ *    selected bit order and 1's complement pre-processes. A write access to this register will\r
+ *    overrule the CRC calculation in progresses.\r
+ */\r
+#define CRC_SEED_CRC_SEED(x) (((uint32_t)(((uint32_t)(x)) << CRC_SEED_CRC_SEED_SHIFT)) & CRC_SEED_CRC_SEED_MASK)\r
 /*! @} */\r
 \r
 /*! @name SUM - CRC checksum register */\r
 /*! @{ */\r
-#define CRC_SUM_CRC_SUM_MASK                     (0xFFFFFFFFU)\r
-#define CRC_SUM_CRC_SUM_SHIFT                    (0U)\r
-#define CRC_SUM_CRC_SUM(x)                       (((uint32_t)(((uint32_t)(x)) << CRC_SUM_CRC_SUM_SHIFT)) & CRC_SUM_CRC_SUM_MASK)\r
+#define CRC_SUM_CRC_SUM_MASK (0xFFFFFFFFU)\r
+#define CRC_SUM_CRC_SUM_SHIFT (0U)\r
+/*! CRC_SUM - The most recent CRC sum can be read through this register with selected bit order and 1's complement\r
+ * post-processes.\r
+ */\r
+#define CRC_SUM_CRC_SUM(x) (((uint32_t)(((uint32_t)(x)) << CRC_SUM_CRC_SUM_SHIFT)) & CRC_SUM_CRC_SUM_MASK)\r
 /*! @} */\r
 \r
 /*! @name WR_DATA - CRC data register */\r
 /*! @{ */\r
-#define CRC_WR_DATA_CRC_WR_DATA_MASK             (0xFFFFFFFFU)\r
-#define CRC_WR_DATA_CRC_WR_DATA_SHIFT            (0U)\r
-#define CRC_WR_DATA_CRC_WR_DATA(x)               (((uint32_t)(((uint32_t)(x)) << CRC_WR_DATA_CRC_WR_DATA_SHIFT)) & CRC_WR_DATA_CRC_WR_DATA_MASK)\r
+#define CRC_WR_DATA_CRC_WR_DATA_MASK (0xFFFFFFFFU)\r
+#define CRC_WR_DATA_CRC_WR_DATA_SHIFT (0U)\r
+/*! CRC_WR_DATA - Data written to this register will be taken to perform CRC calculation with\r
+ *    selected bit order and 1's complement pre-process. Any write size 8, 16 or 32-bit are allowed and\r
+ *    accept back-to-back transactions.\r
+ */\r
+#define CRC_WR_DATA_CRC_WR_DATA(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << CRC_WR_DATA_CRC_WR_DATA_SHIFT)) & CRC_WR_DATA_CRC_WR_DATA_MASK)\r
 /*! @} */\r
 \r
-\r
 /*!\r
  * @}\r
  */ /* end of group CRC_Register_Masks */\r
 \r
-\r
 /* CRC - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral CRC_ENGINE base address */\r
-  #define CRC_ENGINE_BASE                          (0x50095000u)\r
-  /** Peripheral CRC_ENGINE base address */\r
-  #define CRC_ENGINE_BASE_NS                       (0x40095000u)\r
-  /** Peripheral CRC_ENGINE base pointer */\r
-  #define CRC_ENGINE                               ((CRC_Type *)CRC_ENGINE_BASE)\r
-  /** Peripheral CRC_ENGINE base pointer */\r
-  #define CRC_ENGINE_NS                            ((CRC_Type *)CRC_ENGINE_BASE_NS)\r
-  /** Array initializer of CRC peripheral base addresses */\r
-  #define CRC_BASE_ADDRS                           { CRC_ENGINE_BASE }\r
-  /** Array initializer of CRC peripheral base pointers */\r
-  #define CRC_BASE_PTRS                            { CRC_ENGINE }\r
-  /** Array initializer of CRC peripheral base addresses */\r
-  #define CRC_BASE_ADDRS_NS                        { CRC_ENGINE_BASE_NS }\r
-  /** Array initializer of CRC peripheral base pointers */\r
-  #define CRC_BASE_PTRS_NS                         { CRC_ENGINE_NS }\r
+/** Peripheral CRC_ENGINE base address */\r
+#define CRC_ENGINE_BASE (0x50095000u)\r
+/** Peripheral CRC_ENGINE base address */\r
+#define CRC_ENGINE_BASE_NS (0x40095000u)\r
+/** Peripheral CRC_ENGINE base pointer */\r
+#define CRC_ENGINE ((CRC_Type *)CRC_ENGINE_BASE)\r
+/** Peripheral CRC_ENGINE base pointer */\r
+#define CRC_ENGINE_NS ((CRC_Type *)CRC_ENGINE_BASE_NS)\r
+/** Array initializer of CRC peripheral base addresses */\r
+#define CRC_BASE_ADDRS  \\r
+    {                   \\r
+        CRC_ENGINE_BASE \\r
+    }\r
+/** Array initializer of CRC peripheral base pointers */\r
+#define CRC_BASE_PTRS \\r
+    {                 \\r
+        CRC_ENGINE    \\r
+    }\r
+/** Array initializer of CRC peripheral base addresses */\r
+#define CRC_BASE_ADDRS_NS  \\r
+    {                      \\r
+        CRC_ENGINE_BASE_NS \\r
+    }\r
+/** Array initializer of CRC peripheral base pointers */\r
+#define CRC_BASE_PTRS_NS \\r
+    {                    \\r
+        CRC_ENGINE_NS    \\r
+    }\r
 #else\r
-  /** Peripheral CRC_ENGINE base address */\r
-  #define CRC_ENGINE_BASE                          (0x40095000u)\r
-  /** Peripheral CRC_ENGINE base pointer */\r
-  #define CRC_ENGINE                               ((CRC_Type *)CRC_ENGINE_BASE)\r
-  /** Array initializer of CRC peripheral base addresses */\r
-  #define CRC_BASE_ADDRS                           { CRC_ENGINE_BASE }\r
-  /** Array initializer of CRC peripheral base pointers */\r
-  #define CRC_BASE_PTRS                            { CRC_ENGINE }\r
+/** Peripheral CRC_ENGINE base address */\r
+#define CRC_ENGINE_BASE (0x40095000u)\r
+/** Peripheral CRC_ENGINE base pointer */\r
+#define CRC_ENGINE ((CRC_Type *)CRC_ENGINE_BASE)\r
+/** Array initializer of CRC peripheral base addresses */\r
+#define CRC_BASE_ADDRS  \\r
+    {                   \\r
+        CRC_ENGINE_BASE \\r
+    }\r
+/** Array initializer of CRC peripheral base pointers */\r
+#define CRC_BASE_PTRS \\r
+    {                 \\r
+        CRC_ENGINE    \\r
+    }\r
 #endif\r
 \r
 /*!\r
  * @}\r
  */ /* end of group CRC_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- CTIMER Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -4871,21 +6291,32 @@ typedef struct {
  */\r
 \r
 /** CTIMER - Register Layout Typedef */\r
-typedef struct {\r
-  __IO uint32_t IR;                                /**< Interrupt Register. The IR can be written to clear interrupts. The IR can be read to identify which of eight possible interrupt sources are pending., offset: 0x0 */\r
-  __IO uint32_t TCR;                               /**< Timer Control Register. The TCR is used to control the Timer Counter functions. The Timer Counter can be disabled or reset through the TCR., offset: 0x4 */\r
-  __IO uint32_t TC;                                /**< Timer Counter, offset: 0x8 */\r
-  __IO uint32_t PR;                                /**< Prescale Register, offset: 0xC */\r
-  __IO uint32_t PC;                                /**< Prescale Counter, offset: 0x10 */\r
-  __IO uint32_t MCR;                               /**< Match Control Register, offset: 0x14 */\r
-  __IO uint32_t MR[4];                             /**< Match Register . MR can be enabled through the MCR to reset the TC, stop both the TC and PC, and/or generate an interrupt every time MR matches the TC., array offset: 0x18, array step: 0x4 */\r
-  __IO uint32_t CCR;                               /**< Capture Control Register. The CCR controls which edges of the capture inputs are used to load the Capture Registers and whether or not an interrupt is generated when a capture takes place., offset: 0x28 */\r
-  __I  uint32_t CR[4];                             /**< Capture Register . CR is loaded with the value of TC when there is an event on the CAPn. input., array offset: 0x2C, array step: 0x4 */\r
-  __IO uint32_t EMR;                               /**< External Match Register. The EMR controls the match function and the external match pins., offset: 0x3C */\r
-       uint8_t RESERVED_0[48];\r
-  __IO uint32_t CTCR;                              /**< Count Control Register. The CTCR selects between Timer and Counter mode, and in Counter mode selects the signal and edge(s) for counting., offset: 0x70 */\r
-  __IO uint32_t PWMC;                              /**< PWM Control Register. This register enables PWM mode for the external match pins., offset: 0x74 */\r
-  __IO uint32_t MSR[4];                            /**< Match Shadow Register, array offset: 0x78, array step: 0x4 */\r
+typedef struct\r
+{\r
+    __IO uint32_t IR; /**< Interrupt Register. The IR can be written to clear interrupts. The IR can be read to identify\r
+                         which of eight possible interrupt sources are pending., offset: 0x0 */\r
+    __IO uint32_t TCR; /**< Timer Control Register. The TCR is used to control the Timer Counter functions. The Timer\r
+                          Counter can be disabled or reset through the TCR., offset: 0x4 */\r
+    __IO uint32_t TC;  /**< Timer Counter, offset: 0x8 */\r
+    __IO uint32_t PR;  /**< Prescale Register, offset: 0xC */\r
+    __IO uint32_t PC;  /**< Prescale Counter, offset: 0x10 */\r
+    __IO uint32_t MCR; /**< Match Control Register, offset: 0x14 */\r
+    __IO uint32_t\r
+        MR[4]; /**< Match Register . MR can be enabled through the MCR to reset the TC, stop both the TC and PC, and/or\r
+                  generate an interrupt every time MR matches the TC., array offset: 0x18, array step: 0x4 */\r
+    __IO uint32_t CCR;  /**< Capture Control Register. The CCR controls which edges of the capture inputs are used to\r
+                           load the Capture Registers and whether or not an interrupt is generated when a capture takes\r
+                           place., offset: 0x28 */\r
+    __I uint32_t CR[4]; /**< Capture Register . CR is loaded with the value of TC when there is an event on the CAPn.\r
+                           input., array offset: 0x2C, array step: 0x4 */\r
+    __IO uint32_t EMR;  /**< External Match Register. The EMR controls the match function and the external match pins.,\r
+                           offset: 0x3C */\r
+    uint8_t RESERVED_0[48];\r
+    __IO uint32_t CTCR; /**< Count Control Register. The CTCR selects between Timer and Counter mode, and in Counter\r
+                           mode selects the signal and edge(s) for counting., offset: 0x70 */\r
+    __IO uint32_t\r
+        PWMC; /**< PWM Control Register. This register enables PWM mode for the external match pins., offset: 0x74 */\r
+    __IO uint32_t MSR[4]; /**< Match Shadow Register, array offset: 0x78, array step: 0x4 */\r
 } CTIMER_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -4897,263 +6328,394 @@ typedef struct {
  * @{\r
  */\r
 \r
-/*! @name IR - Interrupt Register. The IR can be written to clear interrupts. The IR can be read to identify which of eight possible interrupt sources are pending. */\r
-/*! @{ */\r
-#define CTIMER_IR_MR0INT_MASK                    (0x1U)\r
-#define CTIMER_IR_MR0INT_SHIFT                   (0U)\r
-#define CTIMER_IR_MR0INT(x)                      (((uint32_t)(((uint32_t)(x)) << CTIMER_IR_MR0INT_SHIFT)) & CTIMER_IR_MR0INT_MASK)\r
-#define CTIMER_IR_MR1INT_MASK                    (0x2U)\r
-#define CTIMER_IR_MR1INT_SHIFT                   (1U)\r
-#define CTIMER_IR_MR1INT(x)                      (((uint32_t)(((uint32_t)(x)) << CTIMER_IR_MR1INT_SHIFT)) & CTIMER_IR_MR1INT_MASK)\r
-#define CTIMER_IR_MR2INT_MASK                    (0x4U)\r
-#define CTIMER_IR_MR2INT_SHIFT                   (2U)\r
-#define CTIMER_IR_MR2INT(x)                      (((uint32_t)(((uint32_t)(x)) << CTIMER_IR_MR2INT_SHIFT)) & CTIMER_IR_MR2INT_MASK)\r
-#define CTIMER_IR_MR3INT_MASK                    (0x8U)\r
-#define CTIMER_IR_MR3INT_SHIFT                   (3U)\r
-#define CTIMER_IR_MR3INT(x)                      (((uint32_t)(((uint32_t)(x)) << CTIMER_IR_MR3INT_SHIFT)) & CTIMER_IR_MR3INT_MASK)\r
-#define CTIMER_IR_CR0INT_MASK                    (0x10U)\r
-#define CTIMER_IR_CR0INT_SHIFT                   (4U)\r
-#define CTIMER_IR_CR0INT(x)                      (((uint32_t)(((uint32_t)(x)) << CTIMER_IR_CR0INT_SHIFT)) & CTIMER_IR_CR0INT_MASK)\r
-#define CTIMER_IR_CR1INT_MASK                    (0x20U)\r
-#define CTIMER_IR_CR1INT_SHIFT                   (5U)\r
-#define CTIMER_IR_CR1INT(x)                      (((uint32_t)(((uint32_t)(x)) << CTIMER_IR_CR1INT_SHIFT)) & CTIMER_IR_CR1INT_MASK)\r
-#define CTIMER_IR_CR2INT_MASK                    (0x40U)\r
-#define CTIMER_IR_CR2INT_SHIFT                   (6U)\r
-#define CTIMER_IR_CR2INT(x)                      (((uint32_t)(((uint32_t)(x)) << CTIMER_IR_CR2INT_SHIFT)) & CTIMER_IR_CR2INT_MASK)\r
-#define CTIMER_IR_CR3INT_MASK                    (0x80U)\r
-#define CTIMER_IR_CR3INT_SHIFT                   (7U)\r
-#define CTIMER_IR_CR3INT(x)                      (((uint32_t)(((uint32_t)(x)) << CTIMER_IR_CR3INT_SHIFT)) & CTIMER_IR_CR3INT_MASK)\r
-/*! @} */\r
-\r
-/*! @name TCR - Timer Control Register. The TCR is used to control the Timer Counter functions. The Timer Counter can be disabled or reset through the TCR. */\r
-/*! @{ */\r
-#define CTIMER_TCR_CEN_MASK                      (0x1U)\r
-#define CTIMER_TCR_CEN_SHIFT                     (0U)\r
+/*! @name IR - Interrupt Register. The IR can be written to clear interrupts. The IR can be read to identify which of\r
+ * eight possible interrupt sources are pending. */\r
+/*! @{ */\r
+#define CTIMER_IR_MR0INT_MASK (0x1U)\r
+#define CTIMER_IR_MR0INT_SHIFT (0U)\r
+/*! MR0INT - Interrupt flag for match channel 0.\r
+ */\r
+#define CTIMER_IR_MR0INT(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_IR_MR0INT_SHIFT)) & CTIMER_IR_MR0INT_MASK)\r
+#define CTIMER_IR_MR1INT_MASK (0x2U)\r
+#define CTIMER_IR_MR1INT_SHIFT (1U)\r
+/*! MR1INT - Interrupt flag for match channel 1.\r
+ */\r
+#define CTIMER_IR_MR1INT(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_IR_MR1INT_SHIFT)) & CTIMER_IR_MR1INT_MASK)\r
+#define CTIMER_IR_MR2INT_MASK (0x4U)\r
+#define CTIMER_IR_MR2INT_SHIFT (2U)\r
+/*! MR2INT - Interrupt flag for match channel 2.\r
+ */\r
+#define CTIMER_IR_MR2INT(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_IR_MR2INT_SHIFT)) & CTIMER_IR_MR2INT_MASK)\r
+#define CTIMER_IR_MR3INT_MASK (0x8U)\r
+#define CTIMER_IR_MR3INT_SHIFT (3U)\r
+/*! MR3INT - Interrupt flag for match channel 3.\r
+ */\r
+#define CTIMER_IR_MR3INT(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_IR_MR3INT_SHIFT)) & CTIMER_IR_MR3INT_MASK)\r
+#define CTIMER_IR_CR0INT_MASK (0x10U)\r
+#define CTIMER_IR_CR0INT_SHIFT (4U)\r
+/*! CR0INT - Interrupt flag for capture channel 0 event.\r
+ */\r
+#define CTIMER_IR_CR0INT(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_IR_CR0INT_SHIFT)) & CTIMER_IR_CR0INT_MASK)\r
+#define CTIMER_IR_CR1INT_MASK (0x20U)\r
+#define CTIMER_IR_CR1INT_SHIFT (5U)\r
+/*! CR1INT - Interrupt flag for capture channel 1 event.\r
+ */\r
+#define CTIMER_IR_CR1INT(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_IR_CR1INT_SHIFT)) & CTIMER_IR_CR1INT_MASK)\r
+#define CTIMER_IR_CR2INT_MASK (0x40U)\r
+#define CTIMER_IR_CR2INT_SHIFT (6U)\r
+/*! CR2INT - Interrupt flag for capture channel 2 event.\r
+ */\r
+#define CTIMER_IR_CR2INT(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_IR_CR2INT_SHIFT)) & CTIMER_IR_CR2INT_MASK)\r
+#define CTIMER_IR_CR3INT_MASK (0x80U)\r
+#define CTIMER_IR_CR3INT_SHIFT (7U)\r
+/*! CR3INT - Interrupt flag for capture channel 3 event.\r
+ */\r
+#define CTIMER_IR_CR3INT(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_IR_CR3INT_SHIFT)) & CTIMER_IR_CR3INT_MASK)\r
+/*! @} */\r
+\r
+/*! @name TCR - Timer Control Register. The TCR is used to control the Timer Counter functions. The Timer Counter can be\r
+ * disabled or reset through the TCR. */\r
+/*! @{ */\r
+#define CTIMER_TCR_CEN_MASK (0x1U)\r
+#define CTIMER_TCR_CEN_SHIFT (0U)\r
 /*! CEN - Counter enable.\r
  *  0b0..Disabled.The counters are disabled.\r
  *  0b1..Enabled. The Timer Counter and Prescale Counter are enabled.\r
  */\r
-#define CTIMER_TCR_CEN(x)                        (((uint32_t)(((uint32_t)(x)) << CTIMER_TCR_CEN_SHIFT)) & CTIMER_TCR_CEN_MASK)\r
-#define CTIMER_TCR_CRST_MASK                     (0x2U)\r
-#define CTIMER_TCR_CRST_SHIFT                    (1U)\r
+#define CTIMER_TCR_CEN(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_TCR_CEN_SHIFT)) & CTIMER_TCR_CEN_MASK)\r
+#define CTIMER_TCR_CRST_MASK (0x2U)\r
+#define CTIMER_TCR_CRST_SHIFT (1U)\r
 /*! CRST - Counter reset.\r
  *  0b0..Disabled. Do nothing.\r
- *  0b1..Enabled. The Timer Counter and the Prescale Counter are synchronously reset on the next positive edge of the APB bus clock. The counters remain reset until TCR[1] is returned to zero.\r
+ *  0b1..Enabled. The Timer Counter and the Prescale Counter are synchronously reset on the next positive edge of\r
+ *       the APB bus clock. The counters remain reset until TCR[1] is returned to zero.\r
  */\r
-#define CTIMER_TCR_CRST(x)                       (((uint32_t)(((uint32_t)(x)) << CTIMER_TCR_CRST_SHIFT)) & CTIMER_TCR_CRST_MASK)\r
+#define CTIMER_TCR_CRST(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_TCR_CRST_SHIFT)) & CTIMER_TCR_CRST_MASK)\r
 /*! @} */\r
 \r
 /*! @name TC - Timer Counter */\r
 /*! @{ */\r
-#define CTIMER_TC_TCVAL_MASK                     (0xFFFFFFFFU)\r
-#define CTIMER_TC_TCVAL_SHIFT                    (0U)\r
-#define CTIMER_TC_TCVAL(x)                       (((uint32_t)(((uint32_t)(x)) << CTIMER_TC_TCVAL_SHIFT)) & CTIMER_TC_TCVAL_MASK)\r
+#define CTIMER_TC_TCVAL_MASK (0xFFFFFFFFU)\r
+#define CTIMER_TC_TCVAL_SHIFT (0U)\r
+/*! TCVAL - Timer counter value.\r
+ */\r
+#define CTIMER_TC_TCVAL(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_TC_TCVAL_SHIFT)) & CTIMER_TC_TCVAL_MASK)\r
 /*! @} */\r
 \r
 /*! @name PR - Prescale Register */\r
 /*! @{ */\r
-#define CTIMER_PR_PRVAL_MASK                     (0xFFFFFFFFU)\r
-#define CTIMER_PR_PRVAL_SHIFT                    (0U)\r
-#define CTIMER_PR_PRVAL(x)                       (((uint32_t)(((uint32_t)(x)) << CTIMER_PR_PRVAL_SHIFT)) & CTIMER_PR_PRVAL_MASK)\r
+#define CTIMER_PR_PRVAL_MASK (0xFFFFFFFFU)\r
+#define CTIMER_PR_PRVAL_SHIFT (0U)\r
+/*! PRVAL - Prescale counter value.\r
+ */\r
+#define CTIMER_PR_PRVAL(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_PR_PRVAL_SHIFT)) & CTIMER_PR_PRVAL_MASK)\r
 /*! @} */\r
 \r
 /*! @name PC - Prescale Counter */\r
 /*! @{ */\r
-#define CTIMER_PC_PCVAL_MASK                     (0xFFFFFFFFU)\r
-#define CTIMER_PC_PCVAL_SHIFT                    (0U)\r
-#define CTIMER_PC_PCVAL(x)                       (((uint32_t)(((uint32_t)(x)) << CTIMER_PC_PCVAL_SHIFT)) & CTIMER_PC_PCVAL_MASK)\r
+#define CTIMER_PC_PCVAL_MASK (0xFFFFFFFFU)\r
+#define CTIMER_PC_PCVAL_SHIFT (0U)\r
+/*! PCVAL - Prescale counter value.\r
+ */\r
+#define CTIMER_PC_PCVAL(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_PC_PCVAL_SHIFT)) & CTIMER_PC_PCVAL_MASK)\r
 /*! @} */\r
 \r
 /*! @name MCR - Match Control Register */\r
 /*! @{ */\r
-#define CTIMER_MCR_MR0I_MASK                     (0x1U)\r
-#define CTIMER_MCR_MR0I_SHIFT                    (0U)\r
-#define CTIMER_MCR_MR0I(x)                       (((uint32_t)(((uint32_t)(x)) << CTIMER_MCR_MR0I_SHIFT)) & CTIMER_MCR_MR0I_MASK)\r
-#define CTIMER_MCR_MR0R_MASK                     (0x2U)\r
-#define CTIMER_MCR_MR0R_SHIFT                    (1U)\r
-#define CTIMER_MCR_MR0R(x)                       (((uint32_t)(((uint32_t)(x)) << CTIMER_MCR_MR0R_SHIFT)) & CTIMER_MCR_MR0R_MASK)\r
-#define CTIMER_MCR_MR0S_MASK                     (0x4U)\r
-#define CTIMER_MCR_MR0S_SHIFT                    (2U)\r
-#define CTIMER_MCR_MR0S(x)                       (((uint32_t)(((uint32_t)(x)) << CTIMER_MCR_MR0S_SHIFT)) & CTIMER_MCR_MR0S_MASK)\r
-#define CTIMER_MCR_MR1I_MASK                     (0x8U)\r
-#define CTIMER_MCR_MR1I_SHIFT                    (3U)\r
-#define CTIMER_MCR_MR1I(x)                       (((uint32_t)(((uint32_t)(x)) << CTIMER_MCR_MR1I_SHIFT)) & CTIMER_MCR_MR1I_MASK)\r
-#define CTIMER_MCR_MR1R_MASK                     (0x10U)\r
-#define CTIMER_MCR_MR1R_SHIFT                    (4U)\r
-#define CTIMER_MCR_MR1R(x)                       (((uint32_t)(((uint32_t)(x)) << CTIMER_MCR_MR1R_SHIFT)) & CTIMER_MCR_MR1R_MASK)\r
-#define CTIMER_MCR_MR1S_MASK                     (0x20U)\r
-#define CTIMER_MCR_MR1S_SHIFT                    (5U)\r
-#define CTIMER_MCR_MR1S(x)                       (((uint32_t)(((uint32_t)(x)) << CTIMER_MCR_MR1S_SHIFT)) & CTIMER_MCR_MR1S_MASK)\r
-#define CTIMER_MCR_MR2I_MASK                     (0x40U)\r
-#define CTIMER_MCR_MR2I_SHIFT                    (6U)\r
-#define CTIMER_MCR_MR2I(x)                       (((uint32_t)(((uint32_t)(x)) << CTIMER_MCR_MR2I_SHIFT)) & CTIMER_MCR_MR2I_MASK)\r
-#define CTIMER_MCR_MR2R_MASK                     (0x80U)\r
-#define CTIMER_MCR_MR2R_SHIFT                    (7U)\r
-#define CTIMER_MCR_MR2R(x)                       (((uint32_t)(((uint32_t)(x)) << CTIMER_MCR_MR2R_SHIFT)) & CTIMER_MCR_MR2R_MASK)\r
-#define CTIMER_MCR_MR2S_MASK                     (0x100U)\r
-#define CTIMER_MCR_MR2S_SHIFT                    (8U)\r
-#define CTIMER_MCR_MR2S(x)                       (((uint32_t)(((uint32_t)(x)) << CTIMER_MCR_MR2S_SHIFT)) & CTIMER_MCR_MR2S_MASK)\r
-#define CTIMER_MCR_MR3I_MASK                     (0x200U)\r
-#define CTIMER_MCR_MR3I_SHIFT                    (9U)\r
-#define CTIMER_MCR_MR3I(x)                       (((uint32_t)(((uint32_t)(x)) << CTIMER_MCR_MR3I_SHIFT)) & CTIMER_MCR_MR3I_MASK)\r
-#define CTIMER_MCR_MR3R_MASK                     (0x400U)\r
-#define CTIMER_MCR_MR3R_SHIFT                    (10U)\r
-#define CTIMER_MCR_MR3R(x)                       (((uint32_t)(((uint32_t)(x)) << CTIMER_MCR_MR3R_SHIFT)) & CTIMER_MCR_MR3R_MASK)\r
-#define CTIMER_MCR_MR3S_MASK                     (0x800U)\r
-#define CTIMER_MCR_MR3S_SHIFT                    (11U)\r
-#define CTIMER_MCR_MR3S(x)                       (((uint32_t)(((uint32_t)(x)) << CTIMER_MCR_MR3S_SHIFT)) & CTIMER_MCR_MR3S_MASK)\r
-#define CTIMER_MCR_MR0RL_MASK                    (0x1000000U)\r
-#define CTIMER_MCR_MR0RL_SHIFT                   (24U)\r
-#define CTIMER_MCR_MR0RL(x)                      (((uint32_t)(((uint32_t)(x)) << CTIMER_MCR_MR0RL_SHIFT)) & CTIMER_MCR_MR0RL_MASK)\r
-#define CTIMER_MCR_MR1RL_MASK                    (0x2000000U)\r
-#define CTIMER_MCR_MR1RL_SHIFT                   (25U)\r
-#define CTIMER_MCR_MR1RL(x)                      (((uint32_t)(((uint32_t)(x)) << CTIMER_MCR_MR1RL_SHIFT)) & CTIMER_MCR_MR1RL_MASK)\r
-#define CTIMER_MCR_MR2RL_MASK                    (0x4000000U)\r
-#define CTIMER_MCR_MR2RL_SHIFT                   (26U)\r
-#define CTIMER_MCR_MR2RL(x)                      (((uint32_t)(((uint32_t)(x)) << CTIMER_MCR_MR2RL_SHIFT)) & CTIMER_MCR_MR2RL_MASK)\r
-#define CTIMER_MCR_MR3RL_MASK                    (0x8000000U)\r
-#define CTIMER_MCR_MR3RL_SHIFT                   (27U)\r
-#define CTIMER_MCR_MR3RL(x)                      (((uint32_t)(((uint32_t)(x)) << CTIMER_MCR_MR3RL_SHIFT)) & CTIMER_MCR_MR3RL_MASK)\r
-/*! @} */\r
-\r
-/*! @name MR - Match Register . MR can be enabled through the MCR to reset the TC, stop both the TC and PC, and/or generate an interrupt every time MR matches the TC. */\r
-/*! @{ */\r
-#define CTIMER_MR_MATCH_MASK                     (0xFFFFFFFFU)\r
-#define CTIMER_MR_MATCH_SHIFT                    (0U)\r
-#define CTIMER_MR_MATCH(x)                       (((uint32_t)(((uint32_t)(x)) << CTIMER_MR_MATCH_SHIFT)) & CTIMER_MR_MATCH_MASK)\r
+#define CTIMER_MCR_MR0I_MASK (0x1U)\r
+#define CTIMER_MCR_MR0I_SHIFT (0U)\r
+/*! MR0I - Interrupt on MR0: an interrupt is generated when MR0 matches the value in the TC.\r
+ */\r
+#define CTIMER_MCR_MR0I(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_MCR_MR0I_SHIFT)) & CTIMER_MCR_MR0I_MASK)\r
+#define CTIMER_MCR_MR0R_MASK (0x2U)\r
+#define CTIMER_MCR_MR0R_SHIFT (1U)\r
+/*! MR0R - Reset on MR0: the TC will be reset if MR0 matches it.\r
+ */\r
+#define CTIMER_MCR_MR0R(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_MCR_MR0R_SHIFT)) & CTIMER_MCR_MR0R_MASK)\r
+#define CTIMER_MCR_MR0S_MASK (0x4U)\r
+#define CTIMER_MCR_MR0S_SHIFT (2U)\r
+/*! MR0S - Stop on MR0: the TC and PC will be stopped and TCR[0] will be set to 0 if MR0 matches the TC.\r
+ */\r
+#define CTIMER_MCR_MR0S(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_MCR_MR0S_SHIFT)) & CTIMER_MCR_MR0S_MASK)\r
+#define CTIMER_MCR_MR1I_MASK (0x8U)\r
+#define CTIMER_MCR_MR1I_SHIFT (3U)\r
+/*! MR1I - Interrupt on MR1: an interrupt is generated when MR1 matches the value in the TC.\r
+ */\r
+#define CTIMER_MCR_MR1I(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_MCR_MR1I_SHIFT)) & CTIMER_MCR_MR1I_MASK)\r
+#define CTIMER_MCR_MR1R_MASK (0x10U)\r
+#define CTIMER_MCR_MR1R_SHIFT (4U)\r
+/*! MR1R - Reset on MR1: the TC will be reset if MR1 matches it.\r
+ */\r
+#define CTIMER_MCR_MR1R(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_MCR_MR1R_SHIFT)) & CTIMER_MCR_MR1R_MASK)\r
+#define CTIMER_MCR_MR1S_MASK (0x20U)\r
+#define CTIMER_MCR_MR1S_SHIFT (5U)\r
+/*! MR1S - Stop on MR1: the TC and PC will be stopped and TCR[0] will be set to 0 if MR1 matches the TC.\r
+ */\r
+#define CTIMER_MCR_MR1S(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_MCR_MR1S_SHIFT)) & CTIMER_MCR_MR1S_MASK)\r
+#define CTIMER_MCR_MR2I_MASK (0x40U)\r
+#define CTIMER_MCR_MR2I_SHIFT (6U)\r
+/*! MR2I - Interrupt on MR2: an interrupt is generated when MR2 matches the value in the TC.\r
+ */\r
+#define CTIMER_MCR_MR2I(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_MCR_MR2I_SHIFT)) & CTIMER_MCR_MR2I_MASK)\r
+#define CTIMER_MCR_MR2R_MASK (0x80U)\r
+#define CTIMER_MCR_MR2R_SHIFT (7U)\r
+/*! MR2R - Reset on MR2: the TC will be reset if MR2 matches it.\r
+ */\r
+#define CTIMER_MCR_MR2R(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_MCR_MR2R_SHIFT)) & CTIMER_MCR_MR2R_MASK)\r
+#define CTIMER_MCR_MR2S_MASK (0x100U)\r
+#define CTIMER_MCR_MR2S_SHIFT (8U)\r
+/*! MR2S - Stop on MR2: the TC and PC will be stopped and TCR[0] will be set to 0 if MR2 matches the TC.\r
+ */\r
+#define CTIMER_MCR_MR2S(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_MCR_MR2S_SHIFT)) & CTIMER_MCR_MR2S_MASK)\r
+#define CTIMER_MCR_MR3I_MASK (0x200U)\r
+#define CTIMER_MCR_MR3I_SHIFT (9U)\r
+/*! MR3I - Interrupt on MR3: an interrupt is generated when MR3 matches the value in the TC.\r
+ */\r
+#define CTIMER_MCR_MR3I(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_MCR_MR3I_SHIFT)) & CTIMER_MCR_MR3I_MASK)\r
+#define CTIMER_MCR_MR3R_MASK (0x400U)\r
+#define CTIMER_MCR_MR3R_SHIFT (10U)\r
+/*! MR3R - Reset on MR3: the TC will be reset if MR3 matches it.\r
+ */\r
+#define CTIMER_MCR_MR3R(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_MCR_MR3R_SHIFT)) & CTIMER_MCR_MR3R_MASK)\r
+#define CTIMER_MCR_MR3S_MASK (0x800U)\r
+#define CTIMER_MCR_MR3S_SHIFT (11U)\r
+/*! MR3S - Stop on MR3: the TC and PC will be stopped and TCR[0] will be set to 0 if MR3 matches the TC.\r
+ */\r
+#define CTIMER_MCR_MR3S(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_MCR_MR3S_SHIFT)) & CTIMER_MCR_MR3S_MASK)\r
+#define CTIMER_MCR_MR0RL_MASK (0x1000000U)\r
+#define CTIMER_MCR_MR0RL_SHIFT (24U)\r
+/*! MR0RL - Reload MR0 with the contents of the Match 0 Shadow Register when the TC is reset to zero\r
+ *    (either via a match event or a write to bit 1 of the TCR).\r
+ */\r
+#define CTIMER_MCR_MR0RL(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_MCR_MR0RL_SHIFT)) & CTIMER_MCR_MR0RL_MASK)\r
+#define CTIMER_MCR_MR1RL_MASK (0x2000000U)\r
+#define CTIMER_MCR_MR1RL_SHIFT (25U)\r
+/*! MR1RL - Reload MR1 with the contents of the Match 1 Shadow Register when the TC is reset to zero\r
+ *    (either via a match event or a write to bit 1 of the TCR).\r
+ */\r
+#define CTIMER_MCR_MR1RL(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_MCR_MR1RL_SHIFT)) & CTIMER_MCR_MR1RL_MASK)\r
+#define CTIMER_MCR_MR2RL_MASK (0x4000000U)\r
+#define CTIMER_MCR_MR2RL_SHIFT (26U)\r
+/*! MR2RL - Reload MR2 with the contents of the Match 2 Shadow Register when the TC is reset to zero\r
+ *    (either via a match event or a write to bit 1 of the TCR).\r
+ */\r
+#define CTIMER_MCR_MR2RL(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_MCR_MR2RL_SHIFT)) & CTIMER_MCR_MR2RL_MASK)\r
+#define CTIMER_MCR_MR3RL_MASK (0x8000000U)\r
+#define CTIMER_MCR_MR3RL_SHIFT (27U)\r
+/*! MR3RL - Reload MR3 with the contents of the Match 3 Shadow Register when the TC is reset to zero\r
+ *    (either via a match event or a write to bit 1 of the TCR).\r
+ */\r
+#define CTIMER_MCR_MR3RL(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_MCR_MR3RL_SHIFT)) & CTIMER_MCR_MR3RL_MASK)\r
+/*! @} */\r
+\r
+/*! @name MR - Match Register . MR can be enabled through the MCR to reset the TC, stop both the TC and PC, and/or\r
+ * generate an interrupt every time MR matches the TC. */\r
+/*! @{ */\r
+#define CTIMER_MR_MATCH_MASK (0xFFFFFFFFU)\r
+#define CTIMER_MR_MATCH_SHIFT (0U)\r
+/*! MATCH - Timer counter match value.\r
+ */\r
+#define CTIMER_MR_MATCH(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_MR_MATCH_SHIFT)) & CTIMER_MR_MATCH_MASK)\r
 /*! @} */\r
 \r
 /* The count of CTIMER_MR */\r
-#define CTIMER_MR_COUNT                          (4U)\r
-\r
-/*! @name CCR - Capture Control Register. The CCR controls which edges of the capture inputs are used to load the Capture Registers and whether or not an interrupt is generated when a capture takes place. */\r
-/*! @{ */\r
-#define CTIMER_CCR_CAP0RE_MASK                   (0x1U)\r
-#define CTIMER_CCR_CAP0RE_SHIFT                  (0U)\r
-#define CTIMER_CCR_CAP0RE(x)                     (((uint32_t)(((uint32_t)(x)) << CTIMER_CCR_CAP0RE_SHIFT)) & CTIMER_CCR_CAP0RE_MASK)\r
-#define CTIMER_CCR_CAP0FE_MASK                   (0x2U)\r
-#define CTIMER_CCR_CAP0FE_SHIFT                  (1U)\r
-#define CTIMER_CCR_CAP0FE(x)                     (((uint32_t)(((uint32_t)(x)) << CTIMER_CCR_CAP0FE_SHIFT)) & CTIMER_CCR_CAP0FE_MASK)\r
-#define CTIMER_CCR_CAP0I_MASK                    (0x4U)\r
-#define CTIMER_CCR_CAP0I_SHIFT                   (2U)\r
-#define CTIMER_CCR_CAP0I(x)                      (((uint32_t)(((uint32_t)(x)) << CTIMER_CCR_CAP0I_SHIFT)) & CTIMER_CCR_CAP0I_MASK)\r
-#define CTIMER_CCR_CAP1RE_MASK                   (0x8U)\r
-#define CTIMER_CCR_CAP1RE_SHIFT                  (3U)\r
-#define CTIMER_CCR_CAP1RE(x)                     (((uint32_t)(((uint32_t)(x)) << CTIMER_CCR_CAP1RE_SHIFT)) & CTIMER_CCR_CAP1RE_MASK)\r
-#define CTIMER_CCR_CAP1FE_MASK                   (0x10U)\r
-#define CTIMER_CCR_CAP1FE_SHIFT                  (4U)\r
-#define CTIMER_CCR_CAP1FE(x)                     (((uint32_t)(((uint32_t)(x)) << CTIMER_CCR_CAP1FE_SHIFT)) & CTIMER_CCR_CAP1FE_MASK)\r
-#define CTIMER_CCR_CAP1I_MASK                    (0x20U)\r
-#define CTIMER_CCR_CAP1I_SHIFT                   (5U)\r
-#define CTIMER_CCR_CAP1I(x)                      (((uint32_t)(((uint32_t)(x)) << CTIMER_CCR_CAP1I_SHIFT)) & CTIMER_CCR_CAP1I_MASK)\r
-#define CTIMER_CCR_CAP2RE_MASK                   (0x40U)\r
-#define CTIMER_CCR_CAP2RE_SHIFT                  (6U)\r
-#define CTIMER_CCR_CAP2RE(x)                     (((uint32_t)(((uint32_t)(x)) << CTIMER_CCR_CAP2RE_SHIFT)) & CTIMER_CCR_CAP2RE_MASK)\r
-#define CTIMER_CCR_CAP2FE_MASK                   (0x80U)\r
-#define CTIMER_CCR_CAP2FE_SHIFT                  (7U)\r
-#define CTIMER_CCR_CAP2FE(x)                     (((uint32_t)(((uint32_t)(x)) << CTIMER_CCR_CAP2FE_SHIFT)) & CTIMER_CCR_CAP2FE_MASK)\r
-#define CTIMER_CCR_CAP2I_MASK                    (0x100U)\r
-#define CTIMER_CCR_CAP2I_SHIFT                   (8U)\r
-#define CTIMER_CCR_CAP2I(x)                      (((uint32_t)(((uint32_t)(x)) << CTIMER_CCR_CAP2I_SHIFT)) & CTIMER_CCR_CAP2I_MASK)\r
-#define CTIMER_CCR_CAP3RE_MASK                   (0x200U)\r
-#define CTIMER_CCR_CAP3RE_SHIFT                  (9U)\r
-#define CTIMER_CCR_CAP3RE(x)                     (((uint32_t)(((uint32_t)(x)) << CTIMER_CCR_CAP3RE_SHIFT)) & CTIMER_CCR_CAP3RE_MASK)\r
-#define CTIMER_CCR_CAP3FE_MASK                   (0x400U)\r
-#define CTIMER_CCR_CAP3FE_SHIFT                  (10U)\r
-#define CTIMER_CCR_CAP3FE(x)                     (((uint32_t)(((uint32_t)(x)) << CTIMER_CCR_CAP3FE_SHIFT)) & CTIMER_CCR_CAP3FE_MASK)\r
-#define CTIMER_CCR_CAP3I_MASK                    (0x800U)\r
-#define CTIMER_CCR_CAP3I_SHIFT                   (11U)\r
-#define CTIMER_CCR_CAP3I(x)                      (((uint32_t)(((uint32_t)(x)) << CTIMER_CCR_CAP3I_SHIFT)) & CTIMER_CCR_CAP3I_MASK)\r
+#define CTIMER_MR_COUNT (4U)\r
+\r
+/*! @name CCR - Capture Control Register. The CCR controls which edges of the capture inputs are used to load the\r
+ * Capture Registers and whether or not an interrupt is generated when a capture takes place. */\r
+/*! @{ */\r
+#define CTIMER_CCR_CAP0RE_MASK (0x1U)\r
+#define CTIMER_CCR_CAP0RE_SHIFT (0U)\r
+/*! CAP0RE - Rising edge of capture channel 0: a sequence of 0 then 1 causes CR0 to be loaded with\r
+ *    the contents of TC. 0 = disabled. 1 = enabled.\r
+ */\r
+#define CTIMER_CCR_CAP0RE(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_CCR_CAP0RE_SHIFT)) & CTIMER_CCR_CAP0RE_MASK)\r
+#define CTIMER_CCR_CAP0FE_MASK (0x2U)\r
+#define CTIMER_CCR_CAP0FE_SHIFT (1U)\r
+/*! CAP0FE - Falling edge of capture channel 0: a sequence of 1 then 0 causes CR0 to be loaded with\r
+ *    the contents of TC. 0 = disabled. 1 = enabled.\r
+ */\r
+#define CTIMER_CCR_CAP0FE(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_CCR_CAP0FE_SHIFT)) & CTIMER_CCR_CAP0FE_MASK)\r
+#define CTIMER_CCR_CAP0I_MASK (0x4U)\r
+#define CTIMER_CCR_CAP0I_SHIFT (2U)\r
+/*! CAP0I - Generate interrupt on channel 0 capture event: a CR0 load generates an interrupt.\r
+ */\r
+#define CTIMER_CCR_CAP0I(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_CCR_CAP0I_SHIFT)) & CTIMER_CCR_CAP0I_MASK)\r
+#define CTIMER_CCR_CAP1RE_MASK (0x8U)\r
+#define CTIMER_CCR_CAP1RE_SHIFT (3U)\r
+/*! CAP1RE - Rising edge of capture channel 1: a sequence of 0 then 1 causes CR1 to be loaded with\r
+ *    the contents of TC. 0 = disabled. 1 = enabled.\r
+ */\r
+#define CTIMER_CCR_CAP1RE(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_CCR_CAP1RE_SHIFT)) & CTIMER_CCR_CAP1RE_MASK)\r
+#define CTIMER_CCR_CAP1FE_MASK (0x10U)\r
+#define CTIMER_CCR_CAP1FE_SHIFT (4U)\r
+/*! CAP1FE - Falling edge of capture channel 1: a sequence of 1 then 0 causes CR1 to be loaded with\r
+ *    the contents of TC. 0 = disabled. 1 = enabled.\r
+ */\r
+#define CTIMER_CCR_CAP1FE(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_CCR_CAP1FE_SHIFT)) & CTIMER_CCR_CAP1FE_MASK)\r
+#define CTIMER_CCR_CAP1I_MASK (0x20U)\r
+#define CTIMER_CCR_CAP1I_SHIFT (5U)\r
+/*! CAP1I - Generate interrupt on channel 1 capture event: a CR1 load generates an interrupt.\r
+ */\r
+#define CTIMER_CCR_CAP1I(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_CCR_CAP1I_SHIFT)) & CTIMER_CCR_CAP1I_MASK)\r
+#define CTIMER_CCR_CAP2RE_MASK (0x40U)\r
+#define CTIMER_CCR_CAP2RE_SHIFT (6U)\r
+/*! CAP2RE - Rising edge of capture channel 2: a sequence of 0 then 1 causes CR2 to be loaded with\r
+ *    the contents of TC. 0 = disabled. 1 = enabled.\r
+ */\r
+#define CTIMER_CCR_CAP2RE(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_CCR_CAP2RE_SHIFT)) & CTIMER_CCR_CAP2RE_MASK)\r
+#define CTIMER_CCR_CAP2FE_MASK (0x80U)\r
+#define CTIMER_CCR_CAP2FE_SHIFT (7U)\r
+/*! CAP2FE - Falling edge of capture channel 2: a sequence of 1 then 0 causes CR2 to be loaded with\r
+ *    the contents of TC. 0 = disabled. 1 = enabled.\r
+ */\r
+#define CTIMER_CCR_CAP2FE(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_CCR_CAP2FE_SHIFT)) & CTIMER_CCR_CAP2FE_MASK)\r
+#define CTIMER_CCR_CAP2I_MASK (0x100U)\r
+#define CTIMER_CCR_CAP2I_SHIFT (8U)\r
+/*! CAP2I - Generate interrupt on channel 2 capture event: a CR2 load generates an interrupt.\r
+ */\r
+#define CTIMER_CCR_CAP2I(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_CCR_CAP2I_SHIFT)) & CTIMER_CCR_CAP2I_MASK)\r
+#define CTIMER_CCR_CAP3RE_MASK (0x200U)\r
+#define CTIMER_CCR_CAP3RE_SHIFT (9U)\r
+/*! CAP3RE - Rising edge of capture channel 3: a sequence of 0 then 1 causes CR3 to be loaded with\r
+ *    the contents of TC. 0 = disabled. 1 = enabled.\r
+ */\r
+#define CTIMER_CCR_CAP3RE(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_CCR_CAP3RE_SHIFT)) & CTIMER_CCR_CAP3RE_MASK)\r
+#define CTIMER_CCR_CAP3FE_MASK (0x400U)\r
+#define CTIMER_CCR_CAP3FE_SHIFT (10U)\r
+/*! CAP3FE - Falling edge of capture channel 3: a sequence of 1 then 0 causes CR3 to be loaded with\r
+ *    the contents of TC. 0 = disabled. 1 = enabled.\r
+ */\r
+#define CTIMER_CCR_CAP3FE(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_CCR_CAP3FE_SHIFT)) & CTIMER_CCR_CAP3FE_MASK)\r
+#define CTIMER_CCR_CAP3I_MASK (0x800U)\r
+#define CTIMER_CCR_CAP3I_SHIFT (11U)\r
+/*! CAP3I - Generate interrupt on channel 3 capture event: a CR3 load generates an interrupt.\r
+ */\r
+#define CTIMER_CCR_CAP3I(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_CCR_CAP3I_SHIFT)) & CTIMER_CCR_CAP3I_MASK)\r
 /*! @} */\r
 \r
 /*! @name CR - Capture Register . CR is loaded with the value of TC when there is an event on the CAPn. input. */\r
 /*! @{ */\r
-#define CTIMER_CR_CAP_MASK                       (0xFFFFFFFFU)\r
-#define CTIMER_CR_CAP_SHIFT                      (0U)\r
-#define CTIMER_CR_CAP(x)                         (((uint32_t)(((uint32_t)(x)) << CTIMER_CR_CAP_SHIFT)) & CTIMER_CR_CAP_MASK)\r
+#define CTIMER_CR_CAP_MASK (0xFFFFFFFFU)\r
+#define CTIMER_CR_CAP_SHIFT (0U)\r
+/*! CAP - Timer counter capture value.\r
+ */\r
+#define CTIMER_CR_CAP(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_CR_CAP_SHIFT)) & CTIMER_CR_CAP_MASK)\r
 /*! @} */\r
 \r
 /* The count of CTIMER_CR */\r
-#define CTIMER_CR_COUNT                          (4U)\r
+#define CTIMER_CR_COUNT (4U)\r
 \r
 /*! @name EMR - External Match Register. The EMR controls the match function and the external match pins. */\r
 /*! @{ */\r
-#define CTIMER_EMR_EM0_MASK                      (0x1U)\r
-#define CTIMER_EMR_EM0_SHIFT                     (0U)\r
-#define CTIMER_EMR_EM0(x)                        (((uint32_t)(((uint32_t)(x)) << CTIMER_EMR_EM0_SHIFT)) & CTIMER_EMR_EM0_MASK)\r
-#define CTIMER_EMR_EM1_MASK                      (0x2U)\r
-#define CTIMER_EMR_EM1_SHIFT                     (1U)\r
-#define CTIMER_EMR_EM1(x)                        (((uint32_t)(((uint32_t)(x)) << CTIMER_EMR_EM1_SHIFT)) & CTIMER_EMR_EM1_MASK)\r
-#define CTIMER_EMR_EM2_MASK                      (0x4U)\r
-#define CTIMER_EMR_EM2_SHIFT                     (2U)\r
-#define CTIMER_EMR_EM2(x)                        (((uint32_t)(((uint32_t)(x)) << CTIMER_EMR_EM2_SHIFT)) & CTIMER_EMR_EM2_MASK)\r
-#define CTIMER_EMR_EM3_MASK                      (0x8U)\r
-#define CTIMER_EMR_EM3_SHIFT                     (3U)\r
-#define CTIMER_EMR_EM3(x)                        (((uint32_t)(((uint32_t)(x)) << CTIMER_EMR_EM3_SHIFT)) & CTIMER_EMR_EM3_MASK)\r
-#define CTIMER_EMR_EMC0_MASK                     (0x30U)\r
-#define CTIMER_EMR_EMC0_SHIFT                    (4U)\r
+#define CTIMER_EMR_EM0_MASK (0x1U)\r
+#define CTIMER_EMR_EM0_SHIFT (0U)\r
+/*! EM0 - External Match 0. This bit reflects the state of output MAT0, whether or not this output\r
+ *    is connected to a pin. When a match occurs between the TC and MR0, this bit can either toggle,\r
+ *    go LOW, go HIGH, or do nothing, as selected by EMR[5:4]. This bit is driven to the MAT pins if\r
+ *    the match function is selected via IOCON. 0 = LOW. 1 = HIGH.\r
+ */\r
+#define CTIMER_EMR_EM0(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_EMR_EM0_SHIFT)) & CTIMER_EMR_EM0_MASK)\r
+#define CTIMER_EMR_EM1_MASK (0x2U)\r
+#define CTIMER_EMR_EM1_SHIFT (1U)\r
+/*! EM1 - External Match 1. This bit reflects the state of output MAT1, whether or not this output\r
+ *    is connected to a pin. When a match occurs between the TC and MR1, this bit can either toggle,\r
+ *    go LOW, go HIGH, or do nothing, as selected by EMR[7:6]. This bit is driven to the MAT pins if\r
+ *    the match function is selected via IOCON. 0 = LOW. 1 = HIGH.\r
+ */\r
+#define CTIMER_EMR_EM1(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_EMR_EM1_SHIFT)) & CTIMER_EMR_EM1_MASK)\r
+#define CTIMER_EMR_EM2_MASK (0x4U)\r
+#define CTIMER_EMR_EM2_SHIFT (2U)\r
+/*! EM2 - External Match 2. This bit reflects the state of output MAT2, whether or not this output\r
+ *    is connected to a pin. When a match occurs between the TC and MR2, this bit can either toggle,\r
+ *    go LOW, go HIGH, or do nothing, as selected by EMR[9:8]. This bit is driven to the MAT pins if\r
+ *    the match function is selected via IOCON. 0 = LOW. 1 = HIGH.\r
+ */\r
+#define CTIMER_EMR_EM2(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_EMR_EM2_SHIFT)) & CTIMER_EMR_EM2_MASK)\r
+#define CTIMER_EMR_EM3_MASK (0x8U)\r
+#define CTIMER_EMR_EM3_SHIFT (3U)\r
+/*! EM3 - External Match 3. This bit reflects the state of output MAT3, whether or not this output\r
+ *    is connected to a pin. When a match occurs between the TC and MR3, this bit can either toggle,\r
+ *    go LOW, go HIGH, or do nothing, as selected by MR[11:10]. This bit is driven to the MAT pins\r
+ *    if the match function is selected via IOCON. 0 = LOW. 1 = HIGH.\r
+ */\r
+#define CTIMER_EMR_EM3(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_EMR_EM3_SHIFT)) & CTIMER_EMR_EM3_MASK)\r
+#define CTIMER_EMR_EMC0_MASK (0x30U)\r
+#define CTIMER_EMR_EMC0_SHIFT (4U)\r
 /*! EMC0 - External Match Control 0. Determines the functionality of External Match 0.\r
  *  0b00..Do Nothing.\r
  *  0b01..Clear. Clear the corresponding External Match bit/output to 0 (MAT0 pin is LOW if pinned out).\r
  *  0b10..Set. Set the corresponding External Match bit/output to 1 (MAT0 pin is HIGH if pinned out).\r
  *  0b11..Toggle. Toggle the corresponding External Match bit/output.\r
  */\r
-#define CTIMER_EMR_EMC0(x)                       (((uint32_t)(((uint32_t)(x)) << CTIMER_EMR_EMC0_SHIFT)) & CTIMER_EMR_EMC0_MASK)\r
-#define CTIMER_EMR_EMC1_MASK                     (0xC0U)\r
-#define CTIMER_EMR_EMC1_SHIFT                    (6U)\r
+#define CTIMER_EMR_EMC0(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_EMR_EMC0_SHIFT)) & CTIMER_EMR_EMC0_MASK)\r
+#define CTIMER_EMR_EMC1_MASK (0xC0U)\r
+#define CTIMER_EMR_EMC1_SHIFT (6U)\r
 /*! EMC1 - External Match Control 1. Determines the functionality of External Match 1.\r
  *  0b00..Do Nothing.\r
  *  0b01..Clear. Clear the corresponding External Match bit/output to 0 (MAT1 pin is LOW if pinned out).\r
  *  0b10..Set. Set the corresponding External Match bit/output to 1 (MAT1 pin is HIGH if pinned out).\r
  *  0b11..Toggle. Toggle the corresponding External Match bit/output.\r
  */\r
-#define CTIMER_EMR_EMC1(x)                       (((uint32_t)(((uint32_t)(x)) << CTIMER_EMR_EMC1_SHIFT)) & CTIMER_EMR_EMC1_MASK)\r
-#define CTIMER_EMR_EMC2_MASK                     (0x300U)\r
-#define CTIMER_EMR_EMC2_SHIFT                    (8U)\r
+#define CTIMER_EMR_EMC1(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_EMR_EMC1_SHIFT)) & CTIMER_EMR_EMC1_MASK)\r
+#define CTIMER_EMR_EMC2_MASK (0x300U)\r
+#define CTIMER_EMR_EMC2_SHIFT (8U)\r
 /*! EMC2 - External Match Control 2. Determines the functionality of External Match 2.\r
  *  0b00..Do Nothing.\r
  *  0b01..Clear. Clear the corresponding External Match bit/output to 0 (MAT2 pin is LOW if pinned out).\r
  *  0b10..Set. Set the corresponding External Match bit/output to 1 (MAT2 pin is HIGH if pinned out).\r
  *  0b11..Toggle. Toggle the corresponding External Match bit/output.\r
  */\r
-#define CTIMER_EMR_EMC2(x)                       (((uint32_t)(((uint32_t)(x)) << CTIMER_EMR_EMC2_SHIFT)) & CTIMER_EMR_EMC2_MASK)\r
-#define CTIMER_EMR_EMC3_MASK                     (0xC00U)\r
-#define CTIMER_EMR_EMC3_SHIFT                    (10U)\r
+#define CTIMER_EMR_EMC2(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_EMR_EMC2_SHIFT)) & CTIMER_EMR_EMC2_MASK)\r
+#define CTIMER_EMR_EMC3_MASK (0xC00U)\r
+#define CTIMER_EMR_EMC3_SHIFT (10U)\r
 /*! EMC3 - External Match Control 3. Determines the functionality of External Match 3.\r
  *  0b00..Do Nothing.\r
  *  0b01..Clear. Clear the corresponding External Match bit/output to 0 (MAT3 pin is LOW if pinned out).\r
  *  0b10..Set. Set the corresponding External Match bit/output to 1 (MAT3 pin is HIGH if pinned out).\r
  *  0b11..Toggle. Toggle the corresponding External Match bit/output.\r
  */\r
-#define CTIMER_EMR_EMC3(x)                       (((uint32_t)(((uint32_t)(x)) << CTIMER_EMR_EMC3_SHIFT)) & CTIMER_EMR_EMC3_MASK)\r
+#define CTIMER_EMR_EMC3(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_EMR_EMC3_SHIFT)) & CTIMER_EMR_EMC3_MASK)\r
 /*! @} */\r
 \r
-/*! @name CTCR - Count Control Register. The CTCR selects between Timer and Counter mode, and in Counter mode selects the signal and edge(s) for counting. */\r
+/*! @name CTCR - Count Control Register. The CTCR selects between Timer and Counter mode, and in Counter mode selects\r
+ * the signal and edge(s) for counting. */\r
 /*! @{ */\r
-#define CTIMER_CTCR_CTMODE_MASK                  (0x3U)\r
-#define CTIMER_CTCR_CTMODE_SHIFT                 (0U)\r
-/*! CTMODE - Counter/Timer Mode This field selects which rising APB bus clock edges can increment Timer's Prescale Counter (PC), or clear PC and increment Timer Counter (TC). Timer Mode: the TC is incremented when the Prescale Counter matches the Prescale Register.\r
+#define CTIMER_CTCR_CTMODE_MASK (0x3U)\r
+#define CTIMER_CTCR_CTMODE_SHIFT (0U)\r
+/*! CTMODE - Counter/Timer Mode This field selects which rising APB bus clock edges can increment\r
+ *    Timer's Prescale Counter (PC), or clear PC and increment Timer Counter (TC). Timer Mode: the TC\r
+ *    is incremented when the Prescale Counter matches the Prescale Register.\r
  *  0b00..Timer Mode. Incremented every rising APB bus clock edge.\r
  *  0b01..Counter Mode rising edge. TC is incremented on rising edges on the CAP input selected by bits 3:2.\r
  *  0b10..Counter Mode falling edge. TC is incremented on falling edges on the CAP input selected by bits 3:2.\r
  *  0b11..Counter Mode dual edge. TC is incremented on both edges on the CAP input selected by bits 3:2.\r
  */\r
-#define CTIMER_CTCR_CTMODE(x)                    (((uint32_t)(((uint32_t)(x)) << CTIMER_CTCR_CTMODE_SHIFT)) & CTIMER_CTCR_CTMODE_MASK)\r
-#define CTIMER_CTCR_CINSEL_MASK                  (0xCU)\r
-#define CTIMER_CTCR_CINSEL_SHIFT                 (2U)\r
-/*! CINSEL - Count Input Select When bits 1:0 in this register are not 00, these bits select which CAP pin is sampled for clocking. Note: If Counter mode is selected for a particular CAPn input in the CTCR, the 3 bits for that input in the Capture Control Register (CCR) must be programmed as 000. However, capture and/or interrupt can be selected for the other 3 CAPn inputs in the same timer.\r
+#define CTIMER_CTCR_CTMODE(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_CTCR_CTMODE_SHIFT)) & CTIMER_CTCR_CTMODE_MASK)\r
+#define CTIMER_CTCR_CINSEL_MASK (0xCU)\r
+#define CTIMER_CTCR_CINSEL_SHIFT (2U)\r
+/*! CINSEL - Count Input Select When bits 1:0 in this register are not 00, these bits select which\r
+ *    CAP pin is sampled for clocking. Note: If Counter mode is selected for a particular CAPn input\r
+ *    in the CTCR, the 3 bits for that input in the Capture Control Register (CCR) must be\r
+ *    programmed as 000. However, capture and/or interrupt can be selected for the other 3 CAPn inputs in the\r
+ *    same timer.\r
  *  0b00..Channel 0. CAPn.0 for CTIMERn\r
  *  0b01..Channel 1. CAPn.1 for CTIMERn\r
  *  0b10..Channel 2. CAPn.2 for CTIMERn\r
  *  0b11..Channel 3. CAPn.3 for CTIMERn\r
  */\r
-#define CTIMER_CTCR_CINSEL(x)                    (((uint32_t)(((uint32_t)(x)) << CTIMER_CTCR_CINSEL_SHIFT)) & CTIMER_CTCR_CINSEL_MASK)\r
-#define CTIMER_CTCR_ENCC_MASK                    (0x10U)\r
-#define CTIMER_CTCR_ENCC_SHIFT                   (4U)\r
-#define CTIMER_CTCR_ENCC(x)                      (((uint32_t)(((uint32_t)(x)) << CTIMER_CTCR_ENCC_SHIFT)) & CTIMER_CTCR_ENCC_MASK)\r
-#define CTIMER_CTCR_SELCC_MASK                   (0xE0U)\r
-#define CTIMER_CTCR_SELCC_SHIFT                  (5U)\r
-/*! SELCC - Edge select. When bit 4 is 1, these bits select which capture input edge will cause the timer and prescaler to be cleared. These bits have no effect when bit 4 is low. Values 0x2 to 0x3 and 0x6 to 0x7 are reserved.\r
+#define CTIMER_CTCR_CINSEL(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_CTCR_CINSEL_SHIFT)) & CTIMER_CTCR_CINSEL_MASK)\r
+#define CTIMER_CTCR_ENCC_MASK (0x10U)\r
+#define CTIMER_CTCR_ENCC_SHIFT (4U)\r
+/*! ENCC - Setting this bit to 1 enables clearing of the timer and the prescaler when the\r
+ *    capture-edge event specified in bits 7:5 occurs.\r
+ */\r
+#define CTIMER_CTCR_ENCC(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_CTCR_ENCC_SHIFT)) & CTIMER_CTCR_ENCC_MASK)\r
+#define CTIMER_CTCR_SELCC_MASK (0xE0U)\r
+#define CTIMER_CTCR_SELCC_SHIFT (5U)\r
+/*! SELCC - Edge select. When bit 4 is 1, these bits select which capture input edge will cause the\r
+ *    timer and prescaler to be cleared. These bits have no effect when bit 4 is low. Values 0x2 to\r
+ *    0x3 and 0x6 to 0x7 are reserved.\r
  *  0b000..Channel 0 Rising Edge. Rising edge of the signal on capture channel 0 clears the timer (if bit 4 is set).\r
  *  0b001..Channel 0 Falling Edge. Falling edge of the signal on capture channel 0 clears the timer (if bit 4 is set).\r
  *  0b010..Channel 1 Rising Edge. Rising edge of the signal on capture channel 1 clears the timer (if bit 4 is set).\r
@@ -5161,250 +6723,314 @@ typedef struct {
  *  0b100..Channel 2 Rising Edge. Rising edge of the signal on capture channel 2 clears the timer (if bit 4 is set).\r
  *  0b101..Channel 2 Falling Edge. Falling edge of the signal on capture channel 2 clears the timer (if bit 4 is set).\r
  */\r
-#define CTIMER_CTCR_SELCC(x)                     (((uint32_t)(((uint32_t)(x)) << CTIMER_CTCR_SELCC_SHIFT)) & CTIMER_CTCR_SELCC_MASK)\r
+#define CTIMER_CTCR_SELCC(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_CTCR_SELCC_SHIFT)) & CTIMER_CTCR_SELCC_MASK)\r
 /*! @} */\r
 \r
 /*! @name PWMC - PWM Control Register. This register enables PWM mode for the external match pins. */\r
 /*! @{ */\r
-#define CTIMER_PWMC_PWMEN0_MASK                  (0x1U)\r
-#define CTIMER_PWMC_PWMEN0_SHIFT                 (0U)\r
+#define CTIMER_PWMC_PWMEN0_MASK (0x1U)\r
+#define CTIMER_PWMC_PWMEN0_SHIFT (0U)\r
 /*! PWMEN0 - PWM mode enable for channel0.\r
  *  0b0..Match. CTIMERn_MAT0 is controlled by EM0.\r
  *  0b1..PWM. PWM mode is enabled for CTIMERn_MAT0.\r
  */\r
-#define CTIMER_PWMC_PWMEN0(x)                    (((uint32_t)(((uint32_t)(x)) << CTIMER_PWMC_PWMEN0_SHIFT)) & CTIMER_PWMC_PWMEN0_MASK)\r
-#define CTIMER_PWMC_PWMEN1_MASK                  (0x2U)\r
-#define CTIMER_PWMC_PWMEN1_SHIFT                 (1U)\r
+#define CTIMER_PWMC_PWMEN0(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_PWMC_PWMEN0_SHIFT)) & CTIMER_PWMC_PWMEN0_MASK)\r
+#define CTIMER_PWMC_PWMEN1_MASK (0x2U)\r
+#define CTIMER_PWMC_PWMEN1_SHIFT (1U)\r
 /*! PWMEN1 - PWM mode enable for channel1.\r
  *  0b0..Match. CTIMERn_MAT01 is controlled by EM1.\r
  *  0b1..PWM. PWM mode is enabled for CTIMERn_MAT1.\r
  */\r
-#define CTIMER_PWMC_PWMEN1(x)                    (((uint32_t)(((uint32_t)(x)) << CTIMER_PWMC_PWMEN1_SHIFT)) & CTIMER_PWMC_PWMEN1_MASK)\r
-#define CTIMER_PWMC_PWMEN2_MASK                  (0x4U)\r
-#define CTIMER_PWMC_PWMEN2_SHIFT                 (2U)\r
+#define CTIMER_PWMC_PWMEN1(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_PWMC_PWMEN1_SHIFT)) & CTIMER_PWMC_PWMEN1_MASK)\r
+#define CTIMER_PWMC_PWMEN2_MASK (0x4U)\r
+#define CTIMER_PWMC_PWMEN2_SHIFT (2U)\r
 /*! PWMEN2 - PWM mode enable for channel2.\r
  *  0b0..Match. CTIMERn_MAT2 is controlled by EM2.\r
  *  0b1..PWM. PWM mode is enabled for CTIMERn_MAT2.\r
  */\r
-#define CTIMER_PWMC_PWMEN2(x)                    (((uint32_t)(((uint32_t)(x)) << CTIMER_PWMC_PWMEN2_SHIFT)) & CTIMER_PWMC_PWMEN2_MASK)\r
-#define CTIMER_PWMC_PWMEN3_MASK                  (0x8U)\r
-#define CTIMER_PWMC_PWMEN3_SHIFT                 (3U)\r
+#define CTIMER_PWMC_PWMEN2(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_PWMC_PWMEN2_SHIFT)) & CTIMER_PWMC_PWMEN2_MASK)\r
+#define CTIMER_PWMC_PWMEN3_MASK (0x8U)\r
+#define CTIMER_PWMC_PWMEN3_SHIFT (3U)\r
 /*! PWMEN3 - PWM mode enable for channel3. Note: It is recommended to use match channel 3 to set the PWM cycle.\r
  *  0b0..Match. CTIMERn_MAT3 is controlled by EM3.\r
  *  0b1..PWM. PWM mode is enabled for CT132Bn_MAT3.\r
  */\r
-#define CTIMER_PWMC_PWMEN3(x)                    (((uint32_t)(((uint32_t)(x)) << CTIMER_PWMC_PWMEN3_SHIFT)) & CTIMER_PWMC_PWMEN3_MASK)\r
+#define CTIMER_PWMC_PWMEN3(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_PWMC_PWMEN3_SHIFT)) & CTIMER_PWMC_PWMEN3_MASK)\r
 /*! @} */\r
 \r
 /*! @name MSR - Match Shadow Register */\r
 /*! @{ */\r
-#define CTIMER_MSR_SHADOWW_MASK                  (0xFFFFFFFFU)\r
-#define CTIMER_MSR_SHADOWW_SHIFT                 (0U)\r
-#define CTIMER_MSR_SHADOWW(x)                    (((uint32_t)(((uint32_t)(x)) << CTIMER_MSR_SHADOWW_SHIFT)) & CTIMER_MSR_SHADOWW_MASK)\r
+#define CTIMER_MSR_SHADOW_MASK (0xFFFFFFFFU)\r
+#define CTIMER_MSR_SHADOW_SHIFT (0U)\r
+/*! SHADOW - Timer counter match shadow value.\r
+ */\r
+#define CTIMER_MSR_SHADOW(x) (((uint32_t)(((uint32_t)(x)) << CTIMER_MSR_SHADOW_SHIFT)) & CTIMER_MSR_SHADOW_MASK)\r
 /*! @} */\r
 \r
 /* The count of CTIMER_MSR */\r
-#define CTIMER_MSR_COUNT                         (4U)\r
-\r
+#define CTIMER_MSR_COUNT (4U)\r
 \r
 /*!\r
  * @}\r
  */ /* end of group CTIMER_Register_Masks */\r
 \r
-\r
 /* CTIMER - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral CTIMER0 base address */\r
-  #define CTIMER0_BASE                             (0x50008000u)\r
-  /** Peripheral CTIMER0 base address */\r
-  #define CTIMER0_BASE_NS                          (0x40008000u)\r
-  /** Peripheral CTIMER0 base pointer */\r
-  #define CTIMER0                                  ((CTIMER_Type *)CTIMER0_BASE)\r
-  /** Peripheral CTIMER0 base pointer */\r
-  #define CTIMER0_NS                               ((CTIMER_Type *)CTIMER0_BASE_NS)\r
-  /** Peripheral CTIMER1 base address */\r
-  #define CTIMER1_BASE                             (0x50009000u)\r
-  /** Peripheral CTIMER1 base address */\r
-  #define CTIMER1_BASE_NS                          (0x40009000u)\r
-  /** Peripheral CTIMER1 base pointer */\r
-  #define CTIMER1                                  ((CTIMER_Type *)CTIMER1_BASE)\r
-  /** Peripheral CTIMER1 base pointer */\r
-  #define CTIMER1_NS                               ((CTIMER_Type *)CTIMER1_BASE_NS)\r
-  /** Peripheral CTIMER2 base address */\r
-  #define CTIMER2_BASE                             (0x50028000u)\r
-  /** Peripheral CTIMER2 base address */\r
-  #define CTIMER2_BASE_NS                          (0x40028000u)\r
-  /** Peripheral CTIMER2 base pointer */\r
-  #define CTIMER2                                  ((CTIMER_Type *)CTIMER2_BASE)\r
-  /** Peripheral CTIMER2 base pointer */\r
-  #define CTIMER2_NS                               ((CTIMER_Type *)CTIMER2_BASE_NS)\r
-  /** Peripheral CTIMER3 base address */\r
-  #define CTIMER3_BASE                             (0x50029000u)\r
-  /** Peripheral CTIMER3 base address */\r
-  #define CTIMER3_BASE_NS                          (0x40029000u)\r
-  /** Peripheral CTIMER3 base pointer */\r
-  #define CTIMER3                                  ((CTIMER_Type *)CTIMER3_BASE)\r
-  /** Peripheral CTIMER3 base pointer */\r
-  #define CTIMER3_NS                               ((CTIMER_Type *)CTIMER3_BASE_NS)\r
-  /** Peripheral CTIMER4 base address */\r
-  #define CTIMER4_BASE                             (0x5002A000u)\r
-  /** Peripheral CTIMER4 base address */\r
-  #define CTIMER4_BASE_NS                          (0x4002A000u)\r
-  /** Peripheral CTIMER4 base pointer */\r
-  #define CTIMER4                                  ((CTIMER_Type *)CTIMER4_BASE)\r
-  /** Peripheral CTIMER4 base pointer */\r
-  #define CTIMER4_NS                               ((CTIMER_Type *)CTIMER4_BASE_NS)\r
-  /** Array initializer of CTIMER peripheral base addresses */\r
-  #define CTIMER_BASE_ADDRS                        { CTIMER0_BASE, CTIMER1_BASE, CTIMER2_BASE, CTIMER3_BASE, CTIMER4_BASE }\r
-  /** Array initializer of CTIMER peripheral base pointers */\r
-  #define CTIMER_BASE_PTRS                         { CTIMER0, CTIMER1, CTIMER2, CTIMER3, CTIMER4 }\r
-  /** Array initializer of CTIMER peripheral base addresses */\r
-  #define CTIMER_BASE_ADDRS_NS                     { CTIMER0_BASE_NS, CTIMER1_BASE_NS, CTIMER2_BASE_NS, CTIMER3_BASE_NS, CTIMER4_BASE_NS }\r
-  /** Array initializer of CTIMER peripheral base pointers */\r
-  #define CTIMER_BASE_PTRS_NS                      { CTIMER0_NS, CTIMER1_NS, CTIMER2_NS, CTIMER3_NS, CTIMER4_NS }\r
+/** Peripheral CTIMER0 base address */\r
+#define CTIMER0_BASE (0x50008000u)\r
+/** Peripheral CTIMER0 base address */\r
+#define CTIMER0_BASE_NS (0x40008000u)\r
+/** Peripheral CTIMER0 base pointer */\r
+#define CTIMER0 ((CTIMER_Type *)CTIMER0_BASE)\r
+/** Peripheral CTIMER0 base pointer */\r
+#define CTIMER0_NS ((CTIMER_Type *)CTIMER0_BASE_NS)\r
+/** Peripheral CTIMER1 base address */\r
+#define CTIMER1_BASE (0x50009000u)\r
+/** Peripheral CTIMER1 base address */\r
+#define CTIMER1_BASE_NS (0x40009000u)\r
+/** Peripheral CTIMER1 base pointer */\r
+#define CTIMER1 ((CTIMER_Type *)CTIMER1_BASE)\r
+/** Peripheral CTIMER1 base pointer */\r
+#define CTIMER1_NS ((CTIMER_Type *)CTIMER1_BASE_NS)\r
+/** Peripheral CTIMER2 base address */\r
+#define CTIMER2_BASE (0x50028000u)\r
+/** Peripheral CTIMER2 base address */\r
+#define CTIMER2_BASE_NS (0x40028000u)\r
+/** Peripheral CTIMER2 base pointer */\r
+#define CTIMER2 ((CTIMER_Type *)CTIMER2_BASE)\r
+/** Peripheral CTIMER2 base pointer */\r
+#define CTIMER2_NS ((CTIMER_Type *)CTIMER2_BASE_NS)\r
+/** Peripheral CTIMER3 base address */\r
+#define CTIMER3_BASE (0x50029000u)\r
+/** Peripheral CTIMER3 base address */\r
+#define CTIMER3_BASE_NS (0x40029000u)\r
+/** Peripheral CTIMER3 base pointer */\r
+#define CTIMER3 ((CTIMER_Type *)CTIMER3_BASE)\r
+/** Peripheral CTIMER3 base pointer */\r
+#define CTIMER3_NS ((CTIMER_Type *)CTIMER3_BASE_NS)\r
+/** Peripheral CTIMER4 base address */\r
+#define CTIMER4_BASE (0x5002A000u)\r
+/** Peripheral CTIMER4 base address */\r
+#define CTIMER4_BASE_NS (0x4002A000u)\r
+/** Peripheral CTIMER4 base pointer */\r
+#define CTIMER4 ((CTIMER_Type *)CTIMER4_BASE)\r
+/** Peripheral CTIMER4 base pointer */\r
+#define CTIMER4_NS ((CTIMER_Type *)CTIMER4_BASE_NS)\r
+/** Array initializer of CTIMER peripheral base addresses */\r
+#define CTIMER_BASE_ADDRS                                                    \\r
+    {                                                                        \\r
+        CTIMER0_BASE, CTIMER1_BASE, CTIMER2_BASE, CTIMER3_BASE, CTIMER4_BASE \\r
+    }\r
+/** Array initializer of CTIMER peripheral base pointers */\r
+#define CTIMER_BASE_PTRS                            \\r
+    {                                               \\r
+        CTIMER0, CTIMER1, CTIMER2, CTIMER3, CTIMER4 \\r
+    }\r
+/** Array initializer of CTIMER peripheral base addresses */\r
+#define CTIMER_BASE_ADDRS_NS                                                                \\r
+    {                                                                                       \\r
+        CTIMER0_BASE_NS, CTIMER1_BASE_NS, CTIMER2_BASE_NS, CTIMER3_BASE_NS, CTIMER4_BASE_NS \\r
+    }\r
+/** Array initializer of CTIMER peripheral base pointers */\r
+#define CTIMER_BASE_PTRS_NS                                        \\r
+    {                                                              \\r
+        CTIMER0_NS, CTIMER1_NS, CTIMER2_NS, CTIMER3_NS, CTIMER4_NS \\r
+    }\r
 #else\r
-  /** Peripheral CTIMER0 base address */\r
-  #define CTIMER0_BASE                             (0x40008000u)\r
-  /** Peripheral CTIMER0 base pointer */\r
-  #define CTIMER0                                  ((CTIMER_Type *)CTIMER0_BASE)\r
-  /** Peripheral CTIMER1 base address */\r
-  #define CTIMER1_BASE                             (0x40009000u)\r
-  /** Peripheral CTIMER1 base pointer */\r
-  #define CTIMER1                                  ((CTIMER_Type *)CTIMER1_BASE)\r
-  /** Peripheral CTIMER2 base address */\r
-  #define CTIMER2_BASE                             (0x40028000u)\r
-  /** Peripheral CTIMER2 base pointer */\r
-  #define CTIMER2                                  ((CTIMER_Type *)CTIMER2_BASE)\r
-  /** Peripheral CTIMER3 base address */\r
-  #define CTIMER3_BASE                             (0x40029000u)\r
-  /** Peripheral CTIMER3 base pointer */\r
-  #define CTIMER3                                  ((CTIMER_Type *)CTIMER3_BASE)\r
-  /** Peripheral CTIMER4 base address */\r
-  #define CTIMER4_BASE                             (0x4002A000u)\r
-  /** Peripheral CTIMER4 base pointer */\r
-  #define CTIMER4                                  ((CTIMER_Type *)CTIMER4_BASE)\r
-  /** Array initializer of CTIMER peripheral base addresses */\r
-  #define CTIMER_BASE_ADDRS                        { CTIMER0_BASE, CTIMER1_BASE, CTIMER2_BASE, CTIMER3_BASE, CTIMER4_BASE }\r
-  /** Array initializer of CTIMER peripheral base pointers */\r
-  #define CTIMER_BASE_PTRS                         { CTIMER0, CTIMER1, CTIMER2, CTIMER3, CTIMER4 }\r
+/** Peripheral CTIMER0 base address */\r
+#define CTIMER0_BASE (0x40008000u)\r
+/** Peripheral CTIMER0 base pointer */\r
+#define CTIMER0 ((CTIMER_Type *)CTIMER0_BASE)\r
+/** Peripheral CTIMER1 base address */\r
+#define CTIMER1_BASE (0x40009000u)\r
+/** Peripheral CTIMER1 base pointer */\r
+#define CTIMER1 ((CTIMER_Type *)CTIMER1_BASE)\r
+/** Peripheral CTIMER2 base address */\r
+#define CTIMER2_BASE (0x40028000u)\r
+/** Peripheral CTIMER2 base pointer */\r
+#define CTIMER2 ((CTIMER_Type *)CTIMER2_BASE)\r
+/** Peripheral CTIMER3 base address */\r
+#define CTIMER3_BASE (0x40029000u)\r
+/** Peripheral CTIMER3 base pointer */\r
+#define CTIMER3 ((CTIMER_Type *)CTIMER3_BASE)\r
+/** Peripheral CTIMER4 base address */\r
+#define CTIMER4_BASE (0x4002A000u)\r
+/** Peripheral CTIMER4 base pointer */\r
+#define CTIMER4 ((CTIMER_Type *)CTIMER4_BASE)\r
+/** Array initializer of CTIMER peripheral base addresses */\r
+#define CTIMER_BASE_ADDRS                                                    \\r
+    {                                                                        \\r
+        CTIMER0_BASE, CTIMER1_BASE, CTIMER2_BASE, CTIMER3_BASE, CTIMER4_BASE \\r
+    }\r
+/** Array initializer of CTIMER peripheral base pointers */\r
+#define CTIMER_BASE_PTRS                            \\r
+    {                                               \\r
+        CTIMER0, CTIMER1, CTIMER2, CTIMER3, CTIMER4 \\r
+    }\r
 #endif\r
 /** Interrupt vectors for the CTIMER peripheral type */\r
-#define CTIMER_IRQS                              { CTIMER0_IRQn, CTIMER1_IRQn, CTIMER2_IRQn, CTIMER3_IRQn, CTIMER4_IRQn }\r
+#define CTIMER_IRQS                                                          \\r
+    {                                                                        \\r
+        CTIMER0_IRQn, CTIMER1_IRQn, CTIMER2_IRQn, CTIMER3_IRQn, CTIMER4_IRQn \\r
+    }\r
 \r
 /*!\r
  * @}\r
  */ /* end of group CTIMER_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
-   -- DGBMAILBOX Peripheral Access Layer\r
+   -- DBGMAILBOX Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
 \r
 /*!\r
- * @addtogroup DGBMAILBOX_Peripheral_Access_Layer DGBMAILBOX Peripheral Access Layer\r
+ * @addtogroup DBGMAILBOX_Peripheral_Access_Layer DBGMAILBOX Peripheral Access Layer\r
  * @{\r
  */\r
 \r
-/** DGBMAILBOX - Register Layout Typedef */\r
-typedef struct {\r
-  __IO uint32_t CSW;                               /**< CRC mode register, offset: 0x0 */\r
-  __IO uint32_t REQUEST;                           /**< CRC seed register, offset: 0x4 */\r
-  __IO uint32_t RETURN;                            /**< Return value from ROM., offset: 0x8 */\r
-       uint8_t RESERVED_0[240];\r
-  __I  uint32_t ID;                                /**< Identification register, offset: 0xFC */\r
-} DGBMAILBOX_Type;\r
+/** DBGMAILBOX - Register Layout Typedef */\r
+typedef struct\r
+{\r
+    __IO uint32_t CSW;     /**< CRC mode register, offset: 0x0 */\r
+    __IO uint32_t REQUEST; /**< CRC seed register, offset: 0x4 */\r
+    __IO uint32_t RETURN;  /**< Return value from ROM., offset: 0x8 */\r
+    uint8_t RESERVED_0[240];\r
+    __I uint32_t ID; /**< Identification register, offset: 0xFC */\r
+} DBGMAILBOX_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
-   -- DGBMAILBOX Register Masks\r
+   -- DBGMAILBOX Register Masks\r
    ---------------------------------------------------------------------------- */\r
 \r
 /*!\r
- * @addtogroup DGBMAILBOX_Register_Masks DGBMAILBOX Register Masks\r
+ * @addtogroup DBGMAILBOX_Register_Masks DBGMAILBOX Register Masks\r
  * @{\r
  */\r
 \r
 /*! @name CSW - CRC mode register */\r
 /*! @{ */\r
-#define DGBMAILBOX_CSW_RESYNCH_REQ_MASK          (0x1U)\r
-#define DGBMAILBOX_CSW_RESYNCH_REQ_SHIFT         (0U)\r
-#define DGBMAILBOX_CSW_RESYNCH_REQ(x)            (((uint32_t)(((uint32_t)(x)) << DGBMAILBOX_CSW_RESYNCH_REQ_SHIFT)) & DGBMAILBOX_CSW_RESYNCH_REQ_MASK)\r
-#define DGBMAILBOX_CSW_REQ_PENDING_MASK          (0x2U)\r
-#define DGBMAILBOX_CSW_REQ_PENDING_SHIFT         (1U)\r
-#define DGBMAILBOX_CSW_REQ_PENDING(x)            (((uint32_t)(((uint32_t)(x)) << DGBMAILBOX_CSW_REQ_PENDING_SHIFT)) & DGBMAILBOX_CSW_REQ_PENDING_MASK)\r
-#define DGBMAILBOX_CSW_DBG_OR_ERR_MASK           (0x4U)\r
-#define DGBMAILBOX_CSW_DBG_OR_ERR_SHIFT          (2U)\r
-#define DGBMAILBOX_CSW_DBG_OR_ERR(x)             (((uint32_t)(((uint32_t)(x)) << DGBMAILBOX_CSW_DBG_OR_ERR_SHIFT)) & DGBMAILBOX_CSW_DBG_OR_ERR_MASK)\r
-#define DGBMAILBOX_CSW_AHB_OR_ERR_MASK           (0x8U)\r
-#define DGBMAILBOX_CSW_AHB_OR_ERR_SHIFT          (3U)\r
-#define DGBMAILBOX_CSW_AHB_OR_ERR(x)             (((uint32_t)(((uint32_t)(x)) << DGBMAILBOX_CSW_AHB_OR_ERR_SHIFT)) & DGBMAILBOX_CSW_AHB_OR_ERR_MASK)\r
-#define DGBMAILBOX_CSW_SOFT_RESET_MASK           (0x10U)\r
-#define DGBMAILBOX_CSW_SOFT_RESET_SHIFT          (4U)\r
-#define DGBMAILBOX_CSW_SOFT_RESET(x)             (((uint32_t)(((uint32_t)(x)) << DGBMAILBOX_CSW_SOFT_RESET_SHIFT)) & DGBMAILBOX_CSW_SOFT_RESET_MASK)\r
-#define DGBMAILBOX_CSW_CHIP_RESET_REQ_MASK       (0x20U)\r
-#define DGBMAILBOX_CSW_CHIP_RESET_REQ_SHIFT      (5U)\r
-#define DGBMAILBOX_CSW_CHIP_RESET_REQ(x)         (((uint32_t)(((uint32_t)(x)) << DGBMAILBOX_CSW_CHIP_RESET_REQ_SHIFT)) & DGBMAILBOX_CSW_CHIP_RESET_REQ_MASK)\r
+#define DBGMAILBOX_CSW_RESYNCH_REQ_MASK (0x1U)\r
+#define DBGMAILBOX_CSW_RESYNCH_REQ_SHIFT (0U)\r
+/*! RESYNCH_REQ - Debugger will set this bit to 1 to request a resynchronrisation\r
+ */\r
+#define DBGMAILBOX_CSW_RESYNCH_REQ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << DBGMAILBOX_CSW_RESYNCH_REQ_SHIFT)) & DBGMAILBOX_CSW_RESYNCH_REQ_MASK)\r
+#define DBGMAILBOX_CSW_REQ_PENDING_MASK (0x2U)\r
+#define DBGMAILBOX_CSW_REQ_PENDING_SHIFT (1U)\r
+/*! REQ_PENDING - Request is pending from debugger (i.e unread value in REQUEST)\r
+ */\r
+#define DBGMAILBOX_CSW_REQ_PENDING(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << DBGMAILBOX_CSW_REQ_PENDING_SHIFT)) & DBGMAILBOX_CSW_REQ_PENDING_MASK)\r
+#define DBGMAILBOX_CSW_DBG_OR_ERR_MASK (0x4U)\r
+#define DBGMAILBOX_CSW_DBG_OR_ERR_SHIFT (2U)\r
+/*! DBG_OR_ERR - Debugger overrun error (previous REQUEST overwritten before being picked up by ROM)\r
+ */\r
+#define DBGMAILBOX_CSW_DBG_OR_ERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << DBGMAILBOX_CSW_DBG_OR_ERR_SHIFT)) & DBGMAILBOX_CSW_DBG_OR_ERR_MASK)\r
+#define DBGMAILBOX_CSW_AHB_OR_ERR_MASK (0x8U)\r
+#define DBGMAILBOX_CSW_AHB_OR_ERR_SHIFT (3U)\r
+/*! AHB_OR_ERR - AHB overrun Error (Return value overwritten by ROM)\r
+ */\r
+#define DBGMAILBOX_CSW_AHB_OR_ERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << DBGMAILBOX_CSW_AHB_OR_ERR_SHIFT)) & DBGMAILBOX_CSW_AHB_OR_ERR_MASK)\r
+#define DBGMAILBOX_CSW_SOFT_RESET_MASK (0x10U)\r
+#define DBGMAILBOX_CSW_SOFT_RESET_SHIFT (4U)\r
+/*! SOFT_RESET - Soft Reset for DM (write-only from AHB, not readable and selfclearing). A write to\r
+ *    this bit will cause a soft reset for DM.\r
+ */\r
+#define DBGMAILBOX_CSW_SOFT_RESET(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << DBGMAILBOX_CSW_SOFT_RESET_SHIFT)) & DBGMAILBOX_CSW_SOFT_RESET_MASK)\r
+#define DBGMAILBOX_CSW_CHIP_RESET_REQ_MASK (0x20U)\r
+#define DBGMAILBOX_CSW_CHIP_RESET_REQ_SHIFT (5U)\r
+/*! CHIP_RESET_REQ - Write only bit. Once written will cause the chip to reset (note that the DM is\r
+ *    not reset by this reset as it is only resettable by a SOFT reset or a POR/BOD event)\r
+ */\r
+#define DBGMAILBOX_CSW_CHIP_RESET_REQ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << DBGMAILBOX_CSW_CHIP_RESET_REQ_SHIFT)) & DBGMAILBOX_CSW_CHIP_RESET_REQ_MASK)\r
 /*! @} */\r
 \r
 /*! @name REQUEST - CRC seed register */\r
 /*! @{ */\r
-#define DGBMAILBOX_REQUEST_REQ_MASK              (0xFFFFFFFFU)\r
-#define DGBMAILBOX_REQUEST_REQ_SHIFT             (0U)\r
-#define DGBMAILBOX_REQUEST_REQ(x)                (((uint32_t)(((uint32_t)(x)) << DGBMAILBOX_REQUEST_REQ_SHIFT)) & DGBMAILBOX_REQUEST_REQ_MASK)\r
+#define DBGMAILBOX_REQUEST_REQ_MASK (0xFFFFFFFFU)\r
+#define DBGMAILBOX_REQUEST_REQ_SHIFT (0U)\r
+/*! REQ - Request Value\r
+ */\r
+#define DBGMAILBOX_REQUEST_REQ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << DBGMAILBOX_REQUEST_REQ_SHIFT)) & DBGMAILBOX_REQUEST_REQ_MASK)\r
 /*! @} */\r
 \r
 /*! @name RETURN - Return value from ROM. */\r
 /*! @{ */\r
-#define DGBMAILBOX_RETURN_RET_MASK               (0xFFFFFFFFU)\r
-#define DGBMAILBOX_RETURN_RET_SHIFT              (0U)\r
-#define DGBMAILBOX_RETURN_RET(x)                 (((uint32_t)(((uint32_t)(x)) << DGBMAILBOX_RETURN_RET_SHIFT)) & DGBMAILBOX_RETURN_RET_MASK)\r
+#define DBGMAILBOX_RETURN_RET_MASK (0xFFFFFFFFU)\r
+#define DBGMAILBOX_RETURN_RET_SHIFT (0U)\r
+/*! RET - The Return value from ROM.\r
+ */\r
+#define DBGMAILBOX_RETURN_RET(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << DBGMAILBOX_RETURN_RET_SHIFT)) & DBGMAILBOX_RETURN_RET_MASK)\r
 /*! @} */\r
 \r
 /*! @name ID - Identification register */\r
 /*! @{ */\r
-#define DGBMAILBOX_ID_ID_MASK                    (0xFFFFFFFFU)\r
-#define DGBMAILBOX_ID_ID_SHIFT                   (0U)\r
-#define DGBMAILBOX_ID_ID(x)                      (((uint32_t)(((uint32_t)(x)) << DGBMAILBOX_ID_ID_SHIFT)) & DGBMAILBOX_ID_ID_MASK)\r
+#define DBGMAILBOX_ID_ID_MASK (0xFFFFFFFFU)\r
+#define DBGMAILBOX_ID_ID_SHIFT (0U)\r
+/*! ID - Identification value.\r
+ */\r
+#define DBGMAILBOX_ID_ID(x) (((uint32_t)(((uint32_t)(x)) << DBGMAILBOX_ID_ID_SHIFT)) & DBGMAILBOX_ID_ID_MASK)\r
 /*! @} */\r
 \r
-\r
 /*!\r
  * @}\r
- */ /* end of group DGBMAILBOX_Register_Masks */\r
-\r
+ */ /* end of group DBGMAILBOX_Register_Masks */\r
 \r
-/* DGBMAILBOX - Peripheral instance base addresses */\r
+/* DBGMAILBOX - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral DGBMAILBOX base address */\r
-  #define DGBMAILBOX_BASE                          (0x5009C000u)\r
-  /** Peripheral DGBMAILBOX base address */\r
-  #define DGBMAILBOX_BASE_NS                       (0x4009C000u)\r
-  /** Peripheral DGBMAILBOX base pointer */\r
-  #define DGBMAILBOX                               ((DGBMAILBOX_Type *)DGBMAILBOX_BASE)\r
-  /** Peripheral DGBMAILBOX base pointer */\r
-  #define DGBMAILBOX_NS                            ((DGBMAILBOX_Type *)DGBMAILBOX_BASE_NS)\r
-  /** Array initializer of DGBMAILBOX peripheral base addresses */\r
-  #define DGBMAILBOX_BASE_ADDRS                    { DGBMAILBOX_BASE }\r
-  /** Array initializer of DGBMAILBOX peripheral base pointers */\r
-  #define DGBMAILBOX_BASE_PTRS                     { DGBMAILBOX }\r
-  /** Array initializer of DGBMAILBOX peripheral base addresses */\r
-  #define DGBMAILBOX_BASE_ADDRS_NS                 { DGBMAILBOX_BASE_NS }\r
-  /** Array initializer of DGBMAILBOX peripheral base pointers */\r
-  #define DGBMAILBOX_BASE_PTRS_NS                  { DGBMAILBOX_NS }\r
+/** Peripheral DBGMAILBOX base address */\r
+#define DBGMAILBOX_BASE (0x5009C000u)\r
+/** Peripheral DBGMAILBOX base address */\r
+#define DBGMAILBOX_BASE_NS (0x4009C000u)\r
+/** Peripheral DBGMAILBOX base pointer */\r
+#define DBGMAILBOX ((DBGMAILBOX_Type *)DBGMAILBOX_BASE)\r
+/** Peripheral DBGMAILBOX base pointer */\r
+#define DBGMAILBOX_NS ((DBGMAILBOX_Type *)DBGMAILBOX_BASE_NS)\r
+/** Array initializer of DBGMAILBOX peripheral base addresses */\r
+#define DBGMAILBOX_BASE_ADDRS \\r
+    {                         \\r
+        DBGMAILBOX_BASE       \\r
+    }\r
+/** Array initializer of DBGMAILBOX peripheral base pointers */\r
+#define DBGMAILBOX_BASE_PTRS \\r
+    {                        \\r
+        DBGMAILBOX           \\r
+    }\r
+/** Array initializer of DBGMAILBOX peripheral base addresses */\r
+#define DBGMAILBOX_BASE_ADDRS_NS \\r
+    {                            \\r
+        DBGMAILBOX_BASE_NS       \\r
+    }\r
+/** Array initializer of DBGMAILBOX peripheral base pointers */\r
+#define DBGMAILBOX_BASE_PTRS_NS \\r
+    {                           \\r
+        DBGMAILBOX_NS           \\r
+    }\r
 #else\r
-  /** Peripheral DGBMAILBOX base address */\r
-  #define DGBMAILBOX_BASE                          (0x4009C000u)\r
-  /** Peripheral DGBMAILBOX base pointer */\r
-  #define DGBMAILBOX                               ((DGBMAILBOX_Type *)DGBMAILBOX_BASE)\r
-  /** Array initializer of DGBMAILBOX peripheral base addresses */\r
-  #define DGBMAILBOX_BASE_ADDRS                    { DGBMAILBOX_BASE }\r
-  /** Array initializer of DGBMAILBOX peripheral base pointers */\r
-  #define DGBMAILBOX_BASE_PTRS                     { DGBMAILBOX }\r
+/** Peripheral DBGMAILBOX base address */\r
+#define DBGMAILBOX_BASE (0x4009C000u)\r
+/** Peripheral DBGMAILBOX base pointer */\r
+#define DBGMAILBOX ((DBGMAILBOX_Type *)DBGMAILBOX_BASE)\r
+/** Array initializer of DBGMAILBOX peripheral base addresses */\r
+#define DBGMAILBOX_BASE_ADDRS \\r
+    {                         \\r
+        DBGMAILBOX_BASE       \\r
+    }\r
+/** Array initializer of DBGMAILBOX peripheral base pointers */\r
+#define DBGMAILBOX_BASE_PTRS \\r
+    {                        \\r
+        DBGMAILBOX           \\r
+    }\r
 #endif\r
 \r
 /*!\r
  * @}\r
- */ /* end of group DGBMAILBOX_Peripheral_Access_Layer */\r
-\r
+ */ /* end of group DBGMAILBOX_Peripheral_Access_Layer */\r
 \r
 /* ----------------------------------------------------------------------------\r
    -- DMA Peripheral Access Layer\r
@@ -5416,43 +7042,53 @@ typedef struct {
  */\r
 \r
 /** DMA - Register Layout Typedef */\r
-typedef struct {\r
-  __IO uint32_t CTRL;                              /**< DMA control., offset: 0x0 */\r
-  __I  uint32_t INTSTAT;                           /**< Interrupt status., offset: 0x4 */\r
-  __IO uint32_t SRAMBASE;                          /**< SRAM address of the channel configuration table., offset: 0x8 */\r
-       uint8_t RESERVED_0[20];\r
-  struct {                                         /* offset: 0x20, array step: 0x5C */\r
-    __IO uint32_t ENABLESET;                         /**< Channel Enable read and Set for all DMA channels., array offset: 0x20, array step: 0x5C */\r
-         uint8_t RESERVED_0[4];\r
-    __O  uint32_t ENABLECLR;                         /**< Channel Enable Clear for all DMA channels., array offset: 0x28, array step: 0x5C */\r
-         uint8_t RESERVED_1[4];\r
-    __I  uint32_t ACTIVE;                            /**< Channel Active status for all DMA channels., array offset: 0x30, array step: 0x5C */\r
-         uint8_t RESERVED_2[4];\r
-    __I  uint32_t BUSY;                              /**< Channel Busy status for all DMA channels., array offset: 0x38, array step: 0x5C */\r
-         uint8_t RESERVED_3[4];\r
-    __IO uint32_t ERRINT;                            /**< Error Interrupt status for all DMA channels., array offset: 0x40, array step: 0x5C */\r
-         uint8_t RESERVED_4[4];\r
-    __IO uint32_t INTENSET;                          /**< Interrupt Enable read and Set for all DMA channels., array offset: 0x48, array step: 0x5C */\r
-         uint8_t RESERVED_5[4];\r
-    __O  uint32_t INTENCLR;                          /**< Interrupt Enable Clear for all DMA channels., array offset: 0x50, array step: 0x5C */\r
-         uint8_t RESERVED_6[4];\r
-    __IO uint32_t INTA;                              /**< Interrupt A status for all DMA channels., array offset: 0x58, array step: 0x5C */\r
-         uint8_t RESERVED_7[4];\r
-    __IO uint32_t INTB;                              /**< Interrupt B status for all DMA channels., array offset: 0x60, array step: 0x5C */\r
-         uint8_t RESERVED_8[4];\r
-    __O  uint32_t SETVALID;                          /**< Set ValidPending control bits for all DMA channels., array offset: 0x68, array step: 0x5C */\r
-         uint8_t RESERVED_9[4];\r
-    __O  uint32_t SETTRIG;                           /**< Set Trigger control bits for all DMA channels., array offset: 0x70, array step: 0x5C */\r
-         uint8_t RESERVED_10[4];\r
-    __O  uint32_t ABORT;                             /**< Channel Abort control for all DMA channels., array offset: 0x78, array step: 0x5C */\r
-  } COMMON[1];\r
-       uint8_t RESERVED_1[900];\r
-  struct {                                         /* offset: 0x400, array step: 0x10 */\r
-    __IO uint32_t CFG;                               /**< Configuration register for DMA channel ., array offset: 0x400, array step: 0x10 */\r
-    __I  uint32_t CTLSTAT;                           /**< Control and status register for DMA channel ., array offset: 0x404, array step: 0x10 */\r
-    __IO uint32_t XFERCFG;                           /**< Transfer configuration register for DMA channel ., array offset: 0x408, array step: 0x10 */\r
-         uint8_t RESERVED_0[4];\r
-  } CHANNEL[30];\r
+typedef struct\r
+{\r
+    __IO uint32_t CTRL;     /**< DMA control., offset: 0x0 */\r
+    __I uint32_t INTSTAT;   /**< Interrupt status., offset: 0x4 */\r
+    __IO uint32_t SRAMBASE; /**< SRAM address of the channel configuration table., offset: 0x8 */\r
+    uint8_t RESERVED_0[20];\r
+    struct\r
+    { /* offset: 0x20, array step: 0x5C */\r
+        __IO uint32_t\r
+            ENABLESET; /**< Channel Enable read and Set for all DMA channels., array offset: 0x20, array step: 0x5C */\r
+        uint8_t RESERVED_0[4];\r
+        __O uint32_t ENABLECLR; /**< Channel Enable Clear for all DMA channels., array offset: 0x28, array step: 0x5C */\r
+        uint8_t RESERVED_1[4];\r
+        __I uint32_t ACTIVE; /**< Channel Active status for all DMA channels., array offset: 0x30, array step: 0x5C */\r
+        uint8_t RESERVED_2[4];\r
+        __I uint32_t BUSY; /**< Channel Busy status for all DMA channels., array offset: 0x38, array step: 0x5C */\r
+        uint8_t RESERVED_3[4];\r
+        __IO uint32_t ERRINT; /**< Error Interrupt status for all DMA channels., array offset: 0x40, array step: 0x5C */\r
+        uint8_t RESERVED_4[4];\r
+        __IO uint32_t\r
+            INTENSET; /**< Interrupt Enable read and Set for all DMA channels., array offset: 0x48, array step: 0x5C */\r
+        uint8_t RESERVED_5[4];\r
+        __O uint32_t\r
+            INTENCLR; /**< Interrupt Enable Clear for all DMA channels., array offset: 0x50, array step: 0x5C */\r
+        uint8_t RESERVED_6[4];\r
+        __IO uint32_t INTA; /**< Interrupt A status for all DMA channels., array offset: 0x58, array step: 0x5C */\r
+        uint8_t RESERVED_7[4];\r
+        __IO uint32_t INTB; /**< Interrupt B status for all DMA channels., array offset: 0x60, array step: 0x5C */\r
+        uint8_t RESERVED_8[4];\r
+        __O uint32_t\r
+            SETVALID; /**< Set ValidPending control bits for all DMA channels., array offset: 0x68, array step: 0x5C */\r
+        uint8_t RESERVED_9[4];\r
+        __O uint32_t\r
+            SETTRIG; /**< Set Trigger control bits for all DMA channels., array offset: 0x70, array step: 0x5C */\r
+        uint8_t RESERVED_10[4];\r
+        __O uint32_t ABORT; /**< Channel Abort control for all DMA channels., array offset: 0x78, array step: 0x5C */\r
+    } COMMON[1];\r
+    uint8_t RESERVED_1[900];\r
+    struct\r
+    {                      /* offset: 0x400, array step: 0x10 */\r
+        __IO uint32_t CFG; /**< Configuration register for DMA channel ., array offset: 0x400, array step: 0x10 */\r
+        __I uint32_t\r
+            CTLSTAT; /**< Control and status register for DMA channel ., array offset: 0x404, array step: 0x10 */\r
+        __IO uint32_t\r
+            XFERCFG; /**< Transfer configuration register for DMA channel ., array offset: 0x408, array step: 0x10 */\r
+        uint8_t RESERVED_0[4];\r
+    } CHANNEL[23];\r
 } DMA_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -5466,376 +7102,522 @@ typedef struct {
 \r
 /*! @name CTRL - DMA control. */\r
 /*! @{ */\r
-#define DMA_CTRL_ENABLE_MASK                     (0x1U)\r
-#define DMA_CTRL_ENABLE_SHIFT                    (0U)\r
+#define DMA_CTRL_ENABLE_MASK (0x1U)\r
+#define DMA_CTRL_ENABLE_SHIFT (0U)\r
 /*! ENABLE - DMA controller master enable.\r
- *  0b0..Disabled. The DMA controller is disabled. This clears any triggers that were asserted at the point when disabled, but does not prevent re-triggering when the DMA controller is re-enabled.\r
+ *  0b0..Disabled. The DMA controller is disabled. This clears any triggers that were asserted at the point when\r
+ *       disabled, but does not prevent re-triggering when the DMA controller is re-enabled.\r
  *  0b1..Enabled. The DMA controller is enabled.\r
  */\r
-#define DMA_CTRL_ENABLE(x)                       (((uint32_t)(((uint32_t)(x)) << DMA_CTRL_ENABLE_SHIFT)) & DMA_CTRL_ENABLE_MASK)\r
+#define DMA_CTRL_ENABLE(x) (((uint32_t)(((uint32_t)(x)) << DMA_CTRL_ENABLE_SHIFT)) & DMA_CTRL_ENABLE_MASK)\r
 /*! @} */\r
 \r
 /*! @name INTSTAT - Interrupt status. */\r
 /*! @{ */\r
-#define DMA_INTSTAT_ACTIVEINT_MASK               (0x2U)\r
-#define DMA_INTSTAT_ACTIVEINT_SHIFT              (1U)\r
+#define DMA_INTSTAT_ACTIVEINT_MASK (0x2U)\r
+#define DMA_INTSTAT_ACTIVEINT_SHIFT (1U)\r
 /*! ACTIVEINT - Summarizes whether any enabled interrupts (other than error interrupts) are pending.\r
  *  0b0..Not pending. No enabled interrupts are pending.\r
  *  0b1..Pending. At least one enabled interrupt is pending.\r
  */\r
-#define DMA_INTSTAT_ACTIVEINT(x)                 (((uint32_t)(((uint32_t)(x)) << DMA_INTSTAT_ACTIVEINT_SHIFT)) & DMA_INTSTAT_ACTIVEINT_MASK)\r
-#define DMA_INTSTAT_ACTIVEERRINT_MASK            (0x4U)\r
-#define DMA_INTSTAT_ACTIVEERRINT_SHIFT           (2U)\r
+#define DMA_INTSTAT_ACTIVEINT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << DMA_INTSTAT_ACTIVEINT_SHIFT)) & DMA_INTSTAT_ACTIVEINT_MASK)\r
+#define DMA_INTSTAT_ACTIVEERRINT_MASK (0x4U)\r
+#define DMA_INTSTAT_ACTIVEERRINT_SHIFT (2U)\r
 /*! ACTIVEERRINT - Summarizes whether any error interrupts are pending.\r
  *  0b0..Not pending. No error interrupts are pending.\r
  *  0b1..Pending. At least one error interrupt is pending.\r
  */\r
-#define DMA_INTSTAT_ACTIVEERRINT(x)              (((uint32_t)(((uint32_t)(x)) << DMA_INTSTAT_ACTIVEERRINT_SHIFT)) & DMA_INTSTAT_ACTIVEERRINT_MASK)\r
+#define DMA_INTSTAT_ACTIVEERRINT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << DMA_INTSTAT_ACTIVEERRINT_SHIFT)) & DMA_INTSTAT_ACTIVEERRINT_MASK)\r
 /*! @} */\r
 \r
 /*! @name SRAMBASE - SRAM address of the channel configuration table. */\r
 /*! @{ */\r
-#define DMA_SRAMBASE_OFFSET_MASK                 (0xFFFFFE00U)\r
-#define DMA_SRAMBASE_OFFSET_SHIFT                (9U)\r
-#define DMA_SRAMBASE_OFFSET(x)                   (((uint32_t)(((uint32_t)(x)) << DMA_SRAMBASE_OFFSET_SHIFT)) & DMA_SRAMBASE_OFFSET_MASK)\r
+#define DMA_SRAMBASE_OFFSET_MASK (0xFFFFFE00U)\r
+#define DMA_SRAMBASE_OFFSET_SHIFT (9U)\r
+/*! OFFSET - Address bits 31:9 of the beginning of the DMA descriptor table. For 18 channels, the\r
+ *    table must begin on a 512 byte boundary.\r
+ */\r
+#define DMA_SRAMBASE_OFFSET(x) (((uint32_t)(((uint32_t)(x)) << DMA_SRAMBASE_OFFSET_SHIFT)) & DMA_SRAMBASE_OFFSET_MASK)\r
 /*! @} */\r
 \r
 /*! @name COMMON_ENABLESET - Channel Enable read and Set for all DMA channels. */\r
 /*! @{ */\r
-#define DMA_COMMON_ENABLESET_ENA_MASK            (0xFFFFFFFFU)\r
-#define DMA_COMMON_ENABLESET_ENA_SHIFT           (0U)\r
-#define DMA_COMMON_ENABLESET_ENA(x)              (((uint32_t)(((uint32_t)(x)) << DMA_COMMON_ENABLESET_ENA_SHIFT)) & DMA_COMMON_ENABLESET_ENA_MASK)\r
+#define DMA_COMMON_ENABLESET_ENA_MASK (0xFFFFFFFFU)\r
+#define DMA_COMMON_ENABLESET_ENA_SHIFT (0U)\r
+/*! ENA - Enable for DMA channels. Bit n enables or disables DMA channel n. The number of bits =\r
+ *    number of DMA channels in this device. Other bits are reserved. 0 = disabled. 1 = enabled.\r
+ */\r
+#define DMA_COMMON_ENABLESET_ENA(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << DMA_COMMON_ENABLESET_ENA_SHIFT)) & DMA_COMMON_ENABLESET_ENA_MASK)\r
 /*! @} */\r
 \r
 /* The count of DMA_COMMON_ENABLESET */\r
-#define DMA_COMMON_ENABLESET_COUNT               (1U)\r
+#define DMA_COMMON_ENABLESET_COUNT (1U)\r
 \r
 /*! @name COMMON_ENABLECLR - Channel Enable Clear for all DMA channels. */\r
 /*! @{ */\r
-#define DMA_COMMON_ENABLECLR_CLR_MASK            (0xFFFFFFFFU)\r
-#define DMA_COMMON_ENABLECLR_CLR_SHIFT           (0U)\r
-#define DMA_COMMON_ENABLECLR_CLR(x)              (((uint32_t)(((uint32_t)(x)) << DMA_COMMON_ENABLECLR_CLR_SHIFT)) & DMA_COMMON_ENABLECLR_CLR_MASK)\r
+#define DMA_COMMON_ENABLECLR_CLR_MASK (0xFFFFFFFFU)\r
+#define DMA_COMMON_ENABLECLR_CLR_SHIFT (0U)\r
+/*! CLR - Writing ones to this register clears the corresponding bits in ENABLESET0. Bit n clears\r
+ *    the channel enable bit n. The number of bits = number of DMA channels in this device. Other bits\r
+ *    are reserved.\r
+ */\r
+#define DMA_COMMON_ENABLECLR_CLR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << DMA_COMMON_ENABLECLR_CLR_SHIFT)) & DMA_COMMON_ENABLECLR_CLR_MASK)\r
 /*! @} */\r
 \r
 /* The count of DMA_COMMON_ENABLECLR */\r
-#define DMA_COMMON_ENABLECLR_COUNT               (1U)\r
+#define DMA_COMMON_ENABLECLR_COUNT (1U)\r
 \r
 /*! @name COMMON_ACTIVE - Channel Active status for all DMA channels. */\r
 /*! @{ */\r
-#define DMA_COMMON_ACTIVE_ACT_MASK               (0xFFFFFFFFU)\r
-#define DMA_COMMON_ACTIVE_ACT_SHIFT              (0U)\r
-#define DMA_COMMON_ACTIVE_ACT(x)                 (((uint32_t)(((uint32_t)(x)) << DMA_COMMON_ACTIVE_ACT_SHIFT)) & DMA_COMMON_ACTIVE_ACT_MASK)\r
+#define DMA_COMMON_ACTIVE_ACT_MASK (0xFFFFFFFFU)\r
+#define DMA_COMMON_ACTIVE_ACT_SHIFT (0U)\r
+/*! ACT - Active flag for DMA channel n. Bit n corresponds to DMA channel n. The number of bits =\r
+ *    number of DMA channels in this device. Other bits are reserved. 0 = not active. 1 = active.\r
+ */\r
+#define DMA_COMMON_ACTIVE_ACT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << DMA_COMMON_ACTIVE_ACT_SHIFT)) & DMA_COMMON_ACTIVE_ACT_MASK)\r
 /*! @} */\r
 \r
 /* The count of DMA_COMMON_ACTIVE */\r
-#define DMA_COMMON_ACTIVE_COUNT                  (1U)\r
+#define DMA_COMMON_ACTIVE_COUNT (1U)\r
 \r
 /*! @name COMMON_BUSY - Channel Busy status for all DMA channels. */\r
 /*! @{ */\r
-#define DMA_COMMON_BUSY_BSY_MASK                 (0xFFFFFFFFU)\r
-#define DMA_COMMON_BUSY_BSY_SHIFT                (0U)\r
-#define DMA_COMMON_BUSY_BSY(x)                   (((uint32_t)(((uint32_t)(x)) << DMA_COMMON_BUSY_BSY_SHIFT)) & DMA_COMMON_BUSY_BSY_MASK)\r
+#define DMA_COMMON_BUSY_BSY_MASK (0xFFFFFFFFU)\r
+#define DMA_COMMON_BUSY_BSY_SHIFT (0U)\r
+/*! BSY - Busy flag for DMA channel n. Bit n corresponds to DMA channel n. The number of bits =\r
+ *    number of DMA channels in this device. Other bits are reserved. 0 = not busy. 1 = busy.\r
+ */\r
+#define DMA_COMMON_BUSY_BSY(x) (((uint32_t)(((uint32_t)(x)) << DMA_COMMON_BUSY_BSY_SHIFT)) & DMA_COMMON_BUSY_BSY_MASK)\r
 /*! @} */\r
 \r
 /* The count of DMA_COMMON_BUSY */\r
-#define DMA_COMMON_BUSY_COUNT                    (1U)\r
+#define DMA_COMMON_BUSY_COUNT (1U)\r
 \r
 /*! @name COMMON_ERRINT - Error Interrupt status for all DMA channels. */\r
 /*! @{ */\r
-#define DMA_COMMON_ERRINT_ERR_MASK               (0xFFFFFFFFU)\r
-#define DMA_COMMON_ERRINT_ERR_SHIFT              (0U)\r
-#define DMA_COMMON_ERRINT_ERR(x)                 (((uint32_t)(((uint32_t)(x)) << DMA_COMMON_ERRINT_ERR_SHIFT)) & DMA_COMMON_ERRINT_ERR_MASK)\r
+#define DMA_COMMON_ERRINT_ERR_MASK (0xFFFFFFFFU)\r
+#define DMA_COMMON_ERRINT_ERR_SHIFT (0U)\r
+/*! ERR - Error Interrupt flag for DMA channel n. Bit n corresponds to DMA channel n. The number of\r
+ *    bits = number of DMA channels in this device. Other bits are reserved. 0 = error interrupt is\r
+ *    not active. 1 = error interrupt is active.\r
+ */\r
+#define DMA_COMMON_ERRINT_ERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << DMA_COMMON_ERRINT_ERR_SHIFT)) & DMA_COMMON_ERRINT_ERR_MASK)\r
 /*! @} */\r
 \r
 /* The count of DMA_COMMON_ERRINT */\r
-#define DMA_COMMON_ERRINT_COUNT                  (1U)\r
+#define DMA_COMMON_ERRINT_COUNT (1U)\r
 \r
 /*! @name COMMON_INTENSET - Interrupt Enable read and Set for all DMA channels. */\r
 /*! @{ */\r
-#define DMA_COMMON_INTENSET_INTEN_MASK           (0xFFFFFFFFU)\r
-#define DMA_COMMON_INTENSET_INTEN_SHIFT          (0U)\r
-#define DMA_COMMON_INTENSET_INTEN(x)             (((uint32_t)(((uint32_t)(x)) << DMA_COMMON_INTENSET_INTEN_SHIFT)) & DMA_COMMON_INTENSET_INTEN_MASK)\r
+#define DMA_COMMON_INTENSET_INTEN_MASK (0xFFFFFFFFU)\r
+#define DMA_COMMON_INTENSET_INTEN_SHIFT (0U)\r
+/*! INTEN - Interrupt Enable read and set for DMA channel n. Bit n corresponds to DMA channel n. The\r
+ *    number of bits = number of DMA channels in this device. Other bits are reserved. 0 =\r
+ *    interrupt for DMA channel is disabled. 1 = interrupt for DMA channel is enabled.\r
+ */\r
+#define DMA_COMMON_INTENSET_INTEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << DMA_COMMON_INTENSET_INTEN_SHIFT)) & DMA_COMMON_INTENSET_INTEN_MASK)\r
 /*! @} */\r
 \r
 /* The count of DMA_COMMON_INTENSET */\r
-#define DMA_COMMON_INTENSET_COUNT                (1U)\r
+#define DMA_COMMON_INTENSET_COUNT (1U)\r
 \r
 /*! @name COMMON_INTENCLR - Interrupt Enable Clear for all DMA channels. */\r
 /*! @{ */\r
-#define DMA_COMMON_INTENCLR_CLR_MASK             (0xFFFFFFFFU)\r
-#define DMA_COMMON_INTENCLR_CLR_SHIFT            (0U)\r
-#define DMA_COMMON_INTENCLR_CLR(x)               (((uint32_t)(((uint32_t)(x)) << DMA_COMMON_INTENCLR_CLR_SHIFT)) & DMA_COMMON_INTENCLR_CLR_MASK)\r
+#define DMA_COMMON_INTENCLR_CLR_MASK (0xFFFFFFFFU)\r
+#define DMA_COMMON_INTENCLR_CLR_SHIFT (0U)\r
+/*! CLR - Writing ones to this register clears corresponding bits in the INTENSET0. Bit n\r
+ *    corresponds to DMA channel n. The number of bits = number of DMA channels in this device. Other bits are\r
+ *    reserved.\r
+ */\r
+#define DMA_COMMON_INTENCLR_CLR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << DMA_COMMON_INTENCLR_CLR_SHIFT)) & DMA_COMMON_INTENCLR_CLR_MASK)\r
 /*! @} */\r
 \r
 /* The count of DMA_COMMON_INTENCLR */\r
-#define DMA_COMMON_INTENCLR_COUNT                (1U)\r
+#define DMA_COMMON_INTENCLR_COUNT (1U)\r
 \r
 /*! @name COMMON_INTA - Interrupt A status for all DMA channels. */\r
 /*! @{ */\r
-#define DMA_COMMON_INTA_IA_MASK                  (0xFFFFFFFFU)\r
-#define DMA_COMMON_INTA_IA_SHIFT                 (0U)\r
-#define DMA_COMMON_INTA_IA(x)                    (((uint32_t)(((uint32_t)(x)) << DMA_COMMON_INTA_IA_SHIFT)) & DMA_COMMON_INTA_IA_MASK)\r
+#define DMA_COMMON_INTA_IA_MASK (0xFFFFFFFFU)\r
+#define DMA_COMMON_INTA_IA_SHIFT (0U)\r
+/*! IA - Interrupt A status for DMA channel n. Bit n corresponds to DMA channel n. The number of\r
+ *    bits = number of DMA channels in this device. Other bits are reserved. 0 = the DMA channel\r
+ *    interrupt A is not active. 1 = the DMA channel interrupt A is active.\r
+ */\r
+#define DMA_COMMON_INTA_IA(x) (((uint32_t)(((uint32_t)(x)) << DMA_COMMON_INTA_IA_SHIFT)) & DMA_COMMON_INTA_IA_MASK)\r
 /*! @} */\r
 \r
 /* The count of DMA_COMMON_INTA */\r
-#define DMA_COMMON_INTA_COUNT                    (1U)\r
+#define DMA_COMMON_INTA_COUNT (1U)\r
 \r
 /*! @name COMMON_INTB - Interrupt B status for all DMA channels. */\r
 /*! @{ */\r
-#define DMA_COMMON_INTB_IB_MASK                  (0xFFFFFFFFU)\r
-#define DMA_COMMON_INTB_IB_SHIFT                 (0U)\r
-#define DMA_COMMON_INTB_IB(x)                    (((uint32_t)(((uint32_t)(x)) << DMA_COMMON_INTB_IB_SHIFT)) & DMA_COMMON_INTB_IB_MASK)\r
+#define DMA_COMMON_INTB_IB_MASK (0xFFFFFFFFU)\r
+#define DMA_COMMON_INTB_IB_SHIFT (0U)\r
+/*! IB - Interrupt B status for DMA channel n. Bit n corresponds to DMA channel n. The number of\r
+ *    bits = number of DMA channels in this device. Other bits are reserved. 0 = the DMA channel\r
+ *    interrupt B is not active. 1 = the DMA channel interrupt B is active.\r
+ */\r
+#define DMA_COMMON_INTB_IB(x) (((uint32_t)(((uint32_t)(x)) << DMA_COMMON_INTB_IB_SHIFT)) & DMA_COMMON_INTB_IB_MASK)\r
 /*! @} */\r
 \r
 /* The count of DMA_COMMON_INTB */\r
-#define DMA_COMMON_INTB_COUNT                    (1U)\r
+#define DMA_COMMON_INTB_COUNT (1U)\r
 \r
 /*! @name COMMON_SETVALID - Set ValidPending control bits for all DMA channels. */\r
 /*! @{ */\r
-#define DMA_COMMON_SETVALID_SV_MASK              (0xFFFFFFFFU)\r
-#define DMA_COMMON_SETVALID_SV_SHIFT             (0U)\r
-#define DMA_COMMON_SETVALID_SV(x)                (((uint32_t)(((uint32_t)(x)) << DMA_COMMON_SETVALID_SV_SHIFT)) & DMA_COMMON_SETVALID_SV_MASK)\r
+#define DMA_COMMON_SETVALID_SV_MASK (0xFFFFFFFFU)\r
+#define DMA_COMMON_SETVALID_SV_SHIFT (0U)\r
+/*! SV - SETVALID control for DMA channel n. Bit n corresponds to DMA channel n. The number of bits\r
+ *    = number of DMA channels in this device. Other bits are reserved. 0 = no effect. 1 = sets the\r
+ *    VALIDPENDING control bit for DMA channel n\r
+ */\r
+#define DMA_COMMON_SETVALID_SV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << DMA_COMMON_SETVALID_SV_SHIFT)) & DMA_COMMON_SETVALID_SV_MASK)\r
 /*! @} */\r
 \r
 /* The count of DMA_COMMON_SETVALID */\r
-#define DMA_COMMON_SETVALID_COUNT                (1U)\r
+#define DMA_COMMON_SETVALID_COUNT (1U)\r
 \r
 /*! @name COMMON_SETTRIG - Set Trigger control bits for all DMA channels. */\r
 /*! @{ */\r
-#define DMA_COMMON_SETTRIG_TRIG_MASK             (0xFFFFFFFFU)\r
-#define DMA_COMMON_SETTRIG_TRIG_SHIFT            (0U)\r
-#define DMA_COMMON_SETTRIG_TRIG(x)               (((uint32_t)(((uint32_t)(x)) << DMA_COMMON_SETTRIG_TRIG_SHIFT)) & DMA_COMMON_SETTRIG_TRIG_MASK)\r
+#define DMA_COMMON_SETTRIG_TRIG_MASK (0xFFFFFFFFU)\r
+#define DMA_COMMON_SETTRIG_TRIG_SHIFT (0U)\r
+/*! TRIG - Set Trigger control bit for DMA channel 0. Bit n corresponds to DMA channel n. The number\r
+ *    of bits = number of DMA channels in this device. Other bits are reserved. 0 = no effect. 1 =\r
+ *    sets the TRIG bit for DMA channel n.\r
+ */\r
+#define DMA_COMMON_SETTRIG_TRIG(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << DMA_COMMON_SETTRIG_TRIG_SHIFT)) & DMA_COMMON_SETTRIG_TRIG_MASK)\r
 /*! @} */\r
 \r
 /* The count of DMA_COMMON_SETTRIG */\r
-#define DMA_COMMON_SETTRIG_COUNT                 (1U)\r
+#define DMA_COMMON_SETTRIG_COUNT (1U)\r
 \r
 /*! @name COMMON_ABORT - Channel Abort control for all DMA channels. */\r
 /*! @{ */\r
-#define DMA_COMMON_ABORT_ABORTCTRL_MASK          (0xFFFFFFFFU)\r
-#define DMA_COMMON_ABORT_ABORTCTRL_SHIFT         (0U)\r
-#define DMA_COMMON_ABORT_ABORTCTRL(x)            (((uint32_t)(((uint32_t)(x)) << DMA_COMMON_ABORT_ABORTCTRL_SHIFT)) & DMA_COMMON_ABORT_ABORTCTRL_MASK)\r
+#define DMA_COMMON_ABORT_ABORTCTRL_MASK (0xFFFFFFFFU)\r
+#define DMA_COMMON_ABORT_ABORTCTRL_SHIFT (0U)\r
+/*! ABORTCTRL - Abort control for DMA channel 0. Bit n corresponds to DMA channel n. 0 = no effect.\r
+ *    1 = aborts DMA operations on channel n.\r
+ */\r
+#define DMA_COMMON_ABORT_ABORTCTRL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << DMA_COMMON_ABORT_ABORTCTRL_SHIFT)) & DMA_COMMON_ABORT_ABORTCTRL_MASK)\r
 /*! @} */\r
 \r
 /* The count of DMA_COMMON_ABORT */\r
-#define DMA_COMMON_ABORT_COUNT                   (1U)\r
+#define DMA_COMMON_ABORT_COUNT (1U)\r
 \r
 /*! @name CHANNEL_CFG - Configuration register for DMA channel . */\r
 /*! @{ */\r
-#define DMA_CHANNEL_CFG_PERIPHREQEN_MASK         (0x1U)\r
-#define DMA_CHANNEL_CFG_PERIPHREQEN_SHIFT        (0U)\r
-/*! PERIPHREQEN - Peripheral request Enable. If a DMA channel is used to perform a memory-to-memory move, any peripheral DMA request associated with that channel can be disabled to prevent any interaction between the peripheral and the DMA controller.\r
+#define DMA_CHANNEL_CFG_PERIPHREQEN_MASK (0x1U)\r
+#define DMA_CHANNEL_CFG_PERIPHREQEN_SHIFT (0U)\r
+/*! PERIPHREQEN - Peripheral request Enable. If a DMA channel is used to perform a memory-to-memory\r
+ *    move, any peripheral DMA request associated with that channel can be disabled to prevent any\r
+ *    interaction between the peripheral and the DMA controller.\r
  *  0b0..Disabled. Peripheral DMA requests are disabled.\r
  *  0b1..Enabled. Peripheral DMA requests are enabled.\r
  */\r
-#define DMA_CHANNEL_CFG_PERIPHREQEN(x)           (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_CFG_PERIPHREQEN_SHIFT)) & DMA_CHANNEL_CFG_PERIPHREQEN_MASK)\r
-#define DMA_CHANNEL_CFG_HWTRIGEN_MASK            (0x2U)\r
-#define DMA_CHANNEL_CFG_HWTRIGEN_SHIFT           (1U)\r
+#define DMA_CHANNEL_CFG_PERIPHREQEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_CFG_PERIPHREQEN_SHIFT)) & DMA_CHANNEL_CFG_PERIPHREQEN_MASK)\r
+#define DMA_CHANNEL_CFG_HWTRIGEN_MASK (0x2U)\r
+#define DMA_CHANNEL_CFG_HWTRIGEN_SHIFT (1U)\r
 /*! HWTRIGEN - Hardware Triggering Enable for this channel.\r
  *  0b0..Disabled. Hardware triggering is not used.\r
  *  0b1..Enabled. Use hardware triggering.\r
  */\r
-#define DMA_CHANNEL_CFG_HWTRIGEN(x)              (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_CFG_HWTRIGEN_SHIFT)) & DMA_CHANNEL_CFG_HWTRIGEN_MASK)\r
-#define DMA_CHANNEL_CFG_TRIGPOL_MASK             (0x10U)\r
-#define DMA_CHANNEL_CFG_TRIGPOL_SHIFT            (4U)\r
+#define DMA_CHANNEL_CFG_HWTRIGEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_CFG_HWTRIGEN_SHIFT)) & DMA_CHANNEL_CFG_HWTRIGEN_MASK)\r
+#define DMA_CHANNEL_CFG_TRIGPOL_MASK (0x10U)\r
+#define DMA_CHANNEL_CFG_TRIGPOL_SHIFT (4U)\r
 /*! TRIGPOL - Trigger Polarity. Selects the polarity of a hardware trigger for this channel.\r
  *  0b0..Active low - falling edge. Hardware trigger is active low or falling edge triggered, based on TRIGTYPE.\r
  *  0b1..Active high - rising edge. Hardware trigger is active high or rising edge triggered, based on TRIGTYPE.\r
  */\r
-#define DMA_CHANNEL_CFG_TRIGPOL(x)               (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_CFG_TRIGPOL_SHIFT)) & DMA_CHANNEL_CFG_TRIGPOL_MASK)\r
-#define DMA_CHANNEL_CFG_TRIGTYPE_MASK            (0x20U)\r
-#define DMA_CHANNEL_CFG_TRIGTYPE_SHIFT           (5U)\r
+#define DMA_CHANNEL_CFG_TRIGPOL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_CFG_TRIGPOL_SHIFT)) & DMA_CHANNEL_CFG_TRIGPOL_MASK)\r
+#define DMA_CHANNEL_CFG_TRIGTYPE_MASK (0x20U)\r
+#define DMA_CHANNEL_CFG_TRIGTYPE_SHIFT (5U)\r
 /*! TRIGTYPE - Trigger Type. Selects hardware trigger as edge triggered or level triggered.\r
- *  0b0..Edge. Hardware trigger is edge triggered. Transfers will be initiated and completed, as specified for a single trigger.\r
- *  0b1..Level. Hardware trigger is level triggered. Note that when level triggering without burst (BURSTPOWER = 0) is selected, only hardware triggers should be used on that channel. Transfers continue as long as the trigger level is asserted. Once the trigger is de-asserted, the transfer will be paused until the trigger is, again, asserted. However, the transfer will not be paused until any remaining transfers within the current BURSTPOWER length are completed.\r
- */\r
-#define DMA_CHANNEL_CFG_TRIGTYPE(x)              (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_CFG_TRIGTYPE_SHIFT)) & DMA_CHANNEL_CFG_TRIGTYPE_MASK)\r
-#define DMA_CHANNEL_CFG_TRIGBURST_MASK           (0x40U)\r
-#define DMA_CHANNEL_CFG_TRIGBURST_SHIFT          (6U)\r
+ *  0b0..Edge. Hardware trigger is edge triggered. Transfers will be initiated and completed, as specified for a single\r
+ * trigger. 0b1..Level. Hardware trigger is level triggered. Note that when level triggering without burst (BURSTPOWER =\r
+ *       0) is selected, only hardware triggers should be used on that channel. Transfers continue as long as the\r
+ *       trigger level is asserted. Once the trigger is de-asserted, the transfer will be paused until the trigger\r
+ *       is, again, asserted. However, the transfer will not be paused until any remaining transfers within the\r
+ *       current BURSTPOWER length are completed.\r
+ */\r
+#define DMA_CHANNEL_CFG_TRIGTYPE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_CFG_TRIGTYPE_SHIFT)) & DMA_CHANNEL_CFG_TRIGTYPE_MASK)\r
+#define DMA_CHANNEL_CFG_TRIGBURST_MASK (0x40U)\r
+#define DMA_CHANNEL_CFG_TRIGBURST_SHIFT (6U)\r
 /*! TRIGBURST - Trigger Burst. Selects whether hardware triggers cause a single or burst transfer.\r
  *  0b0..Single transfer. Hardware trigger causes a single transfer.\r
- *  0b1..Burst transfer. When the trigger for this channel is set to edge triggered, a hardware trigger causes a burst transfer, as defined by BURSTPOWER. When the trigger for this channel is set to level triggered, a hardware trigger causes transfers to continue as long as the trigger is asserted, unless the transfer is complete.\r
- */\r
-#define DMA_CHANNEL_CFG_TRIGBURST(x)             (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_CFG_TRIGBURST_SHIFT)) & DMA_CHANNEL_CFG_TRIGBURST_MASK)\r
-#define DMA_CHANNEL_CFG_BURSTPOWER_MASK          (0xF00U)\r
-#define DMA_CHANNEL_CFG_BURSTPOWER_SHIFT         (8U)\r
-#define DMA_CHANNEL_CFG_BURSTPOWER(x)            (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_CFG_BURSTPOWER_SHIFT)) & DMA_CHANNEL_CFG_BURSTPOWER_MASK)\r
-#define DMA_CHANNEL_CFG_SRCBURSTWRAP_MASK        (0x4000U)\r
-#define DMA_CHANNEL_CFG_SRCBURSTWRAP_SHIFT       (14U)\r
-/*! SRCBURSTWRAP - Source Burst Wrap. When enabled, the source data address for the DMA is 'wrapped', meaning that the source address range for each burst will be the same. As an example, this could be used to read several sequential registers from a peripheral for each DMA burst, reading the same registers again for each burst.\r
+ *  0b1..Burst transfer. When the trigger for this channel is set to edge triggered, a hardware trigger causes a\r
+ *       burst transfer, as defined by BURSTPOWER. When the trigger for this channel is set to level triggered, a\r
+ *       hardware trigger causes transfers to continue as long as the trigger is asserted, unless the transfer is\r
+ *       complete.\r
+ */\r
+#define DMA_CHANNEL_CFG_TRIGBURST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_CFG_TRIGBURST_SHIFT)) & DMA_CHANNEL_CFG_TRIGBURST_MASK)\r
+#define DMA_CHANNEL_CFG_BURSTPOWER_MASK (0xF00U)\r
+#define DMA_CHANNEL_CFG_BURSTPOWER_SHIFT (8U)\r
+/*! BURSTPOWER - Burst Power is used in two ways. It always selects the address wrap size when\r
+ *    SRCBURSTWRAP and/or DSTBURSTWRAP modes are selected (see descriptions elsewhere in this register).\r
+ *    When the TRIGBURST field elsewhere in this register = 1, Burst Power selects how many\r
+ *    transfers are performed for each DMA trigger. This can be used, for example, with peripherals that\r
+ *    contain a FIFO that can initiate a DMA operation when the FIFO reaches a certain level. 0000:\r
+ *    Burst size = 1 (20). 0001: Burst size = 2 (21). 0010: Burst size = 4 (22). 1010: Burst size =\r
+ *    1024 (210). This corresponds to the maximum supported transfer count. others: not supported. The\r
+ *    total transfer length as defined in the XFERCOUNT bits in the XFERCFG register must be an even\r
+ *    multiple of the burst size.\r
+ */\r
+#define DMA_CHANNEL_CFG_BURSTPOWER(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_CFG_BURSTPOWER_SHIFT)) & DMA_CHANNEL_CFG_BURSTPOWER_MASK)\r
+#define DMA_CHANNEL_CFG_SRCBURSTWRAP_MASK (0x4000U)\r
+#define DMA_CHANNEL_CFG_SRCBURSTWRAP_SHIFT (14U)\r
+/*! SRCBURSTWRAP - Source Burst Wrap. When enabled, the source data address for the DMA is\r
+ *    'wrapped', meaning that the source address range for each burst will be the same. As an example, this\r
+ *    could be used to read several sequential registers from a peripheral for each DMA burst,\r
+ *    reading the same registers again for each burst.\r
  *  0b0..Disabled. Source burst wrapping is not enabled for this DMA channel.\r
  *  0b1..Enabled. Source burst wrapping is enabled for this DMA channel.\r
  */\r
-#define DMA_CHANNEL_CFG_SRCBURSTWRAP(x)          (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_CFG_SRCBURSTWRAP_SHIFT)) & DMA_CHANNEL_CFG_SRCBURSTWRAP_MASK)\r
-#define DMA_CHANNEL_CFG_DSTBURSTWRAP_MASK        (0x8000U)\r
-#define DMA_CHANNEL_CFG_DSTBURSTWRAP_SHIFT       (15U)\r
-/*! DSTBURSTWRAP - Destination Burst Wrap. When enabled, the destination data address for the DMA is 'wrapped', meaning that the destination address range for each burst will be the same. As an example, this could be used to write several sequential registers to a peripheral for each DMA burst, writing the same registers again for each burst.\r
+#define DMA_CHANNEL_CFG_SRCBURSTWRAP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_CFG_SRCBURSTWRAP_SHIFT)) & DMA_CHANNEL_CFG_SRCBURSTWRAP_MASK)\r
+#define DMA_CHANNEL_CFG_DSTBURSTWRAP_MASK (0x8000U)\r
+#define DMA_CHANNEL_CFG_DSTBURSTWRAP_SHIFT (15U)\r
+/*! DSTBURSTWRAP - Destination Burst Wrap. When enabled, the destination data address for the DMA is\r
+ *    'wrapped', meaning that the destination address range for each burst will be the same. As an\r
+ *    example, this could be used to write several sequential registers to a peripheral for each DMA\r
+ *    burst, writing the same registers again for each burst.\r
  *  0b0..Disabled. Destination burst wrapping is not enabled for this DMA channel.\r
  *  0b1..Enabled. Destination burst wrapping is enabled for this DMA channel.\r
  */\r
-#define DMA_CHANNEL_CFG_DSTBURSTWRAP(x)          (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_CFG_DSTBURSTWRAP_SHIFT)) & DMA_CHANNEL_CFG_DSTBURSTWRAP_MASK)\r
-#define DMA_CHANNEL_CFG_CHPRIORITY_MASK          (0x70000U)\r
-#define DMA_CHANNEL_CFG_CHPRIORITY_SHIFT         (16U)\r
-#define DMA_CHANNEL_CFG_CHPRIORITY(x)            (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_CFG_CHPRIORITY_SHIFT)) & DMA_CHANNEL_CFG_CHPRIORITY_MASK)\r
+#define DMA_CHANNEL_CFG_DSTBURSTWRAP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_CFG_DSTBURSTWRAP_SHIFT)) & DMA_CHANNEL_CFG_DSTBURSTWRAP_MASK)\r
+#define DMA_CHANNEL_CFG_CHPRIORITY_MASK (0x70000U)\r
+#define DMA_CHANNEL_CFG_CHPRIORITY_SHIFT (16U)\r
+/*! CHPRIORITY - Priority of this channel when multiple DMA requests are pending. Eight priority\r
+ *    levels are supported: 0x0 = highest priority. 0x7 = lowest priority.\r
+ */\r
+#define DMA_CHANNEL_CFG_CHPRIORITY(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_CFG_CHPRIORITY_SHIFT)) & DMA_CHANNEL_CFG_CHPRIORITY_MASK)\r
 /*! @} */\r
 \r
 /* The count of DMA_CHANNEL_CFG */\r
-#define DMA_CHANNEL_CFG_COUNT                    (30U)\r
+#define DMA_CHANNEL_CFG_COUNT (23U)\r
 \r
 /*! @name CHANNEL_CTLSTAT - Control and status register for DMA channel . */\r
 /*! @{ */\r
-#define DMA_CHANNEL_CTLSTAT_VALIDPENDING_MASK    (0x1U)\r
-#define DMA_CHANNEL_CTLSTAT_VALIDPENDING_SHIFT   (0U)\r
-/*! VALIDPENDING - Valid pending flag for this channel. This bit is set when a 1 is written to the corresponding bit in the related SETVALID register when CFGVALID = 1 for the same channel.\r
+#define DMA_CHANNEL_CTLSTAT_VALIDPENDING_MASK (0x1U)\r
+#define DMA_CHANNEL_CTLSTAT_VALIDPENDING_SHIFT (0U)\r
+/*! VALIDPENDING - Valid pending flag for this channel. This bit is set when a 1 is written to the\r
+ *    corresponding bit in the related SETVALID register when CFGVALID = 1 for the same channel.\r
  *  0b0..No effect. No effect on DMA operation.\r
  *  0b1..Valid pending.\r
  */\r
-#define DMA_CHANNEL_CTLSTAT_VALIDPENDING(x)      (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_CTLSTAT_VALIDPENDING_SHIFT)) & DMA_CHANNEL_CTLSTAT_VALIDPENDING_MASK)\r
-#define DMA_CHANNEL_CTLSTAT_TRIG_MASK            (0x4U)\r
-#define DMA_CHANNEL_CTLSTAT_TRIG_SHIFT           (2U)\r
-/*! TRIG - Trigger flag. Indicates that the trigger for this channel is currently set. This bit is cleared at the end of an entire transfer or upon reload when CLRTRIG = 1.\r
+#define DMA_CHANNEL_CTLSTAT_VALIDPENDING(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_CTLSTAT_VALIDPENDING_SHIFT)) & DMA_CHANNEL_CTLSTAT_VALIDPENDING_MASK)\r
+#define DMA_CHANNEL_CTLSTAT_TRIG_MASK (0x4U)\r
+#define DMA_CHANNEL_CTLSTAT_TRIG_SHIFT (2U)\r
+/*! TRIG - Trigger flag. Indicates that the trigger for this channel is currently set. This bit is\r
+ *    cleared at the end of an entire transfer or upon reload when CLRTRIG = 1.\r
  *  0b0..Not triggered. The trigger for this DMA channel is not set. DMA operations will not be carried out.\r
  *  0b1..Triggered. The trigger for this DMA channel is set. DMA operations will be carried out.\r
  */\r
-#define DMA_CHANNEL_CTLSTAT_TRIG(x)              (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_CTLSTAT_TRIG_SHIFT)) & DMA_CHANNEL_CTLSTAT_TRIG_MASK)\r
+#define DMA_CHANNEL_CTLSTAT_TRIG(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_CTLSTAT_TRIG_SHIFT)) & DMA_CHANNEL_CTLSTAT_TRIG_MASK)\r
 /*! @} */\r
 \r
 /* The count of DMA_CHANNEL_CTLSTAT */\r
-#define DMA_CHANNEL_CTLSTAT_COUNT                (30U)\r
+#define DMA_CHANNEL_CTLSTAT_COUNT (23U)\r
 \r
 /*! @name CHANNEL_XFERCFG - Transfer configuration register for DMA channel . */\r
 /*! @{ */\r
-#define DMA_CHANNEL_XFERCFG_CFGVALID_MASK        (0x1U)\r
-#define DMA_CHANNEL_XFERCFG_CFGVALID_SHIFT       (0U)\r
-/*! CFGVALID - Configuration Valid flag. This bit indicates whether the current channel descriptor is valid and can potentially be acted upon, if all other activation criteria are fulfilled.\r
+#define DMA_CHANNEL_XFERCFG_CFGVALID_MASK (0x1U)\r
+#define DMA_CHANNEL_XFERCFG_CFGVALID_SHIFT (0U)\r
+/*! CFGVALID - Configuration Valid flag. This bit indicates whether the current channel descriptor\r
+ *    is valid and can potentially be acted upon, if all other activation criteria are fulfilled.\r
  *  0b0..Not valid. The channel descriptor is not considered valid until validated by an associated SETVALID0 setting.\r
  *  0b1..Valid. The current channel descriptor is considered valid.\r
  */\r
-#define DMA_CHANNEL_XFERCFG_CFGVALID(x)          (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_XFERCFG_CFGVALID_SHIFT)) & DMA_CHANNEL_XFERCFG_CFGVALID_MASK)\r
-#define DMA_CHANNEL_XFERCFG_RELOAD_MASK          (0x2U)\r
-#define DMA_CHANNEL_XFERCFG_RELOAD_SHIFT         (1U)\r
-/*! RELOAD - Indicates whether the channel's control structure will be reloaded when the current descriptor is exhausted. Reloading allows ping-pong and linked transfers.\r
+#define DMA_CHANNEL_XFERCFG_CFGVALID(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_XFERCFG_CFGVALID_SHIFT)) & DMA_CHANNEL_XFERCFG_CFGVALID_MASK)\r
+#define DMA_CHANNEL_XFERCFG_RELOAD_MASK (0x2U)\r
+#define DMA_CHANNEL_XFERCFG_RELOAD_SHIFT (1U)\r
+/*! RELOAD - Indicates whether the channel's control structure will be reloaded when the current\r
+ *    descriptor is exhausted. Reloading allows ping-pong and linked transfers.\r
  *  0b0..Disabled. Do not reload the channels' control structure when the current descriptor is exhausted.\r
  *  0b1..Enabled. Reload the channels' control structure when the current descriptor is exhausted.\r
  */\r
-#define DMA_CHANNEL_XFERCFG_RELOAD(x)            (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_XFERCFG_RELOAD_SHIFT)) & DMA_CHANNEL_XFERCFG_RELOAD_MASK)\r
-#define DMA_CHANNEL_XFERCFG_SWTRIG_MASK          (0x4U)\r
-#define DMA_CHANNEL_XFERCFG_SWTRIG_SHIFT         (2U)\r
+#define DMA_CHANNEL_XFERCFG_RELOAD(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_XFERCFG_RELOAD_SHIFT)) & DMA_CHANNEL_XFERCFG_RELOAD_MASK)\r
+#define DMA_CHANNEL_XFERCFG_SWTRIG_MASK (0x4U)\r
+#define DMA_CHANNEL_XFERCFG_SWTRIG_SHIFT (2U)\r
 /*! SWTRIG - Software Trigger.\r
- *  0b0..Not set. When written by software, the trigger for this channel is not set. A new trigger, as defined by the HWTRIGEN, TRIGPOL, and TRIGTYPE will be needed to start the channel.\r
- *  0b1..Set. When written by software, the trigger for this channel is set immediately. This feature should not be used with level triggering when TRIGBURST = 0.\r
- */\r
-#define DMA_CHANNEL_XFERCFG_SWTRIG(x)            (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_XFERCFG_SWTRIG_SHIFT)) & DMA_CHANNEL_XFERCFG_SWTRIG_MASK)\r
-#define DMA_CHANNEL_XFERCFG_CLRTRIG_MASK         (0x8U)\r
-#define DMA_CHANNEL_XFERCFG_CLRTRIG_SHIFT        (3U)\r
+ *  0b0..Not set. When written by software, the trigger for this channel is not set. A new trigger, as defined by\r
+ *       the HWTRIGEN, TRIGPOL, and TRIGTYPE will be needed to start the channel.\r
+ *  0b1..Set. When written by software, the trigger for this channel is set immediately. This feature should not\r
+ *       be used with level triggering when TRIGBURST = 0.\r
+ */\r
+#define DMA_CHANNEL_XFERCFG_SWTRIG(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_XFERCFG_SWTRIG_SHIFT)) & DMA_CHANNEL_XFERCFG_SWTRIG_MASK)\r
+#define DMA_CHANNEL_XFERCFG_CLRTRIG_MASK (0x8U)\r
+#define DMA_CHANNEL_XFERCFG_CLRTRIG_SHIFT (3U)\r
 /*! CLRTRIG - Clear Trigger.\r
- *  0b0..Not cleared. The trigger is not cleared when this descriptor is exhausted. If there is a reload, the next descriptor will be started.\r
- *  0b1..Cleared. The trigger is cleared when this descriptor is exhausted\r
- */\r
-#define DMA_CHANNEL_XFERCFG_CLRTRIG(x)           (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_XFERCFG_CLRTRIG_SHIFT)) & DMA_CHANNEL_XFERCFG_CLRTRIG_MASK)\r
-#define DMA_CHANNEL_XFERCFG_SETINTA_MASK         (0x10U)\r
-#define DMA_CHANNEL_XFERCFG_SETINTA_SHIFT        (4U)\r
-/*! SETINTA - Set Interrupt flag A for this channel. There is no hardware distinction between interrupt A and B. They can be used by software to assist with more complex descriptor usage. By convention, interrupt A may be used when only one interrupt flag is needed.\r
+ *  0b0..Not cleared. The trigger is not cleared when this descriptor is exhausted. If there is a reload, the next\r
+ * descriptor will be started. 0b1..Cleared. The trigger is cleared when this descriptor is exhausted\r
+ */\r
+#define DMA_CHANNEL_XFERCFG_CLRTRIG(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_XFERCFG_CLRTRIG_SHIFT)) & DMA_CHANNEL_XFERCFG_CLRTRIG_MASK)\r
+#define DMA_CHANNEL_XFERCFG_SETINTA_MASK (0x10U)\r
+#define DMA_CHANNEL_XFERCFG_SETINTA_SHIFT (4U)\r
+/*! SETINTA - Set Interrupt flag A for this channel. There is no hardware distinction between\r
+ *    interrupt A and B. They can be used by software to assist with more complex descriptor usage. By\r
+ *    convention, interrupt A may be used when only one interrupt flag is needed.\r
  *  0b0..No effect.\r
  *  0b1..Set. The INTA flag for this channel will be set when the current descriptor is exhausted.\r
  */\r
-#define DMA_CHANNEL_XFERCFG_SETINTA(x)           (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_XFERCFG_SETINTA_SHIFT)) & DMA_CHANNEL_XFERCFG_SETINTA_MASK)\r
-#define DMA_CHANNEL_XFERCFG_SETINTB_MASK         (0x20U)\r
-#define DMA_CHANNEL_XFERCFG_SETINTB_SHIFT        (5U)\r
-/*! SETINTB - Set Interrupt flag B for this channel. There is no hardware distinction between interrupt A and B. They can be used by software to assist with more complex descriptor usage. By convention, interrupt A may be used when only one interrupt flag is needed.\r
+#define DMA_CHANNEL_XFERCFG_SETINTA(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_XFERCFG_SETINTA_SHIFT)) & DMA_CHANNEL_XFERCFG_SETINTA_MASK)\r
+#define DMA_CHANNEL_XFERCFG_SETINTB_MASK (0x20U)\r
+#define DMA_CHANNEL_XFERCFG_SETINTB_SHIFT (5U)\r
+/*! SETINTB - Set Interrupt flag B for this channel. There is no hardware distinction between\r
+ *    interrupt A and B. They can be used by software to assist with more complex descriptor usage. By\r
+ *    convention, interrupt A may be used when only one interrupt flag is needed.\r
  *  0b0..No effect.\r
  *  0b1..Set. The INTB flag for this channel will be set when the current descriptor is exhausted.\r
  */\r
-#define DMA_CHANNEL_XFERCFG_SETINTB(x)           (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_XFERCFG_SETINTB_SHIFT)) & DMA_CHANNEL_XFERCFG_SETINTB_MASK)\r
-#define DMA_CHANNEL_XFERCFG_WIDTH_MASK           (0x300U)\r
-#define DMA_CHANNEL_XFERCFG_WIDTH_SHIFT          (8U)\r
+#define DMA_CHANNEL_XFERCFG_SETINTB(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_XFERCFG_SETINTB_SHIFT)) & DMA_CHANNEL_XFERCFG_SETINTB_MASK)\r
+#define DMA_CHANNEL_XFERCFG_WIDTH_MASK (0x300U)\r
+#define DMA_CHANNEL_XFERCFG_WIDTH_SHIFT (8U)\r
 /*! WIDTH - Transfer width used for this DMA channel.\r
  *  0b00..8-bit. 8-bit transfers are performed (8-bit source reads and destination writes).\r
  *  0b01..16-bit. 6-bit transfers are performed (16-bit source reads and destination writes).\r
  *  0b10..32-bit. 32-bit transfers are performed (32-bit source reads and destination writes).\r
  *  0b11..Reserved. Reserved setting, do not use.\r
  */\r
-#define DMA_CHANNEL_XFERCFG_WIDTH(x)             (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_XFERCFG_WIDTH_SHIFT)) & DMA_CHANNEL_XFERCFG_WIDTH_MASK)\r
-#define DMA_CHANNEL_XFERCFG_SRCINC_MASK          (0x3000U)\r
-#define DMA_CHANNEL_XFERCFG_SRCINC_SHIFT         (12U)\r
+#define DMA_CHANNEL_XFERCFG_WIDTH(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_XFERCFG_WIDTH_SHIFT)) & DMA_CHANNEL_XFERCFG_WIDTH_MASK)\r
+#define DMA_CHANNEL_XFERCFG_SRCINC_MASK (0x3000U)\r
+#define DMA_CHANNEL_XFERCFG_SRCINC_SHIFT (12U)\r
 /*! SRCINC - Determines whether the source address is incremented for each DMA transfer.\r
- *  0b00..No increment. The source address is not incremented for each transfer. This is the usual case when the source is a peripheral device.\r
- *  0b01..1 x width. The source address is incremented by the amount specified by Width for each transfer. This is the usual case when the source is memory.\r
- *  0b10..2 x width. The source address is incremented by 2 times the amount specified by Width for each transfer.\r
- *  0b11..4 x width. The source address is incremented by 4 times the amount specified by Width for each transfer.\r
- */\r
-#define DMA_CHANNEL_XFERCFG_SRCINC(x)            (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_XFERCFG_SRCINC_SHIFT)) & DMA_CHANNEL_XFERCFG_SRCINC_MASK)\r
-#define DMA_CHANNEL_XFERCFG_DSTINC_MASK          (0xC000U)\r
-#define DMA_CHANNEL_XFERCFG_DSTINC_SHIFT         (14U)\r
+ *  0b00..No increment. The source address is not incremented for each transfer. This is the usual case when the source\r
+ * is a peripheral device. 0b01..1 x width. The source address is incremented by the amount specified by Width for each\r
+ * transfer. This is the usual case when the source is memory. 0b10..2 x width. The source address is incremented by 2\r
+ * times the amount specified by Width for each transfer. 0b11..4 x width. The source address is incremented by 4 times\r
+ * the amount specified by Width for each transfer.\r
+ */\r
+#define DMA_CHANNEL_XFERCFG_SRCINC(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_XFERCFG_SRCINC_SHIFT)) & DMA_CHANNEL_XFERCFG_SRCINC_MASK)\r
+#define DMA_CHANNEL_XFERCFG_DSTINC_MASK (0xC000U)\r
+#define DMA_CHANNEL_XFERCFG_DSTINC_SHIFT (14U)\r
 /*! DSTINC - Determines whether the destination address is incremented for each DMA transfer.\r
- *  0b00..No increment. The destination address is not incremented for each transfer. This is the usual case when the destination is a peripheral device.\r
- *  0b01..1 x width. The destination address is incremented by the amount specified by Width for each transfer. This is the usual case when the destination is memory.\r
+ *  0b00..No increment. The destination address is not incremented for each transfer. This is the usual case when\r
+ *        the destination is a peripheral device.\r
+ *  0b01..1 x width. The destination address is incremented by the amount specified by Width for each transfer.\r
+ *        This is the usual case when the destination is memory.\r
  *  0b10..2 x width. The destination address is incremented by 2 times the amount specified by Width for each transfer.\r
  *  0b11..4 x width. The destination address is incremented by 4 times the amount specified by Width for each transfer.\r
  */\r
-#define DMA_CHANNEL_XFERCFG_DSTINC(x)            (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_XFERCFG_DSTINC_SHIFT)) & DMA_CHANNEL_XFERCFG_DSTINC_MASK)\r
-#define DMA_CHANNEL_XFERCFG_XFERCOUNT_MASK       (0x3FF0000U)\r
-#define DMA_CHANNEL_XFERCFG_XFERCOUNT_SHIFT      (16U)\r
-#define DMA_CHANNEL_XFERCFG_XFERCOUNT(x)         (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_XFERCFG_XFERCOUNT_SHIFT)) & DMA_CHANNEL_XFERCFG_XFERCOUNT_MASK)\r
+#define DMA_CHANNEL_XFERCFG_DSTINC(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_XFERCFG_DSTINC_SHIFT)) & DMA_CHANNEL_XFERCFG_DSTINC_MASK)\r
+#define DMA_CHANNEL_XFERCFG_XFERCOUNT_MASK (0x3FF0000U)\r
+#define DMA_CHANNEL_XFERCFG_XFERCOUNT_SHIFT (16U)\r
+/*! XFERCOUNT - Total number of transfers to be performed, minus 1 encoded. The number of bytes\r
+ *    transferred is: (XFERCOUNT + 1) x data width (as defined by the WIDTH field). The DMA controller\r
+ *    uses this bit field during transfer to count down. Hence, it cannot be used by software to read\r
+ *    back the size of the transfer, for instance, in an interrupt handler. 0x0 = a total of 1\r
+ *    transfer will be performed. 0x1 = a total of 2 transfers will be performed. 0x3FF = a total of\r
+ *    1,024 transfers will be performed.\r
+ */\r
+#define DMA_CHANNEL_XFERCFG_XFERCOUNT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << DMA_CHANNEL_XFERCFG_XFERCOUNT_SHIFT)) & DMA_CHANNEL_XFERCFG_XFERCOUNT_MASK)\r
 /*! @} */\r
 \r
 /* The count of DMA_CHANNEL_XFERCFG */\r
-#define DMA_CHANNEL_XFERCFG_COUNT                (30U)\r
-\r
+#define DMA_CHANNEL_XFERCFG_COUNT (23U)\r
 \r
 /*!\r
  * @}\r
  */ /* end of group DMA_Register_Masks */\r
 \r
-\r
 /* DMA - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral DMA0 base address */\r
-  #define DMA0_BASE                                (0x50082000u)\r
-  /** Peripheral DMA0 base address */\r
-  #define DMA0_BASE_NS                             (0x40082000u)\r
-  /** Peripheral DMA0 base pointer */\r
-  #define DMA0                                     ((DMA_Type *)DMA0_BASE)\r
-  /** Peripheral DMA0 base pointer */\r
-  #define DMA0_NS                                  ((DMA_Type *)DMA0_BASE_NS)\r
-  /** Peripheral DMA1 base address */\r
-  #define DMA1_BASE                                (0x500A7000u)\r
-  /** Peripheral DMA1 base address */\r
-  #define DMA1_BASE_NS                             (0x400A7000u)\r
-  /** Peripheral DMA1 base pointer */\r
-  #define DMA1                                     ((DMA_Type *)DMA1_BASE)\r
-  /** Peripheral DMA1 base pointer */\r
-  #define DMA1_NS                                  ((DMA_Type *)DMA1_BASE_NS)\r
-  /** Array initializer of DMA peripheral base addresses */\r
-  #define DMA_BASE_ADDRS                           { DMA0_BASE, DMA1_BASE }\r
-  /** Array initializer of DMA peripheral base pointers */\r
-  #define DMA_BASE_PTRS                            { DMA0, DMA1 }\r
-  /** Array initializer of DMA peripheral base addresses */\r
-  #define DMA_BASE_ADDRS_NS                        { DMA0_BASE_NS, DMA1_BASE_NS }\r
-  /** Array initializer of DMA peripheral base pointers */\r
-  #define DMA_BASE_PTRS_NS                         { DMA0_NS, DMA1_NS }\r
+/** Peripheral DMA0 base address */\r
+#define DMA0_BASE (0x50082000u)\r
+/** Peripheral DMA0 base address */\r
+#define DMA0_BASE_NS (0x40082000u)\r
+/** Peripheral DMA0 base pointer */\r
+#define DMA0 ((DMA_Type *)DMA0_BASE)\r
+/** Peripheral DMA0 base pointer */\r
+#define DMA0_NS ((DMA_Type *)DMA0_BASE_NS)\r
+/** Peripheral DMA1 base address */\r
+#define DMA1_BASE (0x500A7000u)\r
+/** Peripheral DMA1 base address */\r
+#define DMA1_BASE_NS (0x400A7000u)\r
+/** Peripheral DMA1 base pointer */\r
+#define DMA1 ((DMA_Type *)DMA1_BASE)\r
+/** Peripheral DMA1 base pointer */\r
+#define DMA1_NS ((DMA_Type *)DMA1_BASE_NS)\r
+/** Array initializer of DMA peripheral base addresses */\r
+#define DMA_BASE_ADDRS       \\r
+    {                        \\r
+        DMA0_BASE, DMA1_BASE \\r
+    }\r
+/** Array initializer of DMA peripheral base pointers */\r
+#define DMA_BASE_PTRS \\r
+    {                 \\r
+        DMA0, DMA1    \\r
+    }\r
+/** Array initializer of DMA peripheral base addresses */\r
+#define DMA_BASE_ADDRS_NS          \\r
+    {                              \\r
+        DMA0_BASE_NS, DMA1_BASE_NS \\r
+    }\r
+/** Array initializer of DMA peripheral base pointers */\r
+#define DMA_BASE_PTRS_NS \\r
+    {                    \\r
+        DMA0_NS, DMA1_NS \\r
+    }\r
 #else\r
-  /** Peripheral DMA0 base address */\r
-  #define DMA0_BASE                                (0x40082000u)\r
-  /** Peripheral DMA0 base pointer */\r
-  #define DMA0                                     ((DMA_Type *)DMA0_BASE)\r
-  /** Peripheral DMA1 base address */\r
-  #define DMA1_BASE                                (0x400A7000u)\r
-  /** Peripheral DMA1 base pointer */\r
-  #define DMA1                                     ((DMA_Type *)DMA1_BASE)\r
-  /** Array initializer of DMA peripheral base addresses */\r
-  #define DMA_BASE_ADDRS                           { DMA0_BASE, DMA1_BASE }\r
-  /** Array initializer of DMA peripheral base pointers */\r
-  #define DMA_BASE_PTRS                            { DMA0, DMA1 }\r
+/** Peripheral DMA0 base address */\r
+#define DMA0_BASE (0x40082000u)\r
+/** Peripheral DMA0 base pointer */\r
+#define DMA0 ((DMA_Type *)DMA0_BASE)\r
+/** Peripheral DMA1 base address */\r
+#define DMA1_BASE (0x400A7000u)\r
+/** Peripheral DMA1 base pointer */\r
+#define DMA1 ((DMA_Type *)DMA1_BASE)\r
+/** Array initializer of DMA peripheral base addresses */\r
+#define DMA_BASE_ADDRS       \\r
+    {                        \\r
+        DMA0_BASE, DMA1_BASE \\r
+    }\r
+/** Array initializer of DMA peripheral base pointers */\r
+#define DMA_BASE_PTRS \\r
+    {                 \\r
+        DMA0, DMA1    \\r
+    }\r
 #endif\r
 /** Interrupt vectors for the DMA peripheral type */\r
-#define DMA_IRQS                                 { DMA0_IRQn, DMA1_IRQn }\r
+#define DMA_IRQS             \\r
+    {                        \\r
+        DMA0_IRQn, DMA1_IRQn \\r
+    }\r
 \r
 /*!\r
  * @}\r
  */ /* end of group DMA_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- FLASH Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -5846,24 +7628,25 @@ typedef struct {
  */\r
 \r
 /** FLASH - Register Layout Typedef */\r
-typedef struct {\r
-  __O  uint32_t CMD;                               /**< command register, offset: 0x0 */\r
-  __O  uint32_t EVENT;                             /**< event register, offset: 0x4 */\r
-  __IO uint32_t BURST;                             /**< read burst register, offset: 0x8 */\r
-       uint8_t RESERVED_0[4];\r
-  __IO uint32_t STARTA;                            /**< start (or only) address for next flash command, offset: 0x10 */\r
-  __IO uint32_t STOPA;                             /**< end address for next flash command, if command operates on address ranges, offset: 0x14 */\r
-       uint8_t RESERVED_1[104];\r
-  __IO uint32_t DATAW[8];                          /**< data register, word 0-7; Memory data, or command parameter, or command result., array offset: 0x80, array step: 0x4 */\r
-       uint8_t RESERVED_2[3896];\r
-  __O  uint32_t INT_CLR_ENABLE;                    /**< Clear interrupt enable bits, offset: 0xFD8 */\r
-  __O  uint32_t INT_SET_ENABLE;                    /**< Set interrupt enable bits, offset: 0xFDC */\r
-  __I  uint32_t INT_STATUS;                        /**< Interrupt status bits, offset: 0xFE0 */\r
-  __I  uint32_t INT_ENABLE;                        /**< Interrupt enable bits, offset: 0xFE4 */\r
-  __O  uint32_t INT_CLR_STATUS;                    /**< Clear interrupt status bits, offset: 0xFE8 */\r
-  __O  uint32_t INT_SET_STATUS;                    /**< Set interrupt status bits, offset: 0xFEC */\r
-       uint8_t RESERVED_3[12];\r
-  __I  uint32_t MODULE_ID;                         /**< Controller+Memory module identification, offset: 0xFFC */\r
+typedef struct\r
+{\r
+    __O uint32_t CMD;   /**< command register, offset: 0x0 */\r
+    __O uint32_t EVENT; /**< event register, offset: 0x4 */\r
+    uint8_t RESERVED_0[8];\r
+    __IO uint32_t STARTA; /**< start (or only) address for next flash command, offset: 0x10 */\r
+    __IO uint32_t STOPA; /**< end address for next flash command, if command operates on address ranges, offset: 0x14 */\r
+    uint8_t RESERVED_1[104];\r
+    __IO uint32_t DATAW[4]; /**< data register, word 0-7; Memory data, or command parameter, or command result., array\r
+                               offset: 0x80, array step: 0x4 */\r
+    uint8_t RESERVED_2[3912];\r
+    __O uint32_t INT_CLR_ENABLE; /**< Clear interrupt enable bits, offset: 0xFD8 */\r
+    __O uint32_t INT_SET_ENABLE; /**< Set interrupt enable bits, offset: 0xFDC */\r
+    __I uint32_t INT_STATUS;     /**< Interrupt status bits, offset: 0xFE0 */\r
+    __I uint32_t INT_ENABLE;     /**< Interrupt enable bits, offset: 0xFE4 */\r
+    __O uint32_t INT_CLR_STATUS; /**< Clear interrupt status bits, offset: 0xFE8 */\r
+    __O uint32_t INT_SET_STATUS; /**< Set interrupt status bits, offset: 0xFEC */\r
+    uint8_t RESERVED_3[12];\r
+    __I uint32_t MODULE_ID; /**< Controller+Memory module identification, offset: 0xFFC */\r
 } FLASH_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -5877,216 +7660,317 @@ typedef struct {
 \r
 /*! @name CMD - command register */\r
 /*! @{ */\r
-#define FLASH_CMD_CMD_MASK                       (0xFFFFFFFFU)\r
-#define FLASH_CMD_CMD_SHIFT                      (0U)\r
-#define FLASH_CMD_CMD(x)                         (((uint32_t)(((uint32_t)(x)) << FLASH_CMD_CMD_SHIFT)) & FLASH_CMD_CMD_MASK)\r
+#define FLASH_CMD_CMD_MASK (0xFFFFFFFFU)\r
+#define FLASH_CMD_CMD_SHIFT (0U)\r
+/*! CMD - command register.\r
+ */\r
+#define FLASH_CMD_CMD(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CMD_CMD_SHIFT)) & FLASH_CMD_CMD_MASK)\r
 /*! @} */\r
 \r
 /*! @name EVENT - event register */\r
 /*! @{ */\r
-#define FLASH_EVENT_RST_MASK                     (0x1U)\r
-#define FLASH_EVENT_RST_SHIFT                    (0U)\r
-#define FLASH_EVENT_RST(x)                       (((uint32_t)(((uint32_t)(x)) << FLASH_EVENT_RST_SHIFT)) & FLASH_EVENT_RST_MASK)\r
-#define FLASH_EVENT_WAKEUP_MASK                  (0x2U)\r
-#define FLASH_EVENT_WAKEUP_SHIFT                 (1U)\r
-#define FLASH_EVENT_WAKEUP(x)                    (((uint32_t)(((uint32_t)(x)) << FLASH_EVENT_WAKEUP_SHIFT)) & FLASH_EVENT_WAKEUP_MASK)\r
-#define FLASH_EVENT_ABORT_MASK                   (0x4U)\r
-#define FLASH_EVENT_ABORT_SHIFT                  (2U)\r
-#define FLASH_EVENT_ABORT(x)                     (((uint32_t)(((uint32_t)(x)) << FLASH_EVENT_ABORT_SHIFT)) & FLASH_EVENT_ABORT_MASK)\r
-/*! @} */\r
-\r
-/*! @name BURST - read burst register */\r
-/*! @{ */\r
-#define FLASH_BURST_XOR_MASK_MASK                (0xFFFFFU)\r
-#define FLASH_BURST_XOR_MASK_SHIFT               (0U)\r
-#define FLASH_BURST_XOR_MASK(x)                  (((uint32_t)(((uint32_t)(x)) << FLASH_BURST_XOR_MASK_SHIFT)) & FLASH_BURST_XOR_MASK_MASK)\r
-#define FLASH_BURST_DESCR1_MASK                  (0xF00000U)\r
-#define FLASH_BURST_DESCR1_SHIFT                 (20U)\r
-#define FLASH_BURST_DESCR1(x)                    (((uint32_t)(((uint32_t)(x)) << FLASH_BURST_DESCR1_SHIFT)) & FLASH_BURST_DESCR1_MASK)\r
-#define FLASH_BURST_DESCR2_MASK                  (0xF000000U)\r
-#define FLASH_BURST_DESCR2_SHIFT                 (24U)\r
-#define FLASH_BURST_DESCR2(x)                    (((uint32_t)(((uint32_t)(x)) << FLASH_BURST_DESCR2_SHIFT)) & FLASH_BURST_DESCR2_MASK)\r
-#define FLASH_BURST_DESCR3_MASK                  (0xF0000000U)\r
-#define FLASH_BURST_DESCR3_SHIFT                 (28U)\r
-#define FLASH_BURST_DESCR3(x)                    (((uint32_t)(((uint32_t)(x)) << FLASH_BURST_DESCR3_SHIFT)) & FLASH_BURST_DESCR3_MASK)\r
+#define FLASH_EVENT_RST_MASK (0x1U)\r
+#define FLASH_EVENT_RST_SHIFT (0U)\r
+/*! RST - When bit is set, the controller and flash are reset.\r
+ */\r
+#define FLASH_EVENT_RST(x) (((uint32_t)(((uint32_t)(x)) << FLASH_EVENT_RST_SHIFT)) & FLASH_EVENT_RST_MASK)\r
+#define FLASH_EVENT_WAKEUP_MASK (0x2U)\r
+#define FLASH_EVENT_WAKEUP_SHIFT (1U)\r
+/*! WAKEUP - When bit is set, the controller wakes up from whatever low power or powerdown mode was active.\r
+ */\r
+#define FLASH_EVENT_WAKEUP(x) (((uint32_t)(((uint32_t)(x)) << FLASH_EVENT_WAKEUP_SHIFT)) & FLASH_EVENT_WAKEUP_MASK)\r
+#define FLASH_EVENT_ABORT_MASK (0x4U)\r
+#define FLASH_EVENT_ABORT_SHIFT (2U)\r
+/*! ABORT - When bit is set, a running program/erase command is aborted.\r
+ */\r
+#define FLASH_EVENT_ABORT(x) (((uint32_t)(((uint32_t)(x)) << FLASH_EVENT_ABORT_SHIFT)) & FLASH_EVENT_ABORT_MASK)\r
 /*! @} */\r
 \r
 /*! @name STARTA - start (or only) address for next flash command */\r
 /*! @{ */\r
-#define FLASH_STARTA_STARTA_MASK                 (0x3FFFFU)\r
-#define FLASH_STARTA_STARTA_SHIFT                (0U)\r
-#define FLASH_STARTA_STARTA(x)                   (((uint32_t)(((uint32_t)(x)) << FLASH_STARTA_STARTA_SHIFT)) & FLASH_STARTA_STARTA_MASK)\r
+#define FLASH_STARTA_STARTA_MASK (0x3FFFFU)\r
+#define FLASH_STARTA_STARTA_SHIFT (0U)\r
+/*! STARTA - Address / Start address for commands that take an address (range) as a parameter.\r
+ */\r
+#define FLASH_STARTA_STARTA(x) (((uint32_t)(((uint32_t)(x)) << FLASH_STARTA_STARTA_SHIFT)) & FLASH_STARTA_STARTA_MASK)\r
 /*! @} */\r
 \r
 /*! @name STOPA - end address for next flash command, if command operates on address ranges */\r
 /*! @{ */\r
-#define FLASH_STOPA_STOPA_MASK                   (0x3FFFFU)\r
-#define FLASH_STOPA_STOPA_SHIFT                  (0U)\r
-#define FLASH_STOPA_STOPA(x)                     (((uint32_t)(((uint32_t)(x)) << FLASH_STOPA_STOPA_SHIFT)) & FLASH_STOPA_STOPA_MASK)\r
+#define FLASH_STOPA_STOPA_MASK (0x3FFFFU)\r
+#define FLASH_STOPA_STOPA_SHIFT (0U)\r
+/*! STOPA - Stop address for commands that take an address range as a parameter (the word specified\r
+ *    by STOPA is included in the address range).\r
+ */\r
+#define FLASH_STOPA_STOPA(x) (((uint32_t)(((uint32_t)(x)) << FLASH_STOPA_STOPA_SHIFT)) & FLASH_STOPA_STOPA_MASK)\r
 /*! @} */\r
 \r
 /*! @name DATAW - data register, word 0-7; Memory data, or command parameter, or command result. */\r
 /*! @{ */\r
-#define FLASH_DATAW_DATAW_MASK                   (0xFFFFFFFFU)\r
-#define FLASH_DATAW_DATAW_SHIFT                  (0U)\r
-#define FLASH_DATAW_DATAW(x)                     (((uint32_t)(((uint32_t)(x)) << FLASH_DATAW_DATAW_SHIFT)) & FLASH_DATAW_DATAW_MASK)\r
+#define FLASH_DATAW_DATAW_MASK (0xFFFFFFFFU)\r
+#define FLASH_DATAW_DATAW_SHIFT (0U)\r
+#define FLASH_DATAW_DATAW(x) (((uint32_t)(((uint32_t)(x)) << FLASH_DATAW_DATAW_SHIFT)) & FLASH_DATAW_DATAW_MASK)\r
 /*! @} */\r
 \r
 /* The count of FLASH_DATAW */\r
-#define FLASH_DATAW_COUNT                        (8U)\r
+#define FLASH_DATAW_COUNT (4U)\r
 \r
 /*! @name INT_CLR_ENABLE - Clear interrupt enable bits */\r
 /*! @{ */\r
-#define FLASH_INT_CLR_ENABLE_FAIL_MASK           (0x1U)\r
-#define FLASH_INT_CLR_ENABLE_FAIL_SHIFT          (0U)\r
-#define FLASH_INT_CLR_ENABLE_FAIL(x)             (((uint32_t)(((uint32_t)(x)) << FLASH_INT_CLR_ENABLE_FAIL_SHIFT)) & FLASH_INT_CLR_ENABLE_FAIL_MASK)\r
-#define FLASH_INT_CLR_ENABLE_ERR_MASK            (0x2U)\r
-#define FLASH_INT_CLR_ENABLE_ERR_SHIFT           (1U)\r
-#define FLASH_INT_CLR_ENABLE_ERR(x)              (((uint32_t)(((uint32_t)(x)) << FLASH_INT_CLR_ENABLE_ERR_SHIFT)) & FLASH_INT_CLR_ENABLE_ERR_MASK)\r
-#define FLASH_INT_CLR_ENABLE_DONE_MASK           (0x4U)\r
-#define FLASH_INT_CLR_ENABLE_DONE_SHIFT          (2U)\r
-#define FLASH_INT_CLR_ENABLE_DONE(x)             (((uint32_t)(((uint32_t)(x)) << FLASH_INT_CLR_ENABLE_DONE_SHIFT)) & FLASH_INT_CLR_ENABLE_DONE_MASK)\r
-#define FLASH_INT_CLR_ENABLE_ECC_ERR_MASK        (0x8U)\r
-#define FLASH_INT_CLR_ENABLE_ECC_ERR_SHIFT       (3U)\r
-#define FLASH_INT_CLR_ENABLE_ECC_ERR(x)          (((uint32_t)(((uint32_t)(x)) << FLASH_INT_CLR_ENABLE_ECC_ERR_SHIFT)) & FLASH_INT_CLR_ENABLE_ECC_ERR_MASK)\r
+#define FLASH_INT_CLR_ENABLE_FAIL_MASK (0x1U)\r
+#define FLASH_INT_CLR_ENABLE_FAIL_SHIFT (0U)\r
+/*! FAIL - When a CLR_ENABLE bit is written to 1, the corresponding INT_ENABLE bit is cleared.\r
+ */\r
+#define FLASH_INT_CLR_ENABLE_FAIL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_INT_CLR_ENABLE_FAIL_SHIFT)) & FLASH_INT_CLR_ENABLE_FAIL_MASK)\r
+#define FLASH_INT_CLR_ENABLE_ERR_MASK (0x2U)\r
+#define FLASH_INT_CLR_ENABLE_ERR_SHIFT (1U)\r
+/*! ERR - When a CLR_ENABLE bit is written to 1, the corresponding INT_ENABLE bit is cleared.\r
+ */\r
+#define FLASH_INT_CLR_ENABLE_ERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_INT_CLR_ENABLE_ERR_SHIFT)) & FLASH_INT_CLR_ENABLE_ERR_MASK)\r
+#define FLASH_INT_CLR_ENABLE_DONE_MASK (0x4U)\r
+#define FLASH_INT_CLR_ENABLE_DONE_SHIFT (2U)\r
+/*! DONE - When a CLR_ENABLE bit is written to 1, the corresponding INT_ENABLE bit is cleared.\r
+ */\r
+#define FLASH_INT_CLR_ENABLE_DONE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_INT_CLR_ENABLE_DONE_SHIFT)) & FLASH_INT_CLR_ENABLE_DONE_MASK)\r
+#define FLASH_INT_CLR_ENABLE_ECC_ERR_MASK (0x8U)\r
+#define FLASH_INT_CLR_ENABLE_ECC_ERR_SHIFT (3U)\r
+/*! ECC_ERR - When a CLR_ENABLE bit is written to 1, the corresponding INT_ENABLE bit is cleared.\r
+ */\r
+#define FLASH_INT_CLR_ENABLE_ECC_ERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_INT_CLR_ENABLE_ECC_ERR_SHIFT)) & FLASH_INT_CLR_ENABLE_ECC_ERR_MASK)\r
 /*! @} */\r
 \r
 /*! @name INT_SET_ENABLE - Set interrupt enable bits */\r
 /*! @{ */\r
-#define FLASH_INT_SET_ENABLE_FAIL_MASK           (0x1U)\r
-#define FLASH_INT_SET_ENABLE_FAIL_SHIFT          (0U)\r
-#define FLASH_INT_SET_ENABLE_FAIL(x)             (((uint32_t)(((uint32_t)(x)) << FLASH_INT_SET_ENABLE_FAIL_SHIFT)) & FLASH_INT_SET_ENABLE_FAIL_MASK)\r
-#define FLASH_INT_SET_ENABLE_ERR_MASK            (0x2U)\r
-#define FLASH_INT_SET_ENABLE_ERR_SHIFT           (1U)\r
-#define FLASH_INT_SET_ENABLE_ERR(x)              (((uint32_t)(((uint32_t)(x)) << FLASH_INT_SET_ENABLE_ERR_SHIFT)) & FLASH_INT_SET_ENABLE_ERR_MASK)\r
-#define FLASH_INT_SET_ENABLE_DONE_MASK           (0x4U)\r
-#define FLASH_INT_SET_ENABLE_DONE_SHIFT          (2U)\r
-#define FLASH_INT_SET_ENABLE_DONE(x)             (((uint32_t)(((uint32_t)(x)) << FLASH_INT_SET_ENABLE_DONE_SHIFT)) & FLASH_INT_SET_ENABLE_DONE_MASK)\r
-#define FLASH_INT_SET_ENABLE_ECC_ERR_MASK        (0x8U)\r
-#define FLASH_INT_SET_ENABLE_ECC_ERR_SHIFT       (3U)\r
-#define FLASH_INT_SET_ENABLE_ECC_ERR(x)          (((uint32_t)(((uint32_t)(x)) << FLASH_INT_SET_ENABLE_ECC_ERR_SHIFT)) & FLASH_INT_SET_ENABLE_ECC_ERR_MASK)\r
+#define FLASH_INT_SET_ENABLE_FAIL_MASK (0x1U)\r
+#define FLASH_INT_SET_ENABLE_FAIL_SHIFT (0U)\r
+/*! FAIL - When a SET_ENABLE bit is written to 1, the corresponding INT_ENABLE bit is set.\r
+ */\r
+#define FLASH_INT_SET_ENABLE_FAIL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_INT_SET_ENABLE_FAIL_SHIFT)) & FLASH_INT_SET_ENABLE_FAIL_MASK)\r
+#define FLASH_INT_SET_ENABLE_ERR_MASK (0x2U)\r
+#define FLASH_INT_SET_ENABLE_ERR_SHIFT (1U)\r
+/*! ERR - When a SET_ENABLE bit is written to 1, the corresponding INT_ENABLE bit is set.\r
+ */\r
+#define FLASH_INT_SET_ENABLE_ERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_INT_SET_ENABLE_ERR_SHIFT)) & FLASH_INT_SET_ENABLE_ERR_MASK)\r
+#define FLASH_INT_SET_ENABLE_DONE_MASK (0x4U)\r
+#define FLASH_INT_SET_ENABLE_DONE_SHIFT (2U)\r
+/*! DONE - When a SET_ENABLE bit is written to 1, the corresponding INT_ENABLE bit is set.\r
+ */\r
+#define FLASH_INT_SET_ENABLE_DONE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_INT_SET_ENABLE_DONE_SHIFT)) & FLASH_INT_SET_ENABLE_DONE_MASK)\r
+#define FLASH_INT_SET_ENABLE_ECC_ERR_MASK (0x8U)\r
+#define FLASH_INT_SET_ENABLE_ECC_ERR_SHIFT (3U)\r
+/*! ECC_ERR - When a SET_ENABLE bit is written to 1, the corresponding INT_ENABLE bit is set.\r
+ */\r
+#define FLASH_INT_SET_ENABLE_ECC_ERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_INT_SET_ENABLE_ECC_ERR_SHIFT)) & FLASH_INT_SET_ENABLE_ECC_ERR_MASK)\r
 /*! @} */\r
 \r
 /*! @name INT_STATUS - Interrupt status bits */\r
 /*! @{ */\r
-#define FLASH_INT_STATUS_FAIL_MASK               (0x1U)\r
-#define FLASH_INT_STATUS_FAIL_SHIFT              (0U)\r
-#define FLASH_INT_STATUS_FAIL(x)                 (((uint32_t)(((uint32_t)(x)) << FLASH_INT_STATUS_FAIL_SHIFT)) & FLASH_INT_STATUS_FAIL_MASK)\r
-#define FLASH_INT_STATUS_ERR_MASK                (0x2U)\r
-#define FLASH_INT_STATUS_ERR_SHIFT               (1U)\r
-#define FLASH_INT_STATUS_ERR(x)                  (((uint32_t)(((uint32_t)(x)) << FLASH_INT_STATUS_ERR_SHIFT)) & FLASH_INT_STATUS_ERR_MASK)\r
-#define FLASH_INT_STATUS_DONE_MASK               (0x4U)\r
-#define FLASH_INT_STATUS_DONE_SHIFT              (2U)\r
-#define FLASH_INT_STATUS_DONE(x)                 (((uint32_t)(((uint32_t)(x)) << FLASH_INT_STATUS_DONE_SHIFT)) & FLASH_INT_STATUS_DONE_MASK)\r
-#define FLASH_INT_STATUS_ECC_ERR_MASK            (0x8U)\r
-#define FLASH_INT_STATUS_ECC_ERR_SHIFT           (3U)\r
-#define FLASH_INT_STATUS_ECC_ERR(x)              (((uint32_t)(((uint32_t)(x)) << FLASH_INT_STATUS_ECC_ERR_SHIFT)) & FLASH_INT_STATUS_ECC_ERR_MASK)\r
+#define FLASH_INT_STATUS_FAIL_MASK (0x1U)\r
+#define FLASH_INT_STATUS_FAIL_SHIFT (0U)\r
+/*! FAIL - This status bit is set if execution of a (legal) command failed.\r
+ */\r
+#define FLASH_INT_STATUS_FAIL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_INT_STATUS_FAIL_SHIFT)) & FLASH_INT_STATUS_FAIL_MASK)\r
+#define FLASH_INT_STATUS_ERR_MASK (0x2U)\r
+#define FLASH_INT_STATUS_ERR_SHIFT (1U)\r
+/*! ERR - This status bit is set if execution of an illegal command is detected.\r
+ */\r
+#define FLASH_INT_STATUS_ERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_INT_STATUS_ERR_SHIFT)) & FLASH_INT_STATUS_ERR_MASK)\r
+#define FLASH_INT_STATUS_DONE_MASK (0x4U)\r
+#define FLASH_INT_STATUS_DONE_SHIFT (2U)\r
+/*! DONE - This status bit is set at the end of command execution.\r
+ */\r
+#define FLASH_INT_STATUS_DONE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_INT_STATUS_DONE_SHIFT)) & FLASH_INT_STATUS_DONE_MASK)\r
+#define FLASH_INT_STATUS_ECC_ERR_MASK (0x8U)\r
+#define FLASH_INT_STATUS_ECC_ERR_SHIFT (3U)\r
+/*! ECC_ERR - This status bit is set if, during a memory read operation (either a user-requested\r
+ *    read, or a speculative read, or reads performed by a controller command), a correctable or\r
+ *    uncorrectable error is detected by ECC decoding logic.\r
+ */\r
+#define FLASH_INT_STATUS_ECC_ERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_INT_STATUS_ECC_ERR_SHIFT)) & FLASH_INT_STATUS_ECC_ERR_MASK)\r
 /*! @} */\r
 \r
 /*! @name INT_ENABLE - Interrupt enable bits */\r
 /*! @{ */\r
-#define FLASH_INT_ENABLE_FAIL_MASK               (0x1U)\r
-#define FLASH_INT_ENABLE_FAIL_SHIFT              (0U)\r
-#define FLASH_INT_ENABLE_FAIL(x)                 (((uint32_t)(((uint32_t)(x)) << FLASH_INT_ENABLE_FAIL_SHIFT)) & FLASH_INT_ENABLE_FAIL_MASK)\r
-#define FLASH_INT_ENABLE_ERR_MASK                (0x2U)\r
-#define FLASH_INT_ENABLE_ERR_SHIFT               (1U)\r
-#define FLASH_INT_ENABLE_ERR(x)                  (((uint32_t)(((uint32_t)(x)) << FLASH_INT_ENABLE_ERR_SHIFT)) & FLASH_INT_ENABLE_ERR_MASK)\r
-#define FLASH_INT_ENABLE_DONE_MASK               (0x4U)\r
-#define FLASH_INT_ENABLE_DONE_SHIFT              (2U)\r
-#define FLASH_INT_ENABLE_DONE(x)                 (((uint32_t)(((uint32_t)(x)) << FLASH_INT_ENABLE_DONE_SHIFT)) & FLASH_INT_ENABLE_DONE_MASK)\r
-#define FLASH_INT_ENABLE_ECC_ERR_MASK            (0x8U)\r
-#define FLASH_INT_ENABLE_ECC_ERR_SHIFT           (3U)\r
-#define FLASH_INT_ENABLE_ECC_ERR(x)              (((uint32_t)(((uint32_t)(x)) << FLASH_INT_ENABLE_ECC_ERR_SHIFT)) & FLASH_INT_ENABLE_ECC_ERR_MASK)\r
+#define FLASH_INT_ENABLE_FAIL_MASK (0x1U)\r
+#define FLASH_INT_ENABLE_FAIL_SHIFT (0U)\r
+/*! FAIL - If an INT_ENABLE bit is set, an interrupt request will be generated if the corresponding INT_STATUS bit is\r
+ * high.\r
+ */\r
+#define FLASH_INT_ENABLE_FAIL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_INT_ENABLE_FAIL_SHIFT)) & FLASH_INT_ENABLE_FAIL_MASK)\r
+#define FLASH_INT_ENABLE_ERR_MASK (0x2U)\r
+#define FLASH_INT_ENABLE_ERR_SHIFT (1U)\r
+/*! ERR - If an INT_ENABLE bit is set, an interrupt request will be generated if the corresponding INT_STATUS bit is\r
+ * high.\r
+ */\r
+#define FLASH_INT_ENABLE_ERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_INT_ENABLE_ERR_SHIFT)) & FLASH_INT_ENABLE_ERR_MASK)\r
+#define FLASH_INT_ENABLE_DONE_MASK (0x4U)\r
+#define FLASH_INT_ENABLE_DONE_SHIFT (2U)\r
+/*! DONE - If an INT_ENABLE bit is set, an interrupt request will be generated if the corresponding INT_STATUS bit is\r
+ * high.\r
+ */\r
+#define FLASH_INT_ENABLE_DONE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_INT_ENABLE_DONE_SHIFT)) & FLASH_INT_ENABLE_DONE_MASK)\r
+#define FLASH_INT_ENABLE_ECC_ERR_MASK (0x8U)\r
+#define FLASH_INT_ENABLE_ECC_ERR_SHIFT (3U)\r
+/*! ECC_ERR - If an INT_ENABLE bit is set, an interrupt request will be generated if the corresponding INT_STATUS bit is\r
+ * high.\r
+ */\r
+#define FLASH_INT_ENABLE_ECC_ERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_INT_ENABLE_ECC_ERR_SHIFT)) & FLASH_INT_ENABLE_ECC_ERR_MASK)\r
 /*! @} */\r
 \r
 /*! @name INT_CLR_STATUS - Clear interrupt status bits */\r
 /*! @{ */\r
-#define FLASH_INT_CLR_STATUS_FAIL_MASK           (0x1U)\r
-#define FLASH_INT_CLR_STATUS_FAIL_SHIFT          (0U)\r
-#define FLASH_INT_CLR_STATUS_FAIL(x)             (((uint32_t)(((uint32_t)(x)) << FLASH_INT_CLR_STATUS_FAIL_SHIFT)) & FLASH_INT_CLR_STATUS_FAIL_MASK)\r
-#define FLASH_INT_CLR_STATUS_ERR_MASK            (0x2U)\r
-#define FLASH_INT_CLR_STATUS_ERR_SHIFT           (1U)\r
-#define FLASH_INT_CLR_STATUS_ERR(x)              (((uint32_t)(((uint32_t)(x)) << FLASH_INT_CLR_STATUS_ERR_SHIFT)) & FLASH_INT_CLR_STATUS_ERR_MASK)\r
-#define FLASH_INT_CLR_STATUS_DONE_MASK           (0x4U)\r
-#define FLASH_INT_CLR_STATUS_DONE_SHIFT          (2U)\r
-#define FLASH_INT_CLR_STATUS_DONE(x)             (((uint32_t)(((uint32_t)(x)) << FLASH_INT_CLR_STATUS_DONE_SHIFT)) & FLASH_INT_CLR_STATUS_DONE_MASK)\r
-#define FLASH_INT_CLR_STATUS_ECC_ERR_MASK        (0x8U)\r
-#define FLASH_INT_CLR_STATUS_ECC_ERR_SHIFT       (3U)\r
-#define FLASH_INT_CLR_STATUS_ECC_ERR(x)          (((uint32_t)(((uint32_t)(x)) << FLASH_INT_CLR_STATUS_ECC_ERR_SHIFT)) & FLASH_INT_CLR_STATUS_ECC_ERR_MASK)\r
+#define FLASH_INT_CLR_STATUS_FAIL_MASK (0x1U)\r
+#define FLASH_INT_CLR_STATUS_FAIL_SHIFT (0U)\r
+/*! FAIL - When a CLR_STATUS bit is written to 1, the corresponding INT_STATUS bit is cleared.\r
+ */\r
+#define FLASH_INT_CLR_STATUS_FAIL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_INT_CLR_STATUS_FAIL_SHIFT)) & FLASH_INT_CLR_STATUS_FAIL_MASK)\r
+#define FLASH_INT_CLR_STATUS_ERR_MASK (0x2U)\r
+#define FLASH_INT_CLR_STATUS_ERR_SHIFT (1U)\r
+/*! ERR - When a CLR_STATUS bit is written to 1, the corresponding INT_STATUS bit is cleared.\r
+ */\r
+#define FLASH_INT_CLR_STATUS_ERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_INT_CLR_STATUS_ERR_SHIFT)) & FLASH_INT_CLR_STATUS_ERR_MASK)\r
+#define FLASH_INT_CLR_STATUS_DONE_MASK (0x4U)\r
+#define FLASH_INT_CLR_STATUS_DONE_SHIFT (2U)\r
+/*! DONE - When a CLR_STATUS bit is written to 1, the corresponding INT_STATUS bit is cleared.\r
+ */\r
+#define FLASH_INT_CLR_STATUS_DONE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_INT_CLR_STATUS_DONE_SHIFT)) & FLASH_INT_CLR_STATUS_DONE_MASK)\r
+#define FLASH_INT_CLR_STATUS_ECC_ERR_MASK (0x8U)\r
+#define FLASH_INT_CLR_STATUS_ECC_ERR_SHIFT (3U)\r
+/*! ECC_ERR - When a CLR_STATUS bit is written to 1, the corresponding INT_STATUS bit is cleared.\r
+ */\r
+#define FLASH_INT_CLR_STATUS_ECC_ERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_INT_CLR_STATUS_ECC_ERR_SHIFT)) & FLASH_INT_CLR_STATUS_ECC_ERR_MASK)\r
 /*! @} */\r
 \r
 /*! @name INT_SET_STATUS - Set interrupt status bits */\r
 /*! @{ */\r
-#define FLASH_INT_SET_STATUS_FAIL_MASK           (0x1U)\r
-#define FLASH_INT_SET_STATUS_FAIL_SHIFT          (0U)\r
-#define FLASH_INT_SET_STATUS_FAIL(x)             (((uint32_t)(((uint32_t)(x)) << FLASH_INT_SET_STATUS_FAIL_SHIFT)) & FLASH_INT_SET_STATUS_FAIL_MASK)\r
-#define FLASH_INT_SET_STATUS_ERR_MASK            (0x2U)\r
-#define FLASH_INT_SET_STATUS_ERR_SHIFT           (1U)\r
-#define FLASH_INT_SET_STATUS_ERR(x)              (((uint32_t)(((uint32_t)(x)) << FLASH_INT_SET_STATUS_ERR_SHIFT)) & FLASH_INT_SET_STATUS_ERR_MASK)\r
-#define FLASH_INT_SET_STATUS_DONE_MASK           (0x4U)\r
-#define FLASH_INT_SET_STATUS_DONE_SHIFT          (2U)\r
-#define FLASH_INT_SET_STATUS_DONE(x)             (((uint32_t)(((uint32_t)(x)) << FLASH_INT_SET_STATUS_DONE_SHIFT)) & FLASH_INT_SET_STATUS_DONE_MASK)\r
-#define FLASH_INT_SET_STATUS_ECC_ERR_MASK        (0x8U)\r
-#define FLASH_INT_SET_STATUS_ECC_ERR_SHIFT       (3U)\r
-#define FLASH_INT_SET_STATUS_ECC_ERR(x)          (((uint32_t)(((uint32_t)(x)) << FLASH_INT_SET_STATUS_ECC_ERR_SHIFT)) & FLASH_INT_SET_STATUS_ECC_ERR_MASK)\r
+#define FLASH_INT_SET_STATUS_FAIL_MASK (0x1U)\r
+#define FLASH_INT_SET_STATUS_FAIL_SHIFT (0U)\r
+/*! FAIL - When a SET_STATUS bit is written to 1, the corresponding INT_STATUS bit is set.\r
+ */\r
+#define FLASH_INT_SET_STATUS_FAIL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_INT_SET_STATUS_FAIL_SHIFT)) & FLASH_INT_SET_STATUS_FAIL_MASK)\r
+#define FLASH_INT_SET_STATUS_ERR_MASK (0x2U)\r
+#define FLASH_INT_SET_STATUS_ERR_SHIFT (1U)\r
+/*! ERR - When a SET_STATUS bit is written to 1, the corresponding INT_STATUS bit is set.\r
+ */\r
+#define FLASH_INT_SET_STATUS_ERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_INT_SET_STATUS_ERR_SHIFT)) & FLASH_INT_SET_STATUS_ERR_MASK)\r
+#define FLASH_INT_SET_STATUS_DONE_MASK (0x4U)\r
+#define FLASH_INT_SET_STATUS_DONE_SHIFT (2U)\r
+/*! DONE - When a SET_STATUS bit is written to 1, the corresponding INT_STATUS bit is set.\r
+ */\r
+#define FLASH_INT_SET_STATUS_DONE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_INT_SET_STATUS_DONE_SHIFT)) & FLASH_INT_SET_STATUS_DONE_MASK)\r
+#define FLASH_INT_SET_STATUS_ECC_ERR_MASK (0x8U)\r
+#define FLASH_INT_SET_STATUS_ECC_ERR_SHIFT (3U)\r
+/*! ECC_ERR - When a SET_STATUS bit is written to 1, the corresponding INT_STATUS bit is set.\r
+ */\r
+#define FLASH_INT_SET_STATUS_ECC_ERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_INT_SET_STATUS_ECC_ERR_SHIFT)) & FLASH_INT_SET_STATUS_ECC_ERR_MASK)\r
 /*! @} */\r
 \r
 /*! @name MODULE_ID - Controller+Memory module identification */\r
 /*! @{ */\r
-#define FLASH_MODULE_ID_APERTURE_MASK            (0xFFU)\r
-#define FLASH_MODULE_ID_APERTURE_SHIFT           (0U)\r
-#define FLASH_MODULE_ID_APERTURE(x)              (((uint32_t)(((uint32_t)(x)) << FLASH_MODULE_ID_APERTURE_SHIFT)) & FLASH_MODULE_ID_APERTURE_MASK)\r
-#define FLASH_MODULE_ID_MINOR_REV_MASK           (0xF00U)\r
-#define FLASH_MODULE_ID_MINOR_REV_SHIFT          (8U)\r
-#define FLASH_MODULE_ID_MINOR_REV(x)             (((uint32_t)(((uint32_t)(x)) << FLASH_MODULE_ID_MINOR_REV_SHIFT)) & FLASH_MODULE_ID_MINOR_REV_MASK)\r
-#define FLASH_MODULE_ID_MAJOR_REV_MASK           (0xF000U)\r
-#define FLASH_MODULE_ID_MAJOR_REV_SHIFT          (12U)\r
-#define FLASH_MODULE_ID_MAJOR_REV(x)             (((uint32_t)(((uint32_t)(x)) << FLASH_MODULE_ID_MAJOR_REV_SHIFT)) & FLASH_MODULE_ID_MAJOR_REV_MASK)\r
-#define FLASH_MODULE_ID_ID_MASK                  (0xFFFF0000U)\r
-#define FLASH_MODULE_ID_ID_SHIFT                 (16U)\r
-#define FLASH_MODULE_ID_ID(x)                    (((uint32_t)(((uint32_t)(x)) << FLASH_MODULE_ID_ID_SHIFT)) & FLASH_MODULE_ID_ID_MASK)\r
+#define FLASH_MODULE_ID_APERTURE_MASK (0xFFU)\r
+#define FLASH_MODULE_ID_APERTURE_SHIFT (0U)\r
+/*! APERTURE - Aperture i.\r
+ */\r
+#define FLASH_MODULE_ID_APERTURE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_MODULE_ID_APERTURE_SHIFT)) & FLASH_MODULE_ID_APERTURE_MASK)\r
+#define FLASH_MODULE_ID_MINOR_REV_MASK (0xF00U)\r
+#define FLASH_MODULE_ID_MINOR_REV_SHIFT (8U)\r
+/*! MINOR_REV - Minor revision i.\r
+ */\r
+#define FLASH_MODULE_ID_MINOR_REV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_MODULE_ID_MINOR_REV_SHIFT)) & FLASH_MODULE_ID_MINOR_REV_MASK)\r
+#define FLASH_MODULE_ID_MAJOR_REV_MASK (0xF000U)\r
+#define FLASH_MODULE_ID_MAJOR_REV_SHIFT (12U)\r
+/*! MAJOR_REV - Major revision i.\r
+ */\r
+#define FLASH_MODULE_ID_MAJOR_REV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_MODULE_ID_MAJOR_REV_SHIFT)) & FLASH_MODULE_ID_MAJOR_REV_MASK)\r
+#define FLASH_MODULE_ID_ID_MASK (0xFFFF0000U)\r
+#define FLASH_MODULE_ID_ID_SHIFT (16U)\r
+/*! ID - Identifier.\r
+ */\r
+#define FLASH_MODULE_ID_ID(x) (((uint32_t)(((uint32_t)(x)) << FLASH_MODULE_ID_ID_SHIFT)) & FLASH_MODULE_ID_ID_MASK)\r
 /*! @} */\r
 \r
-\r
 /*!\r
  * @}\r
  */ /* end of group FLASH_Register_Masks */\r
 \r
-\r
 /* FLASH - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral FLASH base address */\r
-  #define FLASH_BASE                               (0x50034000u)\r
-  /** Peripheral FLASH base address */\r
-  #define FLASH_BASE_NS                            (0x40034000u)\r
-  /** Peripheral FLASH base pointer */\r
-  #define FLASH                                    ((FLASH_Type *)FLASH_BASE)\r
-  /** Peripheral FLASH base pointer */\r
-  #define FLASH_NS                                 ((FLASH_Type *)FLASH_BASE_NS)\r
-  /** Array initializer of FLASH peripheral base addresses */\r
-  #define FLASH_BASE_ADDRS                         { FLASH_BASE }\r
-  /** Array initializer of FLASH peripheral base pointers */\r
-  #define FLASH_BASE_PTRS                          { FLASH }\r
-  /** Array initializer of FLASH peripheral base addresses */\r
-  #define FLASH_BASE_ADDRS_NS                      { FLASH_BASE_NS }\r
-  /** Array initializer of FLASH peripheral base pointers */\r
-  #define FLASH_BASE_PTRS_NS                       { FLASH_NS }\r
+/** Peripheral FLASH base address */\r
+#define FLASH_BASE (0x50034000u)\r
+/** Peripheral FLASH base address */\r
+#define FLASH_BASE_NS (0x40034000u)\r
+/** Peripheral FLASH base pointer */\r
+#define FLASH ((FLASH_Type *)FLASH_BASE)\r
+/** Peripheral FLASH base pointer */\r
+#define FLASH_NS ((FLASH_Type *)FLASH_BASE_NS)\r
+/** Array initializer of FLASH peripheral base addresses */\r
+#define FLASH_BASE_ADDRS \\r
+    {                    \\r
+        FLASH_BASE       \\r
+    }\r
+/** Array initializer of FLASH peripheral base pointers */\r
+#define FLASH_BASE_PTRS \\r
+    {                   \\r
+        FLASH           \\r
+    }\r
+/** Array initializer of FLASH peripheral base addresses */\r
+#define FLASH_BASE_ADDRS_NS \\r
+    {                       \\r
+        FLASH_BASE_NS       \\r
+    }\r
+/** Array initializer of FLASH peripheral base pointers */\r
+#define FLASH_BASE_PTRS_NS \\r
+    {                      \\r
+        FLASH_NS           \\r
+    }\r
 #else\r
-  /** Peripheral FLASH base address */\r
-  #define FLASH_BASE                               (0x40034000u)\r
-  /** Peripheral FLASH base pointer */\r
-  #define FLASH                                    ((FLASH_Type *)FLASH_BASE)\r
-  /** Array initializer of FLASH peripheral base addresses */\r
-  #define FLASH_BASE_ADDRS                         { FLASH_BASE }\r
-  /** Array initializer of FLASH peripheral base pointers */\r
-  #define FLASH_BASE_PTRS                          { FLASH }\r
+/** Peripheral FLASH base address */\r
+#define FLASH_BASE (0x40034000u)\r
+/** Peripheral FLASH base pointer */\r
+#define FLASH ((FLASH_Type *)FLASH_BASE)\r
+/** Array initializer of FLASH peripheral base addresses */\r
+#define FLASH_BASE_ADDRS \\r
+    {                    \\r
+        FLASH_BASE       \\r
+    }\r
+/** Array initializer of FLASH peripheral base pointers */\r
+#define FLASH_BASE_PTRS \\r
+    {                   \\r
+        FLASH           \\r
+    }\r
 #endif\r
 \r
 /*!\r
  * @}\r
  */ /* end of group FLASH_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- FLASH_CFPA Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -6097,46 +7981,71 @@ typedef struct {
  */\r
 \r
 /** FLASH_CFPA - Register Layout Typedef */\r
-typedef struct {\r
-  __IO uint32_t HEADER;                            /**< ., offset: 0x0 */\r
-  __IO uint32_t VERSION;                           /**< ., offset: 0x4 */\r
-  __IO uint32_t S_FW_VERSION;                      /**< Secure firmware version (Monotonic counter), offset: 0x8 */\r
-  __IO uint32_t NS_FW_VERSION;                     /**< Non-Secure firmware version (Monotonic counter), offset: 0xC */\r
-  __IO uint32_t IMAGE_KEY_REVOKE;                  /**< Image key revocation ID (Monotonic counter), offset: 0x10 */\r
-       uint8_t RESERVED_0[4];\r
-  __IO uint32_t ROTKH_REVOKE;                      /**< ., offset: 0x18 */\r
-  __IO uint32_t VENDOR_USAGE;                      /**< ., offset: 0x1C */\r
-  __IO uint32_t DCFG_CC_SOCU_PIN;                  /**< With TZ-M, the part can be sold by level 1 customers (secure code developer) to level-2 customers who develops non-secure code only. - In this scenario, or easy of development, Level-I customer releases the part to always allow non-secure debug. - To allow level-2 customers to further seal the part DCFG_CC_SOCU_NS is used. - ROM will use this word to further restrict the debug access., offset: 0x20 */\r
-  __IO uint32_t DCFG_CC_SOCU_DFLT;                 /**< With TZ-M, the part can be sold by level 1 customers (secure code developer) to level-2 customers who develops non-secure code only. - In this scenario, or easy of development, Level-I customer releases the part to always allow non-secure debug. - To allow level-2 customers to further seal the part DCFG_CC_SOCU_NS is used. - ROM will use this word to further restrict the debug access., offset: 0x24 */\r
-  __IO uint32_t ENABLE_FA_MODE;                    /**< Enable FA mode. SET_FA_MODE Command should write 0xC33CA55A to this word to indicate boot ROM to enter FA mode., offset: 0x28 */\r
-  __IO uint32_t CMPA_PROG_IN_PROGRESS;             /**< CMPA Page programming on going. This field shall be set to 0x5CC55AA5 in the active CFPA page each time CMPA page programming is going on. It shall always be set to 0x00000000 in the CFPA scratch area., offset: 0x2C */\r
-  union {                                          /* offset: 0x30 */\r
-    __IO uint32_t PRINCE_REGION0_IV_CODE[14];        /**< ., array offset: 0x30, array step: 0x4 */\r
-    struct {                                         /* offset: 0x30 */\r
-      __IO uint32_t PRINCE_REGION0_IV_HEADER0;         /**< ., offset: 0x30 */\r
-      __IO uint32_t PRINCE_REGION0_IV_HEADER1;         /**< ., offset: 0x34 */\r
-      __IO uint32_t PRINCE_REGION0_IV_BODY[12];        /**< ., array offset: 0x38, array step: 0x4 */\r
-    } PRINCE_REGION0_IV_CODE_CORE;\r
-  };\r
-  union {                                          /* offset: 0x68 */\r
-    __IO uint32_t PRINCE_REGION1_IV_CODE[14];        /**< ., array offset: 0x68, array step: 0x4 */\r
-    struct {                                         /* offset: 0x68 */\r
-      __IO uint32_t PRINCE_REGION1_IV_HEADER0;         /**< ., offset: 0x68 */\r
-      __IO uint32_t PRINCE_REGION1_IV_HEADER1;         /**< ., offset: 0x6C */\r
-      __IO uint32_t PRINCE_REGION1_IV_BODY[12];        /**< ., array offset: 0x70, array step: 0x4 */\r
-    } PRINCE_REGION1_IV_CODE_CORE;\r
-  };\r
-  union {                                          /* offset: 0xA0 */\r
-    __IO uint32_t PRINCE_REGION2_IV_CODE[14];        /**< ., array offset: 0xA0, array step: 0x4 */\r
-    struct {                                         /* offset: 0xA0 */\r
-      __IO uint32_t PRINCE_REGION2_IV_HEADER0;         /**< ., offset: 0xA0 */\r
-      __IO uint32_t PRINCE_REGION2_IV_HEADER1;         /**< ., offset: 0xA4 */\r
-      __IO uint32_t PRINCE_REGION2_IV_BODY[12];        /**< ., array offset: 0xA8, array step: 0x4 */\r
-    } PRINCE_REGION2_IV_CODE_CORE;\r
-  };\r
-       uint8_t RESERVED_1[40];\r
-  __IO uint32_t CUSTOMER_DEFINED[56];              /**< Customer Defined (Programable through ROM API), array offset: 0x100, array step: 0x4 */\r
-  __IO uint32_t SHA256_DIGEST[8];                  /**< SHA256_DIGEST0 for DIGEST[31:0] SHA256_DIGEST1 for DIGEST[63:32] SHA256_DIGEST2 for DIGEST[95:64] SHA256_DIGEST3 for DIGEST[127:96] SHA256_DIGEST4 for DIGEST[159:128] SHA256_DIGEST5 for DIGEST[191:160] SHA256_DIGEST6 for DIGEST[223:192] SHA256_DIGEST7 for DIGEST[255:224], array offset: 0x1E0, array step: 0x4 */\r
+typedef struct\r
+{\r
+    __IO uint32_t HEADER;           /**< ., offset: 0x0 */\r
+    __IO uint32_t VERSION;          /**< ., offset: 0x4 */\r
+    __IO uint32_t S_FW_VERSION;     /**< Secure firmware version (Monotonic counter), offset: 0x8 */\r
+    __IO uint32_t NS_FW_VERSION;    /**< Non-Secure firmware version (Monotonic counter), offset: 0xC */\r
+    __IO uint32_t IMAGE_KEY_REVOKE; /**< Image key revocation ID (Monotonic counter), offset: 0x10 */\r
+    uint8_t RESERVED_0[4];\r
+    __IO uint32_t ROTKH_REVOKE; /**< ., offset: 0x18 */\r
+    __IO uint32_t VENDOR_USAGE; /**< ., offset: 0x1C */\r
+    __IO uint32_t\r
+        DCFG_CC_SOCU_PIN; /**< With TZ-M, the part can be sold by level 1 customers (secure code developer) to level-2\r
+                             customers who develops non-secure code only. - In this scenario, or easy of development,\r
+                             Level-I customer releases the part to always allow non-secure debug. - To allow level-2\r
+                             customers to further seal the part DCFG_CC_SOCU_NS is used. - ROM will use this word to\r
+                             further restrict the debug access., offset: 0x20 */\r
+    __IO uint32_t\r
+        DCFG_CC_SOCU_DFLT; /**< With TZ-M, the part can be sold by level 1 customers (secure code developer) to level-2\r
+                              customers who develops non-secure code only. - In this scenario, or easy of development,\r
+                              Level-I customer releases the part to always allow non-secure debug. - To allow level-2\r
+                              customers to further seal the part DCFG_CC_SOCU_NS is used. - ROM will use this word to\r
+                              further restrict the debug access., offset: 0x24 */\r
+    __IO uint32_t ENABLE_FA_MODE; /**< Enable FA mode. SET_FA_MODE Command should write 0xC33CA55A to this word to\r
+                                     indicate boot ROM to enter FA mode., offset: 0x28 */\r
+    __IO uint32_t CMPA_PROG_IN_PROGRESS; /**< CMPA Page programming on going. This field shall be set to 0x5CC55AA5 in\r
+                                            the active CFPA page each time CMPA page programming is going on. It shall\r
+                                            always be set to 0x00000000 in the CFPA scratch area., offset: 0x2C */\r
+    union\r
+    {                                             /* offset: 0x30 */\r
+        __IO uint32_t PRINCE_REGION0_IV_CODE[14]; /**< ., array offset: 0x30, array step: 0x4 */\r
+        struct\r
+        {                                             /* offset: 0x30 */\r
+            __IO uint32_t PRINCE_REGION0_IV_HEADER0;  /**< ., offset: 0x30 */\r
+            __IO uint32_t PRINCE_REGION0_IV_HEADER1;  /**< ., offset: 0x34 */\r
+            __IO uint32_t PRINCE_REGION0_IV_BODY[12]; /**< ., array offset: 0x38, array step: 0x4 */\r
+        } PRINCE_REGION0_IV_CODE_CORE;\r
+    };\r
+    union\r
+    {                                             /* offset: 0x68 */\r
+        __IO uint32_t PRINCE_REGION1_IV_CODE[14]; /**< ., array offset: 0x68, array step: 0x4 */\r
+        struct\r
+        {                                             /* offset: 0x68 */\r
+            __IO uint32_t PRINCE_REGION1_IV_HEADER0;  /**< ., offset: 0x68 */\r
+            __IO uint32_t PRINCE_REGION1_IV_HEADER1;  /**< ., offset: 0x6C */\r
+            __IO uint32_t PRINCE_REGION1_IV_BODY[12]; /**< ., array offset: 0x70, array step: 0x4 */\r
+        } PRINCE_REGION1_IV_CODE_CORE;\r
+    };\r
+    union\r
+    {                                             /* offset: 0xA0 */\r
+        __IO uint32_t PRINCE_REGION2_IV_CODE[14]; /**< ., array offset: 0xA0, array step: 0x4 */\r
+        struct\r
+        {                                             /* offset: 0xA0 */\r
+            __IO uint32_t PRINCE_REGION2_IV_HEADER0;  /**< ., offset: 0xA0 */\r
+            __IO uint32_t PRINCE_REGION2_IV_HEADER1;  /**< ., offset: 0xA4 */\r
+            __IO uint32_t PRINCE_REGION2_IV_BODY[12]; /**< ., array offset: 0xA8, array step: 0x4 */\r
+        } PRINCE_REGION2_IV_CODE_CORE;\r
+    };\r
+    uint8_t RESERVED_1[40];\r
+    __IO uint32_t CUSTOMER_DEFINED[56]; /**< Customer Defined (Programable through ROM API), array offset: 0x100, array\r
+                                           step: 0x4 */\r
+    __IO uint32_t\r
+        SHA256_DIGEST[8]; /**< SHA256_DIGEST0 for DIGEST[31:0] SHA256_DIGEST1 for DIGEST[63:32] SHA256_DIGEST2 for\r
+                             DIGEST[95:64] SHA256_DIGEST3 for DIGEST[127:96] SHA256_DIGEST4 for DIGEST[159:128]\r
+                             SHA256_DIGEST5 for DIGEST[191:160] SHA256_DIGEST6 for DIGEST[223:192] SHA256_DIGEST7 for\r
+                             DIGEST[255:224], array offset: 0x1E0, array step: 0x4 */\r
 } FLASH_CFPA_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -6150,437 +8059,629 @@ typedef struct {
 \r
 /*! @name HEADER - . */\r
 /*! @{ */\r
-#define FLASH_CFPA_HEADER_FIELD_MASK             (0xFFFFFFFFU)\r
-#define FLASH_CFPA_HEADER_FIELD_SHIFT            (0U)\r
-#define FLASH_CFPA_HEADER_FIELD(x)               (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_HEADER_FIELD_SHIFT)) & FLASH_CFPA_HEADER_FIELD_MASK)\r
+#define FLASH_CFPA_HEADER_FIELD_MASK (0xFFFFFFFFU)\r
+#define FLASH_CFPA_HEADER_FIELD_SHIFT (0U)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_CFPA_HEADER_FIELD(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_HEADER_FIELD_SHIFT)) & FLASH_CFPA_HEADER_FIELD_MASK)\r
 /*! @} */\r
 \r
 /*! @name VERSION - . */\r
 /*! @{ */\r
-#define FLASH_CFPA_VERSION_FIELD_MASK            (0xFFFFFFFFU)\r
-#define FLASH_CFPA_VERSION_FIELD_SHIFT           (0U)\r
-#define FLASH_CFPA_VERSION_FIELD(x)              (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_VERSION_FIELD_SHIFT)) & FLASH_CFPA_VERSION_FIELD_MASK)\r
+#define FLASH_CFPA_VERSION_FIELD_MASK (0xFFFFFFFFU)\r
+#define FLASH_CFPA_VERSION_FIELD_SHIFT (0U)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_CFPA_VERSION_FIELD(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_VERSION_FIELD_SHIFT)) & FLASH_CFPA_VERSION_FIELD_MASK)\r
 /*! @} */\r
 \r
 /*! @name S_FW_VERSION - Secure firmware version (Monotonic counter) */\r
 /*! @{ */\r
-#define FLASH_CFPA_S_FW_VERSION_FIELD_MASK       (0xFFFFFFFFU)\r
-#define FLASH_CFPA_S_FW_VERSION_FIELD_SHIFT      (0U)\r
-#define FLASH_CFPA_S_FW_VERSION_FIELD(x)         (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_S_FW_VERSION_FIELD_SHIFT)) & FLASH_CFPA_S_FW_VERSION_FIELD_MASK)\r
+#define FLASH_CFPA_S_FW_VERSION_FIELD_MASK (0xFFFFFFFFU)\r
+#define FLASH_CFPA_S_FW_VERSION_FIELD_SHIFT (0U)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_CFPA_S_FW_VERSION_FIELD(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_S_FW_VERSION_FIELD_SHIFT)) & FLASH_CFPA_S_FW_VERSION_FIELD_MASK)\r
 /*! @} */\r
 \r
 /*! @name NS_FW_VERSION - Non-Secure firmware version (Monotonic counter) */\r
 /*! @{ */\r
-#define FLASH_CFPA_NS_FW_VERSION_FIELD_MASK      (0xFFFFFFFFU)\r
-#define FLASH_CFPA_NS_FW_VERSION_FIELD_SHIFT     (0U)\r
-#define FLASH_CFPA_NS_FW_VERSION_FIELD(x)        (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_NS_FW_VERSION_FIELD_SHIFT)) & FLASH_CFPA_NS_FW_VERSION_FIELD_MASK)\r
+#define FLASH_CFPA_NS_FW_VERSION_FIELD_MASK (0xFFFFFFFFU)\r
+#define FLASH_CFPA_NS_FW_VERSION_FIELD_SHIFT (0U)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_CFPA_NS_FW_VERSION_FIELD(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_NS_FW_VERSION_FIELD_SHIFT)) & FLASH_CFPA_NS_FW_VERSION_FIELD_MASK)\r
 /*! @} */\r
 \r
 /*! @name IMAGE_KEY_REVOKE - Image key revocation ID (Monotonic counter) */\r
 /*! @{ */\r
-#define FLASH_CFPA_IMAGE_KEY_REVOKE_FIELD_MASK   (0xFFFFFFFFU)\r
-#define FLASH_CFPA_IMAGE_KEY_REVOKE_FIELD_SHIFT  (0U)\r
-#define FLASH_CFPA_IMAGE_KEY_REVOKE_FIELD(x)     (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_IMAGE_KEY_REVOKE_FIELD_SHIFT)) & FLASH_CFPA_IMAGE_KEY_REVOKE_FIELD_MASK)\r
+#define FLASH_CFPA_IMAGE_KEY_REVOKE_FIELD_MASK (0xFFFFFFFFU)\r
+#define FLASH_CFPA_IMAGE_KEY_REVOKE_FIELD_SHIFT (0U)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_CFPA_IMAGE_KEY_REVOKE_FIELD(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_IMAGE_KEY_REVOKE_FIELD_SHIFT)) & FLASH_CFPA_IMAGE_KEY_REVOKE_FIELD_MASK)\r
 /*! @} */\r
 \r
 /*! @name ROTKH_REVOKE - . */\r
 /*! @{ */\r
-#define FLASH_CFPA_ROTKH_REVOKE_RoTK0_EN_MASK    (0x3U)\r
-#define FLASH_CFPA_ROTKH_REVOKE_RoTK0_EN_SHIFT   (0U)\r
-#define FLASH_CFPA_ROTKH_REVOKE_RoTK0_EN(x)      (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_ROTKH_REVOKE_RoTK0_EN_SHIFT)) & FLASH_CFPA_ROTKH_REVOKE_RoTK0_EN_MASK)\r
-#define FLASH_CFPA_ROTKH_REVOKE_RoTK1_EN_MASK    (0xCU)\r
-#define FLASH_CFPA_ROTKH_REVOKE_RoTK1_EN_SHIFT   (2U)\r
-#define FLASH_CFPA_ROTKH_REVOKE_RoTK1_EN(x)      (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_ROTKH_REVOKE_RoTK1_EN_SHIFT)) & FLASH_CFPA_ROTKH_REVOKE_RoTK1_EN_MASK)\r
-#define FLASH_CFPA_ROTKH_REVOKE_RoTK2_EN_MASK    (0x30U)\r
-#define FLASH_CFPA_ROTKH_REVOKE_RoTK2_EN_SHIFT   (4U)\r
-#define FLASH_CFPA_ROTKH_REVOKE_RoTK2_EN(x)      (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_ROTKH_REVOKE_RoTK2_EN_SHIFT)) & FLASH_CFPA_ROTKH_REVOKE_RoTK2_EN_MASK)\r
+#define FLASH_CFPA_ROTKH_REVOKE_RoTK0_EN_MASK (0x3U)\r
+#define FLASH_CFPA_ROTKH_REVOKE_RoTK0_EN_SHIFT (0U)\r
+/*! RoTK0_EN - RoT Key 0 enable. 00 - Invalid 01 - Enabled 10, 11 - Key revoked\r
+ */\r
+#define FLASH_CFPA_ROTKH_REVOKE_RoTK0_EN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_ROTKH_REVOKE_RoTK0_EN_SHIFT)) & FLASH_CFPA_ROTKH_REVOKE_RoTK0_EN_MASK)\r
+#define FLASH_CFPA_ROTKH_REVOKE_RoTK1_EN_MASK (0xCU)\r
+#define FLASH_CFPA_ROTKH_REVOKE_RoTK1_EN_SHIFT (2U)\r
+/*! RoTK1_EN - RoT Key 1 enable. 00 - Invalid 01 - Enabled 10, 11 - Key revoked\r
+ */\r
+#define FLASH_CFPA_ROTKH_REVOKE_RoTK1_EN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_ROTKH_REVOKE_RoTK1_EN_SHIFT)) & FLASH_CFPA_ROTKH_REVOKE_RoTK1_EN_MASK)\r
+#define FLASH_CFPA_ROTKH_REVOKE_RoTK2_EN_MASK (0x30U)\r
+#define FLASH_CFPA_ROTKH_REVOKE_RoTK2_EN_SHIFT (4U)\r
+/*! RoTK2_EN - RoT Key 2 enable. 00 - Invalid 01 - Enabled 10, 11 - Key revoked\r
+ */\r
+#define FLASH_CFPA_ROTKH_REVOKE_RoTK2_EN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_ROTKH_REVOKE_RoTK2_EN_SHIFT)) & FLASH_CFPA_ROTKH_REVOKE_RoTK2_EN_MASK)\r
 /*! @} */\r
 \r
 /*! @name VENDOR_USAGE - . */\r
 /*! @{ */\r
 #define FLASH_CFPA_VENDOR_USAGE_DBG_VENDOR_USAGE_MASK (0xFFFFU)\r
 #define FLASH_CFPA_VENDOR_USAGE_DBG_VENDOR_USAGE_SHIFT (0U)\r
-#define FLASH_CFPA_VENDOR_USAGE_DBG_VENDOR_USAGE(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_VENDOR_USAGE_DBG_VENDOR_USAGE_SHIFT)) & FLASH_CFPA_VENDOR_USAGE_DBG_VENDOR_USAGE_MASK)\r
+/*! DBG_VENDOR_USAGE - DBG_VENDOR_USAGE.\r
+ */\r
+#define FLASH_CFPA_VENDOR_USAGE_DBG_VENDOR_USAGE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_VENDOR_USAGE_DBG_VENDOR_USAGE_SHIFT)) & \\r
+     FLASH_CFPA_VENDOR_USAGE_DBG_VENDOR_USAGE_MASK)\r
 #define FLASH_CFPA_VENDOR_USAGE_INVERSE_VALUE_MASK (0xFFFF0000U)\r
 #define FLASH_CFPA_VENDOR_USAGE_INVERSE_VALUE_SHIFT (16U)\r
-#define FLASH_CFPA_VENDOR_USAGE_INVERSE_VALUE(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_VENDOR_USAGE_INVERSE_VALUE_SHIFT)) & FLASH_CFPA_VENDOR_USAGE_INVERSE_VALUE_MASK)\r
+/*! INVERSE_VALUE - inverse value of bits [15:0]\r
+ */\r
+#define FLASH_CFPA_VENDOR_USAGE_INVERSE_VALUE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_VENDOR_USAGE_INVERSE_VALUE_SHIFT)) & \\r
+     FLASH_CFPA_VENDOR_USAGE_INVERSE_VALUE_MASK)\r
 /*! @} */\r
 \r
-/*! @name DCFG_CC_SOCU_PIN - With TZ-M, the part can be sold by level 1 customers (secure code developer) to level-2 customers who develops non-secure code only. - In this scenario, or easy of development, Level-I customer releases the part to always allow non-secure debug. - To allow level-2 customers to further seal the part DCFG_CC_SOCU_NS is used. - ROM will use this word to further restrict the debug access. */\r
+/*! @name DCFG_CC_SOCU_PIN - With TZ-M, the part can be sold by level 1 customers (secure code developer) to level-2\r
+ * customers who develops non-secure code only. - In this scenario, or easy of development, Level-I customer releases\r
+ * the part to always allow non-secure debug. - To allow level-2 customers to further seal the part DCFG_CC_SOCU_NS is\r
+ * used. - ROM will use this word to further restrict the debug access. */\r
 /*! @{ */\r
-#define FLASH_CFPA_DCFG_CC_SOCU_PIN_NIDEN_MASK   (0x1U)\r
-#define FLASH_CFPA_DCFG_CC_SOCU_PIN_NIDEN_SHIFT  (0U)\r
+#define FLASH_CFPA_DCFG_CC_SOCU_PIN_NIDEN_MASK (0x1U)\r
+#define FLASH_CFPA_DCFG_CC_SOCU_PIN_NIDEN_SHIFT (0U)\r
 /*! NIDEN - Non Secure non-invasive debug enable\r
  *  0b0..Use DAP to enable\r
  *  0b1..Fixed state\r
  */\r
-#define FLASH_CFPA_DCFG_CC_SOCU_PIN_NIDEN(x)     (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_PIN_NIDEN_SHIFT)) & FLASH_CFPA_DCFG_CC_SOCU_PIN_NIDEN_MASK)\r
-#define FLASH_CFPA_DCFG_CC_SOCU_PIN_DBGEN_MASK   (0x2U)\r
-#define FLASH_CFPA_DCFG_CC_SOCU_PIN_DBGEN_SHIFT  (1U)\r
+#define FLASH_CFPA_DCFG_CC_SOCU_PIN_NIDEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_PIN_NIDEN_SHIFT)) & FLASH_CFPA_DCFG_CC_SOCU_PIN_NIDEN_MASK)\r
+#define FLASH_CFPA_DCFG_CC_SOCU_PIN_DBGEN_MASK (0x2U)\r
+#define FLASH_CFPA_DCFG_CC_SOCU_PIN_DBGEN_SHIFT (1U)\r
 /*! DBGEN - Non Secure debug enable\r
  *  0b0..Use DAP to enable\r
  *  0b1..Fixed state\r
  */\r
-#define FLASH_CFPA_DCFG_CC_SOCU_PIN_DBGEN(x)     (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_PIN_DBGEN_SHIFT)) & FLASH_CFPA_DCFG_CC_SOCU_PIN_DBGEN_MASK)\r
+#define FLASH_CFPA_DCFG_CC_SOCU_PIN_DBGEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_PIN_DBGEN_SHIFT)) & FLASH_CFPA_DCFG_CC_SOCU_PIN_DBGEN_MASK)\r
 #define FLASH_CFPA_DCFG_CC_SOCU_PIN_SPNIDEN_MASK (0x4U)\r
 #define FLASH_CFPA_DCFG_CC_SOCU_PIN_SPNIDEN_SHIFT (2U)\r
 /*! SPNIDEN - Secure non-invasive debug enable\r
  *  0b0..Use DAP to enable\r
  *  0b1..Fixed state\r
  */\r
-#define FLASH_CFPA_DCFG_CC_SOCU_PIN_SPNIDEN(x)   (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_PIN_SPNIDEN_SHIFT)) & FLASH_CFPA_DCFG_CC_SOCU_PIN_SPNIDEN_MASK)\r
-#define FLASH_CFPA_DCFG_CC_SOCU_PIN_SPIDEN_MASK  (0x8U)\r
+#define FLASH_CFPA_DCFG_CC_SOCU_PIN_SPNIDEN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_PIN_SPNIDEN_SHIFT)) & \\r
+     FLASH_CFPA_DCFG_CC_SOCU_PIN_SPNIDEN_MASK)\r
+#define FLASH_CFPA_DCFG_CC_SOCU_PIN_SPIDEN_MASK (0x8U)\r
 #define FLASH_CFPA_DCFG_CC_SOCU_PIN_SPIDEN_SHIFT (3U)\r
 /*! SPIDEN - Secure invasive debug enable\r
  *  0b0..Use DAP to enable\r
  *  0b1..Fixed state\r
  */\r
-#define FLASH_CFPA_DCFG_CC_SOCU_PIN_SPIDEN(x)    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_PIN_SPIDEN_SHIFT)) & FLASH_CFPA_DCFG_CC_SOCU_PIN_SPIDEN_MASK)\r
-#define FLASH_CFPA_DCFG_CC_SOCU_PIN_TAPEN_MASK   (0x10U)\r
-#define FLASH_CFPA_DCFG_CC_SOCU_PIN_TAPEN_SHIFT  (4U)\r
+#define FLASH_CFPA_DCFG_CC_SOCU_PIN_SPIDEN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_PIN_SPIDEN_SHIFT)) & \\r
+     FLASH_CFPA_DCFG_CC_SOCU_PIN_SPIDEN_MASK)\r
+#define FLASH_CFPA_DCFG_CC_SOCU_PIN_TAPEN_MASK (0x10U)\r
+#define FLASH_CFPA_DCFG_CC_SOCU_PIN_TAPEN_SHIFT (4U)\r
 /*! TAPEN - JTAG TAP enable\r
  *  0b0..Use DAP to enable\r
  *  0b1..Fixed state\r
  */\r
-#define FLASH_CFPA_DCFG_CC_SOCU_PIN_TAPEN(x)     (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_PIN_TAPEN_SHIFT)) & FLASH_CFPA_DCFG_CC_SOCU_PIN_TAPEN_MASK)\r
-#define FLASH_CFPA_DCFG_CC_SOCU_PIN_MCM33_DBGEN_MASK (0x20U)\r
-#define FLASH_CFPA_DCFG_CC_SOCU_PIN_MCM33_DBGEN_SHIFT (5U)\r
-/*! MCM33_DBGEN - Micro CM33 invasive debug enable\r
+#define FLASH_CFPA_DCFG_CC_SOCU_PIN_TAPEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_PIN_TAPEN_SHIFT)) & FLASH_CFPA_DCFG_CC_SOCU_PIN_TAPEN_MASK)\r
+#define FLASH_CFPA_DCFG_CC_SOCU_PIN_CPU1_DBGEN_MASK (0x20U)\r
+#define FLASH_CFPA_DCFG_CC_SOCU_PIN_CPU1_DBGEN_SHIFT (5U)\r
+/*! CPU1_DBGEN - CPU1 (Micro cortex M33) invasive debug enable\r
  *  0b0..Use DAP to enable\r
  *  0b1..Fixed state\r
  */\r
-#define FLASH_CFPA_DCFG_CC_SOCU_PIN_MCM33_DBGEN(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_PIN_MCM33_DBGEN_SHIFT)) & FLASH_CFPA_DCFG_CC_SOCU_PIN_MCM33_DBGEN_MASK)\r
+#define FLASH_CFPA_DCFG_CC_SOCU_PIN_CPU1_DBGEN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_PIN_CPU1_DBGEN_SHIFT)) & \\r
+     FLASH_CFPA_DCFG_CC_SOCU_PIN_CPU1_DBGEN_MASK)\r
 #define FLASH_CFPA_DCFG_CC_SOCU_PIN_ISP_CMD_EN_MASK (0x40U)\r
 #define FLASH_CFPA_DCFG_CC_SOCU_PIN_ISP_CMD_EN_SHIFT (6U)\r
 /*! ISP_CMD_EN - ISP Boot Command enable\r
  *  0b0..Use DAP to enable\r
  *  0b1..Fixed state\r
  */\r
-#define FLASH_CFPA_DCFG_CC_SOCU_PIN_ISP_CMD_EN(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_PIN_ISP_CMD_EN_SHIFT)) & FLASH_CFPA_DCFG_CC_SOCU_PIN_ISP_CMD_EN_MASK)\r
+#define FLASH_CFPA_DCFG_CC_SOCU_PIN_ISP_CMD_EN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_PIN_ISP_CMD_EN_SHIFT)) & \\r
+     FLASH_CFPA_DCFG_CC_SOCU_PIN_ISP_CMD_EN_MASK)\r
 #define FLASH_CFPA_DCFG_CC_SOCU_PIN_FA_CMD_EN_MASK (0x80U)\r
 #define FLASH_CFPA_DCFG_CC_SOCU_PIN_FA_CMD_EN_SHIFT (7U)\r
 /*! FA_CMD_EN - FA Command enable\r
  *  0b0..Use DAP to enable\r
  *  0b1..Fixed state\r
  */\r
-#define FLASH_CFPA_DCFG_CC_SOCU_PIN_FA_CMD_EN(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_PIN_FA_CMD_EN_SHIFT)) & FLASH_CFPA_DCFG_CC_SOCU_PIN_FA_CMD_EN_MASK)\r
+#define FLASH_CFPA_DCFG_CC_SOCU_PIN_FA_CMD_EN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_PIN_FA_CMD_EN_SHIFT)) & \\r
+     FLASH_CFPA_DCFG_CC_SOCU_PIN_FA_CMD_EN_MASK)\r
 #define FLASH_CFPA_DCFG_CC_SOCU_PIN_ME_CMD_EN_MASK (0x100U)\r
 #define FLASH_CFPA_DCFG_CC_SOCU_PIN_ME_CMD_EN_SHIFT (8U)\r
 /*! ME_CMD_EN - Flash Mass Erase Command enable\r
  *  0b0..Use DAP to enable\r
  *  0b1..Fixed state\r
  */\r
-#define FLASH_CFPA_DCFG_CC_SOCU_PIN_ME_CMD_EN(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_PIN_ME_CMD_EN_SHIFT)) & FLASH_CFPA_DCFG_CC_SOCU_PIN_ME_CMD_EN_MASK)\r
-#define FLASH_CFPA_DCFG_CC_SOCU_PIN_MCM33_NIDEN_MASK (0x200U)\r
-#define FLASH_CFPA_DCFG_CC_SOCU_PIN_MCM33_NIDEN_SHIFT (9U)\r
-/*! MCM33_NIDEN - Micro CM33 non-invasive debug enable\r
+#define FLASH_CFPA_DCFG_CC_SOCU_PIN_ME_CMD_EN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_PIN_ME_CMD_EN_SHIFT)) & \\r
+     FLASH_CFPA_DCFG_CC_SOCU_PIN_ME_CMD_EN_MASK)\r
+#define FLASH_CFPA_DCFG_CC_SOCU_PIN_CPU1_NIDEN_MASK (0x200U)\r
+#define FLASH_CFPA_DCFG_CC_SOCU_PIN_CPU1_NIDEN_SHIFT (9U)\r
+/*! CPU1_NIDEN - CPU1 (Micro cortex M33) non-invasive debug enable\r
  *  0b0..Use DAP to enable\r
  *  0b1..Fixed state\r
  */\r
-#define FLASH_CFPA_DCFG_CC_SOCU_PIN_MCM33_NIDEN(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_PIN_MCM33_NIDEN_SHIFT)) & FLASH_CFPA_DCFG_CC_SOCU_PIN_MCM33_NIDEN_MASK)\r
+#define FLASH_CFPA_DCFG_CC_SOCU_PIN_CPU1_NIDEN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_PIN_CPU1_NIDEN_SHIFT)) & \\r
+     FLASH_CFPA_DCFG_CC_SOCU_PIN_CPU1_NIDEN_MASK)\r
 #define FLASH_CFPA_DCFG_CC_SOCU_PIN_UUID_CHECK_MASK (0x8000U)\r
 #define FLASH_CFPA_DCFG_CC_SOCU_PIN_UUID_CHECK_SHIFT (15U)\r
-#define FLASH_CFPA_DCFG_CC_SOCU_PIN_UUID_CHECK(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_PIN_UUID_CHECK_SHIFT)) & FLASH_CFPA_DCFG_CC_SOCU_PIN_UUID_CHECK_MASK)\r
+/*! UUID_CHECK - Enforce UUID match during Debug authentication.\r
+ */\r
+#define FLASH_CFPA_DCFG_CC_SOCU_PIN_UUID_CHECK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_PIN_UUID_CHECK_SHIFT)) & \\r
+     FLASH_CFPA_DCFG_CC_SOCU_PIN_UUID_CHECK_MASK)\r
 #define FLASH_CFPA_DCFG_CC_SOCU_PIN_INVERSE_VALUE_MASK (0xFFFF0000U)\r
 #define FLASH_CFPA_DCFG_CC_SOCU_PIN_INVERSE_VALUE_SHIFT (16U)\r
-#define FLASH_CFPA_DCFG_CC_SOCU_PIN_INVERSE_VALUE(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_PIN_INVERSE_VALUE_SHIFT)) & FLASH_CFPA_DCFG_CC_SOCU_PIN_INVERSE_VALUE_MASK)\r
+/*! INVERSE_VALUE - inverse value of bits [15:0]\r
+ */\r
+#define FLASH_CFPA_DCFG_CC_SOCU_PIN_INVERSE_VALUE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_PIN_INVERSE_VALUE_SHIFT)) & \\r
+     FLASH_CFPA_DCFG_CC_SOCU_PIN_INVERSE_VALUE_MASK)\r
 /*! @} */\r
 \r
-/*! @name DCFG_CC_SOCU_DFLT - With TZ-M, the part can be sold by level 1 customers (secure code developer) to level-2 customers who develops non-secure code only. - In this scenario, or easy of development, Level-I customer releases the part to always allow non-secure debug. - To allow level-2 customers to further seal the part DCFG_CC_SOCU_NS is used. - ROM will use this word to further restrict the debug access. */\r
+/*! @name DCFG_CC_SOCU_DFLT - With TZ-M, the part can be sold by level 1 customers (secure code developer) to level-2\r
+ * customers who develops non-secure code only. - In this scenario, or easy of development, Level-I customer releases\r
+ * the part to always allow non-secure debug. - To allow level-2 customers to further seal the part DCFG_CC_SOCU_NS is\r
+ * used. - ROM will use this word to further restrict the debug access. */\r
 /*! @{ */\r
-#define FLASH_CFPA_DCFG_CC_SOCU_DFLT_NIDEN_MASK  (0x1U)\r
+#define FLASH_CFPA_DCFG_CC_SOCU_DFLT_NIDEN_MASK (0x1U)\r
 #define FLASH_CFPA_DCFG_CC_SOCU_DFLT_NIDEN_SHIFT (0U)\r
 /*! NIDEN - Non Secure non-invasive debug fixed state\r
  *  0b0..Disable\r
  *  0b1..Enable\r
  */\r
-#define FLASH_CFPA_DCFG_CC_SOCU_DFLT_NIDEN(x)    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_DFLT_NIDEN_SHIFT)) & FLASH_CFPA_DCFG_CC_SOCU_DFLT_NIDEN_MASK)\r
-#define FLASH_CFPA_DCFG_CC_SOCU_DFLT_DBGEN_MASK  (0x2U)\r
+#define FLASH_CFPA_DCFG_CC_SOCU_DFLT_NIDEN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_DFLT_NIDEN_SHIFT)) & \\r
+     FLASH_CFPA_DCFG_CC_SOCU_DFLT_NIDEN_MASK)\r
+#define FLASH_CFPA_DCFG_CC_SOCU_DFLT_DBGEN_MASK (0x2U)\r
 #define FLASH_CFPA_DCFG_CC_SOCU_DFLT_DBGEN_SHIFT (1U)\r
 /*! DBGEN - Non Secure debug fixed state\r
  *  0b0..Disable\r
  *  0b1..Enable\r
  */\r
-#define FLASH_CFPA_DCFG_CC_SOCU_DFLT_DBGEN(x)    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_DFLT_DBGEN_SHIFT)) & FLASH_CFPA_DCFG_CC_SOCU_DFLT_DBGEN_MASK)\r
+#define FLASH_CFPA_DCFG_CC_SOCU_DFLT_DBGEN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_DFLT_DBGEN_SHIFT)) & \\r
+     FLASH_CFPA_DCFG_CC_SOCU_DFLT_DBGEN_MASK)\r
 #define FLASH_CFPA_DCFG_CC_SOCU_DFLT_SPNIDEN_MASK (0x4U)\r
 #define FLASH_CFPA_DCFG_CC_SOCU_DFLT_SPNIDEN_SHIFT (2U)\r
 /*! SPNIDEN - Secure non-invasive debug fixed state\r
  *  0b0..Disable\r
  *  0b1..Enable\r
  */\r
-#define FLASH_CFPA_DCFG_CC_SOCU_DFLT_SPNIDEN(x)  (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_DFLT_SPNIDEN_SHIFT)) & FLASH_CFPA_DCFG_CC_SOCU_DFLT_SPNIDEN_MASK)\r
+#define FLASH_CFPA_DCFG_CC_SOCU_DFLT_SPNIDEN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_DFLT_SPNIDEN_SHIFT)) & \\r
+     FLASH_CFPA_DCFG_CC_SOCU_DFLT_SPNIDEN_MASK)\r
 #define FLASH_CFPA_DCFG_CC_SOCU_DFLT_SPIDEN_MASK (0x8U)\r
 #define FLASH_CFPA_DCFG_CC_SOCU_DFLT_SPIDEN_SHIFT (3U)\r
 /*! SPIDEN - Secure invasive debug fixed state\r
  *  0b0..Disable\r
  *  0b1..Enable\r
  */\r
-#define FLASH_CFPA_DCFG_CC_SOCU_DFLT_SPIDEN(x)   (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_DFLT_SPIDEN_SHIFT)) & FLASH_CFPA_DCFG_CC_SOCU_DFLT_SPIDEN_MASK)\r
-#define FLASH_CFPA_DCFG_CC_SOCU_DFLT_TAPEN_MASK  (0x10U)\r
+#define FLASH_CFPA_DCFG_CC_SOCU_DFLT_SPIDEN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_DFLT_SPIDEN_SHIFT)) & \\r
+     FLASH_CFPA_DCFG_CC_SOCU_DFLT_SPIDEN_MASK)\r
+#define FLASH_CFPA_DCFG_CC_SOCU_DFLT_TAPEN_MASK (0x10U)\r
 #define FLASH_CFPA_DCFG_CC_SOCU_DFLT_TAPEN_SHIFT (4U)\r
 /*! TAPEN - JTAG TAP fixed state\r
  *  0b0..Disable\r
  *  0b1..Enable\r
  */\r
-#define FLASH_CFPA_DCFG_CC_SOCU_DFLT_TAPEN(x)    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_DFLT_TAPEN_SHIFT)) & FLASH_CFPA_DCFG_CC_SOCU_DFLT_TAPEN_MASK)\r
-#define FLASH_CFPA_DCFG_CC_SOCU_DFLT_MCM33_DBGEN_MASK (0x20U)\r
-#define FLASH_CFPA_DCFG_CC_SOCU_DFLT_MCM33_DBGEN_SHIFT (5U)\r
-/*! MCM33_DBGEN - Micro CM33 invasive debug fixed state\r
+#define FLASH_CFPA_DCFG_CC_SOCU_DFLT_TAPEN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_DFLT_TAPEN_SHIFT)) & \\r
+     FLASH_CFPA_DCFG_CC_SOCU_DFLT_TAPEN_MASK)\r
+#define FLASH_CFPA_DCFG_CC_SOCU_DFLT_CPU1_DBGEN_MASK (0x20U)\r
+#define FLASH_CFPA_DCFG_CC_SOCU_DFLT_CPU1_DBGEN_SHIFT (5U)\r
+/*! CPU1_DBGEN - CPU1 (Micro cortex M33) invasive debug fixed state\r
  *  0b0..Disable\r
  *  0b1..Enable\r
  */\r
-#define FLASH_CFPA_DCFG_CC_SOCU_DFLT_MCM33_DBGEN(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_DFLT_MCM33_DBGEN_SHIFT)) & FLASH_CFPA_DCFG_CC_SOCU_DFLT_MCM33_DBGEN_MASK)\r
+#define FLASH_CFPA_DCFG_CC_SOCU_DFLT_CPU1_DBGEN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_DFLT_CPU1_DBGEN_SHIFT)) & \\r
+     FLASH_CFPA_DCFG_CC_SOCU_DFLT_CPU1_DBGEN_MASK)\r
 #define FLASH_CFPA_DCFG_CC_SOCU_DFLT_ISP_CMD_EN_MASK (0x40U)\r
 #define FLASH_CFPA_DCFG_CC_SOCU_DFLT_ISP_CMD_EN_SHIFT (6U)\r
 /*! ISP_CMD_EN - ISP Boot Command fixed state\r
  *  0b0..Disable\r
  *  0b1..Enable\r
  */\r
-#define FLASH_CFPA_DCFG_CC_SOCU_DFLT_ISP_CMD_EN(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_DFLT_ISP_CMD_EN_SHIFT)) & FLASH_CFPA_DCFG_CC_SOCU_DFLT_ISP_CMD_EN_MASK)\r
+#define FLASH_CFPA_DCFG_CC_SOCU_DFLT_ISP_CMD_EN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_DFLT_ISP_CMD_EN_SHIFT)) & \\r
+     FLASH_CFPA_DCFG_CC_SOCU_DFLT_ISP_CMD_EN_MASK)\r
 #define FLASH_CFPA_DCFG_CC_SOCU_DFLT_FA_CMD_EN_MASK (0x80U)\r
 #define FLASH_CFPA_DCFG_CC_SOCU_DFLT_FA_CMD_EN_SHIFT (7U)\r
 /*! FA_CMD_EN - FA Command fixed state\r
  *  0b0..Disable\r
  *  0b1..Enable\r
  */\r
-#define FLASH_CFPA_DCFG_CC_SOCU_DFLT_FA_CMD_EN(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_DFLT_FA_CMD_EN_SHIFT)) & FLASH_CFPA_DCFG_CC_SOCU_DFLT_FA_CMD_EN_MASK)\r
+#define FLASH_CFPA_DCFG_CC_SOCU_DFLT_FA_CMD_EN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_DFLT_FA_CMD_EN_SHIFT)) & \\r
+     FLASH_CFPA_DCFG_CC_SOCU_DFLT_FA_CMD_EN_MASK)\r
 #define FLASH_CFPA_DCFG_CC_SOCU_DFLT_ME_CMD_EN_MASK (0x100U)\r
 #define FLASH_CFPA_DCFG_CC_SOCU_DFLT_ME_CMD_EN_SHIFT (8U)\r
 /*! ME_CMD_EN - Flash Mass Erase Command fixed state\r
  *  0b0..Disable\r
  *  0b1..Enable\r
  */\r
-#define FLASH_CFPA_DCFG_CC_SOCU_DFLT_ME_CMD_EN(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_DFLT_ME_CMD_EN_SHIFT)) & FLASH_CFPA_DCFG_CC_SOCU_DFLT_ME_CMD_EN_MASK)\r
-#define FLASH_CFPA_DCFG_CC_SOCU_DFLT_MCM33_NIDEN_MASK (0x200U)\r
-#define FLASH_CFPA_DCFG_CC_SOCU_DFLT_MCM33_NIDEN_SHIFT (9U)\r
-/*! MCM33_NIDEN - Micro CM33 non-invasive debug fixed state\r
+#define FLASH_CFPA_DCFG_CC_SOCU_DFLT_ME_CMD_EN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_DFLT_ME_CMD_EN_SHIFT)) & \\r
+     FLASH_CFPA_DCFG_CC_SOCU_DFLT_ME_CMD_EN_MASK)\r
+#define FLASH_CFPA_DCFG_CC_SOCU_DFLT_CPU1_NIDEN_MASK (0x200U)\r
+#define FLASH_CFPA_DCFG_CC_SOCU_DFLT_CPU1_NIDEN_SHIFT (9U)\r
+/*! CPU1_NIDEN - CPU1 (Micro cortex M33) non-invasive debug fixed state\r
  *  0b0..Disable\r
  *  0b1..Enable\r
  */\r
-#define FLASH_CFPA_DCFG_CC_SOCU_DFLT_MCM33_NIDEN(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_DFLT_MCM33_NIDEN_SHIFT)) & FLASH_CFPA_DCFG_CC_SOCU_DFLT_MCM33_NIDEN_MASK)\r
+#define FLASH_CFPA_DCFG_CC_SOCU_DFLT_CPU1_NIDEN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_DFLT_CPU1_NIDEN_SHIFT)) & \\r
+     FLASH_CFPA_DCFG_CC_SOCU_DFLT_CPU1_NIDEN_MASK)\r
 #define FLASH_CFPA_DCFG_CC_SOCU_DFLT_INVERSE_VALUE_MASK (0xFFFF0000U)\r
 #define FLASH_CFPA_DCFG_CC_SOCU_DFLT_INVERSE_VALUE_SHIFT (16U)\r
-#define FLASH_CFPA_DCFG_CC_SOCU_DFLT_INVERSE_VALUE(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_DFLT_INVERSE_VALUE_SHIFT)) & FLASH_CFPA_DCFG_CC_SOCU_DFLT_INVERSE_VALUE_MASK)\r
+/*! INVERSE_VALUE - inverse value of bits [15:0]\r
+ */\r
+#define FLASH_CFPA_DCFG_CC_SOCU_DFLT_INVERSE_VALUE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_DCFG_CC_SOCU_DFLT_INVERSE_VALUE_SHIFT)) & \\r
+     FLASH_CFPA_DCFG_CC_SOCU_DFLT_INVERSE_VALUE_MASK)\r
 /*! @} */\r
 \r
-/*! @name ENABLE_FA_MODE - Enable FA mode. SET_FA_MODE Command should write 0xC33CA55A to this word to indicate boot ROM to enter FA mode. */\r
+/*! @name ENABLE_FA_MODE - Enable FA mode. SET_FA_MODE Command should write 0xC33CA55A to this word to indicate boot ROM\r
+ * to enter FA mode. */\r
 /*! @{ */\r
-#define FLASH_CFPA_ENABLE_FA_MODE_FIELD_MASK     (0xFFFFFFFFU)\r
-#define FLASH_CFPA_ENABLE_FA_MODE_FIELD_SHIFT    (0U)\r
-#define FLASH_CFPA_ENABLE_FA_MODE_FIELD(x)       (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_ENABLE_FA_MODE_FIELD_SHIFT)) & FLASH_CFPA_ENABLE_FA_MODE_FIELD_MASK)\r
+#define FLASH_CFPA_ENABLE_FA_MODE_FIELD_MASK (0xFFFFFFFFU)\r
+#define FLASH_CFPA_ENABLE_FA_MODE_FIELD_SHIFT (0U)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_CFPA_ENABLE_FA_MODE_FIELD(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_ENABLE_FA_MODE_FIELD_SHIFT)) & FLASH_CFPA_ENABLE_FA_MODE_FIELD_MASK)\r
 /*! @} */\r
 \r
-/*! @name CMPA_PROG_IN_PROGRESS - CMPA Page programming on going. This field shall be set to 0x5CC55AA5 in the active CFPA page each time CMPA page programming is going on. It shall always be set to 0x00000000 in the CFPA scratch area. */\r
+/*! @name CMPA_PROG_IN_PROGRESS - CMPA Page programming on going. This field shall be set to 0x5CC55AA5 in the active\r
+ * CFPA page each time CMPA page programming is going on. It shall always be set to 0x00000000 in the CFPA scratch area.\r
+ */\r
 /*! @{ */\r
 #define FLASH_CFPA_CMPA_PROG_IN_PROGRESS_FIELD_MASK (0xFFFFFFFFU)\r
 #define FLASH_CFPA_CMPA_PROG_IN_PROGRESS_FIELD_SHIFT (0U)\r
-#define FLASH_CFPA_CMPA_PROG_IN_PROGRESS_FIELD(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_CMPA_PROG_IN_PROGRESS_FIELD_SHIFT)) & FLASH_CFPA_CMPA_PROG_IN_PROGRESS_FIELD_MASK)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_CFPA_CMPA_PROG_IN_PROGRESS_FIELD(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_CMPA_PROG_IN_PROGRESS_FIELD_SHIFT)) & \\r
+     FLASH_CFPA_CMPA_PROG_IN_PROGRESS_FIELD_MASK)\r
 /*! @} */\r
 \r
 /*! @name PRINCE_REGION0_IV_CODE - . */\r
 /*! @{ */\r
 #define FLASH_CFPA_PRINCE_REGION0_IV_CODE_FIELD_MASK (0xFFFFFFFFU)\r
 #define FLASH_CFPA_PRINCE_REGION0_IV_CODE_FIELD_SHIFT (0U)\r
-#define FLASH_CFPA_PRINCE_REGION0_IV_CODE_FIELD(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_PRINCE_REGION0_IV_CODE_FIELD_SHIFT)) & FLASH_CFPA_PRINCE_REGION0_IV_CODE_FIELD_MASK)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_CFPA_PRINCE_REGION0_IV_CODE_FIELD(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_PRINCE_REGION0_IV_CODE_FIELD_SHIFT)) & \\r
+     FLASH_CFPA_PRINCE_REGION0_IV_CODE_FIELD_MASK)\r
 /*! @} */\r
 \r
 /* The count of FLASH_CFPA_PRINCE_REGION0_IV_CODE */\r
-#define FLASH_CFPA_PRINCE_REGION0_IV_CODE_COUNT  (14U)\r
+#define FLASH_CFPA_PRINCE_REGION0_IV_CODE_COUNT (14U)\r
 \r
 /*! @name PRINCE_REGION0_IV_HEADER0 - . */\r
 /*! @{ */\r
 #define FLASH_CFPA_PRINCE_REGION0_IV_HEADER0_FIELD_MASK (0xFFFFFFFFU)\r
 #define FLASH_CFPA_PRINCE_REGION0_IV_HEADER0_FIELD_SHIFT (0U)\r
-#define FLASH_CFPA_PRINCE_REGION0_IV_HEADER0_FIELD(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_PRINCE_REGION0_IV_HEADER0_FIELD_SHIFT)) & FLASH_CFPA_PRINCE_REGION0_IV_HEADER0_FIELD_MASK)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_CFPA_PRINCE_REGION0_IV_HEADER0_FIELD(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_PRINCE_REGION0_IV_HEADER0_FIELD_SHIFT)) & \\r
+     FLASH_CFPA_PRINCE_REGION0_IV_HEADER0_FIELD_MASK)\r
 /*! @} */\r
 \r
 /*! @name PRINCE_REGION0_IV_HEADER1 - . */\r
 /*! @{ */\r
 #define FLASH_CFPA_PRINCE_REGION0_IV_HEADER1_TYPE_MASK (0x3U)\r
 #define FLASH_CFPA_PRINCE_REGION0_IV_HEADER1_TYPE_SHIFT (0U)\r
-#define FLASH_CFPA_PRINCE_REGION0_IV_HEADER1_TYPE(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_PRINCE_REGION0_IV_HEADER1_TYPE_SHIFT)) & FLASH_CFPA_PRINCE_REGION0_IV_HEADER1_TYPE_MASK)\r
+/*! TYPE - .\r
+ */\r
+#define FLASH_CFPA_PRINCE_REGION0_IV_HEADER1_TYPE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_PRINCE_REGION0_IV_HEADER1_TYPE_SHIFT)) & \\r
+     FLASH_CFPA_PRINCE_REGION0_IV_HEADER1_TYPE_MASK)\r
 #define FLASH_CFPA_PRINCE_REGION0_IV_HEADER1_INDEX_MASK (0xF00U)\r
 #define FLASH_CFPA_PRINCE_REGION0_IV_HEADER1_INDEX_SHIFT (8U)\r
-#define FLASH_CFPA_PRINCE_REGION0_IV_HEADER1_INDEX(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_PRINCE_REGION0_IV_HEADER1_INDEX_SHIFT)) & FLASH_CFPA_PRINCE_REGION0_IV_HEADER1_INDEX_MASK)\r
+/*! INDEX - .\r
+ */\r
+#define FLASH_CFPA_PRINCE_REGION0_IV_HEADER1_INDEX(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_PRINCE_REGION0_IV_HEADER1_INDEX_SHIFT)) & \\r
+     FLASH_CFPA_PRINCE_REGION0_IV_HEADER1_INDEX_MASK)\r
 #define FLASH_CFPA_PRINCE_REGION0_IV_HEADER1_SIZE_MASK (0x3F000000U)\r
 #define FLASH_CFPA_PRINCE_REGION0_IV_HEADER1_SIZE_SHIFT (24U)\r
-#define FLASH_CFPA_PRINCE_REGION0_IV_HEADER1_SIZE(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_PRINCE_REGION0_IV_HEADER1_SIZE_SHIFT)) & FLASH_CFPA_PRINCE_REGION0_IV_HEADER1_SIZE_MASK)\r
+/*! SIZE - .\r
+ */\r
+#define FLASH_CFPA_PRINCE_REGION0_IV_HEADER1_SIZE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_PRINCE_REGION0_IV_HEADER1_SIZE_SHIFT)) & \\r
+     FLASH_CFPA_PRINCE_REGION0_IV_HEADER1_SIZE_MASK)\r
 /*! @} */\r
 \r
 /*! @name PRINCE_REGION0_IV_BODY - . */\r
 /*! @{ */\r
 #define FLASH_CFPA_PRINCE_REGION0_IV_BODY_FIELD_MASK (0xFFFFFFFFU)\r
 #define FLASH_CFPA_PRINCE_REGION0_IV_BODY_FIELD_SHIFT (0U)\r
-#define FLASH_CFPA_PRINCE_REGION0_IV_BODY_FIELD(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_PRINCE_REGION0_IV_BODY_FIELD_SHIFT)) & FLASH_CFPA_PRINCE_REGION0_IV_BODY_FIELD_MASK)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_CFPA_PRINCE_REGION0_IV_BODY_FIELD(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_PRINCE_REGION0_IV_BODY_FIELD_SHIFT)) & \\r
+     FLASH_CFPA_PRINCE_REGION0_IV_BODY_FIELD_MASK)\r
 /*! @} */\r
 \r
 /* The count of FLASH_CFPA_PRINCE_REGION0_IV_BODY */\r
-#define FLASH_CFPA_PRINCE_REGION0_IV_BODY_COUNT  (12U)\r
+#define FLASH_CFPA_PRINCE_REGION0_IV_BODY_COUNT (12U)\r
 \r
 /*! @name PRINCE_REGION1_IV_CODE - . */\r
 /*! @{ */\r
 #define FLASH_CFPA_PRINCE_REGION1_IV_CODE_FIELD_MASK (0xFFFFFFFFU)\r
 #define FLASH_CFPA_PRINCE_REGION1_IV_CODE_FIELD_SHIFT (0U)\r
-#define FLASH_CFPA_PRINCE_REGION1_IV_CODE_FIELD(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_PRINCE_REGION1_IV_CODE_FIELD_SHIFT)) & FLASH_CFPA_PRINCE_REGION1_IV_CODE_FIELD_MASK)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_CFPA_PRINCE_REGION1_IV_CODE_FIELD(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_PRINCE_REGION1_IV_CODE_FIELD_SHIFT)) & \\r
+     FLASH_CFPA_PRINCE_REGION1_IV_CODE_FIELD_MASK)\r
 /*! @} */\r
 \r
 /* The count of FLASH_CFPA_PRINCE_REGION1_IV_CODE */\r
-#define FLASH_CFPA_PRINCE_REGION1_IV_CODE_COUNT  (14U)\r
+#define FLASH_CFPA_PRINCE_REGION1_IV_CODE_COUNT (14U)\r
 \r
 /*! @name PRINCE_REGION1_IV_HEADER0 - . */\r
 /*! @{ */\r
 #define FLASH_CFPA_PRINCE_REGION1_IV_HEADER0_FIELD_MASK (0xFFFFFFFFU)\r
 #define FLASH_CFPA_PRINCE_REGION1_IV_HEADER0_FIELD_SHIFT (0U)\r
-#define FLASH_CFPA_PRINCE_REGION1_IV_HEADER0_FIELD(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_PRINCE_REGION1_IV_HEADER0_FIELD_SHIFT)) & FLASH_CFPA_PRINCE_REGION1_IV_HEADER0_FIELD_MASK)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_CFPA_PRINCE_REGION1_IV_HEADER0_FIELD(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_PRINCE_REGION1_IV_HEADER0_FIELD_SHIFT)) & \\r
+     FLASH_CFPA_PRINCE_REGION1_IV_HEADER0_FIELD_MASK)\r
 /*! @} */\r
 \r
 /*! @name PRINCE_REGION1_IV_HEADER1 - . */\r
 /*! @{ */\r
 #define FLASH_CFPA_PRINCE_REGION1_IV_HEADER1_TYPE_MASK (0x3U)\r
 #define FLASH_CFPA_PRINCE_REGION1_IV_HEADER1_TYPE_SHIFT (0U)\r
-#define FLASH_CFPA_PRINCE_REGION1_IV_HEADER1_TYPE(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_PRINCE_REGION1_IV_HEADER1_TYPE_SHIFT)) & FLASH_CFPA_PRINCE_REGION1_IV_HEADER1_TYPE_MASK)\r
+/*! TYPE - .\r
+ */\r
+#define FLASH_CFPA_PRINCE_REGION1_IV_HEADER1_TYPE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_PRINCE_REGION1_IV_HEADER1_TYPE_SHIFT)) & \\r
+     FLASH_CFPA_PRINCE_REGION1_IV_HEADER1_TYPE_MASK)\r
 #define FLASH_CFPA_PRINCE_REGION1_IV_HEADER1_INDEX_MASK (0xF00U)\r
 #define FLASH_CFPA_PRINCE_REGION1_IV_HEADER1_INDEX_SHIFT (8U)\r
-#define FLASH_CFPA_PRINCE_REGION1_IV_HEADER1_INDEX(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_PRINCE_REGION1_IV_HEADER1_INDEX_SHIFT)) & FLASH_CFPA_PRINCE_REGION1_IV_HEADER1_INDEX_MASK)\r
+/*! INDEX - .\r
+ */\r
+#define FLASH_CFPA_PRINCE_REGION1_IV_HEADER1_INDEX(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_PRINCE_REGION1_IV_HEADER1_INDEX_SHIFT)) & \\r
+     FLASH_CFPA_PRINCE_REGION1_IV_HEADER1_INDEX_MASK)\r
 #define FLASH_CFPA_PRINCE_REGION1_IV_HEADER1_SIZE_MASK (0x3F000000U)\r
 #define FLASH_CFPA_PRINCE_REGION1_IV_HEADER1_SIZE_SHIFT (24U)\r
-#define FLASH_CFPA_PRINCE_REGION1_IV_HEADER1_SIZE(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_PRINCE_REGION1_IV_HEADER1_SIZE_SHIFT)) & FLASH_CFPA_PRINCE_REGION1_IV_HEADER1_SIZE_MASK)\r
+/*! SIZE - .\r
+ */\r
+#define FLASH_CFPA_PRINCE_REGION1_IV_HEADER1_SIZE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_PRINCE_REGION1_IV_HEADER1_SIZE_SHIFT)) & \\r
+     FLASH_CFPA_PRINCE_REGION1_IV_HEADER1_SIZE_MASK)\r
 /*! @} */\r
 \r
 /*! @name PRINCE_REGION1_IV_BODY - . */\r
 /*! @{ */\r
 #define FLASH_CFPA_PRINCE_REGION1_IV_BODY_FIELD_MASK (0xFFFFFFFFU)\r
 #define FLASH_CFPA_PRINCE_REGION1_IV_BODY_FIELD_SHIFT (0U)\r
-#define FLASH_CFPA_PRINCE_REGION1_IV_BODY_FIELD(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_PRINCE_REGION1_IV_BODY_FIELD_SHIFT)) & FLASH_CFPA_PRINCE_REGION1_IV_BODY_FIELD_MASK)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_CFPA_PRINCE_REGION1_IV_BODY_FIELD(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_PRINCE_REGION1_IV_BODY_FIELD_SHIFT)) & \\r
+     FLASH_CFPA_PRINCE_REGION1_IV_BODY_FIELD_MASK)\r
 /*! @} */\r
 \r
 /* The count of FLASH_CFPA_PRINCE_REGION1_IV_BODY */\r
-#define FLASH_CFPA_PRINCE_REGION1_IV_BODY_COUNT  (12U)\r
+#define FLASH_CFPA_PRINCE_REGION1_IV_BODY_COUNT (12U)\r
 \r
 /*! @name PRINCE_REGION2_IV_CODE - . */\r
 /*! @{ */\r
 #define FLASH_CFPA_PRINCE_REGION2_IV_CODE_FIELD_MASK (0xFFFFFFFFU)\r
 #define FLASH_CFPA_PRINCE_REGION2_IV_CODE_FIELD_SHIFT (0U)\r
-#define FLASH_CFPA_PRINCE_REGION2_IV_CODE_FIELD(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_PRINCE_REGION2_IV_CODE_FIELD_SHIFT)) & FLASH_CFPA_PRINCE_REGION2_IV_CODE_FIELD_MASK)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_CFPA_PRINCE_REGION2_IV_CODE_FIELD(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_PRINCE_REGION2_IV_CODE_FIELD_SHIFT)) & \\r
+     FLASH_CFPA_PRINCE_REGION2_IV_CODE_FIELD_MASK)\r
 /*! @} */\r
 \r
 /* The count of FLASH_CFPA_PRINCE_REGION2_IV_CODE */\r
-#define FLASH_CFPA_PRINCE_REGION2_IV_CODE_COUNT  (14U)\r
+#define FLASH_CFPA_PRINCE_REGION2_IV_CODE_COUNT (14U)\r
 \r
 /*! @name PRINCE_REGION2_IV_HEADER0 - . */\r
 /*! @{ */\r
 #define FLASH_CFPA_PRINCE_REGION2_IV_HEADER0_FIELD_MASK (0xFFFFFFFFU)\r
 #define FLASH_CFPA_PRINCE_REGION2_IV_HEADER0_FIELD_SHIFT (0U)\r
-#define FLASH_CFPA_PRINCE_REGION2_IV_HEADER0_FIELD(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_PRINCE_REGION2_IV_HEADER0_FIELD_SHIFT)) & FLASH_CFPA_PRINCE_REGION2_IV_HEADER0_FIELD_MASK)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_CFPA_PRINCE_REGION2_IV_HEADER0_FIELD(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_PRINCE_REGION2_IV_HEADER0_FIELD_SHIFT)) & \\r
+     FLASH_CFPA_PRINCE_REGION2_IV_HEADER0_FIELD_MASK)\r
 /*! @} */\r
 \r
 /*! @name PRINCE_REGION2_IV_HEADER1 - . */\r
 /*! @{ */\r
 #define FLASH_CFPA_PRINCE_REGION2_IV_HEADER1_TYPE_MASK (0x3U)\r
 #define FLASH_CFPA_PRINCE_REGION2_IV_HEADER1_TYPE_SHIFT (0U)\r
-#define FLASH_CFPA_PRINCE_REGION2_IV_HEADER1_TYPE(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_PRINCE_REGION2_IV_HEADER1_TYPE_SHIFT)) & FLASH_CFPA_PRINCE_REGION2_IV_HEADER1_TYPE_MASK)\r
+/*! TYPE - .\r
+ */\r
+#define FLASH_CFPA_PRINCE_REGION2_IV_HEADER1_TYPE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_PRINCE_REGION2_IV_HEADER1_TYPE_SHIFT)) & \\r
+     FLASH_CFPA_PRINCE_REGION2_IV_HEADER1_TYPE_MASK)\r
 #define FLASH_CFPA_PRINCE_REGION2_IV_HEADER1_INDEX_MASK (0xF00U)\r
 #define FLASH_CFPA_PRINCE_REGION2_IV_HEADER1_INDEX_SHIFT (8U)\r
-#define FLASH_CFPA_PRINCE_REGION2_IV_HEADER1_INDEX(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_PRINCE_REGION2_IV_HEADER1_INDEX_SHIFT)) & FLASH_CFPA_PRINCE_REGION2_IV_HEADER1_INDEX_MASK)\r
+/*! INDEX - .\r
+ */\r
+#define FLASH_CFPA_PRINCE_REGION2_IV_HEADER1_INDEX(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_PRINCE_REGION2_IV_HEADER1_INDEX_SHIFT)) & \\r
+     FLASH_CFPA_PRINCE_REGION2_IV_HEADER1_INDEX_MASK)\r
 #define FLASH_CFPA_PRINCE_REGION2_IV_HEADER1_SIZE_MASK (0x3F000000U)\r
 #define FLASH_CFPA_PRINCE_REGION2_IV_HEADER1_SIZE_SHIFT (24U)\r
-#define FLASH_CFPA_PRINCE_REGION2_IV_HEADER1_SIZE(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_PRINCE_REGION2_IV_HEADER1_SIZE_SHIFT)) & FLASH_CFPA_PRINCE_REGION2_IV_HEADER1_SIZE_MASK)\r
+/*! SIZE - .\r
+ */\r
+#define FLASH_CFPA_PRINCE_REGION2_IV_HEADER1_SIZE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_PRINCE_REGION2_IV_HEADER1_SIZE_SHIFT)) & \\r
+     FLASH_CFPA_PRINCE_REGION2_IV_HEADER1_SIZE_MASK)\r
 /*! @} */\r
 \r
 /*! @name PRINCE_REGION2_IV_BODY - . */\r
 /*! @{ */\r
 #define FLASH_CFPA_PRINCE_REGION2_IV_BODY_FIELD_MASK (0xFFFFFFFFU)\r
 #define FLASH_CFPA_PRINCE_REGION2_IV_BODY_FIELD_SHIFT (0U)\r
-#define FLASH_CFPA_PRINCE_REGION2_IV_BODY_FIELD(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_PRINCE_REGION2_IV_BODY_FIELD_SHIFT)) & FLASH_CFPA_PRINCE_REGION2_IV_BODY_FIELD_MASK)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_CFPA_PRINCE_REGION2_IV_BODY_FIELD(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_PRINCE_REGION2_IV_BODY_FIELD_SHIFT)) & \\r
+     FLASH_CFPA_PRINCE_REGION2_IV_BODY_FIELD_MASK)\r
 /*! @} */\r
 \r
 /* The count of FLASH_CFPA_PRINCE_REGION2_IV_BODY */\r
-#define FLASH_CFPA_PRINCE_REGION2_IV_BODY_COUNT  (12U)\r
+#define FLASH_CFPA_PRINCE_REGION2_IV_BODY_COUNT (12U)\r
 \r
 /*! @name CUSTOMER_DEFINED - Customer Defined (Programable through ROM API) */\r
 /*! @{ */\r
-#define FLASH_CFPA_CUSTOMER_DEFINED_FIELD_MASK   (0xFFFFFFFFU)\r
-#define FLASH_CFPA_CUSTOMER_DEFINED_FIELD_SHIFT  (0U)\r
-#define FLASH_CFPA_CUSTOMER_DEFINED_FIELD(x)     (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_CUSTOMER_DEFINED_FIELD_SHIFT)) & FLASH_CFPA_CUSTOMER_DEFINED_FIELD_MASK)\r
+#define FLASH_CFPA_CUSTOMER_DEFINED_FIELD_MASK (0xFFFFFFFFU)\r
+#define FLASH_CFPA_CUSTOMER_DEFINED_FIELD_SHIFT (0U)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_CFPA_CUSTOMER_DEFINED_FIELD(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_CUSTOMER_DEFINED_FIELD_SHIFT)) & FLASH_CFPA_CUSTOMER_DEFINED_FIELD_MASK)\r
 /*! @} */\r
 \r
 /* The count of FLASH_CFPA_CUSTOMER_DEFINED */\r
-#define FLASH_CFPA_CUSTOMER_DEFINED_COUNT        (56U)\r
+#define FLASH_CFPA_CUSTOMER_DEFINED_COUNT (56U)\r
 \r
-/*! @name SHA256_DIGEST - SHA256_DIGEST0 for DIGEST[31:0] SHA256_DIGEST1 for DIGEST[63:32] SHA256_DIGEST2 for DIGEST[95:64] SHA256_DIGEST3 for DIGEST[127:96] SHA256_DIGEST4 for DIGEST[159:128] SHA256_DIGEST5 for DIGEST[191:160] SHA256_DIGEST6 for DIGEST[223:192] SHA256_DIGEST7 for DIGEST[255:224] */\r
+/*! @name SHA256_DIGEST - SHA256_DIGEST0 for DIGEST[31:0] SHA256_DIGEST1 for DIGEST[63:32] SHA256_DIGEST2 for\r
+ * DIGEST[95:64] SHA256_DIGEST3 for DIGEST[127:96] SHA256_DIGEST4 for DIGEST[159:128] SHA256_DIGEST5 for DIGEST[191:160]\r
+ * SHA256_DIGEST6 for DIGEST[223:192] SHA256_DIGEST7 for DIGEST[255:224] */\r
 /*! @{ */\r
-#define FLASH_CFPA_SHA256_DIGEST_FIELD_MASK      (0xFFFFFFFFU)\r
-#define FLASH_CFPA_SHA256_DIGEST_FIELD_SHIFT     (0U)\r
-#define FLASH_CFPA_SHA256_DIGEST_FIELD(x)        (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_SHA256_DIGEST_FIELD_SHIFT)) & FLASH_CFPA_SHA256_DIGEST_FIELD_MASK)\r
+#define FLASH_CFPA_SHA256_DIGEST_FIELD_MASK (0xFFFFFFFFU)\r
+#define FLASH_CFPA_SHA256_DIGEST_FIELD_SHIFT (0U)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_CFPA_SHA256_DIGEST_FIELD(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CFPA_SHA256_DIGEST_FIELD_SHIFT)) & FLASH_CFPA_SHA256_DIGEST_FIELD_MASK)\r
 /*! @} */\r
 \r
 /* The count of FLASH_CFPA_SHA256_DIGEST */\r
-#define FLASH_CFPA_SHA256_DIGEST_COUNT           (8U)\r
-\r
+#define FLASH_CFPA_SHA256_DIGEST_COUNT (8U)\r
 \r
 /*!\r
  * @}\r
  */ /* end of group FLASH_CFPA_Register_Masks */\r
 \r
-\r
 /* FLASH_CFPA - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral FLASH_CFPA0 base address */\r
-  #define FLASH_CFPA0_BASE                         (0x1009E000u)\r
-  /** Peripheral FLASH_CFPA0 base address */\r
-  #define FLASH_CFPA0_BASE_NS                      (0x9E000u)\r
-  /** Peripheral FLASH_CFPA0 base pointer */\r
-  #define FLASH_CFPA0                              ((FLASH_CFPA_Type *)FLASH_CFPA0_BASE)\r
-  /** Peripheral FLASH_CFPA0 base pointer */\r
-  #define FLASH_CFPA0_NS                           ((FLASH_CFPA_Type *)FLASH_CFPA0_BASE_NS)\r
-  /** Peripheral FLASH_CFPA1 base address */\r
-  #define FLASH_CFPA1_BASE                         (0x1009E200u)\r
-  /** Peripheral FLASH_CFPA1 base address */\r
-  #define FLASH_CFPA1_BASE_NS                      (0x9E200u)\r
-  /** Peripheral FLASH_CFPA1 base pointer */\r
-  #define FLASH_CFPA1                              ((FLASH_CFPA_Type *)FLASH_CFPA1_BASE)\r
-  /** Peripheral FLASH_CFPA1 base pointer */\r
-  #define FLASH_CFPA1_NS                           ((FLASH_CFPA_Type *)FLASH_CFPA1_BASE_NS)\r
-  /** Peripheral FLASH_CFPA_SCRATCH base address */\r
-  #define FLASH_CFPA_SCRATCH_BASE                  (0x1009DE00u)\r
-  /** Peripheral FLASH_CFPA_SCRATCH base address */\r
-  #define FLASH_CFPA_SCRATCH_BASE_NS               (0x9DE00u)\r
-  /** Peripheral FLASH_CFPA_SCRATCH base pointer */\r
-  #define FLASH_CFPA_SCRATCH                       ((FLASH_CFPA_Type *)FLASH_CFPA_SCRATCH_BASE)\r
-  /** Peripheral FLASH_CFPA_SCRATCH base pointer */\r
-  #define FLASH_CFPA_SCRATCH_NS                    ((FLASH_CFPA_Type *)FLASH_CFPA_SCRATCH_BASE_NS)\r
-  /** Array initializer of FLASH_CFPA peripheral base addresses */\r
-  #define FLASH_CFPA_BASE_ADDRS                    { FLASH_CFPA0_BASE, FLASH_CFPA1_BASE, FLASH_CFPA_SCRATCH_BASE }\r
-  /** Array initializer of FLASH_CFPA peripheral base pointers */\r
-  #define FLASH_CFPA_BASE_PTRS                     { FLASH_CFPA0, FLASH_CFPA1, FLASH_CFPA_SCRATCH }\r
-  /** Array initializer of FLASH_CFPA peripheral base addresses */\r
-  #define FLASH_CFPA_BASE_ADDRS_NS                 { FLASH_CFPA0_BASE_NS, FLASH_CFPA1_BASE_NS, FLASH_CFPA_SCRATCH_BASE_NS }\r
-  /** Array initializer of FLASH_CFPA peripheral base pointers */\r
-  #define FLASH_CFPA_BASE_PTRS_NS                  { FLASH_CFPA0_NS, FLASH_CFPA1_NS, FLASH_CFPA_SCRATCH_NS }\r
+/** Peripheral FLASH_CFPA0 base address */\r
+#define FLASH_CFPA0_BASE (0x1009E000u)\r
+/** Peripheral FLASH_CFPA0 base address */\r
+#define FLASH_CFPA0_BASE_NS (0x9E000u)\r
+/** Peripheral FLASH_CFPA0 base pointer */\r
+#define FLASH_CFPA0 ((FLASH_CFPA_Type *)FLASH_CFPA0_BASE)\r
+/** Peripheral FLASH_CFPA0 base pointer */\r
+#define FLASH_CFPA0_NS ((FLASH_CFPA_Type *)FLASH_CFPA0_BASE_NS)\r
+/** Peripheral FLASH_CFPA1 base address */\r
+#define FLASH_CFPA1_BASE (0x1009E200u)\r
+/** Peripheral FLASH_CFPA1 base address */\r
+#define FLASH_CFPA1_BASE_NS (0x9E200u)\r
+/** Peripheral FLASH_CFPA1 base pointer */\r
+#define FLASH_CFPA1 ((FLASH_CFPA_Type *)FLASH_CFPA1_BASE)\r
+/** Peripheral FLASH_CFPA1 base pointer */\r
+#define FLASH_CFPA1_NS ((FLASH_CFPA_Type *)FLASH_CFPA1_BASE_NS)\r
+/** Peripheral FLASH_CFPA_SCRATCH base address */\r
+#define FLASH_CFPA_SCRATCH_BASE (0x1009DE00u)\r
+/** Peripheral FLASH_CFPA_SCRATCH base address */\r
+#define FLASH_CFPA_SCRATCH_BASE_NS (0x9DE00u)\r
+/** Peripheral FLASH_CFPA_SCRATCH base pointer */\r
+#define FLASH_CFPA_SCRATCH ((FLASH_CFPA_Type *)FLASH_CFPA_SCRATCH_BASE)\r
+/** Peripheral FLASH_CFPA_SCRATCH base pointer */\r
+#define FLASH_CFPA_SCRATCH_NS ((FLASH_CFPA_Type *)FLASH_CFPA_SCRATCH_BASE_NS)\r
+/** Array initializer of FLASH_CFPA peripheral base addresses */\r
+#define FLASH_CFPA_BASE_ADDRS                                       \\r
+    {                                                               \\r
+        FLASH_CFPA0_BASE, FLASH_CFPA1_BASE, FLASH_CFPA_SCRATCH_BASE \\r
+    }\r
+/** Array initializer of FLASH_CFPA peripheral base pointers */\r
+#define FLASH_CFPA_BASE_PTRS                         \\r
+    {                                                \\r
+        FLASH_CFPA0, FLASH_CFPA1, FLASH_CFPA_SCRATCH \\r
+    }\r
+/** Array initializer of FLASH_CFPA peripheral base addresses */\r
+#define FLASH_CFPA_BASE_ADDRS_NS                                             \\r
+    {                                                                        \\r
+        FLASH_CFPA0_BASE_NS, FLASH_CFPA1_BASE_NS, FLASH_CFPA_SCRATCH_BASE_NS \\r
+    }\r
+/** Array initializer of FLASH_CFPA peripheral base pointers */\r
+#define FLASH_CFPA_BASE_PTRS_NS                               \\r
+    {                                                         \\r
+        FLASH_CFPA0_NS, FLASH_CFPA1_NS, FLASH_CFPA_SCRATCH_NS \\r
+    }\r
 #else\r
-  /** Peripheral FLASH_CFPA0 base address */\r
-  #define FLASH_CFPA0_BASE                         (0x9E000u)\r
-  /** Peripheral FLASH_CFPA0 base pointer */\r
-  #define FLASH_CFPA0                              ((FLASH_CFPA_Type *)FLASH_CFPA0_BASE)\r
-  /** Peripheral FLASH_CFPA1 base address */\r
-  #define FLASH_CFPA1_BASE                         (0x9E200u)\r
-  /** Peripheral FLASH_CFPA1 base pointer */\r
-  #define FLASH_CFPA1                              ((FLASH_CFPA_Type *)FLASH_CFPA1_BASE)\r
-  /** Peripheral FLASH_CFPA_SCRATCH base address */\r
-  #define FLASH_CFPA_SCRATCH_BASE                  (0x9DE00u)\r
-  /** Peripheral FLASH_CFPA_SCRATCH base pointer */\r
-  #define FLASH_CFPA_SCRATCH                       ((FLASH_CFPA_Type *)FLASH_CFPA_SCRATCH_BASE)\r
-  /** Array initializer of FLASH_CFPA peripheral base addresses */\r
-  #define FLASH_CFPA_BASE_ADDRS                    { FLASH_CFPA0_BASE, FLASH_CFPA1_BASE, FLASH_CFPA_SCRATCH_BASE }\r
-  /** Array initializer of FLASH_CFPA peripheral base pointers */\r
-  #define FLASH_CFPA_BASE_PTRS                     { FLASH_CFPA0, FLASH_CFPA1, FLASH_CFPA_SCRATCH }\r
+/** Peripheral FLASH_CFPA0 base address */\r
+#define FLASH_CFPA0_BASE (0x9E000u)\r
+/** Peripheral FLASH_CFPA0 base pointer */\r
+#define FLASH_CFPA0 ((FLASH_CFPA_Type *)FLASH_CFPA0_BASE)\r
+/** Peripheral FLASH_CFPA1 base address */\r
+#define FLASH_CFPA1_BASE (0x9E200u)\r
+/** Peripheral FLASH_CFPA1 base pointer */\r
+#define FLASH_CFPA1 ((FLASH_CFPA_Type *)FLASH_CFPA1_BASE)\r
+/** Peripheral FLASH_CFPA_SCRATCH base address */\r
+#define FLASH_CFPA_SCRATCH_BASE (0x9DE00u)\r
+/** Peripheral FLASH_CFPA_SCRATCH base pointer */\r
+#define FLASH_CFPA_SCRATCH ((FLASH_CFPA_Type *)FLASH_CFPA_SCRATCH_BASE)\r
+/** Array initializer of FLASH_CFPA peripheral base addresses */\r
+#define FLASH_CFPA_BASE_ADDRS                                       \\r
+    {                                                               \\r
+        FLASH_CFPA0_BASE, FLASH_CFPA1_BASE, FLASH_CFPA_SCRATCH_BASE \\r
+    }\r
+/** Array initializer of FLASH_CFPA peripheral base pointers */\r
+#define FLASH_CFPA_BASE_PTRS                         \\r
+    {                                                \\r
+        FLASH_CFPA0, FLASH_CFPA1, FLASH_CFPA_SCRATCH \\r
+    }\r
 #endif\r
 \r
 /*!\r
  * @}\r
  */ /* end of group FLASH_CFPA_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- FLASH_CMPA Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -6591,24 +8692,36 @@ typedef struct {
  */\r
 \r
 /** FLASH_CMPA - Register Layout Typedef */\r
-typedef struct {\r
-  __IO uint32_t BOOT_CFG;                          /**< ., offset: 0x0 */\r
-  __IO uint32_t SPI_FLASH_CFG;                     /**< ., offset: 0x4 */\r
-  __IO uint32_t USB_ID;                            /**< ., offset: 0x8 */\r
-  __IO uint32_t SDIO_CFG;                          /**< ., offset: 0xC */\r
-  __IO uint32_t DCFG_CC_SOCU_PIN;                  /**< ., offset: 0x10 */\r
-  __IO uint32_t DCFG_CC_SOCU_DFLT;                 /**< ., offset: 0x14 */\r
-  __IO uint32_t DAP_VENDOR_USAGE_FIXED;            /**< ., offset: 0x18 */\r
-  __IO uint32_t SECURE_BOOT_CFG;                   /**< ., offset: 0x1C */\r
-  __IO uint32_t PRINCE_BASE_ADDR;                  /**< ., offset: 0x20 */\r
-  __IO uint32_t PRINCE_SR_0;                       /**< Region 0, sub-region enable, offset: 0x24 */\r
-  __IO uint32_t PRINCE_SR_1;                       /**< Region 1, sub-region enable, offset: 0x28 */\r
-  __IO uint32_t PRINCE_SR_2;                       /**< Region 2, sub-region enable, offset: 0x2C */\r
-       uint8_t RESERVED_0[32];\r
-  __IO uint32_t ROTKH[8];                          /**< ROTKH0 for Root of Trust Keys Table hash[255:224] ROTKH1 for Root of Trust Keys Table hash[223:192] ROTKH2 for Root of Trust Keys Table hash[191:160] ROTKH3 for Root of Trust Keys Table hash[159:128] ROTKH4 for Root of Trust Keys Table hash[127:96] ROTKH5 for Root of Trust Keys Table hash[95:64] ROTKH6 for Root of Trust Keys Table hash[63:32] ROTKH7 for Root of Trust Keys Table hash[31:0], array offset: 0x50, array step: 0x4 */\r
-       uint8_t RESERVED_1[144];\r
-  __IO uint32_t CUSTOMER_DEFINED[56];              /**< Customer Defined (Programable through ROM API), array offset: 0x100, array step: 0x4 */\r
-  __IO uint32_t SHA256_DIGEST[8];                  /**< SHA256_DIGEST0 for DIGEST[31:0] SHA256_DIGEST1 for DIGEST[63:32] SHA256_DIGEST2 for DIGEST[95:64] SHA256_DIGEST3 for DIGEST[127:96] SHA256_DIGEST4 for DIGEST[159:128] SHA256_DIGEST5 for DIGEST[191:160] SHA256_DIGEST6 for DIGEST[223:192] SHA256_DIGEST7 for DIGEST[255:224], array offset: 0x1E0, array step: 0x4 */\r
+typedef struct\r
+{\r
+    __IO uint32_t BOOT_CFG;                 /**< ., offset: 0x0 */\r
+    __IO uint32_t SPI_FLASH_CFG;            /**< ., offset: 0x4 */\r
+    __IO uint32_t USB_ID;                   /**< ., offset: 0x8 */\r
+    __IO uint32_t SDIO_CFG;                 /**< ., offset: 0xC */\r
+    __IO uint32_t CC_SOCU_PIN;              /**< ., offset: 0x10 */\r
+    __IO uint32_t CC_SOCU_DFLT;             /**< ., offset: 0x14 */\r
+    __IO uint32_t VENDOR_USAGE;             /**< ., offset: 0x18 */\r
+    __IO uint32_t SECURE_BOOT_CFG;          /**< ., offset: 0x1C */\r
+    __IO uint32_t PRINCE_BASE_ADDR;         /**< ., offset: 0x20 */\r
+    __IO uint32_t PRINCE_SR_0;              /**< Region 0, sub-region enable, offset: 0x24 */\r
+    __IO uint32_t PRINCE_SR_1;              /**< Region 1, sub-region enable, offset: 0x28 */\r
+    __IO uint32_t PRINCE_SR_2;              /**< Region 2, sub-region enable, offset: 0x2C */\r
+    __IO uint32_t XTAL_32KHZ_CAPABANK_TRIM; /**< Xtal 32kHz capabank triming., offset: 0x30 */\r
+    __IO uint32_t XTAL_16MHZ_CAPABANK_TRIM; /**< Xtal 16MHz capabank triming., offset: 0x34 */\r
+    uint8_t RESERVED_0[24];\r
+    __IO uint32_t ROTKH[8]; /**< ROTKH0 for Root of Trust Keys Table hash[255:224] ROTKH1 for Root of Trust Keys Table\r
+                               hash[223:192] ROTKH2 for Root of Trust Keys Table hash[191:160] ROTKH3 for Root of Trust\r
+                               Keys Table hash[159:128] ROTKH4 for Root of Trust Keys Table hash[127:96] ROTKH5 for Root\r
+                               of Trust Keys Table hash[95:64] ROTKH6 for Root of Trust Keys Table hash[63:32] ROTKH7\r
+                               for Root of Trust Keys Table hash[31:0], array offset: 0x50, array step: 0x4 */\r
+    uint8_t RESERVED_1[144];\r
+    __IO uint32_t CUSTOMER_DEFINED[56]; /**< Customer Defined (Programable through ROM API), array offset: 0x100, array\r
+                                           step: 0x4 */\r
+    __IO uint32_t\r
+        SHA256_DIGEST[8]; /**< SHA256_DIGEST0 for DIGEST[31:0] SHA256_DIGEST1 for DIGEST[63:32] SHA256_DIGEST2 for\r
+                             DIGEST[95:64] SHA256_DIGEST3 for DIGEST[127:96] SHA256_DIGEST4 for DIGEST[159:128]\r
+                             SHA256_DIGEST5 for DIGEST[191:160] SHA256_DIGEST6 for DIGEST[223:192] SHA256_DIGEST7 for\r
+                             DIGEST[255:224], array offset: 0x1E0, array step: 0x4 */\r
 } FLASH_CMPA_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -6631,358 +8744,601 @@ typedef struct {
  *  0b011..I2C Slave ISP\r
  *  0b111..Disable ISP fall through\r
  */\r
-#define FLASH_CMPA_BOOT_CFG_DEFAULT_ISP_MODE(x)  (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_BOOT_CFG_DEFAULT_ISP_MODE_SHIFT)) & FLASH_CMPA_BOOT_CFG_DEFAULT_ISP_MODE_MASK)\r
-#define FLASH_CMPA_BOOT_CFG_BOOT_SPEED_MASK      (0x180U)\r
-#define FLASH_CMPA_BOOT_CFG_BOOT_SPEED_SHIFT     (7U)\r
+#define FLASH_CMPA_BOOT_CFG_DEFAULT_ISP_MODE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_BOOT_CFG_DEFAULT_ISP_MODE_SHIFT)) & \\r
+     FLASH_CMPA_BOOT_CFG_DEFAULT_ISP_MODE_MASK)\r
+#define FLASH_CMPA_BOOT_CFG_BOOT_SPEED_MASK (0x180U)\r
+#define FLASH_CMPA_BOOT_CFG_BOOT_SPEED_SHIFT (7U)\r
 /*! BOOT_SPEED - Core clock:\r
  *  0b00..Defined by NMPA.SYSTEM_SPEED_CODE\r
  *  0b01..48MHz FRO\r
  *  0b10..96MHz FRO\r
  */\r
-#define FLASH_CMPA_BOOT_CFG_BOOT_SPEED(x)        (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_BOOT_CFG_BOOT_SPEED_SHIFT)) & FLASH_CMPA_BOOT_CFG_BOOT_SPEED_MASK)\r
+#define FLASH_CMPA_BOOT_CFG_BOOT_SPEED(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_BOOT_CFG_BOOT_SPEED_SHIFT)) & FLASH_CMPA_BOOT_CFG_BOOT_SPEED_MASK)\r
 #define FLASH_CMPA_BOOT_CFG_BOOT_FAILURE_PIN_MASK (0xFF000000U)\r
 #define FLASH_CMPA_BOOT_CFG_BOOT_FAILURE_PIN_SHIFT (24U)\r
-#define FLASH_CMPA_BOOT_CFG_BOOT_FAILURE_PIN(x)  (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_BOOT_CFG_BOOT_FAILURE_PIN_SHIFT)) & FLASH_CMPA_BOOT_CFG_BOOT_FAILURE_PIN_MASK)\r
+/*! BOOT_FAILURE_PIN - GPIO port and pin number to use for indicating failure reason. The toggle\r
+ *    rate of the pin is used to decode the error type. [2:0] - Defines GPIO port [7:3] - Defines GPIO\r
+ *    pin\r
+ */\r
+#define FLASH_CMPA_BOOT_CFG_BOOT_FAILURE_PIN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_BOOT_CFG_BOOT_FAILURE_PIN_SHIFT)) & \\r
+     FLASH_CMPA_BOOT_CFG_BOOT_FAILURE_PIN_MASK)\r
 /*! @} */\r
 \r
 /*! @name SPI_FLASH_CFG - . */\r
 /*! @{ */\r
-#define FLASH_CMPA_SPI_FLASH_CFG_FIELD_MASK      (0xFFFFFFFFU)\r
-#define FLASH_CMPA_SPI_FLASH_CFG_FIELD_SHIFT     (0U)\r
-#define FLASH_CMPA_SPI_FLASH_CFG_FIELD(x)        (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_SPI_FLASH_CFG_FIELD_SHIFT)) & FLASH_CMPA_SPI_FLASH_CFG_FIELD_MASK)\r
+#define FLASH_CMPA_SPI_FLASH_CFG_SPI_RECOVERY_BOOT_EN_MASK (0x1FU)\r
+#define FLASH_CMPA_SPI_FLASH_CFG_SPI_RECOVERY_BOOT_EN_SHIFT (0U)\r
+/*! SPI_RECOVERY_BOOT_EN - SPI flash recovery boot is enabled, if non-zero value is written to this field.\r
+ */\r
+#define FLASH_CMPA_SPI_FLASH_CFG_SPI_RECOVERY_BOOT_EN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_SPI_FLASH_CFG_SPI_RECOVERY_BOOT_EN_SHIFT)) & \\r
+     FLASH_CMPA_SPI_FLASH_CFG_SPI_RECOVERY_BOOT_EN_MASK)\r
 /*! @} */\r
 \r
 /*! @name USB_ID - . */\r
 /*! @{ */\r
-#define FLASH_CMPA_USB_ID_USB_VENDOR_ID_MASK     (0xFFFFU)\r
-#define FLASH_CMPA_USB_ID_USB_VENDOR_ID_SHIFT    (0U)\r
-#define FLASH_CMPA_USB_ID_USB_VENDOR_ID(x)       (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_USB_ID_USB_VENDOR_ID_SHIFT)) & FLASH_CMPA_USB_ID_USB_VENDOR_ID_MASK)\r
-#define FLASH_CMPA_USB_ID_USB_PRODUCT_ID_MASK    (0xFFFF0000U)\r
-#define FLASH_CMPA_USB_ID_USB_PRODUCT_ID_SHIFT   (16U)\r
-#define FLASH_CMPA_USB_ID_USB_PRODUCT_ID(x)      (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_USB_ID_USB_PRODUCT_ID_SHIFT)) & FLASH_CMPA_USB_ID_USB_PRODUCT_ID_MASK)\r
+#define FLASH_CMPA_USB_ID_USB_VENDOR_ID_MASK (0xFFFFU)\r
+#define FLASH_CMPA_USB_ID_USB_VENDOR_ID_SHIFT (0U)\r
+/*! USB_VENDOR_ID - .\r
+ */\r
+#define FLASH_CMPA_USB_ID_USB_VENDOR_ID(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_USB_ID_USB_VENDOR_ID_SHIFT)) & FLASH_CMPA_USB_ID_USB_VENDOR_ID_MASK)\r
+#define FLASH_CMPA_USB_ID_USB_PRODUCT_ID_MASK (0xFFFF0000U)\r
+#define FLASH_CMPA_USB_ID_USB_PRODUCT_ID_SHIFT (16U)\r
+/*! USB_PRODUCT_ID - .\r
+ */\r
+#define FLASH_CMPA_USB_ID_USB_PRODUCT_ID(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_USB_ID_USB_PRODUCT_ID_SHIFT)) & FLASH_CMPA_USB_ID_USB_PRODUCT_ID_MASK)\r
 /*! @} */\r
 \r
 /*! @name SDIO_CFG - . */\r
 /*! @{ */\r
-#define FLASH_CMPA_SDIO_CFG_FIELD_MASK           (0xFFFFFFFFU)\r
-#define FLASH_CMPA_SDIO_CFG_FIELD_SHIFT          (0U)\r
-#define FLASH_CMPA_SDIO_CFG_FIELD(x)             (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_SDIO_CFG_FIELD_SHIFT)) & FLASH_CMPA_SDIO_CFG_FIELD_MASK)\r
+#define FLASH_CMPA_SDIO_CFG_FIELD_MASK (0xFFFFFFFFU)\r
+#define FLASH_CMPA_SDIO_CFG_FIELD_SHIFT (0U)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_CMPA_SDIO_CFG_FIELD(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_SDIO_CFG_FIELD_SHIFT)) & FLASH_CMPA_SDIO_CFG_FIELD_MASK)\r
 /*! @} */\r
 \r
-/*! @name DCFG_CC_SOCU_PIN - . */\r
+/*! @name CC_SOCU_PIN - . */\r
 /*! @{ */\r
-#define FLASH_CMPA_DCFG_CC_SOCU_PIN_NIDEN_MASK   (0x1U)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_PIN_NIDEN_SHIFT  (0U)\r
+#define FLASH_CMPA_CC_SOCU_PIN_NIDEN_MASK (0x1U)\r
+#define FLASH_CMPA_CC_SOCU_PIN_NIDEN_SHIFT (0U)\r
 /*! NIDEN - Non Secure non-invasive debug enable\r
  *  0b0..Use DAP to enable\r
  *  0b1..Fixed state\r
  */\r
-#define FLASH_CMPA_DCFG_CC_SOCU_PIN_NIDEN(x)     (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_DCFG_CC_SOCU_PIN_NIDEN_SHIFT)) & FLASH_CMPA_DCFG_CC_SOCU_PIN_NIDEN_MASK)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_PIN_DBGEN_MASK   (0x2U)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_PIN_DBGEN_SHIFT  (1U)\r
+#define FLASH_CMPA_CC_SOCU_PIN_NIDEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_CC_SOCU_PIN_NIDEN_SHIFT)) & FLASH_CMPA_CC_SOCU_PIN_NIDEN_MASK)\r
+#define FLASH_CMPA_CC_SOCU_PIN_DBGEN_MASK (0x2U)\r
+#define FLASH_CMPA_CC_SOCU_PIN_DBGEN_SHIFT (1U)\r
 /*! DBGEN - Non Secure debug enable\r
  *  0b0..Use DAP to enable\r
  *  0b1..Fixed state\r
  */\r
-#define FLASH_CMPA_DCFG_CC_SOCU_PIN_DBGEN(x)     (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_DCFG_CC_SOCU_PIN_DBGEN_SHIFT)) & FLASH_CMPA_DCFG_CC_SOCU_PIN_DBGEN_MASK)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_PIN_SPNIDEN_MASK (0x4U)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_PIN_SPNIDEN_SHIFT (2U)\r
+#define FLASH_CMPA_CC_SOCU_PIN_DBGEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_CC_SOCU_PIN_DBGEN_SHIFT)) & FLASH_CMPA_CC_SOCU_PIN_DBGEN_MASK)\r
+#define FLASH_CMPA_CC_SOCU_PIN_SPNIDEN_MASK (0x4U)\r
+#define FLASH_CMPA_CC_SOCU_PIN_SPNIDEN_SHIFT (2U)\r
 /*! SPNIDEN - Secure non-invasive debug enable\r
  *  0b0..Use DAP to enable\r
  *  0b1..Fixed state\r
  */\r
-#define FLASH_CMPA_DCFG_CC_SOCU_PIN_SPNIDEN(x)   (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_DCFG_CC_SOCU_PIN_SPNIDEN_SHIFT)) & FLASH_CMPA_DCFG_CC_SOCU_PIN_SPNIDEN_MASK)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_PIN_SPIDEN_MASK  (0x8U)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_PIN_SPIDEN_SHIFT (3U)\r
+#define FLASH_CMPA_CC_SOCU_PIN_SPNIDEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_CC_SOCU_PIN_SPNIDEN_SHIFT)) & FLASH_CMPA_CC_SOCU_PIN_SPNIDEN_MASK)\r
+#define FLASH_CMPA_CC_SOCU_PIN_SPIDEN_MASK (0x8U)\r
+#define FLASH_CMPA_CC_SOCU_PIN_SPIDEN_SHIFT (3U)\r
 /*! SPIDEN - Secure invasive debug enable\r
  *  0b0..Use DAP to enable\r
  *  0b1..Fixed state\r
  */\r
-#define FLASH_CMPA_DCFG_CC_SOCU_PIN_SPIDEN(x)    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_DCFG_CC_SOCU_PIN_SPIDEN_SHIFT)) & FLASH_CMPA_DCFG_CC_SOCU_PIN_SPIDEN_MASK)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_PIN_TAPEN_MASK   (0x10U)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_PIN_TAPEN_SHIFT  (4U)\r
+#define FLASH_CMPA_CC_SOCU_PIN_SPIDEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_CC_SOCU_PIN_SPIDEN_SHIFT)) & FLASH_CMPA_CC_SOCU_PIN_SPIDEN_MASK)\r
+#define FLASH_CMPA_CC_SOCU_PIN_TAPEN_MASK (0x10U)\r
+#define FLASH_CMPA_CC_SOCU_PIN_TAPEN_SHIFT (4U)\r
 /*! TAPEN - JTAG TAP enable\r
  *  0b0..Use DAP to enable\r
  *  0b1..Fixed state\r
  */\r
-#define FLASH_CMPA_DCFG_CC_SOCU_PIN_TAPEN(x)     (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_DCFG_CC_SOCU_PIN_TAPEN_SHIFT)) & FLASH_CMPA_DCFG_CC_SOCU_PIN_TAPEN_MASK)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_PIN_MCM33_DBGEN_MASK (0x20U)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_PIN_MCM33_DBGEN_SHIFT (5U)\r
-/*! MCM33_DBGEN - Micro CM33 invasive debug enable\r
+#define FLASH_CMPA_CC_SOCU_PIN_TAPEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_CC_SOCU_PIN_TAPEN_SHIFT)) & FLASH_CMPA_CC_SOCU_PIN_TAPEN_MASK)\r
+#define FLASH_CMPA_CC_SOCU_PIN_CPU1_DBGEN_MASK (0x20U)\r
+#define FLASH_CMPA_CC_SOCU_PIN_CPU1_DBGEN_SHIFT (5U)\r
+/*! CPU1_DBGEN - CPU1 (Micro cortex M33) invasive debug enable\r
  *  0b0..Use DAP to enable\r
  *  0b1..Fixed state\r
  */\r
-#define FLASH_CMPA_DCFG_CC_SOCU_PIN_MCM33_DBGEN(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_DCFG_CC_SOCU_PIN_MCM33_DBGEN_SHIFT)) & FLASH_CMPA_DCFG_CC_SOCU_PIN_MCM33_DBGEN_MASK)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_PIN_ISP_CMD_EN_MASK (0x40U)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_PIN_ISP_CMD_EN_SHIFT (6U)\r
+#define FLASH_CMPA_CC_SOCU_PIN_CPU1_DBGEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_CC_SOCU_PIN_CPU1_DBGEN_SHIFT)) & FLASH_CMPA_CC_SOCU_PIN_CPU1_DBGEN_MASK)\r
+#define FLASH_CMPA_CC_SOCU_PIN_ISP_CMD_EN_MASK (0x40U)\r
+#define FLASH_CMPA_CC_SOCU_PIN_ISP_CMD_EN_SHIFT (6U)\r
 /*! ISP_CMD_EN - ISP Boot Command enable\r
  *  0b0..Use DAP to enable\r
  *  0b1..Fixed state\r
  */\r
-#define FLASH_CMPA_DCFG_CC_SOCU_PIN_ISP_CMD_EN(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_DCFG_CC_SOCU_PIN_ISP_CMD_EN_SHIFT)) & FLASH_CMPA_DCFG_CC_SOCU_PIN_ISP_CMD_EN_MASK)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_PIN_FA_CMD_EN_MASK (0x80U)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_PIN_FA_CMD_EN_SHIFT (7U)\r
+#define FLASH_CMPA_CC_SOCU_PIN_ISP_CMD_EN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_CC_SOCU_PIN_ISP_CMD_EN_SHIFT)) & FLASH_CMPA_CC_SOCU_PIN_ISP_CMD_EN_MASK)\r
+#define FLASH_CMPA_CC_SOCU_PIN_FA_CMD_EN_MASK (0x80U)\r
+#define FLASH_CMPA_CC_SOCU_PIN_FA_CMD_EN_SHIFT (7U)\r
 /*! FA_CMD_EN - FA Command enable\r
  *  0b0..Use DAP to enable\r
  *  0b1..Fixed state\r
  */\r
-#define FLASH_CMPA_DCFG_CC_SOCU_PIN_FA_CMD_EN(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_DCFG_CC_SOCU_PIN_FA_CMD_EN_SHIFT)) & FLASH_CMPA_DCFG_CC_SOCU_PIN_FA_CMD_EN_MASK)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_PIN_ME_CMD_EN_MASK (0x100U)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_PIN_ME_CMD_EN_SHIFT (8U)\r
+#define FLASH_CMPA_CC_SOCU_PIN_FA_CMD_EN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_CC_SOCU_PIN_FA_CMD_EN_SHIFT)) & FLASH_CMPA_CC_SOCU_PIN_FA_CMD_EN_MASK)\r
+#define FLASH_CMPA_CC_SOCU_PIN_ME_CMD_EN_MASK (0x100U)\r
+#define FLASH_CMPA_CC_SOCU_PIN_ME_CMD_EN_SHIFT (8U)\r
 /*! ME_CMD_EN - Flash Mass Erase Command enable\r
  *  0b0..Use DAP to enable\r
  *  0b1..Fixed state\r
  */\r
-#define FLASH_CMPA_DCFG_CC_SOCU_PIN_ME_CMD_EN(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_DCFG_CC_SOCU_PIN_ME_CMD_EN_SHIFT)) & FLASH_CMPA_DCFG_CC_SOCU_PIN_ME_CMD_EN_MASK)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_PIN_MCM33_NIDEN_MASK (0x200U)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_PIN_MCM33_NIDEN_SHIFT (9U)\r
-/*! MCM33_NIDEN - Micro CM33 non-invasive debug enable\r
+#define FLASH_CMPA_CC_SOCU_PIN_ME_CMD_EN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_CC_SOCU_PIN_ME_CMD_EN_SHIFT)) & FLASH_CMPA_CC_SOCU_PIN_ME_CMD_EN_MASK)\r
+#define FLASH_CMPA_CC_SOCU_PIN_CPU1_NIDEN_MASK (0x200U)\r
+#define FLASH_CMPA_CC_SOCU_PIN_CPU1_NIDEN_SHIFT (9U)\r
+/*! CPU1_NIDEN - CPU1 (Micro cortex M33) non-invasive debug enable\r
  *  0b0..Use DAP to enable\r
  *  0b1..Fixed state\r
  */\r
-#define FLASH_CMPA_DCFG_CC_SOCU_PIN_MCM33_NIDEN(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_DCFG_CC_SOCU_PIN_MCM33_NIDEN_SHIFT)) & FLASH_CMPA_DCFG_CC_SOCU_PIN_MCM33_NIDEN_MASK)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_PIN_UUID_CHECK_MASK (0x8000U)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_PIN_UUID_CHECK_SHIFT (15U)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_PIN_UUID_CHECK(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_DCFG_CC_SOCU_PIN_UUID_CHECK_SHIFT)) & FLASH_CMPA_DCFG_CC_SOCU_PIN_UUID_CHECK_MASK)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_PIN_INVERSE_VALUE_MASK (0xFFFF0000U)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_PIN_INVERSE_VALUE_SHIFT (16U)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_PIN_INVERSE_VALUE(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_DCFG_CC_SOCU_PIN_INVERSE_VALUE_SHIFT)) & FLASH_CMPA_DCFG_CC_SOCU_PIN_INVERSE_VALUE_MASK)\r
+#define FLASH_CMPA_CC_SOCU_PIN_CPU1_NIDEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_CC_SOCU_PIN_CPU1_NIDEN_SHIFT)) & FLASH_CMPA_CC_SOCU_PIN_CPU1_NIDEN_MASK)\r
+#define FLASH_CMPA_CC_SOCU_PIN_UUID_CHECK_MASK (0x8000U)\r
+#define FLASH_CMPA_CC_SOCU_PIN_UUID_CHECK_SHIFT (15U)\r
+/*! UUID_CHECK - Enforce UUID match during Debug authentication.\r
+ */\r
+#define FLASH_CMPA_CC_SOCU_PIN_UUID_CHECK(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_CC_SOCU_PIN_UUID_CHECK_SHIFT)) & FLASH_CMPA_CC_SOCU_PIN_UUID_CHECK_MASK)\r
+#define FLASH_CMPA_CC_SOCU_PIN_INVERSE_VALUE_MASK (0xFFFF0000U)\r
+#define FLASH_CMPA_CC_SOCU_PIN_INVERSE_VALUE_SHIFT (16U)\r
+/*! INVERSE_VALUE - inverse value of bits [15:0]\r
+ */\r
+#define FLASH_CMPA_CC_SOCU_PIN_INVERSE_VALUE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_CC_SOCU_PIN_INVERSE_VALUE_SHIFT)) & \\r
+     FLASH_CMPA_CC_SOCU_PIN_INVERSE_VALUE_MASK)\r
 /*! @} */\r
 \r
-/*! @name DCFG_CC_SOCU_DFLT - . */\r
+/*! @name CC_SOCU_DFLT - . */\r
 /*! @{ */\r
-#define FLASH_CMPA_DCFG_CC_SOCU_DFLT_NIDEN_MASK  (0x1U)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_DFLT_NIDEN_SHIFT (0U)\r
+#define FLASH_CMPA_CC_SOCU_DFLT_NIDEN_MASK (0x1U)\r
+#define FLASH_CMPA_CC_SOCU_DFLT_NIDEN_SHIFT (0U)\r
 /*! NIDEN - Non Secure non-invasive debug fixed state\r
  *  0b0..Disable\r
  *  0b1..Enable\r
  */\r
-#define FLASH_CMPA_DCFG_CC_SOCU_DFLT_NIDEN(x)    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_DCFG_CC_SOCU_DFLT_NIDEN_SHIFT)) & FLASH_CMPA_DCFG_CC_SOCU_DFLT_NIDEN_MASK)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_DFLT_DBGEN_MASK  (0x2U)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_DFLT_DBGEN_SHIFT (1U)\r
+#define FLASH_CMPA_CC_SOCU_DFLT_NIDEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_CC_SOCU_DFLT_NIDEN_SHIFT)) & FLASH_CMPA_CC_SOCU_DFLT_NIDEN_MASK)\r
+#define FLASH_CMPA_CC_SOCU_DFLT_DBGEN_MASK (0x2U)\r
+#define FLASH_CMPA_CC_SOCU_DFLT_DBGEN_SHIFT (1U)\r
 /*! DBGEN - Non Secure debug fixed state\r
  *  0b0..Disable\r
  *  0b1..Enable\r
  */\r
-#define FLASH_CMPA_DCFG_CC_SOCU_DFLT_DBGEN(x)    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_DCFG_CC_SOCU_DFLT_DBGEN_SHIFT)) & FLASH_CMPA_DCFG_CC_SOCU_DFLT_DBGEN_MASK)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_DFLT_SPNIDEN_MASK (0x4U)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_DFLT_SPNIDEN_SHIFT (2U)\r
+#define FLASH_CMPA_CC_SOCU_DFLT_DBGEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_CC_SOCU_DFLT_DBGEN_SHIFT)) & FLASH_CMPA_CC_SOCU_DFLT_DBGEN_MASK)\r
+#define FLASH_CMPA_CC_SOCU_DFLT_SPNIDEN_MASK (0x4U)\r
+#define FLASH_CMPA_CC_SOCU_DFLT_SPNIDEN_SHIFT (2U)\r
 /*! SPNIDEN - Secure non-invasive debug fixed state\r
  *  0b0..Disable\r
  *  0b1..Enable\r
  */\r
-#define FLASH_CMPA_DCFG_CC_SOCU_DFLT_SPNIDEN(x)  (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_DCFG_CC_SOCU_DFLT_SPNIDEN_SHIFT)) & FLASH_CMPA_DCFG_CC_SOCU_DFLT_SPNIDEN_MASK)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_DFLT_SPIDEN_MASK (0x8U)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_DFLT_SPIDEN_SHIFT (3U)\r
+#define FLASH_CMPA_CC_SOCU_DFLT_SPNIDEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_CC_SOCU_DFLT_SPNIDEN_SHIFT)) & FLASH_CMPA_CC_SOCU_DFLT_SPNIDEN_MASK)\r
+#define FLASH_CMPA_CC_SOCU_DFLT_SPIDEN_MASK (0x8U)\r
+#define FLASH_CMPA_CC_SOCU_DFLT_SPIDEN_SHIFT (3U)\r
 /*! SPIDEN - Secure invasive debug fixed state\r
  *  0b0..Disable\r
  *  0b1..Enable\r
  */\r
-#define FLASH_CMPA_DCFG_CC_SOCU_DFLT_SPIDEN(x)   (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_DCFG_CC_SOCU_DFLT_SPIDEN_SHIFT)) & FLASH_CMPA_DCFG_CC_SOCU_DFLT_SPIDEN_MASK)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_DFLT_TAPEN_MASK  (0x10U)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_DFLT_TAPEN_SHIFT (4U)\r
+#define FLASH_CMPA_CC_SOCU_DFLT_SPIDEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_CC_SOCU_DFLT_SPIDEN_SHIFT)) & FLASH_CMPA_CC_SOCU_DFLT_SPIDEN_MASK)\r
+#define FLASH_CMPA_CC_SOCU_DFLT_TAPEN_MASK (0x10U)\r
+#define FLASH_CMPA_CC_SOCU_DFLT_TAPEN_SHIFT (4U)\r
 /*! TAPEN - JTAG TAP fixed state\r
  *  0b0..Disable\r
  *  0b1..Enable\r
  */\r
-#define FLASH_CMPA_DCFG_CC_SOCU_DFLT_TAPEN(x)    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_DCFG_CC_SOCU_DFLT_TAPEN_SHIFT)) & FLASH_CMPA_DCFG_CC_SOCU_DFLT_TAPEN_MASK)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_DFLT_MCM33_DBGEN_MASK (0x20U)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_DFLT_MCM33_DBGEN_SHIFT (5U)\r
-/*! MCM33_DBGEN - Micro CM33 invasive debug fixed state\r
+#define FLASH_CMPA_CC_SOCU_DFLT_TAPEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_CC_SOCU_DFLT_TAPEN_SHIFT)) & FLASH_CMPA_CC_SOCU_DFLT_TAPEN_MASK)\r
+#define FLASH_CMPA_CC_SOCU_DFLT_CPU1_DBGEN_MASK (0x20U)\r
+#define FLASH_CMPA_CC_SOCU_DFLT_CPU1_DBGEN_SHIFT (5U)\r
+/*! CPU1_DBGEN - CPU1 (Micro cortex M33) invasive debug fixed state\r
  *  0b0..Disable\r
  *  0b1..Enable\r
  */\r
-#define FLASH_CMPA_DCFG_CC_SOCU_DFLT_MCM33_DBGEN(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_DCFG_CC_SOCU_DFLT_MCM33_DBGEN_SHIFT)) & FLASH_CMPA_DCFG_CC_SOCU_DFLT_MCM33_DBGEN_MASK)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_DFLT_ISP_CMD_EN_MASK (0x40U)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_DFLT_ISP_CMD_EN_SHIFT (6U)\r
+#define FLASH_CMPA_CC_SOCU_DFLT_CPU1_DBGEN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_CC_SOCU_DFLT_CPU1_DBGEN_SHIFT)) & \\r
+     FLASH_CMPA_CC_SOCU_DFLT_CPU1_DBGEN_MASK)\r
+#define FLASH_CMPA_CC_SOCU_DFLT_ISP_CMD_EN_MASK (0x40U)\r
+#define FLASH_CMPA_CC_SOCU_DFLT_ISP_CMD_EN_SHIFT (6U)\r
 /*! ISP_CMD_EN - ISP Boot Command fixed state\r
  *  0b0..Disable\r
  *  0b1..Enable\r
  */\r
-#define FLASH_CMPA_DCFG_CC_SOCU_DFLT_ISP_CMD_EN(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_DCFG_CC_SOCU_DFLT_ISP_CMD_EN_SHIFT)) & FLASH_CMPA_DCFG_CC_SOCU_DFLT_ISP_CMD_EN_MASK)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_DFLT_FA_CMD_EN_MASK (0x80U)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_DFLT_FA_CMD_EN_SHIFT (7U)\r
+#define FLASH_CMPA_CC_SOCU_DFLT_ISP_CMD_EN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_CC_SOCU_DFLT_ISP_CMD_EN_SHIFT)) & \\r
+     FLASH_CMPA_CC_SOCU_DFLT_ISP_CMD_EN_MASK)\r
+#define FLASH_CMPA_CC_SOCU_DFLT_FA_CMD_EN_MASK (0x80U)\r
+#define FLASH_CMPA_CC_SOCU_DFLT_FA_CMD_EN_SHIFT (7U)\r
 /*! FA_CMD_EN - FA Command fixed state\r
  *  0b0..Disable\r
  *  0b1..Enable\r
  */\r
-#define FLASH_CMPA_DCFG_CC_SOCU_DFLT_FA_CMD_EN(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_DCFG_CC_SOCU_DFLT_FA_CMD_EN_SHIFT)) & FLASH_CMPA_DCFG_CC_SOCU_DFLT_FA_CMD_EN_MASK)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_DFLT_ME_CMD_EN_MASK (0x100U)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_DFLT_ME_CMD_EN_SHIFT (8U)\r
+#define FLASH_CMPA_CC_SOCU_DFLT_FA_CMD_EN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_CC_SOCU_DFLT_FA_CMD_EN_SHIFT)) & FLASH_CMPA_CC_SOCU_DFLT_FA_CMD_EN_MASK)\r
+#define FLASH_CMPA_CC_SOCU_DFLT_ME_CMD_EN_MASK (0x100U)\r
+#define FLASH_CMPA_CC_SOCU_DFLT_ME_CMD_EN_SHIFT (8U)\r
 /*! ME_CMD_EN - Flash Mass Erase Command fixed state\r
  *  0b0..Disable\r
  *  0b1..Enable\r
  */\r
-#define FLASH_CMPA_DCFG_CC_SOCU_DFLT_ME_CMD_EN(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_DCFG_CC_SOCU_DFLT_ME_CMD_EN_SHIFT)) & FLASH_CMPA_DCFG_CC_SOCU_DFLT_ME_CMD_EN_MASK)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_DFLT_MCM33_NIDEN_MASK (0x200U)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_DFLT_MCM33_NIDEN_SHIFT (9U)\r
-/*! MCM33_NIDEN - Micro CM33 non-invasive debug fixed state\r
+#define FLASH_CMPA_CC_SOCU_DFLT_ME_CMD_EN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_CC_SOCU_DFLT_ME_CMD_EN_SHIFT)) & FLASH_CMPA_CC_SOCU_DFLT_ME_CMD_EN_MASK)\r
+#define FLASH_CMPA_CC_SOCU_DFLT_CPU1_NIDEN_MASK (0x200U)\r
+#define FLASH_CMPA_CC_SOCU_DFLT_CPU1_NIDEN_SHIFT (9U)\r
+/*! CPU1_NIDEN - CPU1 (Micro cortex M33) non-invasive debug fixed state\r
  *  0b0..Disable\r
  *  0b1..Enable\r
  */\r
-#define FLASH_CMPA_DCFG_CC_SOCU_DFLT_MCM33_NIDEN(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_DCFG_CC_SOCU_DFLT_MCM33_NIDEN_SHIFT)) & FLASH_CMPA_DCFG_CC_SOCU_DFLT_MCM33_NIDEN_MASK)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_DFLT_INVERSE_VALUE_MASK (0xFFFF0000U)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_DFLT_INVERSE_VALUE_SHIFT (16U)\r
-#define FLASH_CMPA_DCFG_CC_SOCU_DFLT_INVERSE_VALUE(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_DCFG_CC_SOCU_DFLT_INVERSE_VALUE_SHIFT)) & FLASH_CMPA_DCFG_CC_SOCU_DFLT_INVERSE_VALUE_MASK)\r
+#define FLASH_CMPA_CC_SOCU_DFLT_CPU1_NIDEN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_CC_SOCU_DFLT_CPU1_NIDEN_SHIFT)) & \\r
+     FLASH_CMPA_CC_SOCU_DFLT_CPU1_NIDEN_MASK)\r
+#define FLASH_CMPA_CC_SOCU_DFLT_INVERSE_VALUE_MASK (0xFFFF0000U)\r
+#define FLASH_CMPA_CC_SOCU_DFLT_INVERSE_VALUE_SHIFT (16U)\r
+/*! INVERSE_VALUE - inverse value of bits [15:0]\r
+ */\r
+#define FLASH_CMPA_CC_SOCU_DFLT_INVERSE_VALUE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_CC_SOCU_DFLT_INVERSE_VALUE_SHIFT)) & \\r
+     FLASH_CMPA_CC_SOCU_DFLT_INVERSE_VALUE_MASK)\r
 /*! @} */\r
 \r
-/*! @name DAP_VENDOR_USAGE_FIXED - . */\r
+/*! @name VENDOR_USAGE - . */\r
 /*! @{ */\r
-#define FLASH_CMPA_DAP_VENDOR_USAGE_FIXED_VENDOR_USAGE_MASK (0xFFFF0000U)\r
-#define FLASH_CMPA_DAP_VENDOR_USAGE_FIXED_VENDOR_USAGE_SHIFT (16U)\r
-#define FLASH_CMPA_DAP_VENDOR_USAGE_FIXED_VENDOR_USAGE(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_DAP_VENDOR_USAGE_FIXED_VENDOR_USAGE_SHIFT)) & FLASH_CMPA_DAP_VENDOR_USAGE_FIXED_VENDOR_USAGE_MASK)\r
+#define FLASH_CMPA_VENDOR_USAGE_VENDOR_USAGE_MASK (0xFFFF0000U)\r
+#define FLASH_CMPA_VENDOR_USAGE_VENDOR_USAGE_SHIFT (16U)\r
+/*! VENDOR_USAGE - Upper 16 bits of vendor usage field defined in DAP. Lower 16-bits come from customer field area.\r
+ */\r
+#define FLASH_CMPA_VENDOR_USAGE_VENDOR_USAGE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_VENDOR_USAGE_VENDOR_USAGE_SHIFT)) & \\r
+     FLASH_CMPA_VENDOR_USAGE_VENDOR_USAGE_MASK)\r
 /*! @} */\r
 \r
 /*! @name SECURE_BOOT_CFG - . */\r
 /*! @{ */\r
-#define FLASH_CMPA_SECURE_BOOT_CFG_RSA4K_MASK    (0x3U)\r
-#define FLASH_CMPA_SECURE_BOOT_CFG_RSA4K_SHIFT   (0U)\r
-#define FLASH_CMPA_SECURE_BOOT_CFG_RSA4K(x)      (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_SECURE_BOOT_CFG_RSA4K_SHIFT)) & FLASH_CMPA_SECURE_BOOT_CFG_RSA4K_MASK)\r
+#define FLASH_CMPA_SECURE_BOOT_CFG_RSA4K_MASK (0x3U)\r
+#define FLASH_CMPA_SECURE_BOOT_CFG_RSA4K_SHIFT (0U)\r
+/*! RSA4K - Use RSA4096 keys only. 00- RSA2048 keys 01, 10, 11 - RSA4096 keys\r
+ */\r
+#define FLASH_CMPA_SECURE_BOOT_CFG_RSA4K(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_SECURE_BOOT_CFG_RSA4K_SHIFT)) & FLASH_CMPA_SECURE_BOOT_CFG_RSA4K_MASK)\r
 #define FLASH_CMPA_SECURE_BOOT_CFG_DICE_ENC_NXP_CFG_MASK (0xCU)\r
 #define FLASH_CMPA_SECURE_BOOT_CFG_DICE_ENC_NXP_CFG_SHIFT (2U)\r
-#define FLASH_CMPA_SECURE_BOOT_CFG_DICE_ENC_NXP_CFG(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_SECURE_BOOT_CFG_DICE_ENC_NXP_CFG_SHIFT)) & FLASH_CMPA_SECURE_BOOT_CFG_DICE_ENC_NXP_CFG_MASK)\r
+/*! DICE_ENC_NXP_CFG - Include NXP area in DICE computation. 00 - not included 01, 10, 11 - included\r
+ */\r
+#define FLASH_CMPA_SECURE_BOOT_CFG_DICE_ENC_NXP_CFG(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_SECURE_BOOT_CFG_DICE_ENC_NXP_CFG_SHIFT)) & \\r
+     FLASH_CMPA_SECURE_BOOT_CFG_DICE_ENC_NXP_CFG_MASK)\r
 #define FLASH_CMPA_SECURE_BOOT_CFG_DICE_CUST_CFG_MASK (0x30U)\r
 #define FLASH_CMPA_SECURE_BOOT_CFG_DICE_CUST_CFG_SHIFT (4U)\r
-#define FLASH_CMPA_SECURE_BOOT_CFG_DICE_CUST_CFG(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_SECURE_BOOT_CFG_DICE_CUST_CFG_SHIFT)) & FLASH_CMPA_SECURE_BOOT_CFG_DICE_CUST_CFG_MASK)\r
+/*! DICE_CUST_CFG - Include Customer factory area (including keys) in DICE computation. 00 - not included 01, 10, 11 -\r
+ * included\r
+ */\r
+#define FLASH_CMPA_SECURE_BOOT_CFG_DICE_CUST_CFG(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_SECURE_BOOT_CFG_DICE_CUST_CFG_SHIFT)) & \\r
+     FLASH_CMPA_SECURE_BOOT_CFG_DICE_CUST_CFG_MASK)\r
 #define FLASH_CMPA_SECURE_BOOT_CFG_SKIP_DICE_MASK (0xC0U)\r
 #define FLASH_CMPA_SECURE_BOOT_CFG_SKIP_DICE_SHIFT (6U)\r
-#define FLASH_CMPA_SECURE_BOOT_CFG_SKIP_DICE(x)  (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_SECURE_BOOT_CFG_SKIP_DICE_SHIFT)) & FLASH_CMPA_SECURE_BOOT_CFG_SKIP_DICE_MASK)\r
+/*! SKIP_DICE - Skip DICE computation. 00 - Enable DICE 01,10,11 - Disable DICE\r
+ */\r
+#define FLASH_CMPA_SECURE_BOOT_CFG_SKIP_DICE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_SECURE_BOOT_CFG_SKIP_DICE_SHIFT)) & \\r
+     FLASH_CMPA_SECURE_BOOT_CFG_SKIP_DICE_MASK)\r
 #define FLASH_CMPA_SECURE_BOOT_CFG_TZM_IMAGE_TYPE_MASK (0x300U)\r
 #define FLASH_CMPA_SECURE_BOOT_CFG_TZM_IMAGE_TYPE_SHIFT (8U)\r
-#define FLASH_CMPA_SECURE_BOOT_CFG_TZM_IMAGE_TYPE(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_SECURE_BOOT_CFG_TZM_IMAGE_TYPE_SHIFT)) & FLASH_CMPA_SECURE_BOOT_CFG_TZM_IMAGE_TYPE_MASK)\r
+/*! TZM_IMAGE_TYPE - TrustZone-M mode. 00 - TZM mode in image header. 01 - Disable TZ-M. Boots to\r
+ *    NonSecure. 10 - TZ-M enable boots to secure mode. 11 - Preset TZM checker from image header.\r
+ */\r
+#define FLASH_CMPA_SECURE_BOOT_CFG_TZM_IMAGE_TYPE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_SECURE_BOOT_CFG_TZM_IMAGE_TYPE_SHIFT)) & \\r
+     FLASH_CMPA_SECURE_BOOT_CFG_TZM_IMAGE_TYPE_MASK)\r
 #define FLASH_CMPA_SECURE_BOOT_CFG_BLOCK_SET_KEY_MASK (0xC00U)\r
 #define FLASH_CMPA_SECURE_BOOT_CFG_BLOCK_SET_KEY_SHIFT (10U)\r
-#define FLASH_CMPA_SECURE_BOOT_CFG_BLOCK_SET_KEY(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_SECURE_BOOT_CFG_BLOCK_SET_KEY_SHIFT)) & FLASH_CMPA_SECURE_BOOT_CFG_BLOCK_SET_KEY_MASK)\r
+/*! BLOCK_SET_KEY - Block PUF key code generation. 00 - Enable Key code generation 01, 10, 11 - Disable key code\r
+ * generation\r
+ */\r
+#define FLASH_CMPA_SECURE_BOOT_CFG_BLOCK_SET_KEY(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_SECURE_BOOT_CFG_BLOCK_SET_KEY_SHIFT)) & \\r
+     FLASH_CMPA_SECURE_BOOT_CFG_BLOCK_SET_KEY_MASK)\r
 #define FLASH_CMPA_SECURE_BOOT_CFG_BLOCK_ENROLL_MASK (0x3000U)\r
 #define FLASH_CMPA_SECURE_BOOT_CFG_BLOCK_ENROLL_SHIFT (12U)\r
-#define FLASH_CMPA_SECURE_BOOT_CFG_BLOCK_ENROLL(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_SECURE_BOOT_CFG_BLOCK_ENROLL_SHIFT)) & FLASH_CMPA_SECURE_BOOT_CFG_BLOCK_ENROLL_MASK)\r
+/*! BLOCK_ENROLL - Block PUF enrollement. 00 - Enable enrollment mode 01, 10, 11 - Disable further enrollmnet\r
+ */\r
+#define FLASH_CMPA_SECURE_BOOT_CFG_BLOCK_ENROLL(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_SECURE_BOOT_CFG_BLOCK_ENROLL_SHIFT)) & \\r
+     FLASH_CMPA_SECURE_BOOT_CFG_BLOCK_ENROLL_MASK)\r
+#define FLASH_CMPA_SECURE_BOOT_CFG_DICE_INC_SEC_EPOCH_MASK (0xC000U)\r
+#define FLASH_CMPA_SECURE_BOOT_CFG_DICE_INC_SEC_EPOCH_SHIFT (14U)\r
+/*! DICE_INC_SEC_EPOCH - Include security EPOCH in DICE\r
+ */\r
+#define FLASH_CMPA_SECURE_BOOT_CFG_DICE_INC_SEC_EPOCH(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_SECURE_BOOT_CFG_DICE_INC_SEC_EPOCH_SHIFT)) & \\r
+     FLASH_CMPA_SECURE_BOOT_CFG_DICE_INC_SEC_EPOCH_MASK)\r
 #define FLASH_CMPA_SECURE_BOOT_CFG_SEC_BOOT_EN_MASK (0xC0000000U)\r
 #define FLASH_CMPA_SECURE_BOOT_CFG_SEC_BOOT_EN_SHIFT (30U)\r
-#define FLASH_CMPA_SECURE_BOOT_CFG_SEC_BOOT_EN(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_SECURE_BOOT_CFG_SEC_BOOT_EN_SHIFT)) & FLASH_CMPA_SECURE_BOOT_CFG_SEC_BOOT_EN_MASK)\r
+/*! SEC_BOOT_EN - Secure boot enable. 00 - Plain image (internal flash with or without CRC) 01, 10,\r
+ *    11 - Boot signed images. (internal flash, RSA signed)\r
+ */\r
+#define FLASH_CMPA_SECURE_BOOT_CFG_SEC_BOOT_EN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_SECURE_BOOT_CFG_SEC_BOOT_EN_SHIFT)) & \\r
+     FLASH_CMPA_SECURE_BOOT_CFG_SEC_BOOT_EN_MASK)\r
 /*! @} */\r
 \r
 /*! @name PRINCE_BASE_ADDR - . */\r
 /*! @{ */\r
 #define FLASH_CMPA_PRINCE_BASE_ADDR_ADDR0_PRG_MASK (0xFU)\r
 #define FLASH_CMPA_PRINCE_BASE_ADDR_ADDR0_PRG_SHIFT (0U)\r
-#define FLASH_CMPA_PRINCE_BASE_ADDR_ADDR0_PRG(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_PRINCE_BASE_ADDR_ADDR0_PRG_SHIFT)) & FLASH_CMPA_PRINCE_BASE_ADDR_ADDR0_PRG_MASK)\r
+/*! ADDR0_PRG - Programmable portion of the base address of region 0.\r
+ */\r
+#define FLASH_CMPA_PRINCE_BASE_ADDR_ADDR0_PRG(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_PRINCE_BASE_ADDR_ADDR0_PRG_SHIFT)) & \\r
+     FLASH_CMPA_PRINCE_BASE_ADDR_ADDR0_PRG_MASK)\r
 #define FLASH_CMPA_PRINCE_BASE_ADDR_ADDR1_PRG_MASK (0xF0U)\r
 #define FLASH_CMPA_PRINCE_BASE_ADDR_ADDR1_PRG_SHIFT (4U)\r
-#define FLASH_CMPA_PRINCE_BASE_ADDR_ADDR1_PRG(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_PRINCE_BASE_ADDR_ADDR1_PRG_SHIFT)) & FLASH_CMPA_PRINCE_BASE_ADDR_ADDR1_PRG_MASK)\r
+/*! ADDR1_PRG - Programmable portion of the base address of region 1.\r
+ */\r
+#define FLASH_CMPA_PRINCE_BASE_ADDR_ADDR1_PRG(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_PRINCE_BASE_ADDR_ADDR1_PRG_SHIFT)) & \\r
+     FLASH_CMPA_PRINCE_BASE_ADDR_ADDR1_PRG_MASK)\r
 #define FLASH_CMPA_PRINCE_BASE_ADDR_ADDR2_PRG_MASK (0xF00U)\r
 #define FLASH_CMPA_PRINCE_BASE_ADDR_ADDR2_PRG_SHIFT (8U)\r
-#define FLASH_CMPA_PRINCE_BASE_ADDR_ADDR2_PRG(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_PRINCE_BASE_ADDR_ADDR2_PRG_SHIFT)) & FLASH_CMPA_PRINCE_BASE_ADDR_ADDR2_PRG_MASK)\r
+/*! ADDR2_PRG - Programmable portion of the base address of region 2.\r
+ */\r
+#define FLASH_CMPA_PRINCE_BASE_ADDR_ADDR2_PRG(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_PRINCE_BASE_ADDR_ADDR2_PRG_SHIFT)) & \\r
+     FLASH_CMPA_PRINCE_BASE_ADDR_ADDR2_PRG_MASK)\r
 #define FLASH_CMPA_PRINCE_BASE_ADDR_LOCK_REG0_MASK (0x30000U)\r
 #define FLASH_CMPA_PRINCE_BASE_ADDR_LOCK_REG0_SHIFT (16U)\r
-#define FLASH_CMPA_PRINCE_BASE_ADDR_LOCK_REG0(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_PRINCE_BASE_ADDR_LOCK_REG0_SHIFT)) & FLASH_CMPA_PRINCE_BASE_ADDR_LOCK_REG0_MASK)\r
+/*! LOCK_REG0 - Lock PRINCE region0 settings. 00 - Region is not locked. 01, 10, 11 - Region is locked.\r
+ */\r
+#define FLASH_CMPA_PRINCE_BASE_ADDR_LOCK_REG0(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_PRINCE_BASE_ADDR_LOCK_REG0_SHIFT)) & \\r
+     FLASH_CMPA_PRINCE_BASE_ADDR_LOCK_REG0_MASK)\r
 #define FLASH_CMPA_PRINCE_BASE_ADDR_LOCK_REG1_MASK (0xC0000U)\r
 #define FLASH_CMPA_PRINCE_BASE_ADDR_LOCK_REG1_SHIFT (18U)\r
-#define FLASH_CMPA_PRINCE_BASE_ADDR_LOCK_REG1(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_PRINCE_BASE_ADDR_LOCK_REG1_SHIFT)) & FLASH_CMPA_PRINCE_BASE_ADDR_LOCK_REG1_MASK)\r
+/*! LOCK_REG1 - Lock PRINCE region1 settings. 00 - Region is not locked. 01, 10, 11 - Region is locked.\r
+ */\r
+#define FLASH_CMPA_PRINCE_BASE_ADDR_LOCK_REG1(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_PRINCE_BASE_ADDR_LOCK_REG1_SHIFT)) & \\r
+     FLASH_CMPA_PRINCE_BASE_ADDR_LOCK_REG1_MASK)\r
 #define FLASH_CMPA_PRINCE_BASE_ADDR_LOCK_REG2_MASK (0x300000U)\r
 #define FLASH_CMPA_PRINCE_BASE_ADDR_LOCK_REG2_SHIFT (20U)\r
-#define FLASH_CMPA_PRINCE_BASE_ADDR_LOCK_REG2(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_PRINCE_BASE_ADDR_LOCK_REG2_SHIFT)) & FLASH_CMPA_PRINCE_BASE_ADDR_LOCK_REG2_MASK)\r
+/*! LOCK_REG2 - Lock PRINCE region2 settings. 00 - Region is not locked. 01, 10, 11 - Region is locked.\r
+ */\r
+#define FLASH_CMPA_PRINCE_BASE_ADDR_LOCK_REG2(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_PRINCE_BASE_ADDR_LOCK_REG2_SHIFT)) & \\r
+     FLASH_CMPA_PRINCE_BASE_ADDR_LOCK_REG2_MASK)\r
 #define FLASH_CMPA_PRINCE_BASE_ADDR_REG0_ERASE_CHECK_EN_MASK (0x3000000U)\r
 #define FLASH_CMPA_PRINCE_BASE_ADDR_REG0_ERASE_CHECK_EN_SHIFT (24U)\r
-#define FLASH_CMPA_PRINCE_BASE_ADDR_REG0_ERASE_CHECK_EN(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_PRINCE_BASE_ADDR_REG0_ERASE_CHECK_EN_SHIFT)) & FLASH_CMPA_PRINCE_BASE_ADDR_REG0_ERASE_CHECK_EN_MASK)\r
+/*! REG0_ERASE_CHECK_EN - For PRINCE region0 enable checking whether all encrypted pages are erased\r
+ *    together. 00 - Check is disabled. 01, 10, 11 - Check is enabled.\r
+ */\r
+#define FLASH_CMPA_PRINCE_BASE_ADDR_REG0_ERASE_CHECK_EN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_PRINCE_BASE_ADDR_REG0_ERASE_CHECK_EN_SHIFT)) & \\r
+     FLASH_CMPA_PRINCE_BASE_ADDR_REG0_ERASE_CHECK_EN_MASK)\r
 #define FLASH_CMPA_PRINCE_BASE_ADDR_REG1_ERASE_CHECK_EN_MASK (0xC000000U)\r
 #define FLASH_CMPA_PRINCE_BASE_ADDR_REG1_ERASE_CHECK_EN_SHIFT (26U)\r
-#define FLASH_CMPA_PRINCE_BASE_ADDR_REG1_ERASE_CHECK_EN(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_PRINCE_BASE_ADDR_REG1_ERASE_CHECK_EN_SHIFT)) & FLASH_CMPA_PRINCE_BASE_ADDR_REG1_ERASE_CHECK_EN_MASK)\r
+/*! REG1_ERASE_CHECK_EN - For PRINCE region1 enable checking whether all encrypted pages are erased\r
+ *    together. 00 - Check is disabled. 01, 10, 11 - Check is enabled.\r
+ */\r
+#define FLASH_CMPA_PRINCE_BASE_ADDR_REG1_ERASE_CHECK_EN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_PRINCE_BASE_ADDR_REG1_ERASE_CHECK_EN_SHIFT)) & \\r
+     FLASH_CMPA_PRINCE_BASE_ADDR_REG1_ERASE_CHECK_EN_MASK)\r
 #define FLASH_CMPA_PRINCE_BASE_ADDR_REG2_ERASE_CHECK_EN_MASK (0x30000000U)\r
 #define FLASH_CMPA_PRINCE_BASE_ADDR_REG2_ERASE_CHECK_EN_SHIFT (28U)\r
-#define FLASH_CMPA_PRINCE_BASE_ADDR_REG2_ERASE_CHECK_EN(x) (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_PRINCE_BASE_ADDR_REG2_ERASE_CHECK_EN_SHIFT)) & FLASH_CMPA_PRINCE_BASE_ADDR_REG2_ERASE_CHECK_EN_MASK)\r
+/*! REG2_ERASE_CHECK_EN - For PRINCE region2 enable checking whether all encrypted pages are erased\r
+ *    together. 00 - Check is disabled. 01, 10, 11 - Check is enabled.\r
+ */\r
+#define FLASH_CMPA_PRINCE_BASE_ADDR_REG2_ERASE_CHECK_EN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_PRINCE_BASE_ADDR_REG2_ERASE_CHECK_EN_SHIFT)) & \\r
+     FLASH_CMPA_PRINCE_BASE_ADDR_REG2_ERASE_CHECK_EN_MASK)\r
 /*! @} */\r
 \r
 /*! @name PRINCE_SR_0 - Region 0, sub-region enable */\r
 /*! @{ */\r
-#define FLASH_CMPA_PRINCE_SR_0_FIELD_MASK        (0xFFFFFFFFU)\r
-#define FLASH_CMPA_PRINCE_SR_0_FIELD_SHIFT       (0U)\r
-#define FLASH_CMPA_PRINCE_SR_0_FIELD(x)          (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_PRINCE_SR_0_FIELD_SHIFT)) & FLASH_CMPA_PRINCE_SR_0_FIELD_MASK)\r
+#define FLASH_CMPA_PRINCE_SR_0_FIELD_MASK (0xFFFFFFFFU)\r
+#define FLASH_CMPA_PRINCE_SR_0_FIELD_SHIFT (0U)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_CMPA_PRINCE_SR_0_FIELD(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_PRINCE_SR_0_FIELD_SHIFT)) & FLASH_CMPA_PRINCE_SR_0_FIELD_MASK)\r
 /*! @} */\r
 \r
 /*! @name PRINCE_SR_1 - Region 1, sub-region enable */\r
 /*! @{ */\r
-#define FLASH_CMPA_PRINCE_SR_1_FIELD_MASK        (0xFFFFFFFFU)\r
-#define FLASH_CMPA_PRINCE_SR_1_FIELD_SHIFT       (0U)\r
-#define FLASH_CMPA_PRINCE_SR_1_FIELD(x)          (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_PRINCE_SR_1_FIELD_SHIFT)) & FLASH_CMPA_PRINCE_SR_1_FIELD_MASK)\r
+#define FLASH_CMPA_PRINCE_SR_1_FIELD_MASK (0xFFFFFFFFU)\r
+#define FLASH_CMPA_PRINCE_SR_1_FIELD_SHIFT (0U)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_CMPA_PRINCE_SR_1_FIELD(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_PRINCE_SR_1_FIELD_SHIFT)) & FLASH_CMPA_PRINCE_SR_1_FIELD_MASK)\r
 /*! @} */\r
 \r
 /*! @name PRINCE_SR_2 - Region 2, sub-region enable */\r
 /*! @{ */\r
-#define FLASH_CMPA_PRINCE_SR_2_FIELD_MASK        (0xFFFFFFFFU)\r
-#define FLASH_CMPA_PRINCE_SR_2_FIELD_SHIFT       (0U)\r
-#define FLASH_CMPA_PRINCE_SR_2_FIELD(x)          (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_PRINCE_SR_2_FIELD_SHIFT)) & FLASH_CMPA_PRINCE_SR_2_FIELD_MASK)\r
+#define FLASH_CMPA_PRINCE_SR_2_FIELD_MASK (0xFFFFFFFFU)\r
+#define FLASH_CMPA_PRINCE_SR_2_FIELD_SHIFT (0U)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_CMPA_PRINCE_SR_2_FIELD(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_PRINCE_SR_2_FIELD_SHIFT)) & FLASH_CMPA_PRINCE_SR_2_FIELD_MASK)\r
+/*! @} */\r
+\r
+/*! @name XTAL_32KHZ_CAPABANK_TRIM - Xtal 32kHz capabank triming. */\r
+/*! @{ */\r
+#define FLASH_CMPA_XTAL_32KHZ_CAPABANK_TRIM_TRIM_VALID_MASK (0x1U)\r
+#define FLASH_CMPA_XTAL_32KHZ_CAPABANK_TRIM_TRIM_VALID_SHIFT (0U)\r
+/*! TRIM_VALID - 0 : Capa Bank trimmings not valid. Default trimmings value are used. 1 : Capa Bank trimmings valid.\r
+ */\r
+#define FLASH_CMPA_XTAL_32KHZ_CAPABANK_TRIM_TRIM_VALID(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_XTAL_32KHZ_CAPABANK_TRIM_TRIM_VALID_SHIFT)) & \\r
+     FLASH_CMPA_XTAL_32KHZ_CAPABANK_TRIM_TRIM_VALID_MASK)\r
+#define FLASH_CMPA_XTAL_32KHZ_CAPABANK_TRIM_XTAL_LOAD_CAP_IEC_PF_X100_MASK (0x7FEU)\r
+#define FLASH_CMPA_XTAL_32KHZ_CAPABANK_TRIM_XTAL_LOAD_CAP_IEC_PF_X100_SHIFT (1U)\r
+/*! XTAL_LOAD_CAP_IEC_PF_X100 - Load capacitance, pF x 100. For example, 6pF becomes 600.\r
+ */\r
+#define FLASH_CMPA_XTAL_32KHZ_CAPABANK_TRIM_XTAL_LOAD_CAP_IEC_PF_X100(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_XTAL_32KHZ_CAPABANK_TRIM_XTAL_LOAD_CAP_IEC_PF_X100_SHIFT)) & \\r
+     FLASH_CMPA_XTAL_32KHZ_CAPABANK_TRIM_XTAL_LOAD_CAP_IEC_PF_X100_MASK)\r
+#define FLASH_CMPA_XTAL_32KHZ_CAPABANK_TRIM_PCB_XIN_PARA_CAP_PF_X100_MASK (0x1FF800U)\r
+#define FLASH_CMPA_XTAL_32KHZ_CAPABANK_TRIM_PCB_XIN_PARA_CAP_PF_X100_SHIFT (11U)\r
+/*! PCB_XIN_PARA_CAP_PF_X100 - PCB XIN parasitic capacitance, pF x 100. For example, 6pF becomes 600.\r
+ */\r
+#define FLASH_CMPA_XTAL_32KHZ_CAPABANK_TRIM_PCB_XIN_PARA_CAP_PF_X100(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_XTAL_32KHZ_CAPABANK_TRIM_PCB_XIN_PARA_CAP_PF_X100_SHIFT)) & \\r
+     FLASH_CMPA_XTAL_32KHZ_CAPABANK_TRIM_PCB_XIN_PARA_CAP_PF_X100_MASK)\r
+#define FLASH_CMPA_XTAL_32KHZ_CAPABANK_TRIM_PCB_XOUT_PARA_CAP_PF_X100_MASK (0x7FE00000U)\r
+#define FLASH_CMPA_XTAL_32KHZ_CAPABANK_TRIM_PCB_XOUT_PARA_CAP_PF_X100_SHIFT (21U)\r
+/*! PCB_XOUT_PARA_CAP_PF_X100 - PCB XOUT parasitic capacitance, pF x 100. For example, 6pF becomes 600.\r
+ */\r
+#define FLASH_CMPA_XTAL_32KHZ_CAPABANK_TRIM_PCB_XOUT_PARA_CAP_PF_X100(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_XTAL_32KHZ_CAPABANK_TRIM_PCB_XOUT_PARA_CAP_PF_X100_SHIFT)) & \\r
+     FLASH_CMPA_XTAL_32KHZ_CAPABANK_TRIM_PCB_XOUT_PARA_CAP_PF_X100_MASK)\r
+/*! @} */\r
+\r
+/*! @name XTAL_16MHZ_CAPABANK_TRIM - Xtal 16MHz capabank triming. */\r
+/*! @{ */\r
+#define FLASH_CMPA_XTAL_16MHZ_CAPABANK_TRIM_TRIM_VALID_MASK (0x1U)\r
+#define FLASH_CMPA_XTAL_16MHZ_CAPABANK_TRIM_TRIM_VALID_SHIFT (0U)\r
+/*! TRIM_VALID - 0 : Capa Bank trimmings not valid. Default trimmings value are used. 1 : Capa Bank trimmings valid.\r
+ */\r
+#define FLASH_CMPA_XTAL_16MHZ_CAPABANK_TRIM_TRIM_VALID(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_XTAL_16MHZ_CAPABANK_TRIM_TRIM_VALID_SHIFT)) & \\r
+     FLASH_CMPA_XTAL_16MHZ_CAPABANK_TRIM_TRIM_VALID_MASK)\r
+#define FLASH_CMPA_XTAL_16MHZ_CAPABANK_TRIM_XTAL_LOAD_CAP_IEC_PF_X100_MASK (0x7FEU)\r
+#define FLASH_CMPA_XTAL_16MHZ_CAPABANK_TRIM_XTAL_LOAD_CAP_IEC_PF_X100_SHIFT (1U)\r
+/*! XTAL_LOAD_CAP_IEC_PF_X100 - Load capacitance, pF x 100. For example, 6pF becomes 600.\r
+ */\r
+#define FLASH_CMPA_XTAL_16MHZ_CAPABANK_TRIM_XTAL_LOAD_CAP_IEC_PF_X100(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_XTAL_16MHZ_CAPABANK_TRIM_XTAL_LOAD_CAP_IEC_PF_X100_SHIFT)) & \\r
+     FLASH_CMPA_XTAL_16MHZ_CAPABANK_TRIM_XTAL_LOAD_CAP_IEC_PF_X100_MASK)\r
+#define FLASH_CMPA_XTAL_16MHZ_CAPABANK_TRIM_PCB_XIN_PARA_CAP_PF_X100_MASK (0x1FF800U)\r
+#define FLASH_CMPA_XTAL_16MHZ_CAPABANK_TRIM_PCB_XIN_PARA_CAP_PF_X100_SHIFT (11U)\r
+/*! PCB_XIN_PARA_CAP_PF_X100 - PCB XIN parasitic capacitance, pF x 100. For example, 6pF becomes 600.\r
+ */\r
+#define FLASH_CMPA_XTAL_16MHZ_CAPABANK_TRIM_PCB_XIN_PARA_CAP_PF_X100(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_XTAL_16MHZ_CAPABANK_TRIM_PCB_XIN_PARA_CAP_PF_X100_SHIFT)) & \\r
+     FLASH_CMPA_XTAL_16MHZ_CAPABANK_TRIM_PCB_XIN_PARA_CAP_PF_X100_MASK)\r
+#define FLASH_CMPA_XTAL_16MHZ_CAPABANK_TRIM_PCB_XOUT_PARA_CAP_PF_X100_MASK (0x7FE00000U)\r
+#define FLASH_CMPA_XTAL_16MHZ_CAPABANK_TRIM_PCB_XOUT_PARA_CAP_PF_X100_SHIFT (21U)\r
+/*! PCB_XOUT_PARA_CAP_PF_X100 - PCB XOUT parasitic capacitance, pF x 100. For example, 6pF becomes 600.\r
+ */\r
+#define FLASH_CMPA_XTAL_16MHZ_CAPABANK_TRIM_PCB_XOUT_PARA_CAP_PF_X100(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_XTAL_16MHZ_CAPABANK_TRIM_PCB_XOUT_PARA_CAP_PF_X100_SHIFT)) & \\r
+     FLASH_CMPA_XTAL_16MHZ_CAPABANK_TRIM_PCB_XOUT_PARA_CAP_PF_X100_MASK)\r
 /*! @} */\r
 \r
-/*! @name ROTKH - ROTKH0 for Root of Trust Keys Table hash[255:224] ROTKH1 for Root of Trust Keys Table hash[223:192] ROTKH2 for Root of Trust Keys Table hash[191:160] ROTKH3 for Root of Trust Keys Table hash[159:128] ROTKH4 for Root of Trust Keys Table hash[127:96] ROTKH5 for Root of Trust Keys Table hash[95:64] ROTKH6 for Root of Trust Keys Table hash[63:32] ROTKH7 for Root of Trust Keys Table hash[31:0] */\r
+/*! @name ROTKH - ROTKH0 for Root of Trust Keys Table hash[255:224] ROTKH1 for Root of Trust Keys Table hash[223:192]\r
+ * ROTKH2 for Root of Trust Keys Table hash[191:160] ROTKH3 for Root of Trust Keys Table hash[159:128] ROTKH4 for Root\r
+ * of Trust Keys Table hash[127:96] ROTKH5 for Root of Trust Keys Table hash[95:64] ROTKH6 for Root of Trust Keys Table\r
+ * hash[63:32] ROTKH7 for Root of Trust Keys Table hash[31:0] */\r
 /*! @{ */\r
-#define FLASH_CMPA_ROTKH_FIELD_MASK              (0xFFFFFFFFU)\r
-#define FLASH_CMPA_ROTKH_FIELD_SHIFT             (0U)\r
-#define FLASH_CMPA_ROTKH_FIELD(x)                (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_ROTKH_FIELD_SHIFT)) & FLASH_CMPA_ROTKH_FIELD_MASK)\r
+#define FLASH_CMPA_ROTKH_FIELD_MASK (0xFFFFFFFFU)\r
+#define FLASH_CMPA_ROTKH_FIELD_SHIFT (0U)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_CMPA_ROTKH_FIELD(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_ROTKH_FIELD_SHIFT)) & FLASH_CMPA_ROTKH_FIELD_MASK)\r
 /*! @} */\r
 \r
 /* The count of FLASH_CMPA_ROTKH */\r
-#define FLASH_CMPA_ROTKH_COUNT                   (8U)\r
+#define FLASH_CMPA_ROTKH_COUNT (8U)\r
 \r
 /*! @name CUSTOMER_DEFINED - Customer Defined (Programable through ROM API) */\r
 /*! @{ */\r
-#define FLASH_CMPA_CUSTOMER_DEFINED_FIELD_MASK   (0xFFFFFFFFU)\r
-#define FLASH_CMPA_CUSTOMER_DEFINED_FIELD_SHIFT  (0U)\r
-#define FLASH_CMPA_CUSTOMER_DEFINED_FIELD(x)     (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_CUSTOMER_DEFINED_FIELD_SHIFT)) & FLASH_CMPA_CUSTOMER_DEFINED_FIELD_MASK)\r
+#define FLASH_CMPA_CUSTOMER_DEFINED_FIELD_MASK (0xFFFFFFFFU)\r
+#define FLASH_CMPA_CUSTOMER_DEFINED_FIELD_SHIFT (0U)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_CMPA_CUSTOMER_DEFINED_FIELD(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_CUSTOMER_DEFINED_FIELD_SHIFT)) & FLASH_CMPA_CUSTOMER_DEFINED_FIELD_MASK)\r
 /*! @} */\r
 \r
 /* The count of FLASH_CMPA_CUSTOMER_DEFINED */\r
-#define FLASH_CMPA_CUSTOMER_DEFINED_COUNT        (56U)\r
+#define FLASH_CMPA_CUSTOMER_DEFINED_COUNT (56U)\r
 \r
-/*! @name SHA256_DIGEST - SHA256_DIGEST0 for DIGEST[31:0] SHA256_DIGEST1 for DIGEST[63:32] SHA256_DIGEST2 for DIGEST[95:64] SHA256_DIGEST3 for DIGEST[127:96] SHA256_DIGEST4 for DIGEST[159:128] SHA256_DIGEST5 for DIGEST[191:160] SHA256_DIGEST6 for DIGEST[223:192] SHA256_DIGEST7 for DIGEST[255:224] */\r
+/*! @name SHA256_DIGEST - SHA256_DIGEST0 for DIGEST[31:0] SHA256_DIGEST1 for DIGEST[63:32] SHA256_DIGEST2 for\r
+ * DIGEST[95:64] SHA256_DIGEST3 for DIGEST[127:96] SHA256_DIGEST4 for DIGEST[159:128] SHA256_DIGEST5 for DIGEST[191:160]\r
+ * SHA256_DIGEST6 for DIGEST[223:192] SHA256_DIGEST7 for DIGEST[255:224] */\r
 /*! @{ */\r
-#define FLASH_CMPA_SHA256_DIGEST_FIELD_MASK      (0xFFFFFFFFU)\r
-#define FLASH_CMPA_SHA256_DIGEST_FIELD_SHIFT     (0U)\r
-#define FLASH_CMPA_SHA256_DIGEST_FIELD(x)        (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_SHA256_DIGEST_FIELD_SHIFT)) & FLASH_CMPA_SHA256_DIGEST_FIELD_MASK)\r
+#define FLASH_CMPA_SHA256_DIGEST_FIELD_MASK (0xFFFFFFFFU)\r
+#define FLASH_CMPA_SHA256_DIGEST_FIELD_SHIFT (0U)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_CMPA_SHA256_DIGEST_FIELD(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_CMPA_SHA256_DIGEST_FIELD_SHIFT)) & FLASH_CMPA_SHA256_DIGEST_FIELD_MASK)\r
 /*! @} */\r
 \r
 /* The count of FLASH_CMPA_SHA256_DIGEST */\r
-#define FLASH_CMPA_SHA256_DIGEST_COUNT           (8U)\r
-\r
+#define FLASH_CMPA_SHA256_DIGEST_COUNT (8U)\r
 \r
 /*!\r
  * @}\r
  */ /* end of group FLASH_CMPA_Register_Masks */\r
 \r
-\r
 /* FLASH_CMPA - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral FLASH_CMPA base address */\r
-  #define FLASH_CMPA_BASE                          (0x1009E400u)\r
-  /** Peripheral FLASH_CMPA base address */\r
-  #define FLASH_CMPA_BASE_NS                       (0x9E400u)\r
-  /** Peripheral FLASH_CMPA base pointer */\r
-  #define FLASH_CMPA                               ((FLASH_CMPA_Type *)FLASH_CMPA_BASE)\r
-  /** Peripheral FLASH_CMPA base pointer */\r
-  #define FLASH_CMPA_NS                            ((FLASH_CMPA_Type *)FLASH_CMPA_BASE_NS)\r
-  /** Array initializer of FLASH_CMPA peripheral base addresses */\r
-  #define FLASH_CMPA_BASE_ADDRS                    { FLASH_CMPA_BASE }\r
-  /** Array initializer of FLASH_CMPA peripheral base pointers */\r
-  #define FLASH_CMPA_BASE_PTRS                     { FLASH_CMPA }\r
-  /** Array initializer of FLASH_CMPA peripheral base addresses */\r
-  #define FLASH_CMPA_BASE_ADDRS_NS                 { FLASH_CMPA_BASE_NS }\r
-  /** Array initializer of FLASH_CMPA peripheral base pointers */\r
-  #define FLASH_CMPA_BASE_PTRS_NS                  { FLASH_CMPA_NS }\r
+/** Peripheral FLASH_CMPA base address */\r
+#define FLASH_CMPA_BASE (0x1009E400u)\r
+/** Peripheral FLASH_CMPA base address */\r
+#define FLASH_CMPA_BASE_NS (0x9E400u)\r
+/** Peripheral FLASH_CMPA base pointer */\r
+#define FLASH_CMPA ((FLASH_CMPA_Type *)FLASH_CMPA_BASE)\r
+/** Peripheral FLASH_CMPA base pointer */\r
+#define FLASH_CMPA_NS ((FLASH_CMPA_Type *)FLASH_CMPA_BASE_NS)\r
+/** Array initializer of FLASH_CMPA peripheral base addresses */\r
+#define FLASH_CMPA_BASE_ADDRS \\r
+    {                         \\r
+        FLASH_CMPA_BASE       \\r
+    }\r
+/** Array initializer of FLASH_CMPA peripheral base pointers */\r
+#define FLASH_CMPA_BASE_PTRS \\r
+    {                        \\r
+        FLASH_CMPA           \\r
+    }\r
+/** Array initializer of FLASH_CMPA peripheral base addresses */\r
+#define FLASH_CMPA_BASE_ADDRS_NS \\r
+    {                            \\r
+        FLASH_CMPA_BASE_NS       \\r
+    }\r
+/** Array initializer of FLASH_CMPA peripheral base pointers */\r
+#define FLASH_CMPA_BASE_PTRS_NS \\r
+    {                           \\r
+        FLASH_CMPA_NS           \\r
+    }\r
 #else\r
-  /** Peripheral FLASH_CMPA base address */\r
-  #define FLASH_CMPA_BASE                          (0x9E400u)\r
-  /** Peripheral FLASH_CMPA base pointer */\r
-  #define FLASH_CMPA                               ((FLASH_CMPA_Type *)FLASH_CMPA_BASE)\r
-  /** Array initializer of FLASH_CMPA peripheral base addresses */\r
-  #define FLASH_CMPA_BASE_ADDRS                    { FLASH_CMPA_BASE }\r
-  /** Array initializer of FLASH_CMPA peripheral base pointers */\r
-  #define FLASH_CMPA_BASE_PTRS                     { FLASH_CMPA }\r
+/** Peripheral FLASH_CMPA base address */\r
+#define FLASH_CMPA_BASE (0x9E400u)\r
+/** Peripheral FLASH_CMPA base pointer */\r
+#define FLASH_CMPA ((FLASH_CMPA_Type *)FLASH_CMPA_BASE)\r
+/** Array initializer of FLASH_CMPA peripheral base addresses */\r
+#define FLASH_CMPA_BASE_ADDRS \\r
+    {                         \\r
+        FLASH_CMPA_BASE       \\r
+    }\r
+/** Array initializer of FLASH_CMPA peripheral base pointers */\r
+#define FLASH_CMPA_BASE_PTRS \\r
+    {                        \\r
+        FLASH_CMPA           \\r
+    }\r
 #endif\r
 \r
 /*!\r
  * @}\r
  */ /* end of group FLASH_CMPA_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- FLASH_KEY_STORE Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -6993,60 +9349,74 @@ typedef struct {
  */\r
 \r
 /** FLASH_KEY_STORE - Register Layout Typedef */\r
-typedef struct {\r
-  struct {                                         /* offset: 0x0 */\r
-    __IO uint32_t HEADER;                            /**< Valid Key Sore Header : 0x95959595, offset: 0x0 */\r
-    __IO uint32_t PUF_DISCHARGE_TIME_IN_MS;          /**< puf discharge time in ms., offset: 0x4 */\r
-  } KEY_STORE_HEADER;\r
-  __IO uint32_t ACTIVATION_CODE[298];              /**< ., array offset: 0x8, array step: 0x4 */\r
-  union {                                          /* offset: 0x4B0 */\r
-    __IO uint32_t SBKEY_KEY_CODE[14];                /**< ., array offset: 0x4B0, array step: 0x4 */\r
-    struct {                                         /* offset: 0x4B0 */\r
-      __IO uint32_t SBKEY_HEADER0;                     /**< ., offset: 0x4B0 */\r
-      __IO uint32_t SBKEY_HEADER1;                     /**< ., offset: 0x4B4 */\r
-      __IO uint32_t SBKEY_BODY[12];                    /**< ., array offset: 0x4B8, array step: 0x4 */\r
-    } SBKEY_KEY_CODE_CORE;\r
-  };\r
-  union {                                          /* offset: 0x4E8 */\r
-    __IO uint32_t USER_KEK_KEY_CODE[14];             /**< ., array offset: 0x4E8, array step: 0x4 */\r
-    struct {                                         /* offset: 0x4E8 */\r
-      __IO uint32_t USER_KEK_HEADER0;                  /**< ., offset: 0x4E8 */\r
-      __IO uint32_t USER_KEK_HEADER1;                  /**< ., offset: 0x4EC */\r
-      __IO uint32_t USER_KEK_BODY[12];                 /**< ., array offset: 0x4F0, array step: 0x4 */\r
-    } USER_KEK_KEY_CODE_CORE;\r
-  };\r
-  union {                                          /* offset: 0x520 */\r
-    __IO uint32_t UDS_KEY_CODE[14];                  /**< ., array offset: 0x520, array step: 0x4 */\r
-    struct {                                         /* offset: 0x520 */\r
-      __IO uint32_t UDS_HEADER0;                       /**< ., offset: 0x520 */\r
-      __IO uint32_t UDS_HEADER1;                       /**< ., offset: 0x524 */\r
-      __IO uint32_t UDS_BODY[12];                      /**< ., array offset: 0x528, array step: 0x4 */\r
-    } UDS_KEY_CODE_CORE;\r
-  };\r
-  union {                                          /* offset: 0x558 */\r
-    __IO uint32_t PRINCE_REGION0_KEY_CODE[14];       /**< ., array offset: 0x558, array step: 0x4 */\r
-    struct {                                         /* offset: 0x558 */\r
-      __IO uint32_t PRINCE_REGION0_HEADER0;            /**< ., offset: 0x558 */\r
-      __IO uint32_t PRINCE_REGION0_HEADER1;            /**< ., offset: 0x55C */\r
-      __IO uint32_t PRINCE_REGION0_BODY[12];           /**< ., array offset: 0x560, array step: 0x4 */\r
-    } PRINCE_REGION0_KEY_CODE_CORE;\r
-  };\r
-  union {                                          /* offset: 0x590 */\r
-    __IO uint32_t PRINCE_REGION1_KEY_CODE[14];       /**< ., array offset: 0x590, array step: 0x4 */\r
-    struct {                                         /* offset: 0x590 */\r
-      __IO uint32_t PRINCE_REGION1_HEADER0;            /**< ., offset: 0x590 */\r
-      __IO uint32_t PRINCE_REGION1_HEADER1;            /**< ., offset: 0x594 */\r
-      __IO uint32_t PRINCE_REGION1_BODY[12];           /**< ., array offset: 0x598, array step: 0x4 */\r
-    } PRINCE_REGION1_KEY_CODE_CORE;\r
-  };\r
-  union {                                          /* offset: 0x5C8 */\r
-    __IO uint32_t PRINCE_REGION2_KEY_CODE[14];       /**< ., array offset: 0x5C8, array step: 0x4 */\r
-    struct {                                         /* offset: 0x5C8 */\r
-      __IO uint32_t PRINCE_REGION2_HEADER0;            /**< ., offset: 0x5C8 */\r
-      __IO uint32_t PRINCE_REGION2_HEADER1;            /**< ., offset: 0x5CC */\r
-      __IO uint32_t PRINCE_REGION2_BODY[12];           /**< ., array offset: 0x5D0, array step: 0x4 */\r
-    } PRINCE_REGION2_KEY_CODE_CORE;\r
-  };\r
+typedef struct\r
+{\r
+    struct\r
+    {                                           /* offset: 0x0 */\r
+        __IO uint32_t HEADER;                   /**< Valid Key Sore Header : 0x95959595, offset: 0x0 */\r
+        __IO uint32_t PUF_DISCHARGE_TIME_IN_MS; /**< puf discharge time in ms., offset: 0x4 */\r
+    } KEY_STORE_HEADER;\r
+    __IO uint32_t ACTIVATION_CODE[298]; /**< ., array offset: 0x8, array step: 0x4 */\r
+    union\r
+    {                                     /* offset: 0x4B0 */\r
+        __IO uint32_t SBKEY_KEY_CODE[14]; /**< ., array offset: 0x4B0, array step: 0x4 */\r
+        struct\r
+        {                                 /* offset: 0x4B0 */\r
+            __IO uint32_t SBKEY_HEADER0;  /**< ., offset: 0x4B0 */\r
+            __IO uint32_t SBKEY_HEADER1;  /**< ., offset: 0x4B4 */\r
+            __IO uint32_t SBKEY_BODY[12]; /**< ., array offset: 0x4B8, array step: 0x4 */\r
+        } SBKEY_KEY_CODE_CORE;\r
+    };\r
+    union\r
+    {                                        /* offset: 0x4E8 */\r
+        __IO uint32_t USER_KEK_KEY_CODE[14]; /**< ., array offset: 0x4E8, array step: 0x4 */\r
+        struct\r
+        {                                    /* offset: 0x4E8 */\r
+            __IO uint32_t USER_KEK_HEADER0;  /**< ., offset: 0x4E8 */\r
+            __IO uint32_t USER_KEK_HEADER1;  /**< ., offset: 0x4EC */\r
+            __IO uint32_t USER_KEK_BODY[12]; /**< ., array offset: 0x4F0, array step: 0x4 */\r
+        } USER_KEK_KEY_CODE_CORE;\r
+    };\r
+    union\r
+    {                                   /* offset: 0x520 */\r
+        __IO uint32_t UDS_KEY_CODE[14]; /**< ., array offset: 0x520, array step: 0x4 */\r
+        struct\r
+        {                               /* offset: 0x520 */\r
+            __IO uint32_t UDS_HEADER0;  /**< ., offset: 0x520 */\r
+            __IO uint32_t UDS_HEADER1;  /**< ., offset: 0x524 */\r
+            __IO uint32_t UDS_BODY[12]; /**< ., array offset: 0x528, array step: 0x4 */\r
+        } UDS_KEY_CODE_CORE;\r
+    };\r
+    union\r
+    {                                              /* offset: 0x558 */\r
+        __IO uint32_t PRINCE_REGION0_KEY_CODE[14]; /**< ., array offset: 0x558, array step: 0x4 */\r
+        struct\r
+        {                                          /* offset: 0x558 */\r
+            __IO uint32_t PRINCE_REGION0_HEADER0;  /**< ., offset: 0x558 */\r
+            __IO uint32_t PRINCE_REGION0_HEADER1;  /**< ., offset: 0x55C */\r
+            __IO uint32_t PRINCE_REGION0_BODY[12]; /**< ., array offset: 0x560, array step: 0x4 */\r
+        } PRINCE_REGION0_KEY_CODE_CORE;\r
+    };\r
+    union\r
+    {                                              /* offset: 0x590 */\r
+        __IO uint32_t PRINCE_REGION1_KEY_CODE[14]; /**< ., array offset: 0x590, array step: 0x4 */\r
+        struct\r
+        {                                          /* offset: 0x590 */\r
+            __IO uint32_t PRINCE_REGION1_HEADER0;  /**< ., offset: 0x590 */\r
+            __IO uint32_t PRINCE_REGION1_HEADER1;  /**< ., offset: 0x594 */\r
+            __IO uint32_t PRINCE_REGION1_BODY[12]; /**< ., array offset: 0x598, array step: 0x4 */\r
+        } PRINCE_REGION1_KEY_CODE_CORE;\r
+    };\r
+    union\r
+    {                                              /* offset: 0x5C8 */\r
+        __IO uint32_t PRINCE_REGION2_KEY_CODE[14]; /**< ., array offset: 0x5C8, array step: 0x4 */\r
+        struct\r
+        {                                          /* offset: 0x5C8 */\r
+            __IO uint32_t PRINCE_REGION2_HEADER0;  /**< ., offset: 0x5C8 */\r
+            __IO uint32_t PRINCE_REGION2_HEADER1;  /**< ., offset: 0x5CC */\r
+            __IO uint32_t PRINCE_REGION2_BODY[12]; /**< ., array offset: 0x5D0, array step: 0x4 */\r
+        } PRINCE_REGION2_KEY_CODE_CORE;\r
+    };\r
 } FLASH_KEY_STORE_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -7060,153 +9430,234 @@ typedef struct {
 \r
 /*! @name HEADER - Valid Key Sore Header : 0x95959595 */\r
 /*! @{ */\r
-#define FLASH_KEY_STORE_HEADER_FIELD_MASK        (0xFFFFFFFFU)\r
-#define FLASH_KEY_STORE_HEADER_FIELD_SHIFT       (0U)\r
-#define FLASH_KEY_STORE_HEADER_FIELD(x)          (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_HEADER_FIELD_SHIFT)) & FLASH_KEY_STORE_HEADER_FIELD_MASK)\r
+#define FLASH_KEY_STORE_HEADER_FIELD_MASK (0xFFFFFFFFU)\r
+#define FLASH_KEY_STORE_HEADER_FIELD_SHIFT (0U)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_KEY_STORE_HEADER_FIELD(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_HEADER_FIELD_SHIFT)) & FLASH_KEY_STORE_HEADER_FIELD_MASK)\r
 /*! @} */\r
 \r
 /*! @name PUF_DISCHARGE_TIME_IN_MS - puf discharge time in ms. */\r
 /*! @{ */\r
 #define FLASH_KEY_STORE_PUF_DISCHARGE_TIME_IN_MS_FIELD_MASK (0xFFFFFFFFU)\r
 #define FLASH_KEY_STORE_PUF_DISCHARGE_TIME_IN_MS_FIELD_SHIFT (0U)\r
-#define FLASH_KEY_STORE_PUF_DISCHARGE_TIME_IN_MS_FIELD(x) (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_PUF_DISCHARGE_TIME_IN_MS_FIELD_SHIFT)) & FLASH_KEY_STORE_PUF_DISCHARGE_TIME_IN_MS_FIELD_MASK)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_KEY_STORE_PUF_DISCHARGE_TIME_IN_MS_FIELD(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_PUF_DISCHARGE_TIME_IN_MS_FIELD_SHIFT)) & \\r
+     FLASH_KEY_STORE_PUF_DISCHARGE_TIME_IN_MS_FIELD_MASK)\r
 /*! @} */\r
 \r
 /*! @name ACTIVATION_CODE - . */\r
 /*! @{ */\r
 #define FLASH_KEY_STORE_ACTIVATION_CODE_FIELD_MASK (0xFFFFFFFFU)\r
 #define FLASH_KEY_STORE_ACTIVATION_CODE_FIELD_SHIFT (0U)\r
-#define FLASH_KEY_STORE_ACTIVATION_CODE_FIELD(x) (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_ACTIVATION_CODE_FIELD_SHIFT)) & FLASH_KEY_STORE_ACTIVATION_CODE_FIELD_MASK)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_KEY_STORE_ACTIVATION_CODE_FIELD(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_ACTIVATION_CODE_FIELD_SHIFT)) & \\r
+     FLASH_KEY_STORE_ACTIVATION_CODE_FIELD_MASK)\r
 /*! @} */\r
 \r
 /* The count of FLASH_KEY_STORE_ACTIVATION_CODE */\r
-#define FLASH_KEY_STORE_ACTIVATION_CODE_COUNT    (298U)\r
+#define FLASH_KEY_STORE_ACTIVATION_CODE_COUNT (298U)\r
 \r
 /*! @name SBKEY_KEY_CODE - . */\r
 /*! @{ */\r
 #define FLASH_KEY_STORE_SBKEY_KEY_CODE_FIELD_MASK (0xFFFFFFFFU)\r
 #define FLASH_KEY_STORE_SBKEY_KEY_CODE_FIELD_SHIFT (0U)\r
-#define FLASH_KEY_STORE_SBKEY_KEY_CODE_FIELD(x)  (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_SBKEY_KEY_CODE_FIELD_SHIFT)) & FLASH_KEY_STORE_SBKEY_KEY_CODE_FIELD_MASK)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_KEY_STORE_SBKEY_KEY_CODE_FIELD(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_SBKEY_KEY_CODE_FIELD_SHIFT)) & \\r
+     FLASH_KEY_STORE_SBKEY_KEY_CODE_FIELD_MASK)\r
 /*! @} */\r
 \r
 /* The count of FLASH_KEY_STORE_SBKEY_KEY_CODE */\r
-#define FLASH_KEY_STORE_SBKEY_KEY_CODE_COUNT     (14U)\r
+#define FLASH_KEY_STORE_SBKEY_KEY_CODE_COUNT (14U)\r
 \r
 /*! @name SBKEY_HEADER0 - . */\r
 /*! @{ */\r
 #define FLASH_KEY_STORE_SBKEY_HEADER0_FIELD_MASK (0xFFFFFFFFU)\r
 #define FLASH_KEY_STORE_SBKEY_HEADER0_FIELD_SHIFT (0U)\r
-#define FLASH_KEY_STORE_SBKEY_HEADER0_FIELD(x)   (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_SBKEY_HEADER0_FIELD_SHIFT)) & FLASH_KEY_STORE_SBKEY_HEADER0_FIELD_MASK)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_KEY_STORE_SBKEY_HEADER0_FIELD(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_SBKEY_HEADER0_FIELD_SHIFT)) & \\r
+     FLASH_KEY_STORE_SBKEY_HEADER0_FIELD_MASK)\r
 /*! @} */\r
 \r
 /*! @name SBKEY_HEADER1 - . */\r
 /*! @{ */\r
-#define FLASH_KEY_STORE_SBKEY_HEADER1_TYPE_MASK  (0x3U)\r
+#define FLASH_KEY_STORE_SBKEY_HEADER1_TYPE_MASK (0x3U)\r
 #define FLASH_KEY_STORE_SBKEY_HEADER1_TYPE_SHIFT (0U)\r
-#define FLASH_KEY_STORE_SBKEY_HEADER1_TYPE(x)    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_SBKEY_HEADER1_TYPE_SHIFT)) & FLASH_KEY_STORE_SBKEY_HEADER1_TYPE_MASK)\r
+/*! TYPE - .\r
+ */\r
+#define FLASH_KEY_STORE_SBKEY_HEADER1_TYPE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_SBKEY_HEADER1_TYPE_SHIFT)) & \\r
+     FLASH_KEY_STORE_SBKEY_HEADER1_TYPE_MASK)\r
 #define FLASH_KEY_STORE_SBKEY_HEADER1_INDEX_MASK (0xF00U)\r
 #define FLASH_KEY_STORE_SBKEY_HEADER1_INDEX_SHIFT (8U)\r
-#define FLASH_KEY_STORE_SBKEY_HEADER1_INDEX(x)   (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_SBKEY_HEADER1_INDEX_SHIFT)) & FLASH_KEY_STORE_SBKEY_HEADER1_INDEX_MASK)\r
-#define FLASH_KEY_STORE_SBKEY_HEADER1_SIZE_MASK  (0x3F000000U)\r
+/*! INDEX - .\r
+ */\r
+#define FLASH_KEY_STORE_SBKEY_HEADER1_INDEX(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_SBKEY_HEADER1_INDEX_SHIFT)) & \\r
+     FLASH_KEY_STORE_SBKEY_HEADER1_INDEX_MASK)\r
+#define FLASH_KEY_STORE_SBKEY_HEADER1_SIZE_MASK (0x3F000000U)\r
 #define FLASH_KEY_STORE_SBKEY_HEADER1_SIZE_SHIFT (24U)\r
-#define FLASH_KEY_STORE_SBKEY_HEADER1_SIZE(x)    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_SBKEY_HEADER1_SIZE_SHIFT)) & FLASH_KEY_STORE_SBKEY_HEADER1_SIZE_MASK)\r
+/*! SIZE - .\r
+ */\r
+#define FLASH_KEY_STORE_SBKEY_HEADER1_SIZE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_SBKEY_HEADER1_SIZE_SHIFT)) & \\r
+     FLASH_KEY_STORE_SBKEY_HEADER1_SIZE_MASK)\r
 /*! @} */\r
 \r
 /*! @name SBKEY_BODY - . */\r
 /*! @{ */\r
-#define FLASH_KEY_STORE_SBKEY_BODY_FIELD_MASK    (0xFFFFFFFFU)\r
-#define FLASH_KEY_STORE_SBKEY_BODY_FIELD_SHIFT   (0U)\r
-#define FLASH_KEY_STORE_SBKEY_BODY_FIELD(x)      (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_SBKEY_BODY_FIELD_SHIFT)) & FLASH_KEY_STORE_SBKEY_BODY_FIELD_MASK)\r
+#define FLASH_KEY_STORE_SBKEY_BODY_FIELD_MASK (0xFFFFFFFFU)\r
+#define FLASH_KEY_STORE_SBKEY_BODY_FIELD_SHIFT (0U)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_KEY_STORE_SBKEY_BODY_FIELD(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_SBKEY_BODY_FIELD_SHIFT)) & FLASH_KEY_STORE_SBKEY_BODY_FIELD_MASK)\r
 /*! @} */\r
 \r
 /* The count of FLASH_KEY_STORE_SBKEY_BODY */\r
-#define FLASH_KEY_STORE_SBKEY_BODY_COUNT         (12U)\r
+#define FLASH_KEY_STORE_SBKEY_BODY_COUNT (12U)\r
 \r
 /*! @name USER_KEK_KEY_CODE - . */\r
 /*! @{ */\r
 #define FLASH_KEY_STORE_USER_KEK_KEY_CODE_FIELD_MASK (0xFFFFFFFFU)\r
 #define FLASH_KEY_STORE_USER_KEK_KEY_CODE_FIELD_SHIFT (0U)\r
-#define FLASH_KEY_STORE_USER_KEK_KEY_CODE_FIELD(x) (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_USER_KEK_KEY_CODE_FIELD_SHIFT)) & FLASH_KEY_STORE_USER_KEK_KEY_CODE_FIELD_MASK)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_KEY_STORE_USER_KEK_KEY_CODE_FIELD(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_USER_KEK_KEY_CODE_FIELD_SHIFT)) & \\r
+     FLASH_KEY_STORE_USER_KEK_KEY_CODE_FIELD_MASK)\r
 /*! @} */\r
 \r
 /* The count of FLASH_KEY_STORE_USER_KEK_KEY_CODE */\r
-#define FLASH_KEY_STORE_USER_KEK_KEY_CODE_COUNT  (14U)\r
+#define FLASH_KEY_STORE_USER_KEK_KEY_CODE_COUNT (14U)\r
 \r
 /*! @name USER_KEK_HEADER0 - . */\r
 /*! @{ */\r
 #define FLASH_KEY_STORE_USER_KEK_HEADER0_FIELD_MASK (0xFFFFFFFFU)\r
 #define FLASH_KEY_STORE_USER_KEK_HEADER0_FIELD_SHIFT (0U)\r
-#define FLASH_KEY_STORE_USER_KEK_HEADER0_FIELD(x) (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_USER_KEK_HEADER0_FIELD_SHIFT)) & FLASH_KEY_STORE_USER_KEK_HEADER0_FIELD_MASK)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_KEY_STORE_USER_KEK_HEADER0_FIELD(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_USER_KEK_HEADER0_FIELD_SHIFT)) & \\r
+     FLASH_KEY_STORE_USER_KEK_HEADER0_FIELD_MASK)\r
 /*! @} */\r
 \r
 /*! @name USER_KEK_HEADER1 - . */\r
 /*! @{ */\r
 #define FLASH_KEY_STORE_USER_KEK_HEADER1_TYPE_MASK (0x3U)\r
 #define FLASH_KEY_STORE_USER_KEK_HEADER1_TYPE_SHIFT (0U)\r
-#define FLASH_KEY_STORE_USER_KEK_HEADER1_TYPE(x) (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_USER_KEK_HEADER1_TYPE_SHIFT)) & FLASH_KEY_STORE_USER_KEK_HEADER1_TYPE_MASK)\r
+/*! TYPE - .\r
+ */\r
+#define FLASH_KEY_STORE_USER_KEK_HEADER1_TYPE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_USER_KEK_HEADER1_TYPE_SHIFT)) & \\r
+     FLASH_KEY_STORE_USER_KEK_HEADER1_TYPE_MASK)\r
 #define FLASH_KEY_STORE_USER_KEK_HEADER1_INDEX_MASK (0xF00U)\r
 #define FLASH_KEY_STORE_USER_KEK_HEADER1_INDEX_SHIFT (8U)\r
-#define FLASH_KEY_STORE_USER_KEK_HEADER1_INDEX(x) (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_USER_KEK_HEADER1_INDEX_SHIFT)) & FLASH_KEY_STORE_USER_KEK_HEADER1_INDEX_MASK)\r
+/*! INDEX - .\r
+ */\r
+#define FLASH_KEY_STORE_USER_KEK_HEADER1_INDEX(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_USER_KEK_HEADER1_INDEX_SHIFT)) & \\r
+     FLASH_KEY_STORE_USER_KEK_HEADER1_INDEX_MASK)\r
 #define FLASH_KEY_STORE_USER_KEK_HEADER1_SIZE_MASK (0x3F000000U)\r
 #define FLASH_KEY_STORE_USER_KEK_HEADER1_SIZE_SHIFT (24U)\r
-#define FLASH_KEY_STORE_USER_KEK_HEADER1_SIZE(x) (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_USER_KEK_HEADER1_SIZE_SHIFT)) & FLASH_KEY_STORE_USER_KEK_HEADER1_SIZE_MASK)\r
+/*! SIZE - .\r
+ */\r
+#define FLASH_KEY_STORE_USER_KEK_HEADER1_SIZE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_USER_KEK_HEADER1_SIZE_SHIFT)) & \\r
+     FLASH_KEY_STORE_USER_KEK_HEADER1_SIZE_MASK)\r
 /*! @} */\r
 \r
 /*! @name USER_KEK_BODY - . */\r
 /*! @{ */\r
 #define FLASH_KEY_STORE_USER_KEK_BODY_FIELD_MASK (0xFFFFFFFFU)\r
 #define FLASH_KEY_STORE_USER_KEK_BODY_FIELD_SHIFT (0U)\r
-#define FLASH_KEY_STORE_USER_KEK_BODY_FIELD(x)   (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_USER_KEK_BODY_FIELD_SHIFT)) & FLASH_KEY_STORE_USER_KEK_BODY_FIELD_MASK)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_KEY_STORE_USER_KEK_BODY_FIELD(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_USER_KEK_BODY_FIELD_SHIFT)) & \\r
+     FLASH_KEY_STORE_USER_KEK_BODY_FIELD_MASK)\r
 /*! @} */\r
 \r
 /* The count of FLASH_KEY_STORE_USER_KEK_BODY */\r
-#define FLASH_KEY_STORE_USER_KEK_BODY_COUNT      (12U)\r
+#define FLASH_KEY_STORE_USER_KEK_BODY_COUNT (12U)\r
 \r
 /*! @name UDS_KEY_CODE - . */\r
 /*! @{ */\r
-#define FLASH_KEY_STORE_UDS_KEY_CODE_FIELD_MASK  (0xFFFFFFFFU)\r
+#define FLASH_KEY_STORE_UDS_KEY_CODE_FIELD_MASK (0xFFFFFFFFU)\r
 #define FLASH_KEY_STORE_UDS_KEY_CODE_FIELD_SHIFT (0U)\r
-#define FLASH_KEY_STORE_UDS_KEY_CODE_FIELD(x)    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_UDS_KEY_CODE_FIELD_SHIFT)) & FLASH_KEY_STORE_UDS_KEY_CODE_FIELD_MASK)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_KEY_STORE_UDS_KEY_CODE_FIELD(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_UDS_KEY_CODE_FIELD_SHIFT)) & \\r
+     FLASH_KEY_STORE_UDS_KEY_CODE_FIELD_MASK)\r
 /*! @} */\r
 \r
 /* The count of FLASH_KEY_STORE_UDS_KEY_CODE */\r
-#define FLASH_KEY_STORE_UDS_KEY_CODE_COUNT       (14U)\r
+#define FLASH_KEY_STORE_UDS_KEY_CODE_COUNT (14U)\r
 \r
 /*! @name UDS_HEADER0 - . */\r
 /*! @{ */\r
-#define FLASH_KEY_STORE_UDS_HEADER0_FIELD_MASK   (0xFFFFFFFFU)\r
-#define FLASH_KEY_STORE_UDS_HEADER0_FIELD_SHIFT  (0U)\r
-#define FLASH_KEY_STORE_UDS_HEADER0_FIELD(x)     (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_UDS_HEADER0_FIELD_SHIFT)) & FLASH_KEY_STORE_UDS_HEADER0_FIELD_MASK)\r
+#define FLASH_KEY_STORE_UDS_HEADER0_FIELD_MASK (0xFFFFFFFFU)\r
+#define FLASH_KEY_STORE_UDS_HEADER0_FIELD_SHIFT (0U)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_KEY_STORE_UDS_HEADER0_FIELD(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_UDS_HEADER0_FIELD_SHIFT)) & FLASH_KEY_STORE_UDS_HEADER0_FIELD_MASK)\r
 /*! @} */\r
 \r
 /*! @name UDS_HEADER1 - . */\r
 /*! @{ */\r
-#define FLASH_KEY_STORE_UDS_HEADER1_TYPE_MASK    (0x3U)\r
-#define FLASH_KEY_STORE_UDS_HEADER1_TYPE_SHIFT   (0U)\r
-#define FLASH_KEY_STORE_UDS_HEADER1_TYPE(x)      (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_UDS_HEADER1_TYPE_SHIFT)) & FLASH_KEY_STORE_UDS_HEADER1_TYPE_MASK)\r
-#define FLASH_KEY_STORE_UDS_HEADER1_INDEX_MASK   (0xF00U)\r
-#define FLASH_KEY_STORE_UDS_HEADER1_INDEX_SHIFT  (8U)\r
-#define FLASH_KEY_STORE_UDS_HEADER1_INDEX(x)     (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_UDS_HEADER1_INDEX_SHIFT)) & FLASH_KEY_STORE_UDS_HEADER1_INDEX_MASK)\r
-#define FLASH_KEY_STORE_UDS_HEADER1_SIZE_MASK    (0x3F000000U)\r
-#define FLASH_KEY_STORE_UDS_HEADER1_SIZE_SHIFT   (24U)\r
-#define FLASH_KEY_STORE_UDS_HEADER1_SIZE(x)      (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_UDS_HEADER1_SIZE_SHIFT)) & FLASH_KEY_STORE_UDS_HEADER1_SIZE_MASK)\r
+#define FLASH_KEY_STORE_UDS_HEADER1_TYPE_MASK (0x3U)\r
+#define FLASH_KEY_STORE_UDS_HEADER1_TYPE_SHIFT (0U)\r
+/*! TYPE - .\r
+ */\r
+#define FLASH_KEY_STORE_UDS_HEADER1_TYPE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_UDS_HEADER1_TYPE_SHIFT)) & FLASH_KEY_STORE_UDS_HEADER1_TYPE_MASK)\r
+#define FLASH_KEY_STORE_UDS_HEADER1_INDEX_MASK (0xF00U)\r
+#define FLASH_KEY_STORE_UDS_HEADER1_INDEX_SHIFT (8U)\r
+/*! INDEX - .\r
+ */\r
+#define FLASH_KEY_STORE_UDS_HEADER1_INDEX(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_UDS_HEADER1_INDEX_SHIFT)) & FLASH_KEY_STORE_UDS_HEADER1_INDEX_MASK)\r
+#define FLASH_KEY_STORE_UDS_HEADER1_SIZE_MASK (0x3F000000U)\r
+#define FLASH_KEY_STORE_UDS_HEADER1_SIZE_SHIFT (24U)\r
+/*! SIZE - .\r
+ */\r
+#define FLASH_KEY_STORE_UDS_HEADER1_SIZE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_UDS_HEADER1_SIZE_SHIFT)) & FLASH_KEY_STORE_UDS_HEADER1_SIZE_MASK)\r
 /*! @} */\r
 \r
 /*! @name UDS_BODY - . */\r
 /*! @{ */\r
-#define FLASH_KEY_STORE_UDS_BODY_FIELD_MASK      (0xFFFFFFFFU)\r
-#define FLASH_KEY_STORE_UDS_BODY_FIELD_SHIFT     (0U)\r
-#define FLASH_KEY_STORE_UDS_BODY_FIELD(x)        (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_UDS_BODY_FIELD_SHIFT)) & FLASH_KEY_STORE_UDS_BODY_FIELD_MASK)\r
+#define FLASH_KEY_STORE_UDS_BODY_FIELD_MASK (0xFFFFFFFFU)\r
+#define FLASH_KEY_STORE_UDS_BODY_FIELD_SHIFT (0U)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_KEY_STORE_UDS_BODY_FIELD(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_UDS_BODY_FIELD_SHIFT)) & FLASH_KEY_STORE_UDS_BODY_FIELD_MASK)\r
 /*! @} */\r
 \r
 /* The count of FLASH_KEY_STORE_UDS_BODY */\r
-#define FLASH_KEY_STORE_UDS_BODY_COUNT           (12U)\r
+#define FLASH_KEY_STORE_UDS_BODY_COUNT (12U)\r
 \r
 /*! @name PRINCE_REGION0_KEY_CODE - . */\r
 /*! @{ */\r
 #define FLASH_KEY_STORE_PRINCE_REGION0_KEY_CODE_FIELD_MASK (0xFFFFFFFFU)\r
 #define FLASH_KEY_STORE_PRINCE_REGION0_KEY_CODE_FIELD_SHIFT (0U)\r
-#define FLASH_KEY_STORE_PRINCE_REGION0_KEY_CODE_FIELD(x) (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_PRINCE_REGION0_KEY_CODE_FIELD_SHIFT)) & FLASH_KEY_STORE_PRINCE_REGION0_KEY_CODE_FIELD_MASK)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_KEY_STORE_PRINCE_REGION0_KEY_CODE_FIELD(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_PRINCE_REGION0_KEY_CODE_FIELD_SHIFT)) & \\r
+     FLASH_KEY_STORE_PRINCE_REGION0_KEY_CODE_FIELD_MASK)\r
 /*! @} */\r
 \r
 /* The count of FLASH_KEY_STORE_PRINCE_REGION0_KEY_CODE */\r
@@ -7216,27 +9667,47 @@ typedef struct {
 /*! @{ */\r
 #define FLASH_KEY_STORE_PRINCE_REGION0_HEADER0_FIELD_MASK (0xFFFFFFFFU)\r
 #define FLASH_KEY_STORE_PRINCE_REGION0_HEADER0_FIELD_SHIFT (0U)\r
-#define FLASH_KEY_STORE_PRINCE_REGION0_HEADER0_FIELD(x) (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_PRINCE_REGION0_HEADER0_FIELD_SHIFT)) & FLASH_KEY_STORE_PRINCE_REGION0_HEADER0_FIELD_MASK)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_KEY_STORE_PRINCE_REGION0_HEADER0_FIELD(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_PRINCE_REGION0_HEADER0_FIELD_SHIFT)) & \\r
+     FLASH_KEY_STORE_PRINCE_REGION0_HEADER0_FIELD_MASK)\r
 /*! @} */\r
 \r
 /*! @name PRINCE_REGION0_HEADER1 - . */\r
 /*! @{ */\r
 #define FLASH_KEY_STORE_PRINCE_REGION0_HEADER1_TYPE_MASK (0x3U)\r
 #define FLASH_KEY_STORE_PRINCE_REGION0_HEADER1_TYPE_SHIFT (0U)\r
-#define FLASH_KEY_STORE_PRINCE_REGION0_HEADER1_TYPE(x) (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_PRINCE_REGION0_HEADER1_TYPE_SHIFT)) & FLASH_KEY_STORE_PRINCE_REGION0_HEADER1_TYPE_MASK)\r
+/*! TYPE - .\r
+ */\r
+#define FLASH_KEY_STORE_PRINCE_REGION0_HEADER1_TYPE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_PRINCE_REGION0_HEADER1_TYPE_SHIFT)) & \\r
+     FLASH_KEY_STORE_PRINCE_REGION0_HEADER1_TYPE_MASK)\r
 #define FLASH_KEY_STORE_PRINCE_REGION0_HEADER1_INDEX_MASK (0xF00U)\r
 #define FLASH_KEY_STORE_PRINCE_REGION0_HEADER1_INDEX_SHIFT (8U)\r
-#define FLASH_KEY_STORE_PRINCE_REGION0_HEADER1_INDEX(x) (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_PRINCE_REGION0_HEADER1_INDEX_SHIFT)) & FLASH_KEY_STORE_PRINCE_REGION0_HEADER1_INDEX_MASK)\r
+/*! INDEX - .\r
+ */\r
+#define FLASH_KEY_STORE_PRINCE_REGION0_HEADER1_INDEX(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_PRINCE_REGION0_HEADER1_INDEX_SHIFT)) & \\r
+     FLASH_KEY_STORE_PRINCE_REGION0_HEADER1_INDEX_MASK)\r
 #define FLASH_KEY_STORE_PRINCE_REGION0_HEADER1_SIZE_MASK (0x3F000000U)\r
 #define FLASH_KEY_STORE_PRINCE_REGION0_HEADER1_SIZE_SHIFT (24U)\r
-#define FLASH_KEY_STORE_PRINCE_REGION0_HEADER1_SIZE(x) (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_PRINCE_REGION0_HEADER1_SIZE_SHIFT)) & FLASH_KEY_STORE_PRINCE_REGION0_HEADER1_SIZE_MASK)\r
+/*! SIZE - .\r
+ */\r
+#define FLASH_KEY_STORE_PRINCE_REGION0_HEADER1_SIZE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_PRINCE_REGION0_HEADER1_SIZE_SHIFT)) & \\r
+     FLASH_KEY_STORE_PRINCE_REGION0_HEADER1_SIZE_MASK)\r
 /*! @} */\r
 \r
 /*! @name PRINCE_REGION0_BODY - . */\r
 /*! @{ */\r
 #define FLASH_KEY_STORE_PRINCE_REGION0_BODY_FIELD_MASK (0xFFFFFFFFU)\r
 #define FLASH_KEY_STORE_PRINCE_REGION0_BODY_FIELD_SHIFT (0U)\r
-#define FLASH_KEY_STORE_PRINCE_REGION0_BODY_FIELD(x) (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_PRINCE_REGION0_BODY_FIELD_SHIFT)) & FLASH_KEY_STORE_PRINCE_REGION0_BODY_FIELD_MASK)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_KEY_STORE_PRINCE_REGION0_BODY_FIELD(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_PRINCE_REGION0_BODY_FIELD_SHIFT)) & \\r
+     FLASH_KEY_STORE_PRINCE_REGION0_BODY_FIELD_MASK)\r
 /*! @} */\r
 \r
 /* The count of FLASH_KEY_STORE_PRINCE_REGION0_BODY */\r
@@ -7246,7 +9717,11 @@ typedef struct {
 /*! @{ */\r
 #define FLASH_KEY_STORE_PRINCE_REGION1_KEY_CODE_FIELD_MASK (0xFFFFFFFFU)\r
 #define FLASH_KEY_STORE_PRINCE_REGION1_KEY_CODE_FIELD_SHIFT (0U)\r
-#define FLASH_KEY_STORE_PRINCE_REGION1_KEY_CODE_FIELD(x) (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_PRINCE_REGION1_KEY_CODE_FIELD_SHIFT)) & FLASH_KEY_STORE_PRINCE_REGION1_KEY_CODE_FIELD_MASK)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_KEY_STORE_PRINCE_REGION1_KEY_CODE_FIELD(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_PRINCE_REGION1_KEY_CODE_FIELD_SHIFT)) & \\r
+     FLASH_KEY_STORE_PRINCE_REGION1_KEY_CODE_FIELD_MASK)\r
 /*! @} */\r
 \r
 /* The count of FLASH_KEY_STORE_PRINCE_REGION1_KEY_CODE */\r
@@ -7256,27 +9731,47 @@ typedef struct {
 /*! @{ */\r
 #define FLASH_KEY_STORE_PRINCE_REGION1_HEADER0_FIELD_MASK (0xFFFFFFFFU)\r
 #define FLASH_KEY_STORE_PRINCE_REGION1_HEADER0_FIELD_SHIFT (0U)\r
-#define FLASH_KEY_STORE_PRINCE_REGION1_HEADER0_FIELD(x) (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_PRINCE_REGION1_HEADER0_FIELD_SHIFT)) & FLASH_KEY_STORE_PRINCE_REGION1_HEADER0_FIELD_MASK)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_KEY_STORE_PRINCE_REGION1_HEADER0_FIELD(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_PRINCE_REGION1_HEADER0_FIELD_SHIFT)) & \\r
+     FLASH_KEY_STORE_PRINCE_REGION1_HEADER0_FIELD_MASK)\r
 /*! @} */\r
 \r
 /*! @name PRINCE_REGION1_HEADER1 - . */\r
 /*! @{ */\r
 #define FLASH_KEY_STORE_PRINCE_REGION1_HEADER1_TYPE_MASK (0x3U)\r
 #define FLASH_KEY_STORE_PRINCE_REGION1_HEADER1_TYPE_SHIFT (0U)\r
-#define FLASH_KEY_STORE_PRINCE_REGION1_HEADER1_TYPE(x) (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_PRINCE_REGION1_HEADER1_TYPE_SHIFT)) & FLASH_KEY_STORE_PRINCE_REGION1_HEADER1_TYPE_MASK)\r
+/*! TYPE - .\r
+ */\r
+#define FLASH_KEY_STORE_PRINCE_REGION1_HEADER1_TYPE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_PRINCE_REGION1_HEADER1_TYPE_SHIFT)) & \\r
+     FLASH_KEY_STORE_PRINCE_REGION1_HEADER1_TYPE_MASK)\r
 #define FLASH_KEY_STORE_PRINCE_REGION1_HEADER1_INDEX_MASK (0xF00U)\r
 #define FLASH_KEY_STORE_PRINCE_REGION1_HEADER1_INDEX_SHIFT (8U)\r
-#define FLASH_KEY_STORE_PRINCE_REGION1_HEADER1_INDEX(x) (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_PRINCE_REGION1_HEADER1_INDEX_SHIFT)) & FLASH_KEY_STORE_PRINCE_REGION1_HEADER1_INDEX_MASK)\r
+/*! INDEX - .\r
+ */\r
+#define FLASH_KEY_STORE_PRINCE_REGION1_HEADER1_INDEX(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_PRINCE_REGION1_HEADER1_INDEX_SHIFT)) & \\r
+     FLASH_KEY_STORE_PRINCE_REGION1_HEADER1_INDEX_MASK)\r
 #define FLASH_KEY_STORE_PRINCE_REGION1_HEADER1_SIZE_MASK (0x3F000000U)\r
 #define FLASH_KEY_STORE_PRINCE_REGION1_HEADER1_SIZE_SHIFT (24U)\r
-#define FLASH_KEY_STORE_PRINCE_REGION1_HEADER1_SIZE(x) (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_PRINCE_REGION1_HEADER1_SIZE_SHIFT)) & FLASH_KEY_STORE_PRINCE_REGION1_HEADER1_SIZE_MASK)\r
+/*! SIZE - .\r
+ */\r
+#define FLASH_KEY_STORE_PRINCE_REGION1_HEADER1_SIZE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_PRINCE_REGION1_HEADER1_SIZE_SHIFT)) & \\r
+     FLASH_KEY_STORE_PRINCE_REGION1_HEADER1_SIZE_MASK)\r
 /*! @} */\r
 \r
 /*! @name PRINCE_REGION1_BODY - . */\r
 /*! @{ */\r
 #define FLASH_KEY_STORE_PRINCE_REGION1_BODY_FIELD_MASK (0xFFFFFFFFU)\r
 #define FLASH_KEY_STORE_PRINCE_REGION1_BODY_FIELD_SHIFT (0U)\r
-#define FLASH_KEY_STORE_PRINCE_REGION1_BODY_FIELD(x) (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_PRINCE_REGION1_BODY_FIELD_SHIFT)) & FLASH_KEY_STORE_PRINCE_REGION1_BODY_FIELD_MASK)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_KEY_STORE_PRINCE_REGION1_BODY_FIELD(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_PRINCE_REGION1_BODY_FIELD_SHIFT)) & \\r
+     FLASH_KEY_STORE_PRINCE_REGION1_BODY_FIELD_MASK)\r
 /*! @} */\r
 \r
 /* The count of FLASH_KEY_STORE_PRINCE_REGION1_BODY */\r
@@ -7286,7 +9781,11 @@ typedef struct {
 /*! @{ */\r
 #define FLASH_KEY_STORE_PRINCE_REGION2_KEY_CODE_FIELD_MASK (0xFFFFFFFFU)\r
 #define FLASH_KEY_STORE_PRINCE_REGION2_KEY_CODE_FIELD_SHIFT (0U)\r
-#define FLASH_KEY_STORE_PRINCE_REGION2_KEY_CODE_FIELD(x) (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_PRINCE_REGION2_KEY_CODE_FIELD_SHIFT)) & FLASH_KEY_STORE_PRINCE_REGION2_KEY_CODE_FIELD_MASK)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_KEY_STORE_PRINCE_REGION2_KEY_CODE_FIELD(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_PRINCE_REGION2_KEY_CODE_FIELD_SHIFT)) & \\r
+     FLASH_KEY_STORE_PRINCE_REGION2_KEY_CODE_FIELD_MASK)\r
 /*! @} */\r
 \r
 /* The count of FLASH_KEY_STORE_PRINCE_REGION2_KEY_CODE */\r
@@ -7296,72 +9795,107 @@ typedef struct {
 /*! @{ */\r
 #define FLASH_KEY_STORE_PRINCE_REGION2_HEADER0_FIELD_MASK (0xFFFFFFFFU)\r
 #define FLASH_KEY_STORE_PRINCE_REGION2_HEADER0_FIELD_SHIFT (0U)\r
-#define FLASH_KEY_STORE_PRINCE_REGION2_HEADER0_FIELD(x) (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_PRINCE_REGION2_HEADER0_FIELD_SHIFT)) & FLASH_KEY_STORE_PRINCE_REGION2_HEADER0_FIELD_MASK)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_KEY_STORE_PRINCE_REGION2_HEADER0_FIELD(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_PRINCE_REGION2_HEADER0_FIELD_SHIFT)) & \\r
+     FLASH_KEY_STORE_PRINCE_REGION2_HEADER0_FIELD_MASK)\r
 /*! @} */\r
 \r
 /*! @name PRINCE_REGION2_HEADER1 - . */\r
 /*! @{ */\r
 #define FLASH_KEY_STORE_PRINCE_REGION2_HEADER1_TYPE_MASK (0x3U)\r
 #define FLASH_KEY_STORE_PRINCE_REGION2_HEADER1_TYPE_SHIFT (0U)\r
-#define FLASH_KEY_STORE_PRINCE_REGION2_HEADER1_TYPE(x) (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_PRINCE_REGION2_HEADER1_TYPE_SHIFT)) & FLASH_KEY_STORE_PRINCE_REGION2_HEADER1_TYPE_MASK)\r
+/*! TYPE - .\r
+ */\r
+#define FLASH_KEY_STORE_PRINCE_REGION2_HEADER1_TYPE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_PRINCE_REGION2_HEADER1_TYPE_SHIFT)) & \\r
+     FLASH_KEY_STORE_PRINCE_REGION2_HEADER1_TYPE_MASK)\r
 #define FLASH_KEY_STORE_PRINCE_REGION2_HEADER1_INDEX_MASK (0xF00U)\r
 #define FLASH_KEY_STORE_PRINCE_REGION2_HEADER1_INDEX_SHIFT (8U)\r
-#define FLASH_KEY_STORE_PRINCE_REGION2_HEADER1_INDEX(x) (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_PRINCE_REGION2_HEADER1_INDEX_SHIFT)) & FLASH_KEY_STORE_PRINCE_REGION2_HEADER1_INDEX_MASK)\r
+/*! INDEX - .\r
+ */\r
+#define FLASH_KEY_STORE_PRINCE_REGION2_HEADER1_INDEX(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_PRINCE_REGION2_HEADER1_INDEX_SHIFT)) & \\r
+     FLASH_KEY_STORE_PRINCE_REGION2_HEADER1_INDEX_MASK)\r
 #define FLASH_KEY_STORE_PRINCE_REGION2_HEADER1_SIZE_MASK (0x3F000000U)\r
 #define FLASH_KEY_STORE_PRINCE_REGION2_HEADER1_SIZE_SHIFT (24U)\r
-#define FLASH_KEY_STORE_PRINCE_REGION2_HEADER1_SIZE(x) (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_PRINCE_REGION2_HEADER1_SIZE_SHIFT)) & FLASH_KEY_STORE_PRINCE_REGION2_HEADER1_SIZE_MASK)\r
+/*! SIZE - .\r
+ */\r
+#define FLASH_KEY_STORE_PRINCE_REGION2_HEADER1_SIZE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_PRINCE_REGION2_HEADER1_SIZE_SHIFT)) & \\r
+     FLASH_KEY_STORE_PRINCE_REGION2_HEADER1_SIZE_MASK)\r
 /*! @} */\r
 \r
 /*! @name PRINCE_REGION2_BODY - . */\r
 /*! @{ */\r
 #define FLASH_KEY_STORE_PRINCE_REGION2_BODY_FIELD_MASK (0xFFFFFFFFU)\r
 #define FLASH_KEY_STORE_PRINCE_REGION2_BODY_FIELD_SHIFT (0U)\r
-#define FLASH_KEY_STORE_PRINCE_REGION2_BODY_FIELD(x) (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_PRINCE_REGION2_BODY_FIELD_SHIFT)) & FLASH_KEY_STORE_PRINCE_REGION2_BODY_FIELD_MASK)\r
+/*! FIELD - .\r
+ */\r
+#define FLASH_KEY_STORE_PRINCE_REGION2_BODY_FIELD(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << FLASH_KEY_STORE_PRINCE_REGION2_BODY_FIELD_SHIFT)) & \\r
+     FLASH_KEY_STORE_PRINCE_REGION2_BODY_FIELD_MASK)\r
 /*! @} */\r
 \r
 /* The count of FLASH_KEY_STORE_PRINCE_REGION2_BODY */\r
 #define FLASH_KEY_STORE_PRINCE_REGION2_BODY_COUNT (12U)\r
 \r
-\r
 /*!\r
  * @}\r
  */ /* end of group FLASH_KEY_STORE_Register_Masks */\r
 \r
-\r
 /* FLASH_KEY_STORE - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral FLASH_KEY_STORE base address */\r
-  #define FLASH_KEY_STORE_BASE                     (0x1009E600u)\r
-  /** Peripheral FLASH_KEY_STORE base address */\r
-  #define FLASH_KEY_STORE_BASE_NS                  (0x9E600u)\r
-  /** Peripheral FLASH_KEY_STORE base pointer */\r
-  #define FLASH_KEY_STORE                          ((FLASH_KEY_STORE_Type *)FLASH_KEY_STORE_BASE)\r
-  /** Peripheral FLASH_KEY_STORE base pointer */\r
-  #define FLASH_KEY_STORE_NS                       ((FLASH_KEY_STORE_Type *)FLASH_KEY_STORE_BASE_NS)\r
-  /** Array initializer of FLASH_KEY_STORE peripheral base addresses */\r
-  #define FLASH_KEY_STORE_BASE_ADDRS               { FLASH_KEY_STORE_BASE }\r
-  /** Array initializer of FLASH_KEY_STORE peripheral base pointers */\r
-  #define FLASH_KEY_STORE_BASE_PTRS                { FLASH_KEY_STORE }\r
-  /** Array initializer of FLASH_KEY_STORE peripheral base addresses */\r
-  #define FLASH_KEY_STORE_BASE_ADDRS_NS            { FLASH_KEY_STORE_BASE_NS }\r
-  /** Array initializer of FLASH_KEY_STORE peripheral base pointers */\r
-  #define FLASH_KEY_STORE_BASE_PTRS_NS             { FLASH_KEY_STORE_NS }\r
+/** Peripheral FLASH_KEY_STORE base address */\r
+#define FLASH_KEY_STORE_BASE (0x1009E600u)\r
+/** Peripheral FLASH_KEY_STORE base address */\r
+#define FLASH_KEY_STORE_BASE_NS (0x9E600u)\r
+/** Peripheral FLASH_KEY_STORE base pointer */\r
+#define FLASH_KEY_STORE ((FLASH_KEY_STORE_Type *)FLASH_KEY_STORE_BASE)\r
+/** Peripheral FLASH_KEY_STORE base pointer */\r
+#define FLASH_KEY_STORE_NS ((FLASH_KEY_STORE_Type *)FLASH_KEY_STORE_BASE_NS)\r
+/** Array initializer of FLASH_KEY_STORE peripheral base addresses */\r
+#define FLASH_KEY_STORE_BASE_ADDRS \\r
+    {                              \\r
+        FLASH_KEY_STORE_BASE       \\r
+    }\r
+/** Array initializer of FLASH_KEY_STORE peripheral base pointers */\r
+#define FLASH_KEY_STORE_BASE_PTRS \\r
+    {                             \\r
+        FLASH_KEY_STORE           \\r
+    }\r
+/** Array initializer of FLASH_KEY_STORE peripheral base addresses */\r
+#define FLASH_KEY_STORE_BASE_ADDRS_NS \\r
+    {                                 \\r
+        FLASH_KEY_STORE_BASE_NS       \\r
+    }\r
+/** Array initializer of FLASH_KEY_STORE peripheral base pointers */\r
+#define FLASH_KEY_STORE_BASE_PTRS_NS \\r
+    {                                \\r
+        FLASH_KEY_STORE_NS           \\r
+    }\r
 #else\r
-  /** Peripheral FLASH_KEY_STORE base address */\r
-  #define FLASH_KEY_STORE_BASE                     (0x9E600u)\r
-  /** Peripheral FLASH_KEY_STORE base pointer */\r
-  #define FLASH_KEY_STORE                          ((FLASH_KEY_STORE_Type *)FLASH_KEY_STORE_BASE)\r
-  /** Array initializer of FLASH_KEY_STORE peripheral base addresses */\r
-  #define FLASH_KEY_STORE_BASE_ADDRS               { FLASH_KEY_STORE_BASE }\r
-  /** Array initializer of FLASH_KEY_STORE peripheral base pointers */\r
-  #define FLASH_KEY_STORE_BASE_PTRS                { FLASH_KEY_STORE }\r
+/** Peripheral FLASH_KEY_STORE base address */\r
+#define FLASH_KEY_STORE_BASE (0x9E600u)\r
+/** Peripheral FLASH_KEY_STORE base pointer */\r
+#define FLASH_KEY_STORE ((FLASH_KEY_STORE_Type *)FLASH_KEY_STORE_BASE)\r
+/** Array initializer of FLASH_KEY_STORE peripheral base addresses */\r
+#define FLASH_KEY_STORE_BASE_ADDRS \\r
+    {                              \\r
+        FLASH_KEY_STORE_BASE       \\r
+    }\r
+/** Array initializer of FLASH_KEY_STORE peripheral base pointers */\r
+#define FLASH_KEY_STORE_BASE_PTRS \\r
+    {                             \\r
+        FLASH_KEY_STORE           \\r
+    }\r
 #endif\r
 \r
 /*!\r
  * @}\r
  */ /* end of group FLASH_KEY_STORE_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- FLEXCOMM Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -7372,10 +9906,11 @@ typedef struct {
  */\r
 \r
 /** FLEXCOMM - Register Layout Typedef */\r
-typedef struct {\r
-       uint8_t RESERVED_0[4088];\r
-  __IO uint32_t PSELID;                            /**< Peripheral Select and Flexcomm ID register., offset: 0xFF8 */\r
-  __I  uint32_t PID;                               /**< Peripheral identification register., offset: 0xFFC */\r
+typedef struct\r
+{\r
+    uint8_t RESERVED_0[4088];\r
+    __IO uint32_t PSELID; /**< Peripheral Select and Flexcomm ID register., offset: 0xFF8 */\r
+    __I uint32_t PID;     /**< Peripheral identification register., offset: 0xFFC */\r
 } FLEXCOMM_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -7389,8 +9924,8 @@ typedef struct {
 \r
 /*! @name PSELID - Peripheral Select and Flexcomm ID register. */\r
 /*! @{ */\r
-#define FLEXCOMM_PSELID_PERSEL_MASK              (0x7U)\r
-#define FLEXCOMM_PSELID_PERSEL_SHIFT             (0U)\r
+#define FLEXCOMM_PSELID_PERSEL_MASK (0x7U)\r
+#define FLEXCOMM_PSELID_PERSEL_SHIFT (0U)\r
 /*! PERSEL - Peripheral Select. This field is writable by software.\r
  *  0b000..No peripheral selected.\r
  *  0b001..USART function selected.\r
@@ -7401,201 +9936,243 @@ typedef struct {
  *  0b110..Reserved\r
  *  0b111..Reserved\r
  */\r
-#define FLEXCOMM_PSELID_PERSEL(x)                (((uint32_t)(((uint32_t)(x)) << FLEXCOMM_PSELID_PERSEL_SHIFT)) & FLEXCOMM_PSELID_PERSEL_MASK)\r
-#define FLEXCOMM_PSELID_LOCK_MASK                (0x8U)\r
-#define FLEXCOMM_PSELID_LOCK_SHIFT               (3U)\r
+#define FLEXCOMM_PSELID_PERSEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLEXCOMM_PSELID_PERSEL_SHIFT)) & FLEXCOMM_PSELID_PERSEL_MASK)\r
+#define FLEXCOMM_PSELID_LOCK_MASK (0x8U)\r
+#define FLEXCOMM_PSELID_LOCK_SHIFT (3U)\r
 /*! LOCK - Lock the peripheral select. This field is writable by software.\r
  *  0b0..Peripheral select can be changed by software.\r
  *  0b1..Peripheral select is locked and cannot be changed until this Flexcomm or the entire device is reset.\r
  */\r
-#define FLEXCOMM_PSELID_LOCK(x)                  (((uint32_t)(((uint32_t)(x)) << FLEXCOMM_PSELID_LOCK_SHIFT)) & FLEXCOMM_PSELID_LOCK_MASK)\r
-#define FLEXCOMM_PSELID_USARTPRESENT_MASK        (0x10U)\r
-#define FLEXCOMM_PSELID_USARTPRESENT_SHIFT       (4U)\r
+#define FLEXCOMM_PSELID_LOCK(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLEXCOMM_PSELID_LOCK_SHIFT)) & FLEXCOMM_PSELID_LOCK_MASK)\r
+#define FLEXCOMM_PSELID_USARTPRESENT_MASK (0x10U)\r
+#define FLEXCOMM_PSELID_USARTPRESENT_SHIFT (4U)\r
 /*! USARTPRESENT - USART present indicator. This field is Read-only.\r
  *  0b0..This Flexcomm does not include the USART function.\r
  *  0b1..This Flexcomm includes the USART function.\r
  */\r
-#define FLEXCOMM_PSELID_USARTPRESENT(x)          (((uint32_t)(((uint32_t)(x)) << FLEXCOMM_PSELID_USARTPRESENT_SHIFT)) & FLEXCOMM_PSELID_USARTPRESENT_MASK)\r
-#define FLEXCOMM_PSELID_SPIPRESENT_MASK          (0x20U)\r
-#define FLEXCOMM_PSELID_SPIPRESENT_SHIFT         (5U)\r
+#define FLEXCOMM_PSELID_USARTPRESENT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLEXCOMM_PSELID_USARTPRESENT_SHIFT)) & FLEXCOMM_PSELID_USARTPRESENT_MASK)\r
+#define FLEXCOMM_PSELID_SPIPRESENT_MASK (0x20U)\r
+#define FLEXCOMM_PSELID_SPIPRESENT_SHIFT (5U)\r
 /*! SPIPRESENT - SPI present indicator. This field is Read-only.\r
  *  0b0..This Flexcomm does not include the SPI function.\r
  *  0b1..This Flexcomm includes the SPI function.\r
  */\r
-#define FLEXCOMM_PSELID_SPIPRESENT(x)            (((uint32_t)(((uint32_t)(x)) << FLEXCOMM_PSELID_SPIPRESENT_SHIFT)) & FLEXCOMM_PSELID_SPIPRESENT_MASK)\r
-#define FLEXCOMM_PSELID_I2CPRESENT_MASK          (0x40U)\r
-#define FLEXCOMM_PSELID_I2CPRESENT_SHIFT         (6U)\r
+#define FLEXCOMM_PSELID_SPIPRESENT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLEXCOMM_PSELID_SPIPRESENT_SHIFT)) & FLEXCOMM_PSELID_SPIPRESENT_MASK)\r
+#define FLEXCOMM_PSELID_I2CPRESENT_MASK (0x40U)\r
+#define FLEXCOMM_PSELID_I2CPRESENT_SHIFT (6U)\r
 /*! I2CPRESENT - I2C present indicator. This field is Read-only.\r
  *  0b0..This Flexcomm does not include the I2C function.\r
  *  0b1..This Flexcomm includes the I2C function.\r
  */\r
-#define FLEXCOMM_PSELID_I2CPRESENT(x)            (((uint32_t)(((uint32_t)(x)) << FLEXCOMM_PSELID_I2CPRESENT_SHIFT)) & FLEXCOMM_PSELID_I2CPRESENT_MASK)\r
-#define FLEXCOMM_PSELID_I2SPRESENT_MASK          (0x80U)\r
-#define FLEXCOMM_PSELID_I2SPRESENT_SHIFT         (7U)\r
+#define FLEXCOMM_PSELID_I2CPRESENT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLEXCOMM_PSELID_I2CPRESENT_SHIFT)) & FLEXCOMM_PSELID_I2CPRESENT_MASK)\r
+#define FLEXCOMM_PSELID_I2SPRESENT_MASK (0x80U)\r
+#define FLEXCOMM_PSELID_I2SPRESENT_SHIFT (7U)\r
 /*! I2SPRESENT - I 2S present indicator. This field is Read-only.\r
  *  0b0..This Flexcomm does not include the I2S function.\r
  *  0b1..This Flexcomm includes the I2S function.\r
  */\r
-#define FLEXCOMM_PSELID_I2SPRESENT(x)            (((uint32_t)(((uint32_t)(x)) << FLEXCOMM_PSELID_I2SPRESENT_SHIFT)) & FLEXCOMM_PSELID_I2SPRESENT_MASK)\r
-#define FLEXCOMM_PSELID_ID_MASK                  (0xFFFFF000U)\r
-#define FLEXCOMM_PSELID_ID_SHIFT                 (12U)\r
-#define FLEXCOMM_PSELID_ID(x)                    (((uint32_t)(((uint32_t)(x)) << FLEXCOMM_PSELID_ID_SHIFT)) & FLEXCOMM_PSELID_ID_MASK)\r
+#define FLEXCOMM_PSELID_I2SPRESENT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLEXCOMM_PSELID_I2SPRESENT_SHIFT)) & FLEXCOMM_PSELID_I2SPRESENT_MASK)\r
+#define FLEXCOMM_PSELID_ID_MASK (0xFFFFF000U)\r
+#define FLEXCOMM_PSELID_ID_SHIFT (12U)\r
+/*! ID - Flexcomm ID.\r
+ */\r
+#define FLEXCOMM_PSELID_ID(x) (((uint32_t)(((uint32_t)(x)) << FLEXCOMM_PSELID_ID_SHIFT)) & FLEXCOMM_PSELID_ID_MASK)\r
 /*! @} */\r
 \r
 /*! @name PID - Peripheral identification register. */\r
 /*! @{ */\r
-#define FLEXCOMM_PID_Aperture_MASK               (0xFFU)\r
-#define FLEXCOMM_PID_Aperture_SHIFT              (0U)\r
-#define FLEXCOMM_PID_Aperture(x)                 (((uint32_t)(((uint32_t)(x)) << FLEXCOMM_PID_Aperture_SHIFT)) & FLEXCOMM_PID_Aperture_MASK)\r
-#define FLEXCOMM_PID_Minor_Rev_MASK              (0xF00U)\r
-#define FLEXCOMM_PID_Minor_Rev_SHIFT             (8U)\r
-#define FLEXCOMM_PID_Minor_Rev(x)                (((uint32_t)(((uint32_t)(x)) << FLEXCOMM_PID_Minor_Rev_SHIFT)) & FLEXCOMM_PID_Minor_Rev_MASK)\r
-#define FLEXCOMM_PID_Major_Rev_MASK              (0xF000U)\r
-#define FLEXCOMM_PID_Major_Rev_SHIFT             (12U)\r
-#define FLEXCOMM_PID_Major_Rev(x)                (((uint32_t)(((uint32_t)(x)) << FLEXCOMM_PID_Major_Rev_SHIFT)) & FLEXCOMM_PID_Major_Rev_MASK)\r
-#define FLEXCOMM_PID_ID_MASK                     (0xFFFF0000U)\r
-#define FLEXCOMM_PID_ID_SHIFT                    (16U)\r
-#define FLEXCOMM_PID_ID(x)                       (((uint32_t)(((uint32_t)(x)) << FLEXCOMM_PID_ID_SHIFT)) & FLEXCOMM_PID_ID_MASK)\r
+#define FLEXCOMM_PID_APERTURE_MASK (0xFFU)\r
+#define FLEXCOMM_PID_APERTURE_SHIFT (0U)\r
+/*! APERTURE - size aperture for the register port on the bus (APB or AHB).\r
+ */\r
+#define FLEXCOMM_PID_APERTURE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLEXCOMM_PID_APERTURE_SHIFT)) & FLEXCOMM_PID_APERTURE_MASK)\r
+#define FLEXCOMM_PID_MINOR_REV_MASK (0xF00U)\r
+#define FLEXCOMM_PID_MINOR_REV_SHIFT (8U)\r
+/*! MINOR_REV - Minor revision of module implementation.\r
+ */\r
+#define FLEXCOMM_PID_MINOR_REV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLEXCOMM_PID_MINOR_REV_SHIFT)) & FLEXCOMM_PID_MINOR_REV_MASK)\r
+#define FLEXCOMM_PID_MAJOR_REV_MASK (0xF000U)\r
+#define FLEXCOMM_PID_MAJOR_REV_SHIFT (12U)\r
+/*! MAJOR_REV - Major revision of module implementation.\r
+ */\r
+#define FLEXCOMM_PID_MAJOR_REV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << FLEXCOMM_PID_MAJOR_REV_SHIFT)) & FLEXCOMM_PID_MAJOR_REV_MASK)\r
+#define FLEXCOMM_PID_ID_MASK (0xFFFF0000U)\r
+#define FLEXCOMM_PID_ID_SHIFT (16U)\r
+/*! ID - Module identifier for the selected function.\r
+ */\r
+#define FLEXCOMM_PID_ID(x) (((uint32_t)(((uint32_t)(x)) << FLEXCOMM_PID_ID_SHIFT)) & FLEXCOMM_PID_ID_MASK)\r
 /*! @} */\r
 \r
-\r
 /*!\r
  * @}\r
  */ /* end of group FLEXCOMM_Register_Masks */\r
 \r
-\r
 /* FLEXCOMM - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral FLEXCOMM0 base address */\r
-  #define FLEXCOMM0_BASE                           (0x50086000u)\r
-  /** Peripheral FLEXCOMM0 base address */\r
-  #define FLEXCOMM0_BASE_NS                        (0x40086000u)\r
-  /** Peripheral FLEXCOMM0 base pointer */\r
-  #define FLEXCOMM0                                ((FLEXCOMM_Type *)FLEXCOMM0_BASE)\r
-  /** Peripheral FLEXCOMM0 base pointer */\r
-  #define FLEXCOMM0_NS                             ((FLEXCOMM_Type *)FLEXCOMM0_BASE_NS)\r
-  /** Peripheral FLEXCOMM1 base address */\r
-  #define FLEXCOMM1_BASE                           (0x50087000u)\r
-  /** Peripheral FLEXCOMM1 base address */\r
-  #define FLEXCOMM1_BASE_NS                        (0x40087000u)\r
-  /** Peripheral FLEXCOMM1 base pointer */\r
-  #define FLEXCOMM1                                ((FLEXCOMM_Type *)FLEXCOMM1_BASE)\r
-  /** Peripheral FLEXCOMM1 base pointer */\r
-  #define FLEXCOMM1_NS                             ((FLEXCOMM_Type *)FLEXCOMM1_BASE_NS)\r
-  /** Peripheral FLEXCOMM2 base address */\r
-  #define FLEXCOMM2_BASE                           (0x50088000u)\r
-  /** Peripheral FLEXCOMM2 base address */\r
-  #define FLEXCOMM2_BASE_NS                        (0x40088000u)\r
-  /** Peripheral FLEXCOMM2 base pointer */\r
-  #define FLEXCOMM2                                ((FLEXCOMM_Type *)FLEXCOMM2_BASE)\r
-  /** Peripheral FLEXCOMM2 base pointer */\r
-  #define FLEXCOMM2_NS                             ((FLEXCOMM_Type *)FLEXCOMM2_BASE_NS)\r
-  /** Peripheral FLEXCOMM3 base address */\r
-  #define FLEXCOMM3_BASE                           (0x50089000u)\r
-  /** Peripheral FLEXCOMM3 base address */\r
-  #define FLEXCOMM3_BASE_NS                        (0x40089000u)\r
-  /** Peripheral FLEXCOMM3 base pointer */\r
-  #define FLEXCOMM3                                ((FLEXCOMM_Type *)FLEXCOMM3_BASE)\r
-  /** Peripheral FLEXCOMM3 base pointer */\r
-  #define FLEXCOMM3_NS                             ((FLEXCOMM_Type *)FLEXCOMM3_BASE_NS)\r
-  /** Peripheral FLEXCOMM4 base address */\r
-  #define FLEXCOMM4_BASE                           (0x5008A000u)\r
-  /** Peripheral FLEXCOMM4 base address */\r
-  #define FLEXCOMM4_BASE_NS                        (0x4008A000u)\r
-  /** Peripheral FLEXCOMM4 base pointer */\r
-  #define FLEXCOMM4                                ((FLEXCOMM_Type *)FLEXCOMM4_BASE)\r
-  /** Peripheral FLEXCOMM4 base pointer */\r
-  #define FLEXCOMM4_NS                             ((FLEXCOMM_Type *)FLEXCOMM4_BASE_NS)\r
-  /** Peripheral FLEXCOMM5 base address */\r
-  #define FLEXCOMM5_BASE                           (0x50096000u)\r
-  /** Peripheral FLEXCOMM5 base address */\r
-  #define FLEXCOMM5_BASE_NS                        (0x40096000u)\r
-  /** Peripheral FLEXCOMM5 base pointer */\r
-  #define FLEXCOMM5                                ((FLEXCOMM_Type *)FLEXCOMM5_BASE)\r
-  /** Peripheral FLEXCOMM5 base pointer */\r
-  #define FLEXCOMM5_NS                             ((FLEXCOMM_Type *)FLEXCOMM5_BASE_NS)\r
-  /** Peripheral FLEXCOMM6 base address */\r
-  #define FLEXCOMM6_BASE                           (0x50097000u)\r
-  /** Peripheral FLEXCOMM6 base address */\r
-  #define FLEXCOMM6_BASE_NS                        (0x40097000u)\r
-  /** Peripheral FLEXCOMM6 base pointer */\r
-  #define FLEXCOMM6                                ((FLEXCOMM_Type *)FLEXCOMM6_BASE)\r
-  /** Peripheral FLEXCOMM6 base pointer */\r
-  #define FLEXCOMM6_NS                             ((FLEXCOMM_Type *)FLEXCOMM6_BASE_NS)\r
-  /** Peripheral FLEXCOMM7 base address */\r
-  #define FLEXCOMM7_BASE                           (0x50098000u)\r
-  /** Peripheral FLEXCOMM7 base address */\r
-  #define FLEXCOMM7_BASE_NS                        (0x40098000u)\r
-  /** Peripheral FLEXCOMM7 base pointer */\r
-  #define FLEXCOMM7                                ((FLEXCOMM_Type *)FLEXCOMM7_BASE)\r
-  /** Peripheral FLEXCOMM7 base pointer */\r
-  #define FLEXCOMM7_NS                             ((FLEXCOMM_Type *)FLEXCOMM7_BASE_NS)\r
-  /** Peripheral FLEXCOMM8 base address */\r
-  #define FLEXCOMM8_BASE                           (0x5009F000u)\r
-  /** Peripheral FLEXCOMM8 base address */\r
-  #define FLEXCOMM8_BASE_NS                        (0x4009F000u)\r
-  /** Peripheral FLEXCOMM8 base pointer */\r
-  #define FLEXCOMM8                                ((FLEXCOMM_Type *)FLEXCOMM8_BASE)\r
-  /** Peripheral FLEXCOMM8 base pointer */\r
-  #define FLEXCOMM8_NS                             ((FLEXCOMM_Type *)FLEXCOMM8_BASE_NS)\r
-  /** Array initializer of FLEXCOMM peripheral base addresses */\r
-  #define FLEXCOMM_BASE_ADDRS                      { FLEXCOMM0_BASE, FLEXCOMM1_BASE, FLEXCOMM2_BASE, FLEXCOMM3_BASE, FLEXCOMM4_BASE, FLEXCOMM5_BASE, FLEXCOMM6_BASE, FLEXCOMM7_BASE, FLEXCOMM8_BASE }\r
-  /** Array initializer of FLEXCOMM peripheral base pointers */\r
-  #define FLEXCOMM_BASE_PTRS                       { FLEXCOMM0, FLEXCOMM1, FLEXCOMM2, FLEXCOMM3, FLEXCOMM4, FLEXCOMM5, FLEXCOMM6, FLEXCOMM7, FLEXCOMM8 }\r
-  /** Array initializer of FLEXCOMM peripheral base addresses */\r
-  #define FLEXCOMM_BASE_ADDRS_NS                   { FLEXCOMM0_BASE_NS, FLEXCOMM1_BASE_NS, FLEXCOMM2_BASE_NS, FLEXCOMM3_BASE_NS, FLEXCOMM4_BASE_NS, FLEXCOMM5_BASE_NS, FLEXCOMM6_BASE_NS, FLEXCOMM7_BASE_NS, FLEXCOMM8_BASE_NS }\r
-  /** Array initializer of FLEXCOMM peripheral base pointers */\r
-  #define FLEXCOMM_BASE_PTRS_NS                    { FLEXCOMM0_NS, FLEXCOMM1_NS, FLEXCOMM2_NS, FLEXCOMM3_NS, FLEXCOMM4_NS, FLEXCOMM5_NS, FLEXCOMM6_NS, FLEXCOMM7_NS, FLEXCOMM8_NS }\r
+/** Peripheral FLEXCOMM0 base address */\r
+#define FLEXCOMM0_BASE (0x50086000u)\r
+/** Peripheral FLEXCOMM0 base address */\r
+#define FLEXCOMM0_BASE_NS (0x40086000u)\r
+/** Peripheral FLEXCOMM0 base pointer */\r
+#define FLEXCOMM0 ((FLEXCOMM_Type *)FLEXCOMM0_BASE)\r
+/** Peripheral FLEXCOMM0 base pointer */\r
+#define FLEXCOMM0_NS ((FLEXCOMM_Type *)FLEXCOMM0_BASE_NS)\r
+/** Peripheral FLEXCOMM1 base address */\r
+#define FLEXCOMM1_BASE (0x50087000u)\r
+/** Peripheral FLEXCOMM1 base address */\r
+#define FLEXCOMM1_BASE_NS (0x40087000u)\r
+/** Peripheral FLEXCOMM1 base pointer */\r
+#define FLEXCOMM1 ((FLEXCOMM_Type *)FLEXCOMM1_BASE)\r
+/** Peripheral FLEXCOMM1 base pointer */\r
+#define FLEXCOMM1_NS ((FLEXCOMM_Type *)FLEXCOMM1_BASE_NS)\r
+/** Peripheral FLEXCOMM2 base address */\r
+#define FLEXCOMM2_BASE (0x50088000u)\r
+/** Peripheral FLEXCOMM2 base address */\r
+#define FLEXCOMM2_BASE_NS (0x40088000u)\r
+/** Peripheral FLEXCOMM2 base pointer */\r
+#define FLEXCOMM2 ((FLEXCOMM_Type *)FLEXCOMM2_BASE)\r
+/** Peripheral FLEXCOMM2 base pointer */\r
+#define FLEXCOMM2_NS ((FLEXCOMM_Type *)FLEXCOMM2_BASE_NS)\r
+/** Peripheral FLEXCOMM3 base address */\r
+#define FLEXCOMM3_BASE (0x50089000u)\r
+/** Peripheral FLEXCOMM3 base address */\r
+#define FLEXCOMM3_BASE_NS (0x40089000u)\r
+/** Peripheral FLEXCOMM3 base pointer */\r
+#define FLEXCOMM3 ((FLEXCOMM_Type *)FLEXCOMM3_BASE)\r
+/** Peripheral FLEXCOMM3 base pointer */\r
+#define FLEXCOMM3_NS ((FLEXCOMM_Type *)FLEXCOMM3_BASE_NS)\r
+/** Peripheral FLEXCOMM4 base address */\r
+#define FLEXCOMM4_BASE (0x5008A000u)\r
+/** Peripheral FLEXCOMM4 base address */\r
+#define FLEXCOMM4_BASE_NS (0x4008A000u)\r
+/** Peripheral FLEXCOMM4 base pointer */\r
+#define FLEXCOMM4 ((FLEXCOMM_Type *)FLEXCOMM4_BASE)\r
+/** Peripheral FLEXCOMM4 base pointer */\r
+#define FLEXCOMM4_NS ((FLEXCOMM_Type *)FLEXCOMM4_BASE_NS)\r
+/** Peripheral FLEXCOMM5 base address */\r
+#define FLEXCOMM5_BASE (0x50096000u)\r
+/** Peripheral FLEXCOMM5 base address */\r
+#define FLEXCOMM5_BASE_NS (0x40096000u)\r
+/** Peripheral FLEXCOMM5 base pointer */\r
+#define FLEXCOMM5 ((FLEXCOMM_Type *)FLEXCOMM5_BASE)\r
+/** Peripheral FLEXCOMM5 base pointer */\r
+#define FLEXCOMM5_NS ((FLEXCOMM_Type *)FLEXCOMM5_BASE_NS)\r
+/** Peripheral FLEXCOMM6 base address */\r
+#define FLEXCOMM6_BASE (0x50097000u)\r
+/** Peripheral FLEXCOMM6 base address */\r
+#define FLEXCOMM6_BASE_NS (0x40097000u)\r
+/** Peripheral FLEXCOMM6 base pointer */\r
+#define FLEXCOMM6 ((FLEXCOMM_Type *)FLEXCOMM6_BASE)\r
+/** Peripheral FLEXCOMM6 base pointer */\r
+#define FLEXCOMM6_NS ((FLEXCOMM_Type *)FLEXCOMM6_BASE_NS)\r
+/** Peripheral FLEXCOMM7 base address */\r
+#define FLEXCOMM7_BASE (0x50098000u)\r
+/** Peripheral FLEXCOMM7 base address */\r
+#define FLEXCOMM7_BASE_NS (0x40098000u)\r
+/** Peripheral FLEXCOMM7 base pointer */\r
+#define FLEXCOMM7 ((FLEXCOMM_Type *)FLEXCOMM7_BASE)\r
+/** Peripheral FLEXCOMM7 base pointer */\r
+#define FLEXCOMM7_NS ((FLEXCOMM_Type *)FLEXCOMM7_BASE_NS)\r
+/** Peripheral FLEXCOMM8 base address */\r
+#define FLEXCOMM8_BASE (0x5009F000u)\r
+/** Peripheral FLEXCOMM8 base address */\r
+#define FLEXCOMM8_BASE_NS (0x4009F000u)\r
+/** Peripheral FLEXCOMM8 base pointer */\r
+#define FLEXCOMM8 ((FLEXCOMM_Type *)FLEXCOMM8_BASE)\r
+/** Peripheral FLEXCOMM8 base pointer */\r
+#define FLEXCOMM8_NS ((FLEXCOMM_Type *)FLEXCOMM8_BASE_NS)\r
+/** Array initializer of FLEXCOMM peripheral base addresses */\r
+#define FLEXCOMM_BASE_ADDRS                                                                             \\r
+    {                                                                                                   \\r
+        FLEXCOMM0_BASE, FLEXCOMM1_BASE, FLEXCOMM2_BASE, FLEXCOMM3_BASE, FLEXCOMM4_BASE, FLEXCOMM5_BASE, \\r
+            FLEXCOMM6_BASE, FLEXCOMM7_BASE, FLEXCOMM8_BASE                                              \\r
+    }\r
+/** Array initializer of FLEXCOMM peripheral base pointers */\r
+#define FLEXCOMM_BASE_PTRS                                                                                \\r
+    {                                                                                                     \\r
+        FLEXCOMM0, FLEXCOMM1, FLEXCOMM2, FLEXCOMM3, FLEXCOMM4, FLEXCOMM5, FLEXCOMM6, FLEXCOMM7, FLEXCOMM8 \\r
+    }\r
+/** Array initializer of FLEXCOMM peripheral base addresses */\r
+#define FLEXCOMM_BASE_ADDRS_NS                                                                         \\r
+    {                                                                                                  \\r
+        FLEXCOMM0_BASE_NS, FLEXCOMM1_BASE_NS, FLEXCOMM2_BASE_NS, FLEXCOMM3_BASE_NS, FLEXCOMM4_BASE_NS, \\r
+            FLEXCOMM5_BASE_NS, FLEXCOMM6_BASE_NS, FLEXCOMM7_BASE_NS, FLEXCOMM8_BASE_NS                 \\r
+    }\r
+/** Array initializer of FLEXCOMM peripheral base pointers */\r
+#define FLEXCOMM_BASE_PTRS_NS                                                                             \\r
+    {                                                                                                     \\r
+        FLEXCOMM0_NS, FLEXCOMM1_NS, FLEXCOMM2_NS, FLEXCOMM3_NS, FLEXCOMM4_NS, FLEXCOMM5_NS, FLEXCOMM6_NS, \\r
+            FLEXCOMM7_NS, FLEXCOMM8_NS                                                                    \\r
+    }\r
 #else\r
-  /** Peripheral FLEXCOMM0 base address */\r
-  #define FLEXCOMM0_BASE                           (0x40086000u)\r
-  /** Peripheral FLEXCOMM0 base pointer */\r
-  #define FLEXCOMM0                                ((FLEXCOMM_Type *)FLEXCOMM0_BASE)\r
-  /** Peripheral FLEXCOMM1 base address */\r
-  #define FLEXCOMM1_BASE                           (0x40087000u)\r
-  /** Peripheral FLEXCOMM1 base pointer */\r
-  #define FLEXCOMM1                                ((FLEXCOMM_Type *)FLEXCOMM1_BASE)\r
-  /** Peripheral FLEXCOMM2 base address */\r
-  #define FLEXCOMM2_BASE                           (0x40088000u)\r
-  /** Peripheral FLEXCOMM2 base pointer */\r
-  #define FLEXCOMM2                                ((FLEXCOMM_Type *)FLEXCOMM2_BASE)\r
-  /** Peripheral FLEXCOMM3 base address */\r
-  #define FLEXCOMM3_BASE                           (0x40089000u)\r
-  /** Peripheral FLEXCOMM3 base pointer */\r
-  #define FLEXCOMM3                                ((FLEXCOMM_Type *)FLEXCOMM3_BASE)\r
-  /** Peripheral FLEXCOMM4 base address */\r
-  #define FLEXCOMM4_BASE                           (0x4008A000u)\r
-  /** Peripheral FLEXCOMM4 base pointer */\r
-  #define FLEXCOMM4                                ((FLEXCOMM_Type *)FLEXCOMM4_BASE)\r
-  /** Peripheral FLEXCOMM5 base address */\r
-  #define FLEXCOMM5_BASE                           (0x40096000u)\r
-  /** Peripheral FLEXCOMM5 base pointer */\r
-  #define FLEXCOMM5                                ((FLEXCOMM_Type *)FLEXCOMM5_BASE)\r
-  /** Peripheral FLEXCOMM6 base address */\r
-  #define FLEXCOMM6_BASE                           (0x40097000u)\r
-  /** Peripheral FLEXCOMM6 base pointer */\r
-  #define FLEXCOMM6                                ((FLEXCOMM_Type *)FLEXCOMM6_BASE)\r
-  /** Peripheral FLEXCOMM7 base address */\r
-  #define FLEXCOMM7_BASE                           (0x40098000u)\r
-  /** Peripheral FLEXCOMM7 base pointer */\r
-  #define FLEXCOMM7                                ((FLEXCOMM_Type *)FLEXCOMM7_BASE)\r
-  /** Peripheral FLEXCOMM8 base address */\r
-  #define FLEXCOMM8_BASE                           (0x4009F000u)\r
-  /** Peripheral FLEXCOMM8 base pointer */\r
-  #define FLEXCOMM8                                ((FLEXCOMM_Type *)FLEXCOMM8_BASE)\r
-  /** Array initializer of FLEXCOMM peripheral base addresses */\r
-  #define FLEXCOMM_BASE_ADDRS                      { FLEXCOMM0_BASE, FLEXCOMM1_BASE, FLEXCOMM2_BASE, FLEXCOMM3_BASE, FLEXCOMM4_BASE, FLEXCOMM5_BASE, FLEXCOMM6_BASE, FLEXCOMM7_BASE, FLEXCOMM8_BASE }\r
-  /** Array initializer of FLEXCOMM peripheral base pointers */\r
-  #define FLEXCOMM_BASE_PTRS                       { FLEXCOMM0, FLEXCOMM1, FLEXCOMM2, FLEXCOMM3, FLEXCOMM4, FLEXCOMM5, FLEXCOMM6, FLEXCOMM7, FLEXCOMM8 }\r
+/** Peripheral FLEXCOMM0 base address */\r
+#define FLEXCOMM0_BASE (0x40086000u)\r
+/** Peripheral FLEXCOMM0 base pointer */\r
+#define FLEXCOMM0 ((FLEXCOMM_Type *)FLEXCOMM0_BASE)\r
+/** Peripheral FLEXCOMM1 base address */\r
+#define FLEXCOMM1_BASE (0x40087000u)\r
+/** Peripheral FLEXCOMM1 base pointer */\r
+#define FLEXCOMM1 ((FLEXCOMM_Type *)FLEXCOMM1_BASE)\r
+/** Peripheral FLEXCOMM2 base address */\r
+#define FLEXCOMM2_BASE (0x40088000u)\r
+/** Peripheral FLEXCOMM2 base pointer */\r
+#define FLEXCOMM2 ((FLEXCOMM_Type *)FLEXCOMM2_BASE)\r
+/** Peripheral FLEXCOMM3 base address */\r
+#define FLEXCOMM3_BASE (0x40089000u)\r
+/** Peripheral FLEXCOMM3 base pointer */\r
+#define FLEXCOMM3 ((FLEXCOMM_Type *)FLEXCOMM3_BASE)\r
+/** Peripheral FLEXCOMM4 base address */\r
+#define FLEXCOMM4_BASE (0x4008A000u)\r
+/** Peripheral FLEXCOMM4 base pointer */\r
+#define FLEXCOMM4 ((FLEXCOMM_Type *)FLEXCOMM4_BASE)\r
+/** Peripheral FLEXCOMM5 base address */\r
+#define FLEXCOMM5_BASE (0x40096000u)\r
+/** Peripheral FLEXCOMM5 base pointer */\r
+#define FLEXCOMM5 ((FLEXCOMM_Type *)FLEXCOMM5_BASE)\r
+/** Peripheral FLEXCOMM6 base address */\r
+#define FLEXCOMM6_BASE (0x40097000u)\r
+/** Peripheral FLEXCOMM6 base pointer */\r
+#define FLEXCOMM6 ((FLEXCOMM_Type *)FLEXCOMM6_BASE)\r
+/** Peripheral FLEXCOMM7 base address */\r
+#define FLEXCOMM7_BASE (0x40098000u)\r
+/** Peripheral FLEXCOMM7 base pointer */\r
+#define FLEXCOMM7 ((FLEXCOMM_Type *)FLEXCOMM7_BASE)\r
+/** Peripheral FLEXCOMM8 base address */\r
+#define FLEXCOMM8_BASE (0x4009F000u)\r
+/** Peripheral FLEXCOMM8 base pointer */\r
+#define FLEXCOMM8 ((FLEXCOMM_Type *)FLEXCOMM8_BASE)\r
+/** Array initializer of FLEXCOMM peripheral base addresses */\r
+#define FLEXCOMM_BASE_ADDRS                                                                             \\r
+    {                                                                                                   \\r
+        FLEXCOMM0_BASE, FLEXCOMM1_BASE, FLEXCOMM2_BASE, FLEXCOMM3_BASE, FLEXCOMM4_BASE, FLEXCOMM5_BASE, \\r
+            FLEXCOMM6_BASE, FLEXCOMM7_BASE, FLEXCOMM8_BASE                                              \\r
+    }\r
+/** Array initializer of FLEXCOMM peripheral base pointers */\r
+#define FLEXCOMM_BASE_PTRS                                                                                \\r
+    {                                                                                                     \\r
+        FLEXCOMM0, FLEXCOMM1, FLEXCOMM2, FLEXCOMM3, FLEXCOMM4, FLEXCOMM5, FLEXCOMM6, FLEXCOMM7, FLEXCOMM8 \\r
+    }\r
 #endif\r
 /** Interrupt vectors for the FLEXCOMM peripheral type */\r
-#define FLEXCOMM_IRQS                            { FLEXCOMM0_IRQn, FLEXCOMM1_IRQn, FLEXCOMM2_IRQn, FLEXCOMM3_IRQn, FLEXCOMM4_IRQn, FLEXCOMM5_IRQn, FLEXCOMM6_IRQn, FLEXCOMM7_IRQn, LSPI_HS_IRQn }\r
+#define FLEXCOMM_IRQS                                                                                   \\r
+    {                                                                                                   \\r
+        FLEXCOMM0_IRQn, FLEXCOMM1_IRQn, FLEXCOMM2_IRQn, FLEXCOMM3_IRQn, FLEXCOMM4_IRQn, FLEXCOMM5_IRQn, \\r
+            FLEXCOMM6_IRQn, FLEXCOMM7_IRQn, FLEXCOMM8_IRQn                                              \\r
+    }\r
 \r
 /*!\r
  * @}\r
  */ /* end of group FLEXCOMM_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- GINT Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -7606,12 +10183,15 @@ typedef struct {
  */\r
 \r
 /** GINT - Register Layout Typedef */\r
-typedef struct {\r
-  __IO uint32_t CTRL;                              /**< GPIO grouped interrupt control register, offset: 0x0 */\r
-       uint8_t RESERVED_0[28];\r
-  __IO uint32_t PORT_POL[2];                       /**< GPIO grouped interrupt port 0 polarity register, array offset: 0x20, array step: 0x4 */\r
-       uint8_t RESERVED_1[24];\r
-  __IO uint32_t PORT_ENA[2];                       /**< GPIO grouped interrupt port 0 enable register, array offset: 0x40, array step: 0x4 */\r
+typedef struct\r
+{\r
+    __IO uint32_t CTRL; /**< GPIO grouped interrupt control register, offset: 0x0 */\r
+    uint8_t RESERVED_0[28];\r
+    __IO uint32_t\r
+        PORT_POL[2]; /**< GPIO grouped interrupt port 0 polarity register, array offset: 0x20, array step: 0x4 */\r
+    uint8_t RESERVED_1[24];\r
+    __IO uint32_t\r
+        PORT_ENA[2]; /**< GPIO grouped interrupt port 0 enable register, array offset: 0x40, array step: 0x4 */\r
 } GINT_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -7625,103 +10205,131 @@ typedef struct {
 \r
 /*! @name CTRL - GPIO grouped interrupt control register */\r
 /*! @{ */\r
-#define GINT_CTRL_INT_MASK                       (0x1U)\r
-#define GINT_CTRL_INT_SHIFT                      (0U)\r
+#define GINT_CTRL_INT_MASK (0x1U)\r
+#define GINT_CTRL_INT_SHIFT (0U)\r
 /*! INT - Group interrupt status. This bit is cleared by writing a one to it. Writing zero has no effect.\r
  *  0b0..No request. No interrupt request is pending.\r
  *  0b1..Request active. Interrupt request is active.\r
  */\r
-#define GINT_CTRL_INT(x)                         (((uint32_t)(((uint32_t)(x)) << GINT_CTRL_INT_SHIFT)) & GINT_CTRL_INT_MASK)\r
-#define GINT_CTRL_COMB_MASK                      (0x2U)\r
-#define GINT_CTRL_COMB_SHIFT                     (1U)\r
+#define GINT_CTRL_INT(x) (((uint32_t)(((uint32_t)(x)) << GINT_CTRL_INT_SHIFT)) & GINT_CTRL_INT_MASK)\r
+#define GINT_CTRL_COMB_MASK (0x2U)\r
+#define GINT_CTRL_COMB_SHIFT (1U)\r
 /*! COMB - Combine enabled inputs for group interrupt\r
- *  0b0..Or. OR functionality: A grouped interrupt is generated when any one of the enabled inputs is active (based on its programmed polarity).\r
- *  0b1..And. AND functionality: An interrupt is generated when all enabled bits are active (based on their programmed polarity).\r
+ *  0b0..Or. OR functionality: A grouped interrupt is generated when any one of the enabled inputs is active (based on\r
+ * its programmed polarity). 0b1..And. AND functionality: An interrupt is generated when all enabled bits are active\r
+ * (based on their programmed polarity).\r
  */\r
-#define GINT_CTRL_COMB(x)                        (((uint32_t)(((uint32_t)(x)) << GINT_CTRL_COMB_SHIFT)) & GINT_CTRL_COMB_MASK)\r
-#define GINT_CTRL_TRIG_MASK                      (0x4U)\r
-#define GINT_CTRL_TRIG_SHIFT                     (2U)\r
+#define GINT_CTRL_COMB(x) (((uint32_t)(((uint32_t)(x)) << GINT_CTRL_COMB_SHIFT)) & GINT_CTRL_COMB_MASK)\r
+#define GINT_CTRL_TRIG_MASK (0x4U)\r
+#define GINT_CTRL_TRIG_SHIFT (2U)\r
 /*! TRIG - Group interrupt trigger\r
  *  0b0..Edge-triggered.\r
  *  0b1..Level-triggered.\r
  */\r
-#define GINT_CTRL_TRIG(x)                        (((uint32_t)(((uint32_t)(x)) << GINT_CTRL_TRIG_SHIFT)) & GINT_CTRL_TRIG_MASK)\r
+#define GINT_CTRL_TRIG(x) (((uint32_t)(((uint32_t)(x)) << GINT_CTRL_TRIG_SHIFT)) & GINT_CTRL_TRIG_MASK)\r
 /*! @} */\r
 \r
 /*! @name PORT_POL - GPIO grouped interrupt port 0 polarity register */\r
 /*! @{ */\r
-#define GINT_PORT_POL_POL_MASK                   (0xFFFFFFFFU)\r
-#define GINT_PORT_POL_POL_SHIFT                  (0U)\r
-#define GINT_PORT_POL_POL(x)                     (((uint32_t)(((uint32_t)(x)) << GINT_PORT_POL_POL_SHIFT)) & GINT_PORT_POL_POL_MASK)\r
+#define GINT_PORT_POL_POL_MASK (0xFFFFFFFFU)\r
+#define GINT_PORT_POL_POL_SHIFT (0U)\r
+/*! POL - Configure pin polarity of port m pins for group interrupt. Bit n corresponds to pin PIOm_n\r
+ *    of port m. 0 = the pin is active LOW. If the level on this pin is LOW, the pin contributes to\r
+ *    the group interrupt. 1 = the pin is active HIGH. If the level on this pin is HIGH, the pin\r
+ *    contributes to the group interrupt.\r
+ */\r
+#define GINT_PORT_POL_POL(x) (((uint32_t)(((uint32_t)(x)) << GINT_PORT_POL_POL_SHIFT)) & GINT_PORT_POL_POL_MASK)\r
 /*! @} */\r
 \r
 /* The count of GINT_PORT_POL */\r
-#define GINT_PORT_POL_COUNT                      (2U)\r
+#define GINT_PORT_POL_COUNT (2U)\r
 \r
 /*! @name PORT_ENA - GPIO grouped interrupt port 0 enable register */\r
 /*! @{ */\r
-#define GINT_PORT_ENA_ENA_MASK                   (0xFFFFFFFFU)\r
-#define GINT_PORT_ENA_ENA_SHIFT                  (0U)\r
-#define GINT_PORT_ENA_ENA(x)                     (((uint32_t)(((uint32_t)(x)) << GINT_PORT_ENA_ENA_SHIFT)) & GINT_PORT_ENA_ENA_MASK)\r
+#define GINT_PORT_ENA_ENA_MASK (0xFFFFFFFFU)\r
+#define GINT_PORT_ENA_ENA_SHIFT (0U)\r
+/*! ENA - Enable port 0 pin for group interrupt. Bit n corresponds to pin Pm_n of port m. 0 = the\r
+ *    port 0 pin is disabled and does not contribute to the grouped interrupt. 1 = the port 0 pin is\r
+ *    enabled and contributes to the grouped interrupt.\r
+ */\r
+#define GINT_PORT_ENA_ENA(x) (((uint32_t)(((uint32_t)(x)) << GINT_PORT_ENA_ENA_SHIFT)) & GINT_PORT_ENA_ENA_MASK)\r
 /*! @} */\r
 \r
 /* The count of GINT_PORT_ENA */\r
-#define GINT_PORT_ENA_COUNT                      (2U)\r
-\r
+#define GINT_PORT_ENA_COUNT (2U)\r
 \r
 /*!\r
  * @}\r
  */ /* end of group GINT_Register_Masks */\r
 \r
-\r
 /* GINT - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral GINT0 base address */\r
-  #define GINT0_BASE                               (0x50002000u)\r
-  /** Peripheral GINT0 base address */\r
-  #define GINT0_BASE_NS                            (0x40002000u)\r
-  /** Peripheral GINT0 base pointer */\r
-  #define GINT0                                    ((GINT_Type *)GINT0_BASE)\r
-  /** Peripheral GINT0 base pointer */\r
-  #define GINT0_NS                                 ((GINT_Type *)GINT0_BASE_NS)\r
-  /** Peripheral GINT1 base address */\r
-  #define GINT1_BASE                               (0x50003000u)\r
-  /** Peripheral GINT1 base address */\r
-  #define GINT1_BASE_NS                            (0x40003000u)\r
-  /** Peripheral GINT1 base pointer */\r
-  #define GINT1                                    ((GINT_Type *)GINT1_BASE)\r
-  /** Peripheral GINT1 base pointer */\r
-  #define GINT1_NS                                 ((GINT_Type *)GINT1_BASE_NS)\r
-  /** Array initializer of GINT peripheral base addresses */\r
-  #define GINT_BASE_ADDRS                          { GINT0_BASE, GINT1_BASE }\r
-  /** Array initializer of GINT peripheral base pointers */\r
-  #define GINT_BASE_PTRS                           { GINT0, GINT1 }\r
-  /** Array initializer of GINT peripheral base addresses */\r
-  #define GINT_BASE_ADDRS_NS                       { GINT0_BASE_NS, GINT1_BASE_NS }\r
-  /** Array initializer of GINT peripheral base pointers */\r
-  #define GINT_BASE_PTRS_NS                        { GINT0_NS, GINT1_NS }\r
+/** Peripheral GINT0 base address */\r
+#define GINT0_BASE (0x50002000u)\r
+/** Peripheral GINT0 base address */\r
+#define GINT0_BASE_NS (0x40002000u)\r
+/** Peripheral GINT0 base pointer */\r
+#define GINT0 ((GINT_Type *)GINT0_BASE)\r
+/** Peripheral GINT0 base pointer */\r
+#define GINT0_NS ((GINT_Type *)GINT0_BASE_NS)\r
+/** Peripheral GINT1 base address */\r
+#define GINT1_BASE (0x50003000u)\r
+/** Peripheral GINT1 base address */\r
+#define GINT1_BASE_NS (0x40003000u)\r
+/** Peripheral GINT1 base pointer */\r
+#define GINT1 ((GINT_Type *)GINT1_BASE)\r
+/** Peripheral GINT1 base pointer */\r
+#define GINT1_NS ((GINT_Type *)GINT1_BASE_NS)\r
+/** Array initializer of GINT peripheral base addresses */\r
+#define GINT_BASE_ADDRS        \\r
+    {                          \\r
+        GINT0_BASE, GINT1_BASE \\r
+    }\r
+/** Array initializer of GINT peripheral base pointers */\r
+#define GINT_BASE_PTRS \\r
+    {                  \\r
+        GINT0, GINT1   \\r
+    }\r
+/** Array initializer of GINT peripheral base addresses */\r
+#define GINT_BASE_ADDRS_NS           \\r
+    {                                \\r
+        GINT0_BASE_NS, GINT1_BASE_NS \\r
+    }\r
+/** Array initializer of GINT peripheral base pointers */\r
+#define GINT_BASE_PTRS_NS  \\r
+    {                      \\r
+        GINT0_NS, GINT1_NS \\r
+    }\r
 #else\r
-  /** Peripheral GINT0 base address */\r
-  #define GINT0_BASE                               (0x40002000u)\r
-  /** Peripheral GINT0 base pointer */\r
-  #define GINT0                                    ((GINT_Type *)GINT0_BASE)\r
-  /** Peripheral GINT1 base address */\r
-  #define GINT1_BASE                               (0x40003000u)\r
-  /** Peripheral GINT1 base pointer */\r
-  #define GINT1                                    ((GINT_Type *)GINT1_BASE)\r
-  /** Array initializer of GINT peripheral base addresses */\r
-  #define GINT_BASE_ADDRS                          { GINT0_BASE, GINT1_BASE }\r
-  /** Array initializer of GINT peripheral base pointers */\r
-  #define GINT_BASE_PTRS                           { GINT0, GINT1 }\r
+/** Peripheral GINT0 base address */\r
+#define GINT0_BASE (0x40002000u)\r
+/** Peripheral GINT0 base pointer */\r
+#define GINT0 ((GINT_Type *)GINT0_BASE)\r
+/** Peripheral GINT1 base address */\r
+#define GINT1_BASE (0x40003000u)\r
+/** Peripheral GINT1 base pointer */\r
+#define GINT1 ((GINT_Type *)GINT1_BASE)\r
+/** Array initializer of GINT peripheral base addresses */\r
+#define GINT_BASE_ADDRS        \\r
+    {                          \\r
+        GINT0_BASE, GINT1_BASE \\r
+    }\r
+/** Array initializer of GINT peripheral base pointers */\r
+#define GINT_BASE_PTRS \\r
+    {                  \\r
+        GINT0, GINT1   \\r
+    }\r
 #endif\r
 /** Interrupt vectors for the GINT peripheral type */\r
-#define GINT_IRQS                                { GINT0_IRQn, GINT1_IRQn }\r
+#define GINT_IRQS              \\r
+    {                          \\r
+        GINT0_IRQn, GINT1_IRQn \\r
+    }\r
 \r
 /*!\r
  * @}\r
  */ /* end of group GINT_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- GPIO Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -7732,30 +10340,34 @@ typedef struct {
  */\r
 \r
 /** GPIO - Register Layout Typedef */\r
-typedef struct {\r
-  __IO uint8_t B[4][32];                           /**< Byte pin registers for all port GPIO pins, array offset: 0x0, array step: index*0x20, index2*0x1 */\r
-       uint8_t RESERVED_0[3968];\r
-  __IO uint32_t W[4][32];                          /**< Word pin registers for all port GPIO pins, array offset: 0x1000, array step: index*0x80, index2*0x4 */\r
-       uint8_t RESERVED_1[3584];\r
-  __IO uint32_t DIR[4];                            /**< Direction registers for all port GPIO pins, array offset: 0x2000, array step: 0x4 */\r
-       uint8_t RESERVED_2[112];\r
-  __IO uint32_t MASK[4];                           /**< Mask register for all port GPIO pins, array offset: 0x2080, array step: 0x4 */\r
-       uint8_t RESERVED_3[112];\r
-  __IO uint32_t PIN[4];                            /**< Port pin register for all port GPIO pins, array offset: 0x2100, array step: 0x4 */\r
-       uint8_t RESERVED_4[112];\r
-  __IO uint32_t MPIN[4];                           /**< Masked port register for all port GPIO pins, array offset: 0x2180, array step: 0x4 */\r
-       uint8_t RESERVED_5[112];\r
-  __IO uint32_t SET[4];                            /**< Write: Set register for port. Read: output bits for port, array offset: 0x2200, array step: 0x4 */\r
-       uint8_t RESERVED_6[112];\r
-  __O  uint32_t CLR[4];                            /**< Clear port for all port GPIO pins, array offset: 0x2280, array step: 0x4 */\r
-       uint8_t RESERVED_7[112];\r
-  __O  uint32_t NOT[4];                            /**< Toggle port for all port GPIO pins, array offset: 0x2300, array step: 0x4 */\r
-       uint8_t RESERVED_8[112];\r
-  __O  uint32_t DIRSET[4];                         /**< Set pin direction bits for port, array offset: 0x2380, array step: 0x4 */\r
-       uint8_t RESERVED_9[112];\r
-  __O  uint32_t DIRCLR[4];                         /**< Clear pin direction bits for port, array offset: 0x2400, array step: 0x4 */\r
-       uint8_t RESERVED_10[112];\r
-  __O  uint32_t DIRNOT[4];                         /**< Toggle pin direction bits for port, array offset: 0x2480, array step: 0x4 */\r
+typedef struct\r
+{\r
+    __IO uint8_t B[2][32]; /**< Byte pin registers for all port GPIO pins, array offset: 0x0, array step: index*0x20,\r
+                              index2*0x1 */\r
+    uint8_t RESERVED_0[4032];\r
+    __IO uint32_t W[2][32]; /**< Word pin registers for all port GPIO pins, array offset: 0x1000, array step:\r
+                               index*0x80, index2*0x4 */\r
+    uint8_t RESERVED_1[3840];\r
+    __IO uint32_t DIR[2]; /**< Direction registers for all port GPIO pins, array offset: 0x2000, array step: 0x4 */\r
+    uint8_t RESERVED_2[120];\r
+    __IO uint32_t MASK[2]; /**< Mask register for all port GPIO pins, array offset: 0x2080, array step: 0x4 */\r
+    uint8_t RESERVED_3[120];\r
+    __IO uint32_t PIN[2]; /**< Port pin register for all port GPIO pins, array offset: 0x2100, array step: 0x4 */\r
+    uint8_t RESERVED_4[120];\r
+    __IO uint32_t MPIN[2]; /**< Masked port register for all port GPIO pins, array offset: 0x2180, array step: 0x4 */\r
+    uint8_t RESERVED_5[120];\r
+    __IO uint32_t\r
+        SET[2]; /**< Write: Set register for port. Read: output bits for port, array offset: 0x2200, array step: 0x4 */\r
+    uint8_t RESERVED_6[120];\r
+    __O uint32_t CLR[2]; /**< Clear port for all port GPIO pins, array offset: 0x2280, array step: 0x4 */\r
+    uint8_t RESERVED_7[120];\r
+    __O uint32_t NOT[2]; /**< Toggle port for all port GPIO pins, array offset: 0x2300, array step: 0x4 */\r
+    uint8_t RESERVED_8[120];\r
+    __O uint32_t DIRSET[2]; /**< Set pin direction bits for port, array offset: 0x2380, array step: 0x4 */\r
+    uint8_t RESERVED_9[120];\r
+    __O uint32_t DIRCLR[2]; /**< Clear pin direction bits for port, array offset: 0x2400, array step: 0x4 */\r
+    uint8_t RESERVED_10[120];\r
+    __O uint32_t DIRNOT[2]; /**< Toggle pin direction bits for port, array offset: 0x2480, array step: 0x4 */\r
 } GPIO_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -7769,182 +10381,244 @@ typedef struct {
 \r
 /*! @name B - Byte pin registers for all port GPIO pins */\r
 /*! @{ */\r
-#define GPIO_B_PBYTE_MASK                        (0x1U)\r
-#define GPIO_B_PBYTE_SHIFT                       (0U)\r
-#define GPIO_B_PBYTE(x)                          (((uint8_t)(((uint8_t)(x)) << GPIO_B_PBYTE_SHIFT)) & GPIO_B_PBYTE_MASK)\r
+#define GPIO_B_PBYTE_MASK (0x1U)\r
+#define GPIO_B_PBYTE_SHIFT (0U)\r
+/*! PBYTE - Read: state of the pin PIOm_n, regardless of direction, masking, or alternate function,\r
+ *    except that pins configured as analog I/O always read as 0. One register for each port pin.\r
+ *    Supported pins depends on the specific device and package. Write: loads the pin's output bit.\r
+ *    One register for each port pin. Supported pins depends on the specific device and package.\r
+ */\r
+#define GPIO_B_PBYTE(x) (((uint8_t)(((uint8_t)(x)) << GPIO_B_PBYTE_SHIFT)) & GPIO_B_PBYTE_MASK)\r
 /*! @} */\r
 \r
 /* The count of GPIO_B */\r
-#define GPIO_B_COUNT                             (4U)\r
+#define GPIO_B_COUNT (2U)\r
 \r
 /* The count of GPIO_B */\r
-#define GPIO_B_COUNT2                            (32U)\r
+#define GPIO_B_COUNT2 (32U)\r
 \r
 /*! @name W - Word pin registers for all port GPIO pins */\r
 /*! @{ */\r
-#define GPIO_W_PWORD_MASK                        (0xFFFFFFFFU)\r
-#define GPIO_W_PWORD_SHIFT                       (0U)\r
-#define GPIO_W_PWORD(x)                          (((uint32_t)(((uint32_t)(x)) << GPIO_W_PWORD_SHIFT)) & GPIO_W_PWORD_MASK)\r
+#define GPIO_W_PWORD_MASK (0xFFFFFFFFU)\r
+#define GPIO_W_PWORD_SHIFT (0U)\r
+/*! PWORD - Read 0: pin PIOm_n is LOW. Write 0: clear output bit. Read 0xFFFF FFFF: pin PIOm_n is\r
+ *    HIGH. Write any value 0x0000 0001 to 0xFFFF FFFF: set output bit. Only 0 or 0xFFFF FFFF can be\r
+ *    read. Writing any value other than 0 will set the output bit. One register for each port pin.\r
+ *    Supported pins depends on the specific device and package.\r
+ */\r
+#define GPIO_W_PWORD(x) (((uint32_t)(((uint32_t)(x)) << GPIO_W_PWORD_SHIFT)) & GPIO_W_PWORD_MASK)\r
 /*! @} */\r
 \r
 /* The count of GPIO_W */\r
-#define GPIO_W_COUNT                             (4U)\r
+#define GPIO_W_COUNT (2U)\r
 \r
 /* The count of GPIO_W */\r
-#define GPIO_W_COUNT2                            (32U)\r
+#define GPIO_W_COUNT2 (32U)\r
 \r
 /*! @name DIR - Direction registers for all port GPIO pins */\r
 /*! @{ */\r
-#define GPIO_DIR_DIRP_MASK                       (0xFFFFFFFFU)\r
-#define GPIO_DIR_DIRP_SHIFT                      (0U)\r
-#define GPIO_DIR_DIRP(x)                         (((uint32_t)(((uint32_t)(x)) << GPIO_DIR_DIRP_SHIFT)) & GPIO_DIR_DIRP_MASK)\r
+#define GPIO_DIR_DIRP_MASK (0xFFFFFFFFU)\r
+#define GPIO_DIR_DIRP_SHIFT (0U)\r
+/*! DIRP - Selects pin direction for pin PIOm_n (bit 0 = PIOn_0, bit 1 = PIOn_1, etc.). Supported\r
+ *    pins depends on the specific device and package. 0 = input. 1 = output.\r
+ */\r
+#define GPIO_DIR_DIRP(x) (((uint32_t)(((uint32_t)(x)) << GPIO_DIR_DIRP_SHIFT)) & GPIO_DIR_DIRP_MASK)\r
 /*! @} */\r
 \r
 /* The count of GPIO_DIR */\r
-#define GPIO_DIR_COUNT                           (4U)\r
+#define GPIO_DIR_COUNT (2U)\r
 \r
 /*! @name MASK - Mask register for all port GPIO pins */\r
 /*! @{ */\r
-#define GPIO_MASK_MASKP_MASK                     (0xFFFFFFFFU)\r
-#define GPIO_MASK_MASKP_SHIFT                    (0U)\r
-#define GPIO_MASK_MASKP(x)                       (((uint32_t)(((uint32_t)(x)) << GPIO_MASK_MASKP_SHIFT)) & GPIO_MASK_MASKP_MASK)\r
+#define GPIO_MASK_MASKP_MASK (0xFFFFFFFFU)\r
+#define GPIO_MASK_MASKP_SHIFT (0U)\r
+/*! MASKP - Controls which bits corresponding to PIOm_n are active in the MPORT register (bit 0 =\r
+ *    PIOn_0, bit 1 = PIOn_1, etc.). Supported pins depends on the specific device and package.0 =\r
+ *    Read MPORT: pin state; write MPORT: load output bit. 1 = Read MPORT: 0; write MPORT: output bit\r
+ *    not affected.\r
+ */\r
+#define GPIO_MASK_MASKP(x) (((uint32_t)(((uint32_t)(x)) << GPIO_MASK_MASKP_SHIFT)) & GPIO_MASK_MASKP_MASK)\r
 /*! @} */\r
 \r
 /* The count of GPIO_MASK */\r
-#define GPIO_MASK_COUNT                          (4U)\r
+#define GPIO_MASK_COUNT (2U)\r
 \r
 /*! @name PIN - Port pin register for all port GPIO pins */\r
 /*! @{ */\r
-#define GPIO_PIN_PORT_MASK                       (0xFFFFFFFFU)\r
-#define GPIO_PIN_PORT_SHIFT                      (0U)\r
-#define GPIO_PIN_PORT(x)                         (((uint32_t)(((uint32_t)(x)) << GPIO_PIN_PORT_SHIFT)) & GPIO_PIN_PORT_MASK)\r
+#define GPIO_PIN_PORT_MASK (0xFFFFFFFFU)\r
+#define GPIO_PIN_PORT_SHIFT (0U)\r
+/*! PORT - Reads pin states or loads output bits (bit 0 = PIOn_0, bit 1 = PIOn_1, etc.). Supported\r
+ *    pins depends on the specific device and package. 0 = Read: pin is low; write: clear output bit.\r
+ *    1 = Read: pin is high; write: set output bit.\r
+ */\r
+#define GPIO_PIN_PORT(x) (((uint32_t)(((uint32_t)(x)) << GPIO_PIN_PORT_SHIFT)) & GPIO_PIN_PORT_MASK)\r
 /*! @} */\r
 \r
 /* The count of GPIO_PIN */\r
-#define GPIO_PIN_COUNT                           (4U)\r
+#define GPIO_PIN_COUNT (2U)\r
 \r
 /*! @name MPIN - Masked port register for all port GPIO pins */\r
 /*! @{ */\r
-#define GPIO_MPIN_MPORTP_MASK                    (0xFFFFFFFFU)\r
-#define GPIO_MPIN_MPORTP_SHIFT                   (0U)\r
-#define GPIO_MPIN_MPORTP(x)                      (((uint32_t)(((uint32_t)(x)) << GPIO_MPIN_MPORTP_SHIFT)) & GPIO_MPIN_MPORTP_MASK)\r
+#define GPIO_MPIN_MPORTP_MASK (0xFFFFFFFFU)\r
+#define GPIO_MPIN_MPORTP_SHIFT (0U)\r
+/*! MPORTP - Masked port register (bit 0 = PIOn_0, bit 1 = PIOn_1, etc.). Supported pins depends on\r
+ *    the specific device and package. 0 = Read: pin is LOW and/or the corresponding bit in the MASK\r
+ *    register is 1; write: clear output bit if the corresponding bit in the MASK register is 0. 1\r
+ *    = Read: pin is HIGH and the corresponding bit in the MASK register is 0; write: set output bit\r
+ *    if the corresponding bit in the MASK register is 0.\r
+ */\r
+#define GPIO_MPIN_MPORTP(x) (((uint32_t)(((uint32_t)(x)) << GPIO_MPIN_MPORTP_SHIFT)) & GPIO_MPIN_MPORTP_MASK)\r
 /*! @} */\r
 \r
 /* The count of GPIO_MPIN */\r
-#define GPIO_MPIN_COUNT                          (4U)\r
+#define GPIO_MPIN_COUNT (2U)\r
 \r
 /*! @name SET - Write: Set register for port. Read: output bits for port */\r
 /*! @{ */\r
-#define GPIO_SET_SETP_MASK                       (0xFFFFFFFFU)\r
-#define GPIO_SET_SETP_SHIFT                      (0U)\r
-#define GPIO_SET_SETP(x)                         (((uint32_t)(((uint32_t)(x)) << GPIO_SET_SETP_SHIFT)) & GPIO_SET_SETP_MASK)\r
+#define GPIO_SET_SETP_MASK (0xFFFFFFFFU)\r
+#define GPIO_SET_SETP_SHIFT (0U)\r
+/*! SETP - Read or set output bits (bit 0 = PIOn_0, bit 1 = PIOn_1, etc.). Supported pins depends on\r
+ *    the specific device and package. 0 = Read: output bit: write: no operation. 1 = Read: output\r
+ *    bit; write: set output bit.\r
+ */\r
+#define GPIO_SET_SETP(x) (((uint32_t)(((uint32_t)(x)) << GPIO_SET_SETP_SHIFT)) & GPIO_SET_SETP_MASK)\r
 /*! @} */\r
 \r
 /* The count of GPIO_SET */\r
-#define GPIO_SET_COUNT                           (4U)\r
+#define GPIO_SET_COUNT (2U)\r
 \r
 /*! @name CLR - Clear port for all port GPIO pins */\r
 /*! @{ */\r
-#define GPIO_CLR_CLRP_MASK                       (0xFFFFFFFFU)\r
-#define GPIO_CLR_CLRP_SHIFT                      (0U)\r
-#define GPIO_CLR_CLRP(x)                         (((uint32_t)(((uint32_t)(x)) << GPIO_CLR_CLRP_SHIFT)) & GPIO_CLR_CLRP_MASK)\r
+#define GPIO_CLR_CLRP_MASK (0xFFFFFFFFU)\r
+#define GPIO_CLR_CLRP_SHIFT (0U)\r
+/*! CLRP - Clear output bits (bit 0 = PIOn_0, bit 1 = PIOn_1, etc.). Supported pins depends on the\r
+ *    specific device and package. 0 = No operation. 1 = Clear output bit.\r
+ */\r
+#define GPIO_CLR_CLRP(x) (((uint32_t)(((uint32_t)(x)) << GPIO_CLR_CLRP_SHIFT)) & GPIO_CLR_CLRP_MASK)\r
 /*! @} */\r
 \r
 /* The count of GPIO_CLR */\r
-#define GPIO_CLR_COUNT                           (4U)\r
+#define GPIO_CLR_COUNT (2U)\r
 \r
 /*! @name NOT - Toggle port for all port GPIO pins */\r
 /*! @{ */\r
-#define GPIO_NOT_NOTP_MASK                       (0xFFFFFFFFU)\r
-#define GPIO_NOT_NOTP_SHIFT                      (0U)\r
-#define GPIO_NOT_NOTP(x)                         (((uint32_t)(((uint32_t)(x)) << GPIO_NOT_NOTP_SHIFT)) & GPIO_NOT_NOTP_MASK)\r
+#define GPIO_NOT_NOTP_MASK (0xFFFFFFFFU)\r
+#define GPIO_NOT_NOTP_SHIFT (0U)\r
+/*! NOTP - Toggle output bits (bit 0 = PIOn_0, bit 1 = PIOn_1, etc.). Supported pins depends on the\r
+ *    specific device and package. 0 = no operation. 1 = Toggle output bit.\r
+ */\r
+#define GPIO_NOT_NOTP(x) (((uint32_t)(((uint32_t)(x)) << GPIO_NOT_NOTP_SHIFT)) & GPIO_NOT_NOTP_MASK)\r
 /*! @} */\r
 \r
 /* The count of GPIO_NOT */\r
-#define GPIO_NOT_COUNT                           (4U)\r
+#define GPIO_NOT_COUNT (2U)\r
 \r
 /*! @name DIRSET - Set pin direction bits for port */\r
 /*! @{ */\r
-#define GPIO_DIRSET_DIRSETP_MASK                 (0x1FFFFFFFU)\r
-#define GPIO_DIRSET_DIRSETP_SHIFT                (0U)\r
-#define GPIO_DIRSET_DIRSETP(x)                   (((uint32_t)(((uint32_t)(x)) << GPIO_DIRSET_DIRSETP_SHIFT)) & GPIO_DIRSET_DIRSETP_MASK)\r
+#define GPIO_DIRSET_DIRSETP_MASK (0xFFFFFFFFU)\r
+#define GPIO_DIRSET_DIRSETP_SHIFT (0U)\r
+/*! DIRSETP - Set direction bits (bit 0 = PIOn_0, bit 1 = PIOn_1, etc.). Supported pins depends on\r
+ *    the specific device and package. 0 = No operation. 1 = Set direction bit.\r
+ */\r
+#define GPIO_DIRSET_DIRSETP(x) (((uint32_t)(((uint32_t)(x)) << GPIO_DIRSET_DIRSETP_SHIFT)) & GPIO_DIRSET_DIRSETP_MASK)\r
 /*! @} */\r
 \r
 /* The count of GPIO_DIRSET */\r
-#define GPIO_DIRSET_COUNT                        (4U)\r
+#define GPIO_DIRSET_COUNT (2U)\r
 \r
 /*! @name DIRCLR - Clear pin direction bits for port */\r
 /*! @{ */\r
-#define GPIO_DIRCLR_DIRCLRP_MASK                 (0x1FFFFFFFU)\r
-#define GPIO_DIRCLR_DIRCLRP_SHIFT                (0U)\r
-#define GPIO_DIRCLR_DIRCLRP(x)                   (((uint32_t)(((uint32_t)(x)) << GPIO_DIRCLR_DIRCLRP_SHIFT)) & GPIO_DIRCLR_DIRCLRP_MASK)\r
+#define GPIO_DIRCLR_DIRCLRP_MASK (0xFFFFFFFFU)\r
+#define GPIO_DIRCLR_DIRCLRP_SHIFT (0U)\r
+/*! DIRCLRP - Clear direction bits (bit 0 = PIOn_0, bit 1 = PIOn_1, etc.). Supported pins depends on\r
+ *    the specific device and package. 0 = No operation. 1 = Clear direction bit.\r
+ */\r
+#define GPIO_DIRCLR_DIRCLRP(x) (((uint32_t)(((uint32_t)(x)) << GPIO_DIRCLR_DIRCLRP_SHIFT)) & GPIO_DIRCLR_DIRCLRP_MASK)\r
 /*! @} */\r
 \r
 /* The count of GPIO_DIRCLR */\r
-#define GPIO_DIRCLR_COUNT                        (4U)\r
+#define GPIO_DIRCLR_COUNT (2U)\r
 \r
 /*! @name DIRNOT - Toggle pin direction bits for port */\r
 /*! @{ */\r
-#define GPIO_DIRNOT_DIRNOTP_MASK                 (0x1FFFFFFFU)\r
-#define GPIO_DIRNOT_DIRNOTP_SHIFT                (0U)\r
-#define GPIO_DIRNOT_DIRNOTP(x)                   (((uint32_t)(((uint32_t)(x)) << GPIO_DIRNOT_DIRNOTP_SHIFT)) & GPIO_DIRNOT_DIRNOTP_MASK)\r
+#define GPIO_DIRNOT_DIRNOTP_MASK (0xFFFFFFFFU)\r
+#define GPIO_DIRNOT_DIRNOTP_SHIFT (0U)\r
+/*! DIRNOTP - Toggle direction bits (bit 0 = PIOn_0, bit 1 = PIOn_1, etc.). Supported pins depends\r
+ *    on the specific device and package. 0 = no operation. 1 = Toggle direction bit.\r
+ */\r
+#define GPIO_DIRNOT_DIRNOTP(x) (((uint32_t)(((uint32_t)(x)) << GPIO_DIRNOT_DIRNOTP_SHIFT)) & GPIO_DIRNOT_DIRNOTP_MASK)\r
 /*! @} */\r
 \r
 /* The count of GPIO_DIRNOT */\r
-#define GPIO_DIRNOT_COUNT                        (4U)\r
-\r
+#define GPIO_DIRNOT_COUNT (2U)\r
 \r
 /*!\r
  * @}\r
  */ /* end of group GPIO_Register_Masks */\r
 \r
-\r
 /* GPIO - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral GPIO base address */\r
-  #define GPIO_BASE                                (0x5008C000u)\r
-  /** Peripheral GPIO base address */\r
-  #define GPIO_BASE_NS                             (0x4008C000u)\r
-  /** Peripheral GPIO base pointer */\r
-  #define GPIO                                     ((GPIO_Type *)GPIO_BASE)\r
-  /** Peripheral GPIO base pointer */\r
-  #define GPIO_NS                                  ((GPIO_Type *)GPIO_BASE_NS)\r
-  /** Peripheral SECGPIO base address */\r
-  #define SECGPIO_BASE                             (0x500A8000u)\r
-  /** Peripheral SECGPIO base address */\r
-  #define SECGPIO_BASE_NS                          (0x400A8000u)\r
-  /** Peripheral SECGPIO base pointer */\r
-  #define SECGPIO                                  ((GPIO_Type *)SECGPIO_BASE)\r
-  /** Peripheral SECGPIO base pointer */\r
-  #define SECGPIO_NS                               ((GPIO_Type *)SECGPIO_BASE_NS)\r
-  /** Array initializer of GPIO peripheral base addresses */\r
-  #define GPIO_BASE_ADDRS                          { GPIO_BASE, SECGPIO_BASE }\r
-  /** Array initializer of GPIO peripheral base pointers */\r
-  #define GPIO_BASE_PTRS                           { GPIO, SECGPIO }\r
-  /** Array initializer of GPIO peripheral base addresses */\r
-  #define GPIO_BASE_ADDRS_NS                       { GPIO_BASE_NS, SECGPIO_BASE_NS }\r
-  /** Array initializer of GPIO peripheral base pointers */\r
-  #define GPIO_BASE_PTRS_NS                        { GPIO_NS, SECGPIO_NS }\r
+/** Peripheral GPIO base address */\r
+#define GPIO_BASE (0x5008C000u)\r
+/** Peripheral GPIO base address */\r
+#define GPIO_BASE_NS (0x4008C000u)\r
+/** Peripheral GPIO base pointer */\r
+#define GPIO ((GPIO_Type *)GPIO_BASE)\r
+/** Peripheral GPIO base pointer */\r
+#define GPIO_NS ((GPIO_Type *)GPIO_BASE_NS)\r
+/** Peripheral SECGPIO base address */\r
+#define SECGPIO_BASE (0x500A8000u)\r
+/** Peripheral SECGPIO base address */\r
+#define SECGPIO_BASE_NS (0x400A8000u)\r
+/** Peripheral SECGPIO base pointer */\r
+#define SECGPIO ((GPIO_Type *)SECGPIO_BASE)\r
+/** Peripheral SECGPIO base pointer */\r
+#define SECGPIO_NS ((GPIO_Type *)SECGPIO_BASE_NS)\r
+/** Array initializer of GPIO peripheral base addresses */\r
+#define GPIO_BASE_ADDRS         \\r
+    {                           \\r
+        GPIO_BASE, SECGPIO_BASE \\r
+    }\r
+/** Array initializer of GPIO peripheral base pointers */\r
+#define GPIO_BASE_PTRS \\r
+    {                  \\r
+        GPIO, SECGPIO  \\r
+    }\r
+/** Array initializer of GPIO peripheral base addresses */\r
+#define GPIO_BASE_ADDRS_NS            \\r
+    {                                 \\r
+        GPIO_BASE_NS, SECGPIO_BASE_NS \\r
+    }\r
+/** Array initializer of GPIO peripheral base pointers */\r
+#define GPIO_BASE_PTRS_NS   \\r
+    {                       \\r
+        GPIO_NS, SECGPIO_NS \\r
+    }\r
 #else\r
-  /** Peripheral GPIO base address */\r
-  #define GPIO_BASE                                (0x4008C000u)\r
-  /** Peripheral GPIO base pointer */\r
-  #define GPIO                                     ((GPIO_Type *)GPIO_BASE)\r
-  /** Peripheral SECGPIO base address */\r
-  #define SECGPIO_BASE                             (0x400A8000u)\r
-  /** Peripheral SECGPIO base pointer */\r
-  #define SECGPIO                                  ((GPIO_Type *)SECGPIO_BASE)\r
-  /** Array initializer of GPIO peripheral base addresses */\r
-  #define GPIO_BASE_ADDRS                          { GPIO_BASE, SECGPIO_BASE }\r
-  /** Array initializer of GPIO peripheral base pointers */\r
-  #define GPIO_BASE_PTRS                           { GPIO, SECGPIO }\r
+/** Peripheral GPIO base address */\r
+#define GPIO_BASE (0x4008C000u)\r
+/** Peripheral GPIO base pointer */\r
+#define GPIO ((GPIO_Type *)GPIO_BASE)\r
+/** Peripheral SECGPIO base address */\r
+#define SECGPIO_BASE (0x400A8000u)\r
+/** Peripheral SECGPIO base pointer */\r
+#define SECGPIO ((GPIO_Type *)SECGPIO_BASE)\r
+/** Array initializer of GPIO peripheral base addresses */\r
+#define GPIO_BASE_ADDRS         \\r
+    {                           \\r
+        GPIO_BASE, SECGPIO_BASE \\r
+    }\r
+/** Array initializer of GPIO peripheral base pointers */\r
+#define GPIO_BASE_PTRS \\r
+    {                  \\r
+        GPIO, SECGPIO  \\r
+    }\r
 #endif\r
 \r
 /*!\r
  * @}\r
  */ /* end of group GPIO_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- HASHCRYPT Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -7955,23 +10629,26 @@ typedef struct {
  */\r
 \r
 /** HASHCRYPT - Register Layout Typedef */\r
-typedef struct {\r
-  __IO uint32_t CTRL;                              /**< Is control register to enable and operate Hash and Crypto, offset: 0x0 */\r
-  __IO uint32_t STATUS;                            /**< Indicates status of Hash peripheral., offset: 0x4 */\r
-  __IO uint32_t INTENSET;                          /**< Write 1 to enable interrupts; reads back with which are set., offset: 0x8 */\r
-  __IO uint32_t INTENCLR;                          /**< Write 1 to clear interrupts., offset: 0xC */\r
-  __IO uint32_t MEMCTRL;                           /**< Setup Master to access memory (if available), offset: 0x10 */\r
-  __IO uint32_t MEMADDR;                           /**< Address to start memory access from (if available)., offset: 0x14 */\r
-       uint8_t RESERVED_0[8];\r
-  __O  uint32_t INDATA;                            /**< Input of 16 words at a time to load up buffer., offset: 0x20 */\r
-  __O  uint32_t ALIAS[7];                          /**< , array offset: 0x24, array step: 0x4 */\r
-  __I  uint32_t OUTDATA0[8];                       /**< , array offset: 0x40, array step: 0x4 */\r
-  __I  uint32_t OUTDATA1[8];                       /**< , array offset: 0x60, array step: 0x4 */\r
-  __IO uint32_t CRYPTCFG;                          /**< Crypto settings for AES and Salsa and ChaCha, offset: 0x80 */\r
-  __I  uint32_t CONFIG;                            /**< Returns the configuration of this block in this chip - indicates what services are available., offset: 0x84 */\r
-       uint8_t RESERVED_1[4];\r
-  __IO uint32_t LOCK;                              /**< Lock register allows locking to the current security level or unlocking by the lock holding level., offset: 0x8C */\r
-  __O  uint32_t MASK[4];                           /**< , array offset: 0x90, array step: 0x4 */\r
+typedef struct\r
+{\r
+    __IO uint32_t CTRL;     /**< Control register to enable and operate Hash and Crypto, offset: 0x0 */\r
+    __IO uint32_t STATUS;   /**< Indicates status of Hash peripheral., offset: 0x4 */\r
+    __IO uint32_t INTENSET; /**< Write 1 to enable interrupts; reads back with which are set., offset: 0x8 */\r
+    __IO uint32_t INTENCLR; /**< Write 1 to clear interrupts., offset: 0xC */\r
+    __IO uint32_t MEMCTRL;  /**< Setup Master to access memory (if available), offset: 0x10 */\r
+    __IO uint32_t MEMADDR;  /**< Address to start memory access from (if available)., offset: 0x14 */\r
+    uint8_t RESERVED_0[8];\r
+    __O uint32_t INDATA;     /**< Input of 16 words at a time to load up buffer., offset: 0x20 */\r
+    __O uint32_t ALIAS[7];   /**< , array offset: 0x24, array step: 0x4 */\r
+    __I uint32_t DIGEST0[8]; /**< , array offset: 0x40, array step: 0x4 */\r
+    uint8_t RESERVED_1[32];\r
+    __IO uint32_t CRYPTCFG; /**< Crypto settings for AES and Salsa and ChaCha, offset: 0x80 */\r
+    __I uint32_t CONFIG;    /**< Returns the configuration of this block in this chip - indicates what services are\r
+                               available., offset: 0x84 */\r
+    uint8_t RESERVED_2[4];\r
+    __IO uint32_t LOCK; /**< Lock register allows locking to the current security level or unlocking by the lock holding\r
+                           level., offset: 0x8C */\r
+    __O uint32_t MASK[4]; /**< , array offset: 0x90, array step: 0x4 */\r
 } HASHCRYPT_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -7983,356 +10660,484 @@ typedef struct {
  * @{\r
  */\r
 \r
-/*! @name CTRL - Is control register to enable and operate Hash and Crypto */\r
+/*! @name CTRL - Control register to enable and operate Hash and Crypto */\r
 /*! @{ */\r
-#define HASHCRYPT_CTRL_MODE_MASK                 (0x7U)\r
-#define HASHCRYPT_CTRL_MODE_SHIFT                (0U)\r
-/*! Mode - The operational mode to use, or 0 if none. Note that the CONFIG register will indicate if specific modes beyond SHA1 and SHA2-256 are available.\r
+#define HASHCRYPT_CTRL_MODE_MASK (0x7U)\r
+#define HASHCRYPT_CTRL_MODE_SHIFT (0U)\r
+/*! Mode - The operational mode to use, or 0 if none. Note that the CONFIG register will indicate if\r
+ *    specific modes beyond SHA1 and SHA2-256 are available.\r
  *  0b000..Disabled\r
  *  0b001..SHA1 is enabled\r
  *  0b010..SHA2-256 is enabled\r
- *  0b011..SHA2-512 is enabled (if available)\r
  *  0b100..AES if available (see also CRYPTCFG register for more controls)\r
  *  0b101..ICB-AES if available (see also CRYPTCFG register for more controls)\r
- *  0b110..Salsa20/20 if available (including XSalsa - see also CRYPTCFG register)\r
- *  0b111..ChaCha20 if available (see also CRYPTCFG register for more controls)\r
  */\r
-#define HASHCRYPT_CTRL_MODE(x)                   (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CTRL_MODE_SHIFT)) & HASHCRYPT_CTRL_MODE_MASK)\r
-#define HASHCRYPT_CTRL_NEW_HASH_MASK             (0x10U)\r
-#define HASHCRYPT_CTRL_NEW_HASH_SHIFT            (4U)\r
-/*! New_Hash - Written with 1 when starting a new Hash/Crypto. It self clears. Note that the WAITING Status bit will clear for a cycle during the initialization from New=1.\r
+#define HASHCRYPT_CTRL_MODE(x) (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CTRL_MODE_SHIFT)) & HASHCRYPT_CTRL_MODE_MASK)\r
+#define HASHCRYPT_CTRL_NEW_HASH_MASK (0x10U)\r
+#define HASHCRYPT_CTRL_NEW_HASH_SHIFT (4U)\r
+/*! New_Hash - Written with 1 when starting a new Hash/Crypto. It self clears. Note that the WAITING\r
+ *    Status bit will clear for a cycle during the initialization from New=1.\r
  *  0b1..Starts a new Hash/Crypto and initializes the Digest/Result.\r
  */\r
-#define HASHCRYPT_CTRL_NEW_HASH(x)               (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CTRL_NEW_HASH_SHIFT)) & HASHCRYPT_CTRL_NEW_HASH_MASK)\r
-#define HASHCRYPT_CTRL_DMA_I_MASK                (0x100U)\r
-#define HASHCRYPT_CTRL_DMA_I_SHIFT               (8U)\r
-/*! DMA_I - Written with 1 to use DMA to fill INDATA. If Hash, will request from DMA for 16 words and then will process the Hash. If Cryptographic, it will load as many words as needed, including key if not already loaded. It will then request again. Normal model is that the DMA interrupts the processor when its length expires. Note that if the processor will write the key and optionally IV, it should not enable this until it has done so. Otherwise, the DMA will be expected to load those for the 1st block (when needed).\r
- *  0b0..DMA is not used. Processor writes the necessary words when WAITING is set (interrupts), unless AHB Master is used.\r
- *  0b1..DMA will push in the data.\r
- */\r
-#define HASHCRYPT_CTRL_DMA_I(x)                  (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CTRL_DMA_I_SHIFT)) & HASHCRYPT_CTRL_DMA_I_MASK)\r
-#define HASHCRYPT_CTRL_DMA_O_MASK                (0x200U)\r
-#define HASHCRYPT_CTRL_DMA_O_SHIFT               (9U)\r
-/*! DMA_O - Written to 1 to use DMA to drain the digest/output. If both DMA_I and DMA_O are set, the DMA has to know to switch direction and the locations. This can be used for crypto uses.\r
+#define HASHCRYPT_CTRL_NEW_HASH(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CTRL_NEW_HASH_SHIFT)) & HASHCRYPT_CTRL_NEW_HASH_MASK)\r
+#define HASHCRYPT_CTRL_DMA_I_MASK (0x100U)\r
+#define HASHCRYPT_CTRL_DMA_I_SHIFT (8U)\r
+/*! DMA_I - Written with 1 to use DMA to fill INDATA. If Hash, will request from DMA for 16 words\r
+ *    and then will process the Hash. If Cryptographic, it will load as many words as needed,\r
+ *    including key if not already loaded. It will then request again. Normal model is that the DMA\r
+ *    interrupts the processor when its length expires. Note that if the processor will write the key and\r
+ *    optionally IV, it should not enable this until it has done so. Otherwise, the DMA will be\r
+ *    expected to load those for the 1st block (when needed).\r
+ *  0b0..DMA is not used. Processor writes the necessary words when WAITING is set (interrupts), unless AHB Master is\r
+ * used. 0b1..DMA will push in the data.\r
+ */\r
+#define HASHCRYPT_CTRL_DMA_I(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CTRL_DMA_I_SHIFT)) & HASHCRYPT_CTRL_DMA_I_MASK)\r
+#define HASHCRYPT_CTRL_DMA_O_MASK (0x200U)\r
+#define HASHCRYPT_CTRL_DMA_O_SHIFT (9U)\r
+/*! DMA_O - Written to 1 to use DMA to drain the digest/output. If both DMA_I and DMA_O are set, the\r
+ *    DMA has to know to switch direction and the locations. This can be used for crypto uses.\r
  *  0b0..DMA is not used. Processor reads the digest/output in response to DIGEST interrupt.\r
  */\r
-#define HASHCRYPT_CTRL_DMA_O(x)                  (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CTRL_DMA_O_SHIFT)) & HASHCRYPT_CTRL_DMA_O_MASK)\r
-#define HASHCRYPT_CTRL_HASHSWPB_MASK             (0x1000U)\r
-#define HASHCRYPT_CTRL_HASHSWPB_SHIFT            (12U)\r
-#define HASHCRYPT_CTRL_HASHSWPB(x)               (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CTRL_HASHSWPB_SHIFT)) & HASHCRYPT_CTRL_HASHSWPB_MASK)\r
+#define HASHCRYPT_CTRL_DMA_O(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CTRL_DMA_O_SHIFT)) & HASHCRYPT_CTRL_DMA_O_MASK)\r
+#define HASHCRYPT_CTRL_HASHSWPB_MASK (0x1000U)\r
+#define HASHCRYPT_CTRL_HASHSWPB_SHIFT (12U)\r
+/*! HASHSWPB - If 1, will swap bytes in the word for SHA hashing. The default is byte order (so LSB\r
+ *    is 1st byte) but this allows swapping to MSB is 1st such as is shown in SHS spec. For\r
+ *    cryptographic swapping, see the CRYPTCFG register.\r
+ */\r
+#define HASHCRYPT_CTRL_HASHSWPB(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CTRL_HASHSWPB_SHIFT)) & HASHCRYPT_CTRL_HASHSWPB_MASK)\r
 /*! @} */\r
 \r
 /*! @name STATUS - Indicates status of Hash peripheral. */\r
 /*! @{ */\r
-#define HASHCRYPT_STATUS_WAITING_MASK            (0x1U)\r
-#define HASHCRYPT_STATUS_WAITING_SHIFT           (0U)\r
+#define HASHCRYPT_STATUS_WAITING_MASK (0x1U)\r
+#define HASHCRYPT_STATUS_WAITING_SHIFT (0U)\r
 /*! WAITING - If 1, the block is waiting for more data to process.\r
- *  0b0..Not waiting for data - may be disabled or may be busy. Note that for cryptographic uses, this is not set if IsLast is set nor will it set until at least 1 word is read of the output.\r
+ *  0b0..Not waiting for data - may be disabled or may be busy. Note that for cryptographic uses, this is not set\r
+ *       if IsLast is set nor will it set until at least 1 word is read of the output.\r
  *  0b1..Waiting for data to be written in (16 words)\r
  */\r
-#define HASHCRYPT_STATUS_WAITING(x)              (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_STATUS_WAITING_SHIFT)) & HASHCRYPT_STATUS_WAITING_MASK)\r
-#define HASHCRYPT_STATUS_DIGEST_AKA_OUTDATA_MASK (0x2U)\r
-#define HASHCRYPT_STATUS_DIGEST_AKA_OUTDATA_SHIFT (1U)\r
-/*! DIGEST_aka_OUTDATA - For Hash, if 1 then a DIGEST is ready and waiting and there is no active next block already started. For Cryptographic uses, this will be set for each block processed, indicating OUTDATA (and OUTDATA2 if larger output) contains the next value to read out. This is cleared when any data is written, when New is written, for Cryptographic uses when the last word is read out, or when the block is disabled.\r
+#define HASHCRYPT_STATUS_WAITING(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_STATUS_WAITING_SHIFT)) & HASHCRYPT_STATUS_WAITING_MASK)\r
+#define HASHCRYPT_STATUS_DIGEST_MASK (0x2U)\r
+#define HASHCRYPT_STATUS_DIGEST_SHIFT (1U)\r
+/*! DIGEST - For Hash, if 1 then a DIGEST is ready and waiting and there is no active next block\r
+ *    already started. For Cryptographic uses, this will be set for each block processed, indicating\r
+ *    OUTDATA (and OUTDATA2 if larger output) contains the next value to read out. This is cleared\r
+ *    when any data is written, when New is written, for Cryptographic uses when the last word is read\r
+ *    out, or when the block is disabled.\r
  *  0b0..No Digest is ready\r
  *  0b1..Digest is ready. Application may read it or may write more data\r
  */\r
-#define HASHCRYPT_STATUS_DIGEST_AKA_OUTDATA(x)   (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_STATUS_DIGEST_AKA_OUTDATA_SHIFT)) & HASHCRYPT_STATUS_DIGEST_AKA_OUTDATA_MASK)\r
-#define HASHCRYPT_STATUS_ERROR_MASK              (0x4U)\r
-#define HASHCRYPT_STATUS_ERROR_SHIFT             (2U)\r
-/*! ERROR - If 1, an error occurred. For normal uses, this is due to an attempted overrun: INDATA was written when it was not appropriate. For Master cases, this is an AHB bus error; the COUNT field will indicate which block it was on.\r
+#define HASHCRYPT_STATUS_DIGEST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_STATUS_DIGEST_SHIFT)) & HASHCRYPT_STATUS_DIGEST_MASK)\r
+#define HASHCRYPT_STATUS_ERROR_MASK (0x4U)\r
+#define HASHCRYPT_STATUS_ERROR_SHIFT (2U)\r
+/*! ERROR - If 1, an error occurred. For normal uses, this is due to an attempted overrun: INDATA\r
+ *    was written when it was not appropriate. For Master cases, this is an AHB bus error; the COUNT\r
+ *    field will indicate which block it was on.\r
  *  0b0..No error.\r
  *  0b1..An error occurred since last cleared (written 1 to clear).\r
  */\r
-#define HASHCRYPT_STATUS_ERROR(x)                (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_STATUS_ERROR_SHIFT)) & HASHCRYPT_STATUS_ERROR_MASK)\r
-#define HASHCRYPT_STATUS_NEEDKEY_MASK            (0x10U)\r
-#define HASHCRYPT_STATUS_NEEDKEY_SHIFT           (4U)\r
+#define HASHCRYPT_STATUS_ERROR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_STATUS_ERROR_SHIFT)) & HASHCRYPT_STATUS_ERROR_MASK)\r
+#define HASHCRYPT_STATUS_NEEDKEY_MASK (0x10U)\r
+#define HASHCRYPT_STATUS_NEEDKEY_SHIFT (4U)\r
 /*! NEEDKEY - Indicates the block wants the key to be written in (set along with WAITING)\r
  *  0b0..No Key is needed and writes will not be treated as Key\r
  *  0b1..Key is needed and INDATA/ALIAS will be accepted as Key. Will also set WAITING.\r
  */\r
-#define HASHCRYPT_STATUS_NEEDKEY(x)              (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_STATUS_NEEDKEY_SHIFT)) & HASHCRYPT_STATUS_NEEDKEY_MASK)\r
-#define HASHCRYPT_STATUS_NEEDIV_MASK             (0x20U)\r
-#define HASHCRYPT_STATUS_NEEDIV_SHIFT            (5U)\r
+#define HASHCRYPT_STATUS_NEEDKEY(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_STATUS_NEEDKEY_SHIFT)) & HASHCRYPT_STATUS_NEEDKEY_MASK)\r
+#define HASHCRYPT_STATUS_NEEDIV_MASK (0x20U)\r
+#define HASHCRYPT_STATUS_NEEDIV_SHIFT (5U)\r
 /*! NEEDIV - Indicates the block wants an IV/NONE to be written in (set along with WAITING)\r
  *  0b0..No IV/Nonce is needed, either because written already or because not needed.\r
  *  0b1..IV/Nonce is needed and INDATA/ALIAS will be accepted as IV/Nonce. Will also set WAITING.\r
  */\r
-#define HASHCRYPT_STATUS_NEEDIV(x)               (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_STATUS_NEEDIV_SHIFT)) & HASHCRYPT_STATUS_NEEDIV_MASK)\r
-#define HASHCRYPT_STATUS_ICBIDX_MASK             (0x3F0000U)\r
-#define HASHCRYPT_STATUS_ICBIDX_SHIFT            (16U)\r
-#define HASHCRYPT_STATUS_ICBIDX(x)               (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_STATUS_ICBIDX_SHIFT)) & HASHCRYPT_STATUS_ICBIDX_MASK)\r
+#define HASHCRYPT_STATUS_NEEDIV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_STATUS_NEEDIV_SHIFT)) & HASHCRYPT_STATUS_NEEDIV_MASK)\r
+#define HASHCRYPT_STATUS_ICBIDX_MASK (0x3F0000U)\r
+#define HASHCRYPT_STATUS_ICBIDX_SHIFT (16U)\r
+/*! ICBIDX - If ICB-AES is selected, then reads as the ICB index count based on ICBSTRM (from\r
+ *    CRYPTCFG). That is, if 3 bits of ICBSTRM, then this will count from 0 to 7 and then back to 0. On 0,\r
+ *    it has to compute the full ICB, quicker when not 0.\r
+ */\r
+#define HASHCRYPT_STATUS_ICBIDX(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_STATUS_ICBIDX_SHIFT)) & HASHCRYPT_STATUS_ICBIDX_MASK)\r
 /*! @} */\r
 \r
 /*! @name INTENSET - Write 1 to enable interrupts; reads back with which are set. */\r
 /*! @{ */\r
-#define HASHCRYPT_INTENSET_WAITING_MASK          (0x1U)\r
-#define HASHCRYPT_INTENSET_WAITING_SHIFT         (0U)\r
+#define HASHCRYPT_INTENSET_WAITING_MASK (0x1U)\r
+#define HASHCRYPT_INTENSET_WAITING_SHIFT (0U)\r
 /*! WAITING - Indicates if should interrupt when waiting for data input.\r
  *  0b0..Will not interrupt when waiting.\r
  *  0b1..Will interrupt when waiting\r
  */\r
-#define HASHCRYPT_INTENSET_WAITING(x)            (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_INTENSET_WAITING_SHIFT)) & HASHCRYPT_INTENSET_WAITING_MASK)\r
-#define HASHCRYPT_INTENSET_DIGEST_MASK           (0x2U)\r
-#define HASHCRYPT_INTENSET_DIGEST_SHIFT          (1U)\r
-/*! DIGEST - Indicates if should interrupt when Digest (or Outdata) is ready (completed a hash/crypto or completed a full sequence).\r
- *  0b0..Will not interrupt when Digest is ready\r
- *  0b1..Will interrupt when Digest is ready. Interrupt cleared by writing more data, starting a new Hash, or disabling (done).\r
- */\r
-#define HASHCRYPT_INTENSET_DIGEST(x)             (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_INTENSET_DIGEST_SHIFT)) & HASHCRYPT_INTENSET_DIGEST_MASK)\r
-#define HASHCRYPT_INTENSET_ERROR_MASK            (0x4U)\r
-#define HASHCRYPT_INTENSET_ERROR_SHIFT           (2U)\r
+#define HASHCRYPT_INTENSET_WAITING(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_INTENSET_WAITING_SHIFT)) & HASHCRYPT_INTENSET_WAITING_MASK)\r
+#define HASHCRYPT_INTENSET_DIGEST_MASK (0x2U)\r
+#define HASHCRYPT_INTENSET_DIGEST_SHIFT (1U)\r
+/*! DIGEST - Indicates if should interrupt when Digest (or Outdata) is ready (completed a hash/crypto or completed a\r
+ * full sequence). 0b0..Will not interrupt when Digest is ready 0b1..Will interrupt when Digest is ready. Interrupt\r
+ * cleared by writing more data, starting a new Hash, or disabling (done).\r
+ */\r
+#define HASHCRYPT_INTENSET_DIGEST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_INTENSET_DIGEST_SHIFT)) & HASHCRYPT_INTENSET_DIGEST_MASK)\r
+#define HASHCRYPT_INTENSET_ERROR_MASK (0x4U)\r
+#define HASHCRYPT_INTENSET_ERROR_SHIFT (2U)\r
 /*! ERROR - Indicates if should interrupt on an ERROR (as defined in Status)\r
  *  0b0..Will not interrupt on Error.\r
  *  0b1..Will interrupt on Error (until cleared).\r
  */\r
-#define HASHCRYPT_INTENSET_ERROR(x)              (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_INTENSET_ERROR_SHIFT)) & HASHCRYPT_INTENSET_ERROR_MASK)\r
+#define HASHCRYPT_INTENSET_ERROR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_INTENSET_ERROR_SHIFT)) & HASHCRYPT_INTENSET_ERROR_MASK)\r
 /*! @} */\r
 \r
 /*! @name INTENCLR - Write 1 to clear interrupts. */\r
 /*! @{ */\r
-#define HASHCRYPT_INTENCLR_WAITING_MASK          (0x1U)\r
-#define HASHCRYPT_INTENCLR_WAITING_SHIFT         (0U)\r
-#define HASHCRYPT_INTENCLR_WAITING(x)            (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_INTENCLR_WAITING_SHIFT)) & HASHCRYPT_INTENCLR_WAITING_MASK)\r
-#define HASHCRYPT_INTENCLR_DIGEST_MASK           (0x2U)\r
-#define HASHCRYPT_INTENCLR_DIGEST_SHIFT          (1U)\r
-#define HASHCRYPT_INTENCLR_DIGEST(x)             (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_INTENCLR_DIGEST_SHIFT)) & HASHCRYPT_INTENCLR_DIGEST_MASK)\r
-#define HASHCRYPT_INTENCLR_ERROR_MASK            (0x4U)\r
-#define HASHCRYPT_INTENCLR_ERROR_SHIFT           (2U)\r
-#define HASHCRYPT_INTENCLR_ERROR(x)              (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_INTENCLR_ERROR_SHIFT)) & HASHCRYPT_INTENCLR_ERROR_MASK)\r
+#define HASHCRYPT_INTENCLR_WAITING_MASK (0x1U)\r
+#define HASHCRYPT_INTENCLR_WAITING_SHIFT (0U)\r
+/*! WAITING - Write 1 to clear mask.\r
+ */\r
+#define HASHCRYPT_INTENCLR_WAITING(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_INTENCLR_WAITING_SHIFT)) & HASHCRYPT_INTENCLR_WAITING_MASK)\r
+#define HASHCRYPT_INTENCLR_DIGEST_MASK (0x2U)\r
+#define HASHCRYPT_INTENCLR_DIGEST_SHIFT (1U)\r
+/*! DIGEST - Write 1 to clear mask.\r
+ */\r
+#define HASHCRYPT_INTENCLR_DIGEST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_INTENCLR_DIGEST_SHIFT)) & HASHCRYPT_INTENCLR_DIGEST_MASK)\r
+#define HASHCRYPT_INTENCLR_ERROR_MASK (0x4U)\r
+#define HASHCRYPT_INTENCLR_ERROR_SHIFT (2U)\r
+/*! ERROR - Write 1 to clear mask.\r
+ */\r
+#define HASHCRYPT_INTENCLR_ERROR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_INTENCLR_ERROR_SHIFT)) & HASHCRYPT_INTENCLR_ERROR_MASK)\r
 /*! @} */\r
 \r
 /*! @name MEMCTRL - Setup Master to access memory (if available) */\r
 /*! @{ */\r
-#define HASHCRYPT_MEMCTRL_MASTER_MASK            (0x1U)\r
-#define HASHCRYPT_MEMCTRL_MASTER_SHIFT           (0U)\r
-/*! MASTER\r
+#define HASHCRYPT_MEMCTRL_MASTER_MASK (0x1U)\r
+#define HASHCRYPT_MEMCTRL_MASTER_SHIFT (0U)\r
+/*! MASTER - Enables mastering.\r
  *  0b0..Mastering is not used and the normal DMA or Interrupt based model is used with INDATA.\r
  *  0b1..Mastering is enabled and DMA and INDATA should not be used.\r
  */\r
-#define HASHCRYPT_MEMCTRL_MASTER(x)              (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_MEMCTRL_MASTER_SHIFT)) & HASHCRYPT_MEMCTRL_MASTER_MASK)\r
-#define HASHCRYPT_MEMCTRL_COUNT_MASK             (0x7FF0000U)\r
-#define HASHCRYPT_MEMCTRL_COUNT_SHIFT            (16U)\r
-#define HASHCRYPT_MEMCTRL_COUNT(x)               (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_MEMCTRL_COUNT_SHIFT)) & HASHCRYPT_MEMCTRL_COUNT_MASK)\r
+#define HASHCRYPT_MEMCTRL_MASTER(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_MEMCTRL_MASTER_SHIFT)) & HASHCRYPT_MEMCTRL_MASTER_MASK)\r
+#define HASHCRYPT_MEMCTRL_COUNT_MASK (0x7FF0000U)\r
+#define HASHCRYPT_MEMCTRL_COUNT_SHIFT (16U)\r
+/*! COUNT - Number of 512-bit (128-bit if AES, except 1st block which may include key and IV) blocks\r
+ *    to copy starting at MEMADDR. This register will decrement after each block is copied, ending\r
+ *    in 0. For Hash, the DIGEST interrupt will occur when it reaches 0. Fro AES, the DIGEST/OUTDATA\r
+ *    interrupt will occur on ever block. If a bus error occurs, it will stop with this field set\r
+ *    to the block that failed. 0:Done - nothing to process. 1 to 2K: Number of 512-bit (or 128bit)\r
+ *    blocks to hash.\r
+ */\r
+#define HASHCRYPT_MEMCTRL_COUNT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_MEMCTRL_COUNT_SHIFT)) & HASHCRYPT_MEMCTRL_COUNT_MASK)\r
 /*! @} */\r
 \r
 /*! @name MEMADDR - Address to start memory access from (if available). */\r
 /*! @{ */\r
-#define HASHCRYPT_MEMADDR_BASE_MASK              (0xFFFFFFFFU)\r
-#define HASHCRYPT_MEMADDR_BASE_SHIFT             (0U)\r
-#define HASHCRYPT_MEMADDR_BASE(x)                (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_MEMADDR_BASE_SHIFT)) & HASHCRYPT_MEMADDR_BASE_MASK)\r
+#define HASHCRYPT_MEMADDR_BASE_MASK (0xFFFFFFFFU)\r
+#define HASHCRYPT_MEMADDR_BASE_SHIFT (0U)\r
+/*! BASE - Address base to start copying from, word aligned (so bits 1:0 must be 0). This field will\r
+ *    advance as it processes the words. If it fails with a bus error, the register will contain\r
+ *    the failing word. N:Address in Flash or RAM space; RAM only as mapped in this part. May also be\r
+ *    able to address SPIFI.\r
+ */\r
+#define HASHCRYPT_MEMADDR_BASE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_MEMADDR_BASE_SHIFT)) & HASHCRYPT_MEMADDR_BASE_MASK)\r
 /*! @} */\r
 \r
 /*! @name INDATA - Input of 16 words at a time to load up buffer. */\r
 /*! @{ */\r
-#define HASHCRYPT_INDATA_DATA_MASK               (0xFFFFFFFFU)\r
-#define HASHCRYPT_INDATA_DATA_SHIFT              (0U)\r
-#define HASHCRYPT_INDATA_DATA(x)                 (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_INDATA_DATA_SHIFT)) & HASHCRYPT_INDATA_DATA_MASK)\r
+#define HASHCRYPT_INDATA_DATA_MASK (0xFFFFFFFFU)\r
+#define HASHCRYPT_INDATA_DATA_SHIFT (0U)\r
+/*! DATA - Write next word in little-endian form. The hash requires big endian word data, but this\r
+ *    block swaps the bytes automatically. That is, SHA assumes the data coming in is treated as\r
+ *    bytes (e.g. "abcd") and since the ARM core will treat "abcd" as a word as 0x64636261, the block\r
+ *    will swap the word to restore into big endian.\r
+ */\r
+#define HASHCRYPT_INDATA_DATA(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_INDATA_DATA_SHIFT)) & HASHCRYPT_INDATA_DATA_MASK)\r
 /*! @} */\r
 \r
 /*! @name ALIAS -  */\r
 /*! @{ */\r
-#define HASHCRYPT_ALIAS_DATA_MASK                (0xFFFFFFFFU)\r
-#define HASHCRYPT_ALIAS_DATA_SHIFT               (0U)\r
-#define HASHCRYPT_ALIAS_DATA(x)                  (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_ALIAS_DATA_SHIFT)) & HASHCRYPT_ALIAS_DATA_MASK)\r
+#define HASHCRYPT_ALIAS_DATA_MASK (0xFFFFFFFFU)\r
+#define HASHCRYPT_ALIAS_DATA_SHIFT (0U)\r
+/*! DATA - Write next word in little-endian form. The hash requires big endian word data, but this\r
+ *    block swaps the bytes automatically. That is, SHA assumes the data coming in is treated as\r
+ *    bytes (e.g. "abcd") and since the ARM core will treat "abcd" as a word as 0x64636261, the block\r
+ *    will swap the word to restore into big endian.\r
+ */\r
+#define HASHCRYPT_ALIAS_DATA(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_ALIAS_DATA_SHIFT)) & HASHCRYPT_ALIAS_DATA_MASK)\r
 /*! @} */\r
 \r
 /* The count of HASHCRYPT_ALIAS */\r
-#define HASHCRYPT_ALIAS_COUNT                    (7U)\r
+#define HASHCRYPT_ALIAS_COUNT (7U)\r
 \r
-/*! @name OUTDATA0 -  */\r
+/*! @name DIGEST0 -  */\r
 /*! @{ */\r
-#define HASHCRYPT_OUTDATA0_DIGEST_OUTPUT_MASK    (0xFFFFFFFFU)\r
-#define HASHCRYPT_OUTDATA0_DIGEST_OUTPUT_SHIFT   (0U)\r
-#define HASHCRYPT_OUTDATA0_DIGEST_OUTPUT(x)      (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_OUTDATA0_DIGEST_OUTPUT_SHIFT)) & HASHCRYPT_OUTDATA0_DIGEST_OUTPUT_MASK)\r
-/*! @} */\r
-\r
-/* The count of HASHCRYPT_OUTDATA0 */\r
-#define HASHCRYPT_OUTDATA0_COUNT                 (8U)\r
-\r
-/*! @name OUTDATA1 -  */\r
-/*! @{ */\r
-#define HASHCRYPT_OUTDATA1_OUTPUT_MASK           (0xFFFFFFFFU)\r
-#define HASHCRYPT_OUTDATA1_OUTPUT_SHIFT          (0U)\r
-#define HASHCRYPT_OUTDATA1_OUTPUT(x)             (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_OUTDATA1_OUTPUT_SHIFT)) & HASHCRYPT_OUTDATA1_OUTPUT_MASK)\r
+#define HASHCRYPT_DIGEST0_DIGEST_MASK (0xFFFFFFFFU)\r
+#define HASHCRYPT_DIGEST0_DIGEST_SHIFT (0U)\r
+/*! DIGEST - One word of the Digest or output. Note that only 1st 4 are populated for AES and 1st 5 are populated for\r
+ * SHA1.\r
+ */\r
+#define HASHCRYPT_DIGEST0_DIGEST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_DIGEST0_DIGEST_SHIFT)) & HASHCRYPT_DIGEST0_DIGEST_MASK)\r
 /*! @} */\r
 \r
-/* The count of HASHCRYPT_OUTDATA1 */\r
-#define HASHCRYPT_OUTDATA1_COUNT                 (8U)\r
+/* The count of HASHCRYPT_DIGEST0 */\r
+#define HASHCRYPT_DIGEST0_COUNT (8U)\r
 \r
 /*! @name CRYPTCFG - Crypto settings for AES and Salsa and ChaCha */\r
 /*! @{ */\r
-#define HASHCRYPT_CRYPTCFG_MSW1ST_OUT_MASK       (0x1U)\r
-#define HASHCRYPT_CRYPTCFG_MSW1ST_OUT_SHIFT      (0U)\r
-#define HASHCRYPT_CRYPTCFG_MSW1ST_OUT(x)         (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CRYPTCFG_MSW1ST_OUT_SHIFT)) & HASHCRYPT_CRYPTCFG_MSW1ST_OUT_MASK)\r
-#define HASHCRYPT_CRYPTCFG_SWAPKEY_MASK          (0x2U)\r
-#define HASHCRYPT_CRYPTCFG_SWAPKEY_SHIFT         (1U)\r
-#define HASHCRYPT_CRYPTCFG_SWAPKEY(x)            (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CRYPTCFG_SWAPKEY_SHIFT)) & HASHCRYPT_CRYPTCFG_SWAPKEY_MASK)\r
-#define HASHCRYPT_CRYPTCFG_SWAPDAT_MASK          (0x4U)\r
-#define HASHCRYPT_CRYPTCFG_SWAPDAT_SHIFT         (2U)\r
-#define HASHCRYPT_CRYPTCFG_SWAPDAT(x)            (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CRYPTCFG_SWAPDAT_SHIFT)) & HASHCRYPT_CRYPTCFG_SWAPDAT_MASK)\r
-#define HASHCRYPT_CRYPTCFG_MSW1ST_MASK           (0x8U)\r
-#define HASHCRYPT_CRYPTCFG_MSW1ST_SHIFT          (3U)\r
-#define HASHCRYPT_CRYPTCFG_MSW1ST(x)             (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CRYPTCFG_MSW1ST_SHIFT)) & HASHCRYPT_CRYPTCFG_MSW1ST_MASK)\r
-#define HASHCRYPT_CRYPTCFG_AESMODE_MASK          (0x30U)\r
-#define HASHCRYPT_CRYPTCFG_AESMODE_SHIFT         (4U)\r
+#define HASHCRYPT_CRYPTCFG_MSW1ST_OUT_MASK (0x1U)\r
+#define HASHCRYPT_CRYPTCFG_MSW1ST_OUT_SHIFT (0U)\r
+/*! MSW1ST_OUT - If 1, OUTDATA0 will be read Most significant word 1st for AES. Else it will be read\r
+ *    in normal little endian - Least significant word 1st. Note: only if allowed by configuration.\r
+ */\r
+#define HASHCRYPT_CRYPTCFG_MSW1ST_OUT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CRYPTCFG_MSW1ST_OUT_SHIFT)) & HASHCRYPT_CRYPTCFG_MSW1ST_OUT_MASK)\r
+#define HASHCRYPT_CRYPTCFG_SWAPKEY_MASK (0x2U)\r
+#define HASHCRYPT_CRYPTCFG_SWAPKEY_SHIFT (1U)\r
+/*! SWAPKEY - If 1, will Swap the key input (bytes in each word).\r
+ */\r
+#define HASHCRYPT_CRYPTCFG_SWAPKEY(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CRYPTCFG_SWAPKEY_SHIFT)) & HASHCRYPT_CRYPTCFG_SWAPKEY_MASK)\r
+#define HASHCRYPT_CRYPTCFG_SWAPDAT_MASK (0x4U)\r
+#define HASHCRYPT_CRYPTCFG_SWAPDAT_SHIFT (2U)\r
+/*! SWAPDAT - If 1, will SWAP the data and IV inputs (bytes in each word).\r
+ */\r
+#define HASHCRYPT_CRYPTCFG_SWAPDAT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CRYPTCFG_SWAPDAT_SHIFT)) & HASHCRYPT_CRYPTCFG_SWAPDAT_MASK)\r
+#define HASHCRYPT_CRYPTCFG_MSW1ST_MASK (0x8U)\r
+#define HASHCRYPT_CRYPTCFG_MSW1ST_SHIFT (3U)\r
+/*! MSW1ST - If 1, load of key, IV, and data is MSW 1st for AES. Else, the words are little endian.\r
+ *    Note: only if allowed by configuration.\r
+ */\r
+#define HASHCRYPT_CRYPTCFG_MSW1ST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CRYPTCFG_MSW1ST_SHIFT)) & HASHCRYPT_CRYPTCFG_MSW1ST_MASK)\r
+#define HASHCRYPT_CRYPTCFG_AESMODE_MASK (0x30U)\r
+#define HASHCRYPT_CRYPTCFG_AESMODE_SHIFT (4U)\r
 /*! AESMODE - AES Cipher mode to use if plain AES\r
  *  0b00..ECB - used as is\r
  *  0b01..CBC mode (see details on IV/nonce)\r
  *  0b10..CTR mode (see details on IV/nonce). See also AESCTRPOS.\r
  *  0b11..reserved\r
  */\r
-#define HASHCRYPT_CRYPTCFG_AESMODE(x)            (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CRYPTCFG_AESMODE_SHIFT)) & HASHCRYPT_CRYPTCFG_AESMODE_MASK)\r
-#define HASHCRYPT_CRYPTCFG_AESDECRYPT_MASK       (0x40U)\r
-#define HASHCRYPT_CRYPTCFG_AESDECRYPT_SHIFT      (6U)\r
+#define HASHCRYPT_CRYPTCFG_AESMODE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CRYPTCFG_AESMODE_SHIFT)) & HASHCRYPT_CRYPTCFG_AESMODE_MASK)\r
+#define HASHCRYPT_CRYPTCFG_AESDECRYPT_MASK (0x40U)\r
+#define HASHCRYPT_CRYPTCFG_AESDECRYPT_SHIFT (6U)\r
 /*! AESDECRYPT - AES ECB direction. Only encryption used if CTR mode or manual modes such as CFB\r
  *  0b0..Encrypt\r
  *  0b1..Decrypt\r
  */\r
-#define HASHCRYPT_CRYPTCFG_AESDECRYPT(x)         (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CRYPTCFG_AESDECRYPT_SHIFT)) & HASHCRYPT_CRYPTCFG_AESDECRYPT_MASK)\r
-#define HASHCRYPT_CRYPTCFG_AESSECRET_MASK        (0x80U)\r
-#define HASHCRYPT_CRYPTCFG_AESSECRET_SHIFT       (7U)\r
-/*! AESSECRET - Selects the Hidden Secret key vs. User key, if provided. If security levels are used, only the highest level is permitted to select this.\r
+#define HASHCRYPT_CRYPTCFG_AESDECRYPT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CRYPTCFG_AESDECRYPT_SHIFT)) & HASHCRYPT_CRYPTCFG_AESDECRYPT_MASK)\r
+#define HASHCRYPT_CRYPTCFG_AESSECRET_MASK (0x80U)\r
+#define HASHCRYPT_CRYPTCFG_AESSECRET_SHIFT (7U)\r
+/*! AESSECRET - Selects the Hidden Secret key vs. User key, if provided. If security levels are\r
+ *    used, only the highest level is permitted to select this.\r
  *  0b0..User key provided in normal way\r
  *  0b1..Secret key provided in hidden way by HW\r
  */\r
-#define HASHCRYPT_CRYPTCFG_AESSECRET(x)          (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CRYPTCFG_AESSECRET_SHIFT)) & HASHCRYPT_CRYPTCFG_AESSECRET_MASK)\r
-#define HASHCRYPT_CRYPTCFG_AESKEYSZ_MASK         (0x300U)\r
-#define HASHCRYPT_CRYPTCFG_AESKEYSZ_SHIFT        (8U)\r
+#define HASHCRYPT_CRYPTCFG_AESSECRET(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CRYPTCFG_AESSECRET_SHIFT)) & HASHCRYPT_CRYPTCFG_AESSECRET_MASK)\r
+#define HASHCRYPT_CRYPTCFG_AESKEYSZ_MASK (0x300U)\r
+#define HASHCRYPT_CRYPTCFG_AESKEYSZ_SHIFT (8U)\r
 /*! AESKEYSZ - Sets the AES key size\r
  *  0b00..128 bit key\r
  *  0b01..192 bit key\r
  *  0b10..256 bit key\r
  *  0b11..reserved\r
  */\r
-#define HASHCRYPT_CRYPTCFG_AESKEYSZ(x)           (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CRYPTCFG_AESKEYSZ_SHIFT)) & HASHCRYPT_CRYPTCFG_AESKEYSZ_MASK)\r
-#define HASHCRYPT_CRYPTCFG_AESCTRPOS_MASK        (0x1C00U)\r
-#define HASHCRYPT_CRYPTCFG_AESCTRPOS_SHIFT       (10U)\r
-#define HASHCRYPT_CRYPTCFG_AESCTRPOS(x)          (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CRYPTCFG_AESCTRPOS_SHIFT)) & HASHCRYPT_CRYPTCFG_AESCTRPOS_MASK)\r
-#define HASHCRYPT_CRYPTCFG_STREAMLAST_MASK       (0x10000U)\r
-#define HASHCRYPT_CRYPTCFG_STREAMLAST_SHIFT      (16U)\r
-#define HASHCRYPT_CRYPTCFG_STREAMLAST(x)         (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CRYPTCFG_STREAMLAST_SHIFT)) & HASHCRYPT_CRYPTCFG_STREAMLAST_MASK)\r
-#define HASHCRYPT_CRYPTCFG_XSALSA_MASK           (0x20000U)\r
-#define HASHCRYPT_CRYPTCFG_XSALSA_SHIFT          (17U)\r
-#define HASHCRYPT_CRYPTCFG_XSALSA(x)             (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CRYPTCFG_XSALSA_SHIFT)) & HASHCRYPT_CRYPTCFG_XSALSA_MASK)\r
-#define HASHCRYPT_CRYPTCFG_ICBSZ_MASK            (0x300000U)\r
-#define HASHCRYPT_CRYPTCFG_ICBSZ_SHIFT           (20U)\r
-/*! ICBSZ - This sets the ICB size between 32 and 128 bits, using the following rules. Note that the counter is assumed to occupy the low order bits of the IV.\r
+#define HASHCRYPT_CRYPTCFG_AESKEYSZ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CRYPTCFG_AESKEYSZ_SHIFT)) & HASHCRYPT_CRYPTCFG_AESKEYSZ_MASK)\r
+#define HASHCRYPT_CRYPTCFG_AESCTRPOS_MASK (0x1C00U)\r
+#define HASHCRYPT_CRYPTCFG_AESCTRPOS_SHIFT (10U)\r
+/*! AESCTRPOS - Halfword position of 16b counter in IV if AESMODE is CTR (position is fixed for\r
+ *    Salsa and ChaCha). Only supports 16b counter, so application must control any additional bytes if\r
+ *    using more. The 16-bit counter is read from the IV and incremented by 1 each time. Any other\r
+ *    use CTR should use ECB directly and do its own XOR and so on.\r
+ */\r
+#define HASHCRYPT_CRYPTCFG_AESCTRPOS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CRYPTCFG_AESCTRPOS_SHIFT)) & HASHCRYPT_CRYPTCFG_AESCTRPOS_MASK)\r
+#define HASHCRYPT_CRYPTCFG_STREAMLAST_MASK (0x10000U)\r
+#define HASHCRYPT_CRYPTCFG_STREAMLAST_SHIFT (16U)\r
+/*! STREAMLAST - Is 1 if last stream block. If not 1, then the engine will compute the next "hash".\r
+ */\r
+#define HASHCRYPT_CRYPTCFG_STREAMLAST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CRYPTCFG_STREAMLAST_SHIFT)) & HASHCRYPT_CRYPTCFG_STREAMLAST_MASK)\r
+#define HASHCRYPT_CRYPTCFG_ICBSZ_MASK (0x300000U)\r
+#define HASHCRYPT_CRYPTCFG_ICBSZ_SHIFT (20U)\r
+/*! ICBSZ - This sets the ICB size between 32 and 128 bits, using the following rules. Note that the\r
+ *    counter is assumed to occupy the low order bits of the IV.\r
  *  0b00..32 bits of the IV/ctr are used (from 127:96)\r
  *  0b01..64 bits of the IV/ctr are used (from 127:64)\r
  *  0b10..96 bits of the IV/ctr are used (from 127:32)\r
  *  0b11..All 128 bits of the IV/ctr are used\r
  */\r
-#define HASHCRYPT_CRYPTCFG_ICBSZ(x)              (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CRYPTCFG_ICBSZ_SHIFT)) & HASHCRYPT_CRYPTCFG_ICBSZ_MASK)\r
-#define HASHCRYPT_CRYPTCFG_ICBSTRM_MASK          (0xC00000U)\r
-#define HASHCRYPT_CRYPTCFG_ICBSTRM_SHIFT         (22U)\r
-/*! ICBSTRM - The size of the ICB-AES stream that can be pushed before needing to compute a new IV/ctr (counter start). This optimizes the performance of the stream of blocks after the 1st.\r
+#define HASHCRYPT_CRYPTCFG_ICBSZ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CRYPTCFG_ICBSZ_SHIFT)) & HASHCRYPT_CRYPTCFG_ICBSZ_MASK)\r
+#define HASHCRYPT_CRYPTCFG_ICBSTRM_MASK (0xC00000U)\r
+#define HASHCRYPT_CRYPTCFG_ICBSTRM_SHIFT (22U)\r
+/*! ICBSTRM - The size of the ICB-AES stream that can be pushed before needing to compute a new\r
+ *    IV/ctr (counter start). This optimizes the performance of the stream of blocks after the 1st.\r
  *  0b00..8 blocks\r
  *  0b01..16 blocks\r
  *  0b10..32 blocks\r
  *  0b11..64 blocks\r
  */\r
-#define HASHCRYPT_CRYPTCFG_ICBSTRM(x)            (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CRYPTCFG_ICBSTRM_SHIFT)) & HASHCRYPT_CRYPTCFG_ICBSTRM_MASK)\r
+#define HASHCRYPT_CRYPTCFG_ICBSTRM(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CRYPTCFG_ICBSTRM_SHIFT)) & HASHCRYPT_CRYPTCFG_ICBSTRM_MASK)\r
 /*! @} */\r
 \r
 /*! @name CONFIG - Returns the configuration of this block in this chip - indicates what services are available. */\r
 /*! @{ */\r
-#define HASHCRYPT_CONFIG_DUAL_MASK               (0x1U)\r
-#define HASHCRYPT_CONFIG_DUAL_SHIFT              (0U)\r
-#define HASHCRYPT_CONFIG_DUAL(x)                 (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CONFIG_DUAL_SHIFT)) & HASHCRYPT_CONFIG_DUAL_MASK)\r
-#define HASHCRYPT_CONFIG_DMA_MASK                (0x2U)\r
-#define HASHCRYPT_CONFIG_DMA_SHIFT               (1U)\r
-#define HASHCRYPT_CONFIG_DMA(x)                  (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CONFIG_DMA_SHIFT)) & HASHCRYPT_CONFIG_DMA_MASK)\r
-#define HASHCRYPT_CONFIG_AHB_MASK                (0x8U)\r
-#define HASHCRYPT_CONFIG_AHB_SHIFT               (3U)\r
-#define HASHCRYPT_CONFIG_AHB(x)                  (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CONFIG_AHB_SHIFT)) & HASHCRYPT_CONFIG_AHB_MASK)\r
-#define HASHCRYPT_CONFIG_SHA512_MASK             (0x20U)\r
-#define HASHCRYPT_CONFIG_SHA512_SHIFT            (5U)\r
-#define HASHCRYPT_CONFIG_SHA512(x)               (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CONFIG_SHA512_SHIFT)) & HASHCRYPT_CONFIG_SHA512_MASK)\r
-#define HASHCRYPT_CONFIG_AES_MASK                (0x40U)\r
-#define HASHCRYPT_CONFIG_AES_SHIFT               (6U)\r
-#define HASHCRYPT_CONFIG_AES(x)                  (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CONFIG_AES_SHIFT)) & HASHCRYPT_CONFIG_AES_MASK)\r
-#define HASHCRYPT_CONFIG_AESKEY_MASK             (0x80U)\r
-#define HASHCRYPT_CONFIG_AESKEY_SHIFT            (7U)\r
-#define HASHCRYPT_CONFIG_AESKEY(x)               (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CONFIG_AESKEY_SHIFT)) & HASHCRYPT_CONFIG_AESKEY_MASK)\r
-#define HASHCRYPT_CONFIG_SECRET_MASK             (0x100U)\r
-#define HASHCRYPT_CONFIG_SECRET_SHIFT            (8U)\r
-#define HASHCRYPT_CONFIG_SECRET(x)               (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CONFIG_SECRET_SHIFT)) & HASHCRYPT_CONFIG_SECRET_MASK)\r
-#define HASHCRYPT_CONFIG_SALSA_MASK              (0x200U)\r
-#define HASHCRYPT_CONFIG_SALSA_SHIFT             (9U)\r
-#define HASHCRYPT_CONFIG_SALSA(x)                (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CONFIG_SALSA_SHIFT)) & HASHCRYPT_CONFIG_SALSA_MASK)\r
-#define HASHCRYPT_CONFIG_CHACHA_MASK             (0x400U)\r
-#define HASHCRYPT_CONFIG_CHACHA_SHIFT            (10U)\r
-#define HASHCRYPT_CONFIG_CHACHA(x)               (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CONFIG_CHACHA_SHIFT)) & HASHCRYPT_CONFIG_CHACHA_MASK)\r
-#define HASHCRYPT_CONFIG_ICB_MASK                (0x800U)\r
-#define HASHCRYPT_CONFIG_ICB_SHIFT               (11U)\r
-#define HASHCRYPT_CONFIG_ICB(x)                  (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CONFIG_ICB_SHIFT)) & HASHCRYPT_CONFIG_ICB_MASK)\r
+#define HASHCRYPT_CONFIG_DUAL_MASK (0x1U)\r
+#define HASHCRYPT_CONFIG_DUAL_SHIFT (0U)\r
+/*! DUAL - 1 if 2 x 512 bit buffers, 0 if only 1 x 512 bit\r
+ */\r
+#define HASHCRYPT_CONFIG_DUAL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CONFIG_DUAL_SHIFT)) & HASHCRYPT_CONFIG_DUAL_MASK)\r
+#define HASHCRYPT_CONFIG_DMA_MASK (0x2U)\r
+#define HASHCRYPT_CONFIG_DMA_SHIFT (1U)\r
+/*! DMA - 1 if DMA is connected\r
+ */\r
+#define HASHCRYPT_CONFIG_DMA(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CONFIG_DMA_SHIFT)) & HASHCRYPT_CONFIG_DMA_MASK)\r
+#define HASHCRYPT_CONFIG_AHB_MASK (0x8U)\r
+#define HASHCRYPT_CONFIG_AHB_SHIFT (3U)\r
+/*! AHB - 1 if AHB Master is enabled\r
+ */\r
+#define HASHCRYPT_CONFIG_AHB(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CONFIG_AHB_SHIFT)) & HASHCRYPT_CONFIG_AHB_MASK)\r
+#define HASHCRYPT_CONFIG_AES_MASK (0x40U)\r
+#define HASHCRYPT_CONFIG_AES_SHIFT (6U)\r
+/*! AES - 1 if AES 128 included\r
+ */\r
+#define HASHCRYPT_CONFIG_AES(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CONFIG_AES_SHIFT)) & HASHCRYPT_CONFIG_AES_MASK)\r
+#define HASHCRYPT_CONFIG_AESKEY_MASK (0x80U)\r
+#define HASHCRYPT_CONFIG_AESKEY_SHIFT (7U)\r
+/*! AESKEY - 1 if AES 192 and 256 also included\r
+ */\r
+#define HASHCRYPT_CONFIG_AESKEY(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CONFIG_AESKEY_SHIFT)) & HASHCRYPT_CONFIG_AESKEY_MASK)\r
+#define HASHCRYPT_CONFIG_SECRET_MASK (0x100U)\r
+#define HASHCRYPT_CONFIG_SECRET_SHIFT (8U)\r
+/*! SECRET - 1 if AES Secret key available\r
+ */\r
+#define HASHCRYPT_CONFIG_SECRET(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CONFIG_SECRET_SHIFT)) & HASHCRYPT_CONFIG_SECRET_MASK)\r
+#define HASHCRYPT_CONFIG_ICB_MASK (0x800U)\r
+#define HASHCRYPT_CONFIG_ICB_SHIFT (11U)\r
+/*! ICB - 1 if ICB over AES included\r
+ */\r
+#define HASHCRYPT_CONFIG_ICB(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_CONFIG_ICB_SHIFT)) & HASHCRYPT_CONFIG_ICB_MASK)\r
 /*! @} */\r
 \r
 /*! @name LOCK - Lock register allows locking to the current security level or unlocking by the lock holding level. */\r
 /*! @{ */\r
-#define HASHCRYPT_LOCK_SECLOCK_MASK              (0x3U)\r
-#define HASHCRYPT_LOCK_SECLOCK_SHIFT             (0U)\r
-/*! SECLOCK - Write 1 to secure-lock this block (if running in a security state). Write 0 to unlock. If locked already, may only write if at same or higher security level as lock. Reads as: 0 if unlocked, else 1, 2, 3 to indicate security level it is locked at. NOTE: this and ID are the only readable registers if locked and current state is lower than lock level.\r
+#define HASHCRYPT_LOCK_SECLOCK_MASK (0x3U)\r
+#define HASHCRYPT_LOCK_SECLOCK_SHIFT (0U)\r
+/*! SECLOCK - Write 1 to secure-lock this block (if running in a security state). Write 0 to unlock.\r
+ *    If locked already, may only write if at same or higher security level as lock. Reads as: 0 if\r
+ *    unlocked, else 1, 2, 3 to indicate security level it is locked at. NOTE: this and ID are the\r
+ *    only readable registers if locked and current state is lower than lock level.\r
  *  0b00..Unlocks, so block is open to all. But, AHB Master will only issue non-secure requests.\r
  *  0b01..Locks to the current security level. AHB Master will issue requests at this level.\r
  */\r
-#define HASHCRYPT_LOCK_SECLOCK(x)                (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_LOCK_SECLOCK_SHIFT)) & HASHCRYPT_LOCK_SECLOCK_MASK)\r
-#define HASHCRYPT_LOCK_PATTERN_MASK              (0xFFF0U)\r
-#define HASHCRYPT_LOCK_PATTERN_SHIFT             (4U)\r
-#define HASHCRYPT_LOCK_PATTERN(x)                (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_LOCK_PATTERN_SHIFT)) & HASHCRYPT_LOCK_PATTERN_MASK)\r
+#define HASHCRYPT_LOCK_SECLOCK(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_LOCK_SECLOCK_SHIFT)) & HASHCRYPT_LOCK_SECLOCK_MASK)\r
+#define HASHCRYPT_LOCK_PATTERN_MASK (0xFFF0U)\r
+#define HASHCRYPT_LOCK_PATTERN_SHIFT (4U)\r
+/*! PATTERN - Must write 0xA75 to change lock state. A75:Pattern needed to change bits 1:0\r
+ */\r
+#define HASHCRYPT_LOCK_PATTERN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_LOCK_PATTERN_SHIFT)) & HASHCRYPT_LOCK_PATTERN_MASK)\r
 /*! @} */\r
 \r
 /*! @name MASK -  */\r
 /*! @{ */\r
-#define HASHCRYPT_MASK_MASK_MASK                 (0xFFFFFFFFU)\r
-#define HASHCRYPT_MASK_MASK_SHIFT                (0U)\r
-#define HASHCRYPT_MASK_MASK(x)                   (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_MASK_MASK_SHIFT)) & HASHCRYPT_MASK_MASK_MASK)\r
+#define HASHCRYPT_MASK_MASK_MASK (0xFFFFFFFFU)\r
+#define HASHCRYPT_MASK_MASK_SHIFT (0U)\r
+/*! MASK - A random word.\r
+ */\r
+#define HASHCRYPT_MASK_MASK(x) (((uint32_t)(((uint32_t)(x)) << HASHCRYPT_MASK_MASK_SHIFT)) & HASHCRYPT_MASK_MASK_MASK)\r
 /*! @} */\r
 \r
 /* The count of HASHCRYPT_MASK */\r
-#define HASHCRYPT_MASK_COUNT                     (4U)\r
-\r
+#define HASHCRYPT_MASK_COUNT (4U)\r
 \r
 /*!\r
  * @}\r
  */ /* end of group HASHCRYPT_Register_Masks */\r
 \r
-\r
 /* HASHCRYPT - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral HASHCRYPT base address */\r
-  #define HASHCRYPT_BASE                           (0x500A4000u)\r
-  /** Peripheral HASHCRYPT base address */\r
-  #define HASHCRYPT_BASE_NS                        (0x400A4000u)\r
-  /** Peripheral HASHCRYPT base pointer */\r
-  #define HASHCRYPT                                ((HASHCRYPT_Type *)HASHCRYPT_BASE)\r
-  /** Peripheral HASHCRYPT base pointer */\r
-  #define HASHCRYPT_NS                             ((HASHCRYPT_Type *)HASHCRYPT_BASE_NS)\r
-  /** Array initializer of HASHCRYPT peripheral base addresses */\r
-  #define HASHCRYPT_BASE_ADDRS                     { HASHCRYPT_BASE }\r
-  /** Array initializer of HASHCRYPT peripheral base pointers */\r
-  #define HASHCRYPT_BASE_PTRS                      { HASHCRYPT }\r
-  /** Array initializer of HASHCRYPT peripheral base addresses */\r
-  #define HASHCRYPT_BASE_ADDRS_NS                  { HASHCRYPT_BASE_NS }\r
-  /** Array initializer of HASHCRYPT peripheral base pointers */\r
-  #define HASHCRYPT_BASE_PTRS_NS                   { HASHCRYPT_NS }\r
+/** Peripheral HASHCRYPT base address */\r
+#define HASHCRYPT_BASE (0x500A4000u)\r
+/** Peripheral HASHCRYPT base address */\r
+#define HASHCRYPT_BASE_NS (0x400A4000u)\r
+/** Peripheral HASHCRYPT base pointer */\r
+#define HASHCRYPT ((HASHCRYPT_Type *)HASHCRYPT_BASE)\r
+/** Peripheral HASHCRYPT base pointer */\r
+#define HASHCRYPT_NS ((HASHCRYPT_Type *)HASHCRYPT_BASE_NS)\r
+/** Array initializer of HASHCRYPT peripheral base addresses */\r
+#define HASHCRYPT_BASE_ADDRS \\r
+    {                        \\r
+        HASHCRYPT_BASE       \\r
+    }\r
+/** Array initializer of HASHCRYPT peripheral base pointers */\r
+#define HASHCRYPT_BASE_PTRS \\r
+    {                       \\r
+        HASHCRYPT           \\r
+    }\r
+/** Array initializer of HASHCRYPT peripheral base addresses */\r
+#define HASHCRYPT_BASE_ADDRS_NS \\r
+    {                           \\r
+        HASHCRYPT_BASE_NS       \\r
+    }\r
+/** Array initializer of HASHCRYPT peripheral base pointers */\r
+#define HASHCRYPT_BASE_PTRS_NS \\r
+    {                          \\r
+        HASHCRYPT_NS           \\r
+    }\r
 #else\r
-  /** Peripheral HASHCRYPT base address */\r
-  #define HASHCRYPT_BASE                           (0x400A4000u)\r
-  /** Peripheral HASHCRYPT base pointer */\r
-  #define HASHCRYPT                                ((HASHCRYPT_Type *)HASHCRYPT_BASE)\r
-  /** Array initializer of HASHCRYPT peripheral base addresses */\r
-  #define HASHCRYPT_BASE_ADDRS                     { HASHCRYPT_BASE }\r
-  /** Array initializer of HASHCRYPT peripheral base pointers */\r
-  #define HASHCRYPT_BASE_PTRS                      { HASHCRYPT }\r
+/** Peripheral HASHCRYPT base address */\r
+#define HASHCRYPT_BASE (0x400A4000u)\r
+/** Peripheral HASHCRYPT base pointer */\r
+#define HASHCRYPT ((HASHCRYPT_Type *)HASHCRYPT_BASE)\r
+/** Array initializer of HASHCRYPT peripheral base addresses */\r
+#define HASHCRYPT_BASE_ADDRS \\r
+    {                        \\r
+        HASHCRYPT_BASE       \\r
+    }\r
+/** Array initializer of HASHCRYPT peripheral base pointers */\r
+#define HASHCRYPT_BASE_PTRS \\r
+    {                       \\r
+        HASHCRYPT           \\r
+    }\r
 #endif\r
 \r
 /*!\r
  * @}\r
  */ /* end of group HASHCRYPT_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- I2C Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -8343,28 +11148,31 @@ typedef struct {
  */\r
 \r
 /** I2C - Register Layout Typedef */\r
-typedef struct {\r
-       uint8_t RESERVED_0[2048];\r
-  __IO uint32_t CFG;                               /**< Configuration for shared functions., offset: 0x800 */\r
-  __IO uint32_t STAT;                              /**< Status register for Master, Slave, and Monitor functions., offset: 0x804 */\r
-  __IO uint32_t INTENSET;                          /**< Interrupt Enable Set and read register., offset: 0x808 */\r
-  __O  uint32_t INTENCLR;                          /**< Interrupt Enable Clear register., offset: 0x80C */\r
-  __IO uint32_t TIMEOUT;                           /**< Time-out value register., offset: 0x810 */\r
-  __IO uint32_t CLKDIV;                            /**< Clock pre-divider for the entire I2C interface. This determines what time increments are used for the MSTTIME register, and controls some timing of the Slave function., offset: 0x814 */\r
-  __I  uint32_t INTSTAT;                           /**< Interrupt Status register for Master, Slave, and Monitor functions., offset: 0x818 */\r
-       uint8_t RESERVED_1[4];\r
-  __IO uint32_t MSTCTL;                            /**< Master control register., offset: 0x820 */\r
-  __IO uint32_t MSTTIME;                           /**< Master timing configuration., offset: 0x824 */\r
-  __IO uint32_t MSTDAT;                            /**< Combined Master receiver and transmitter data register., offset: 0x828 */\r
-       uint8_t RESERVED_2[20];\r
-  __IO uint32_t SLVCTL;                            /**< Slave control register., offset: 0x840 */\r
-  __IO uint32_t SLVDAT;                            /**< Combined Slave receiver and transmitter data register., offset: 0x844 */\r
-  __IO uint32_t SLVADR[4];                         /**< Slave address register., array offset: 0x848, array step: 0x4 */\r
-  __IO uint32_t SLVQUAL0;                          /**< Slave Qualification for address 0., offset: 0x858 */\r
-       uint8_t RESERVED_3[36];\r
-  __I  uint32_t MONRXDAT;                          /**< Monitor receiver data register., offset: 0x880 */\r
-       uint8_t RESERVED_4[1912];\r
-  __I  uint32_t ID;                                /**< Peripheral identification register., offset: 0xFFC */\r
+typedef struct\r
+{\r
+    uint8_t RESERVED_0[2048];\r
+    __IO uint32_t CFG;      /**< Configuration for shared functions., offset: 0x800 */\r
+    __IO uint32_t STAT;     /**< Status register for Master, Slave, and Monitor functions., offset: 0x804 */\r
+    __IO uint32_t INTENSET; /**< Interrupt Enable Set and read register., offset: 0x808 */\r
+    __O uint32_t INTENCLR;  /**< Interrupt Enable Clear register., offset: 0x80C */\r
+    __IO uint32_t TIMEOUT;  /**< Time-out value register., offset: 0x810 */\r
+    __IO uint32_t\r
+        CLKDIV; /**< Clock pre-divider for the entire I2C interface. This determines what time increments are used for\r
+                   the MSTTIME register, and controls some timing of the Slave function., offset: 0x814 */\r
+    __I uint32_t INTSTAT; /**< Interrupt Status register for Master, Slave, and Monitor functions., offset: 0x818 */\r
+    uint8_t RESERVED_1[4];\r
+    __IO uint32_t MSTCTL;  /**< Master control register., offset: 0x820 */\r
+    __IO uint32_t MSTTIME; /**< Master timing configuration., offset: 0x824 */\r
+    __IO uint32_t MSTDAT;  /**< Combined Master receiver and transmitter data register., offset: 0x828 */\r
+    uint8_t RESERVED_2[20];\r
+    __IO uint32_t SLVCTL;    /**< Slave control register., offset: 0x840 */\r
+    __IO uint32_t SLVDAT;    /**< Combined Slave receiver and transmitter data register., offset: 0x844 */\r
+    __IO uint32_t SLVADR[4]; /**< Slave address register., array offset: 0x848, array step: 0x4 */\r
+    __IO uint32_t SLVQUAL0;  /**< Slave Qualification for address 0., offset: 0x858 */\r
+    uint8_t RESERVED_3[36];\r
+    __I uint32_t MONRXDAT; /**< Monitor receiver data register., offset: 0x880 */\r
+    uint8_t RESERVED_4[1912];\r
+    __I uint32_t ID; /**< Peripheral identification register., offset: 0xFFC */\r
 } I2C_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -8378,381 +11186,555 @@ typedef struct {
 \r
 /*! @name CFG - Configuration for shared functions. */\r
 /*! @{ */\r
-#define I2C_CFG_MSTEN_MASK                       (0x1U)\r
-#define I2C_CFG_MSTEN_SHIFT                      (0U)\r
-/*! MSTEN - Master Enable. When disabled, configurations settings for the Master function are not changed, but the Master function is internally reset.\r
+#define I2C_CFG_MSTEN_MASK (0x1U)\r
+#define I2C_CFG_MSTEN_SHIFT (0U)\r
+/*! MSTEN - Master Enable. When disabled, configurations settings for the Master function are not\r
+ *    changed, but the Master function is internally reset.\r
  *  0b0..Disabled. The I2C Master function is disabled.\r
  *  0b1..Enabled. The I2C Master function is enabled.\r
  */\r
-#define I2C_CFG_MSTEN(x)                         (((uint32_t)(((uint32_t)(x)) << I2C_CFG_MSTEN_SHIFT)) & I2C_CFG_MSTEN_MASK)\r
-#define I2C_CFG_SLVEN_MASK                       (0x2U)\r
-#define I2C_CFG_SLVEN_SHIFT                      (1U)\r
-/*! SLVEN - Slave Enable. When disabled, configurations settings for the Slave function are not changed, but the Slave function is internally reset.\r
+#define I2C_CFG_MSTEN(x) (((uint32_t)(((uint32_t)(x)) << I2C_CFG_MSTEN_SHIFT)) & I2C_CFG_MSTEN_MASK)\r
+#define I2C_CFG_SLVEN_MASK (0x2U)\r
+#define I2C_CFG_SLVEN_SHIFT (1U)\r
+/*! SLVEN - Slave Enable. When disabled, configurations settings for the Slave function are not\r
+ *    changed, but the Slave function is internally reset.\r
  *  0b0..Disabled. The I2C slave function is disabled.\r
  *  0b1..Enabled. The I2C slave function is enabled.\r
  */\r
-#define I2C_CFG_SLVEN(x)                         (((uint32_t)(((uint32_t)(x)) << I2C_CFG_SLVEN_SHIFT)) & I2C_CFG_SLVEN_MASK)\r
-#define I2C_CFG_MONEN_MASK                       (0x4U)\r
-#define I2C_CFG_MONEN_SHIFT                      (2U)\r
-/*! MONEN - Monitor Enable. When disabled, configurations settings for the Monitor function are not changed, but the Monitor function is internally reset.\r
+#define I2C_CFG_SLVEN(x) (((uint32_t)(((uint32_t)(x)) << I2C_CFG_SLVEN_SHIFT)) & I2C_CFG_SLVEN_MASK)\r
+#define I2C_CFG_MONEN_MASK (0x4U)\r
+#define I2C_CFG_MONEN_SHIFT (2U)\r
+/*! MONEN - Monitor Enable. When disabled, configurations settings for the Monitor function are not\r
+ *    changed, but the Monitor function is internally reset.\r
  *  0b0..Disabled. The I2C Monitor function is disabled.\r
  *  0b1..Enabled. The I2C Monitor function is enabled.\r
  */\r
-#define I2C_CFG_MONEN(x)                         (((uint32_t)(((uint32_t)(x)) << I2C_CFG_MONEN_SHIFT)) & I2C_CFG_MONEN_MASK)\r
-#define I2C_CFG_TIMEOUTEN_MASK                   (0x8U)\r
-#define I2C_CFG_TIMEOUTEN_SHIFT                  (3U)\r
+#define I2C_CFG_MONEN(x) (((uint32_t)(((uint32_t)(x)) << I2C_CFG_MONEN_SHIFT)) & I2C_CFG_MONEN_MASK)\r
+#define I2C_CFG_TIMEOUTEN_MASK (0x8U)\r
+#define I2C_CFG_TIMEOUTEN_SHIFT (3U)\r
 /*! TIMEOUTEN - I2C bus Time-out Enable. When disabled, the time-out function is internally reset.\r
  *  0b0..Disabled. Time-out function is disabled.\r
- *  0b1..Enabled. Time-out function is enabled. Both types of time-out flags will be generated and will cause interrupts if they are enabled. Typically, only one time-out will be used in a system.\r
+ *  0b1..Enabled. Time-out function is enabled. Both types of time-out flags will be generated and will cause\r
+ *       interrupts if they are enabled. Typically, only one time-out will be used in a system.\r
  */\r
-#define I2C_CFG_TIMEOUTEN(x)                     (((uint32_t)(((uint32_t)(x)) << I2C_CFG_TIMEOUTEN_SHIFT)) & I2C_CFG_TIMEOUTEN_MASK)\r
-#define I2C_CFG_MONCLKSTR_MASK                   (0x10U)\r
-#define I2C_CFG_MONCLKSTR_SHIFT                  (4U)\r
+#define I2C_CFG_TIMEOUTEN(x) (((uint32_t)(((uint32_t)(x)) << I2C_CFG_TIMEOUTEN_SHIFT)) & I2C_CFG_TIMEOUTEN_MASK)\r
+#define I2C_CFG_MONCLKSTR_MASK (0x10U)\r
+#define I2C_CFG_MONCLKSTR_SHIFT (4U)\r
 /*! MONCLKSTR - Monitor function Clock Stretching.\r
- *  0b0..Disabled. The Monitor function will not perform clock stretching. Software or DMA may not always be able to read data provided by the Monitor function before it is overwritten. This mode may be used when non-invasive monitoring is critical.\r
- *  0b1..Enabled. The Monitor function will perform clock stretching in order to ensure that software or DMA can read all incoming data supplied by the Monitor function.\r
- */\r
-#define I2C_CFG_MONCLKSTR(x)                     (((uint32_t)(((uint32_t)(x)) << I2C_CFG_MONCLKSTR_SHIFT)) & I2C_CFG_MONCLKSTR_MASK)\r
-#define I2C_CFG_HSCAPABLE_MASK                   (0x20U)\r
-#define I2C_CFG_HSCAPABLE_SHIFT                  (5U)\r
-/*! HSCAPABLE - High-speed mode Capable enable. Since High Speed mode alters the way I2C pins drive and filter, as well as the timing for certain I2C signalling, enabling High-speed mode applies to all functions: Master, Slave, and Monitor.\r
- *  0b0..Fast-mode plus. The I 2C interface will support Standard-mode, Fast-mode, and Fast-mode Plus, to the extent that the pin electronics support these modes. Any changes that need to be made to the pin controls, such as changing the drive strength or filtering, must be made by software via the IOCON register associated with each I2C pin,\r
- *  0b1..High-speed. In addition to Standard-mode, Fast-mode, and Fast-mode Plus, the I 2C interface will support High-speed mode to the extent that the pin electronics support these modes. See Section 25.7.2.2 for more information.\r
- */\r
-#define I2C_CFG_HSCAPABLE(x)                     (((uint32_t)(((uint32_t)(x)) << I2C_CFG_HSCAPABLE_SHIFT)) & I2C_CFG_HSCAPABLE_MASK)\r
+ *  0b0..Disabled. The Monitor function will not perform clock stretching. Software or DMA may not always be able\r
+ *       to read data provided by the Monitor function before it is overwritten. This mode may be used when\r
+ *       non-invasive monitoring is critical.\r
+ *  0b1..Enabled. The Monitor function will perform clock stretching in order to ensure that software or DMA can\r
+ *       read all incoming data supplied by the Monitor function.\r
+ */\r
+#define I2C_CFG_MONCLKSTR(x) (((uint32_t)(((uint32_t)(x)) << I2C_CFG_MONCLKSTR_SHIFT)) & I2C_CFG_MONCLKSTR_MASK)\r
+#define I2C_CFG_HSCAPABLE_MASK (0x20U)\r
+#define I2C_CFG_HSCAPABLE_SHIFT (5U)\r
+/*! HSCAPABLE - High-speed mode Capable enable. Since High Speed mode alters the way I2C pins drive\r
+ *    and filter, as well as the timing for certain I2C signalling, enabling High-speed mode applies\r
+ *    to all functions: Master, Slave, and Monitor.\r
+ *  0b0..Fast-mode plus. The I 2C interface will support Standard-mode, Fast-mode, and Fast-mode Plus, to the\r
+ *       extent that the pin electronics support these modes. Any changes that need to be made to the pin controls,\r
+ *       such as changing the drive strength or filtering, must be made by software via the IOCON register associated\r
+ *       with each I2C pin,\r
+ *  0b1..High-speed. In addition to Standard-mode, Fast-mode, and Fast-mode Plus, the I 2C interface will support\r
+ *       High-speed mode to the extent that the pin electronics support these modes. See Section 25.7.2.2 for more\r
+ *       information.\r
+ */\r
+#define I2C_CFG_HSCAPABLE(x) (((uint32_t)(((uint32_t)(x)) << I2C_CFG_HSCAPABLE_SHIFT)) & I2C_CFG_HSCAPABLE_MASK)\r
 /*! @} */\r
 \r
 /*! @name STAT - Status register for Master, Slave, and Monitor functions. */\r
 /*! @{ */\r
-#define I2C_STAT_MSTPENDING_MASK                 (0x1U)\r
-#define I2C_STAT_MSTPENDING_SHIFT                (0U)\r
-/*! MSTPENDING - Master Pending. Indicates that the Master is waiting to continue communication on the I2C-bus (pending) or is idle. When the master is pending, the MSTSTATE bits indicate what type of software service if any the master expects. This flag will cause an interrupt when set if, enabled via the INTENSET register. The MSTPENDING flag is not set when the DMA is handling an event (if the MSTDMA bit in the MSTCTL register is set). If the master is in the idle state, and no communication is needed, mask this interrupt.\r
- *  0b0..In progress. Communication is in progress and the Master function is busy and cannot currently accept a command.\r
- *  0b1..Pending. The Master function needs software service or is in the idle state. If the master is not in the idle state, it is waiting to receive or transmit data or the NACK bit.\r
- */\r
-#define I2C_STAT_MSTPENDING(x)                   (((uint32_t)(((uint32_t)(x)) << I2C_STAT_MSTPENDING_SHIFT)) & I2C_STAT_MSTPENDING_MASK)\r
-#define I2C_STAT_MSTSTATE_MASK                   (0xEU)\r
-#define I2C_STAT_MSTSTATE_SHIFT                  (1U)\r
-/*! MSTSTATE - Master State code. The master state code reflects the master state when the MSTPENDING bit is set, that is the master is pending or in the idle state. Each value of this field indicates a specific required service for the Master function. All other values are reserved. See Table 400 for details of state values and appropriate responses.\r
+#define I2C_STAT_MSTPENDING_MASK (0x1U)\r
+#define I2C_STAT_MSTPENDING_SHIFT (0U)\r
+/*! MSTPENDING - Master Pending. Indicates that the Master is waiting to continue communication on\r
+ *    the I2C-bus (pending) or is idle. When the master is pending, the MSTSTATE bits indicate what\r
+ *    type of software service if any the master expects. This flag will cause an interrupt when set\r
+ *    if, enabled via the INTENSET register. The MSTPENDING flag is not set when the DMA is handling\r
+ *    an event (if the MSTDMA bit in the MSTCTL register is set). If the master is in the idle\r
+ *    state, and no communication is needed, mask this interrupt.\r
+ *  0b0..In progress. Communication is in progress and the Master function is busy and cannot currently accept a\r
+ * command. 0b1..Pending. The Master function needs software service or is in the idle state. If the master is not in\r
+ * the idle state, it is waiting to receive or transmit data or the NACK bit.\r
+ */\r
+#define I2C_STAT_MSTPENDING(x) (((uint32_t)(((uint32_t)(x)) << I2C_STAT_MSTPENDING_SHIFT)) & I2C_STAT_MSTPENDING_MASK)\r
+#define I2C_STAT_MSTSTATE_MASK (0xEU)\r
+#define I2C_STAT_MSTSTATE_SHIFT (1U)\r
+/*! MSTSTATE - Master State code. The master state code reflects the master state when the\r
+ *    MSTPENDING bit is set, that is the master is pending or in the idle state. Each value of this field\r
+ *    indicates a specific required service for the Master function. All other values are reserved. See\r
+ *    Table 400 for details of state values and appropriate responses.\r
  *  0b000..Idle. The Master function is available to be used for a new transaction.\r
- *  0b001..Receive ready. Received data available (Master Receiver mode). Address plus Read was previously sent and Acknowledged by slave.\r
- *  0b010..Transmit ready. Data can be transmitted (Master Transmitter mode). Address plus Write was previously sent and Acknowledged by slave.\r
- *  0b011..NACK Address. Slave NACKed address.\r
- *  0b100..NACK Data. Slave NACKed transmitted data.\r
- */\r
-#define I2C_STAT_MSTSTATE(x)                     (((uint32_t)(((uint32_t)(x)) << I2C_STAT_MSTSTATE_SHIFT)) & I2C_STAT_MSTSTATE_MASK)\r
-#define I2C_STAT_MSTARBLOSS_MASK                 (0x10U)\r
-#define I2C_STAT_MSTARBLOSS_SHIFT                (4U)\r
-/*! MSTARBLOSS - Master Arbitration Loss flag. This flag can be cleared by software writing a 1 to this bit. It is also cleared automatically a 1 is written to MSTCONTINUE.\r
+ *  0b001..Receive ready. Received data available (Master Receiver mode). Address plus Read was previously sent and\r
+ * Acknowledged by slave. 0b010..Transmit ready. Data can be transmitted (Master Transmitter mode). Address plus Write\r
+ * was previously sent and Acknowledged by slave. 0b011..NACK Address. Slave NACKed address. 0b100..NACK Data. Slave\r
+ * NACKed transmitted data.\r
+ */\r
+#define I2C_STAT_MSTSTATE(x) (((uint32_t)(((uint32_t)(x)) << I2C_STAT_MSTSTATE_SHIFT)) & I2C_STAT_MSTSTATE_MASK)\r
+#define I2C_STAT_MSTARBLOSS_MASK (0x10U)\r
+#define I2C_STAT_MSTARBLOSS_SHIFT (4U)\r
+/*! MSTARBLOSS - Master Arbitration Loss flag. This flag can be cleared by software writing a 1 to\r
+ *    this bit. It is also cleared automatically a 1 is written to MSTCONTINUE.\r
  *  0b0..No Arbitration Loss has occurred.\r
- *  0b1..Arbitration loss. The Master function has experienced an Arbitration Loss. At this point, the Master function has already stopped driving the bus and gone to an idle state. Software can respond by doing nothing, or by sending a Start in order to attempt to gain control of the bus when it next becomes idle.\r
- */\r
-#define I2C_STAT_MSTARBLOSS(x)                   (((uint32_t)(((uint32_t)(x)) << I2C_STAT_MSTARBLOSS_SHIFT)) & I2C_STAT_MSTARBLOSS_MASK)\r
-#define I2C_STAT_MSTSTSTPERR_MASK                (0x40U)\r
-#define I2C_STAT_MSTSTSTPERR_SHIFT               (6U)\r
-/*! MSTSTSTPERR - Master Start/Stop Error flag. This flag can be cleared by software writing a 1 to this bit. It is also cleared automatically a 1 is written to MSTCONTINUE.\r
+ *  0b1..Arbitration loss. The Master function has experienced an Arbitration Loss. At this point, the Master\r
+ *       function has already stopped driving the bus and gone to an idle state. Software can respond by doing nothing,\r
+ *       or by sending a Start in order to attempt to gain control of the bus when it next becomes idle.\r
+ */\r
+#define I2C_STAT_MSTARBLOSS(x) (((uint32_t)(((uint32_t)(x)) << I2C_STAT_MSTARBLOSS_SHIFT)) & I2C_STAT_MSTARBLOSS_MASK)\r
+#define I2C_STAT_MSTSTSTPERR_MASK (0x40U)\r
+#define I2C_STAT_MSTSTSTPERR_SHIFT (6U)\r
+/*! MSTSTSTPERR - Master Start/Stop Error flag. This flag can be cleared by software writing a 1 to\r
+ *    this bit. It is also cleared automatically a 1 is written to MSTCONTINUE.\r
  *  0b0..No Start/Stop Error has occurred.\r
- *  0b1..The Master function has experienced a Start/Stop Error. A Start or Stop was detected at a time when it is not allowed by the I2C specification. The Master interface has stopped driving the bus and gone to an idle state, no action is required. A request for a Start could be made, or software could attempt to insure that the bus has not stalled.\r
- */\r
-#define I2C_STAT_MSTSTSTPERR(x)                  (((uint32_t)(((uint32_t)(x)) << I2C_STAT_MSTSTSTPERR_SHIFT)) & I2C_STAT_MSTSTSTPERR_MASK)\r
-#define I2C_STAT_SLVPENDING_MASK                 (0x100U)\r
-#define I2C_STAT_SLVPENDING_SHIFT                (8U)\r
-/*! SLVPENDING - Slave Pending. Indicates that the Slave function is waiting to continue communication on the I2C-bus and needs software service. This flag will cause an interrupt when set if enabled via INTENSET. The SLVPENDING flag is not set when the DMA is handling an event (if the SLVDMA bit in the SLVCTL register is set). The SLVPENDING flag is read-only and is automatically cleared when a 1 is written to the SLVCONTINUE bit in the SLVCTL register. The point in time when SlvPending is set depends on whether the I2C interface is in HSCAPABLE mode. See Section 25.7.2.2.2. When the I2C interface is configured to be HSCAPABLE, HS master codes are detected automatically. Due to the requirements of the HS I2C specification, slave addresses must also be detected automatically, since the address must be acknowledged before the clock can be stretched.\r
+ *  0b1..The Master function has experienced a Start/Stop Error. A Start or Stop was detected at a time when it is\r
+ *       not allowed by the I2C specification. The Master interface has stopped driving the bus and gone to an\r
+ *       idle state, no action is required. A request for a Start could be made, or software could attempt to insure\r
+ *       that the bus has not stalled.\r
+ */\r
+#define I2C_STAT_MSTSTSTPERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_STAT_MSTSTSTPERR_SHIFT)) & I2C_STAT_MSTSTSTPERR_MASK)\r
+#define I2C_STAT_SLVPENDING_MASK (0x100U)\r
+#define I2C_STAT_SLVPENDING_SHIFT (8U)\r
+/*! SLVPENDING - Slave Pending. Indicates that the Slave function is waiting to continue\r
+ *    communication on the I2C-bus and needs software service. This flag will cause an interrupt when set if\r
+ *    enabled via INTENSET. The SLVPENDING flag is not set when the DMA is handling an event (if the\r
+ *    SLVDMA bit in the SLVCTL register is set). The SLVPENDING flag is read-only and is\r
+ *    automatically cleared when a 1 is written to the SLVCONTINUE bit in the SLVCTL register. The point in time\r
+ *    when SlvPending is set depends on whether the I2C interface is in HSCAPABLE mode. See Section\r
+ *    25.7.2.2.2. When the I2C interface is configured to be HSCAPABLE, HS master codes are\r
+ *    detected automatically. Due to the requirements of the HS I2C specification, slave addresses must\r
+ *    also be detected automatically, since the address must be acknowledged before the clock can be\r
+ *    stretched.\r
  *  0b0..In progress. The Slave function does not currently need service.\r
- *  0b1..Pending. The Slave function needs service. Information on what is needed can be found in the adjacent SLVSTATE field.\r
- */\r
-#define I2C_STAT_SLVPENDING(x)                   (((uint32_t)(((uint32_t)(x)) << I2C_STAT_SLVPENDING_SHIFT)) & I2C_STAT_SLVPENDING_MASK)\r
-#define I2C_STAT_SLVSTATE_MASK                   (0x600U)\r
-#define I2C_STAT_SLVSTATE_SHIFT                  (9U)\r
-/*! SLVSTATE - Slave State code. Each value of this field indicates a specific required service for the Slave function. All other values are reserved. See Table 401 for state values and actions. note that the occurrence of some states and how they are handled are affected by DMA mode and Automatic Operation modes.\r
- *  0b00..Slave address. Address plus R/W received. At least one of the four slave addresses has been matched by hardware.\r
- *  0b01..Slave receive. Received data is available (Slave Receiver mode).\r
- *  0b10..Slave transmit. Data can be transmitted (Slave Transmitter mode).\r
- */\r
-#define I2C_STAT_SLVSTATE(x)                     (((uint32_t)(((uint32_t)(x)) << I2C_STAT_SLVSTATE_SHIFT)) & I2C_STAT_SLVSTATE_MASK)\r
-#define I2C_STAT_SLVNOTSTR_MASK                  (0x800U)\r
-#define I2C_STAT_SLVNOTSTR_SHIFT                 (11U)\r
-/*! SLVNOTSTR - Slave Not Stretching. Indicates when the slave function is stretching the I2C clock. This is needed in order to gracefully invoke Deep Sleep or Power-down modes during slave operation. This read-only flag reflects the slave function status in real time.\r
- *  0b0..Stretching. The slave function is currently stretching the I2C bus clock. Deep-Sleep or Power-down mode cannot be entered at this time.\r
- *  0b1..Not stretching. The slave function is not currently stretching the I 2C bus clock. Deep-sleep or Power-down mode could be entered at this time.\r
- */\r
-#define I2C_STAT_SLVNOTSTR(x)                    (((uint32_t)(((uint32_t)(x)) << I2C_STAT_SLVNOTSTR_SHIFT)) & I2C_STAT_SLVNOTSTR_MASK)\r
-#define I2C_STAT_SLVIDX_MASK                     (0x3000U)\r
-#define I2C_STAT_SLVIDX_SHIFT                    (12U)\r
-/*! SLVIDX - Slave address match Index. This field is valid when the I2C slave function has been selected by receiving an address that matches one of the slave addresses defined by any enabled slave address registers, and provides an identification of the address that was matched. It is possible that more than one address could be matched, but only one match can be reported here.\r
+ *  0b1..Pending. The Slave function needs service. Information on what is needed can be found in the adjacent SLVSTATE\r
+ * field.\r
+ */\r
+#define I2C_STAT_SLVPENDING(x) (((uint32_t)(((uint32_t)(x)) << I2C_STAT_SLVPENDING_SHIFT)) & I2C_STAT_SLVPENDING_MASK)\r
+#define I2C_STAT_SLVSTATE_MASK (0x600U)\r
+#define I2C_STAT_SLVSTATE_SHIFT (9U)\r
+/*! SLVSTATE - Slave State code. Each value of this field indicates a specific required service for\r
+ *    the Slave function. All other values are reserved. See Table 401 for state values and actions.\r
+ *    note that the occurrence of some states and how they are handled are affected by DMA mode and\r
+ *    Automatic Operation modes.\r
+ *  0b00..Slave address. Address plus R/W received. At least one of the four slave addresses has been matched by\r
+ * hardware. 0b01..Slave receive. Received data is available (Slave Receiver mode). 0b10..Slave transmit. Data can be\r
+ * transmitted (Slave Transmitter mode).\r
+ */\r
+#define I2C_STAT_SLVSTATE(x) (((uint32_t)(((uint32_t)(x)) << I2C_STAT_SLVSTATE_SHIFT)) & I2C_STAT_SLVSTATE_MASK)\r
+#define I2C_STAT_SLVNOTSTR_MASK (0x800U)\r
+#define I2C_STAT_SLVNOTSTR_SHIFT (11U)\r
+/*! SLVNOTSTR - Slave Not Stretching. Indicates when the slave function is stretching the I2C clock.\r
+ *    This is needed in order to gracefully invoke Deep Sleep or Power-down modes during slave\r
+ *    operation. This read-only flag reflects the slave function status in real time.\r
+ *  0b0..Stretching. The slave function is currently stretching the I2C bus clock. Deep-Sleep or Power-down mode cannot\r
+ * be entered at this time. 0b1..Not stretching. The slave function is not currently stretching the I 2C bus clock.\r
+ * Deep-sleep or Power-down mode could be entered at this time.\r
+ */\r
+#define I2C_STAT_SLVNOTSTR(x) (((uint32_t)(((uint32_t)(x)) << I2C_STAT_SLVNOTSTR_SHIFT)) & I2C_STAT_SLVNOTSTR_MASK)\r
+#define I2C_STAT_SLVIDX_MASK (0x3000U)\r
+#define I2C_STAT_SLVIDX_SHIFT (12U)\r
+/*! SLVIDX - Slave address match Index. This field is valid when the I2C slave function has been\r
+ *    selected by receiving an address that matches one of the slave addresses defined by any enabled\r
+ *    slave address registers, and provides an identification of the address that was matched. It is\r
+ *    possible that more than one address could be matched, but only one match can be reported here.\r
  *  0b00..Address 0. Slave address 0 was matched.\r
  *  0b01..Address 1. Slave address 1 was matched.\r
  *  0b10..Address 2. Slave address 2 was matched.\r
  *  0b11..Address 3. Slave address 3 was matched.\r
  */\r
-#define I2C_STAT_SLVIDX(x)                       (((uint32_t)(((uint32_t)(x)) << I2C_STAT_SLVIDX_SHIFT)) & I2C_STAT_SLVIDX_MASK)\r
-#define I2C_STAT_SLVSEL_MASK                     (0x4000U)\r
-#define I2C_STAT_SLVSEL_SHIFT                    (14U)\r
-/*! SLVSEL - Slave selected flag. SLVSEL is set after an address match when software tells the Slave function to acknowledge the address, or when the address has been automatically acknowledged. It is cleared when another address cycle presents an address that does not match an enabled address on the Slave function, when slave software decides to NACK a matched address, when there is a Stop detected on the bus, when the master NACKs slave data, and in some combinations of Automatic Operation. SLVSEL is not cleared if software NACKs data.\r
+#define I2C_STAT_SLVIDX(x) (((uint32_t)(((uint32_t)(x)) << I2C_STAT_SLVIDX_SHIFT)) & I2C_STAT_SLVIDX_MASK)\r
+#define I2C_STAT_SLVSEL_MASK (0x4000U)\r
+#define I2C_STAT_SLVSEL_SHIFT (14U)\r
+/*! SLVSEL - Slave selected flag. SLVSEL is set after an address match when software tells the Slave\r
+ *    function to acknowledge the address, or when the address has been automatically acknowledged.\r
+ *    It is cleared when another address cycle presents an address that does not match an enabled\r
+ *    address on the Slave function, when slave software decides to NACK a matched address, when\r
+ *    there is a Stop detected on the bus, when the master NACKs slave data, and in some combinations of\r
+ *    Automatic Operation. SLVSEL is not cleared if software NACKs data.\r
  *  0b0..Not selected. The Slave function is not currently selected.\r
  *  0b1..Selected. The Slave function is currently selected.\r
  */\r
-#define I2C_STAT_SLVSEL(x)                       (((uint32_t)(((uint32_t)(x)) << I2C_STAT_SLVSEL_SHIFT)) & I2C_STAT_SLVSEL_MASK)\r
-#define I2C_STAT_SLVDESEL_MASK                   (0x8000U)\r
-#define I2C_STAT_SLVDESEL_SHIFT                  (15U)\r
-/*! SLVDESEL - Slave Deselected flag. This flag will cause an interrupt when set if enabled via INTENSET. This flag can be cleared by writing a 1 to this bit.\r
- *  0b0..Not deselected. The Slave function has not become deselected. This does not mean that it is currently selected. That information can be found in the SLVSEL flag.\r
- *  0b1..Deselected. The Slave function has become deselected. This is specifically caused by the SLVSEL flag changing from 1 to 0. See the description of SLVSEL for details on when that event occurs.\r
- */\r
-#define I2C_STAT_SLVDESEL(x)                     (((uint32_t)(((uint32_t)(x)) << I2C_STAT_SLVDESEL_SHIFT)) & I2C_STAT_SLVDESEL_MASK)\r
-#define I2C_STAT_MONRDY_MASK                     (0x10000U)\r
-#define I2C_STAT_MONRDY_SHIFT                    (16U)\r
+#define I2C_STAT_SLVSEL(x) (((uint32_t)(((uint32_t)(x)) << I2C_STAT_SLVSEL_SHIFT)) & I2C_STAT_SLVSEL_MASK)\r
+#define I2C_STAT_SLVDESEL_MASK (0x8000U)\r
+#define I2C_STAT_SLVDESEL_SHIFT (15U)\r
+/*! SLVDESEL - Slave Deselected flag. This flag will cause an interrupt when set if enabled via\r
+ *    INTENSET. This flag can be cleared by writing a 1 to this bit.\r
+ *  0b0..Not deselected. The Slave function has not become deselected. This does not mean that it is currently\r
+ *       selected. That information can be found in the SLVSEL flag.\r
+ *  0b1..Deselected. The Slave function has become deselected. This is specifically caused by the SLVSEL flag\r
+ *       changing from 1 to 0. See the description of SLVSEL for details on when that event occurs.\r
+ */\r
+#define I2C_STAT_SLVDESEL(x) (((uint32_t)(((uint32_t)(x)) << I2C_STAT_SLVDESEL_SHIFT)) & I2C_STAT_SLVDESEL_MASK)\r
+#define I2C_STAT_MONRDY_MASK (0x10000U)\r
+#define I2C_STAT_MONRDY_SHIFT (16U)\r
 /*! MONRDY - Monitor Ready. This flag is cleared when the MONRXDAT register is read.\r
  *  0b0..No data. The Monitor function does not currently have data available.\r
  *  0b1..Data waiting. The Monitor function has data waiting to be read.\r
  */\r
-#define I2C_STAT_MONRDY(x)                       (((uint32_t)(((uint32_t)(x)) << I2C_STAT_MONRDY_SHIFT)) & I2C_STAT_MONRDY_MASK)\r
-#define I2C_STAT_MONOV_MASK                      (0x20000U)\r
-#define I2C_STAT_MONOV_SHIFT                     (17U)\r
+#define I2C_STAT_MONRDY(x) (((uint32_t)(((uint32_t)(x)) << I2C_STAT_MONRDY_SHIFT)) & I2C_STAT_MONRDY_MASK)\r
+#define I2C_STAT_MONOV_MASK (0x20000U)\r
+#define I2C_STAT_MONOV_SHIFT (17U)\r
 /*! MONOV - Monitor Overflow flag.\r
  *  0b0..No overrun. Monitor data has not overrun.\r
- *  0b1..Overrun. A Monitor data overrun has occurred. This can only happen when Monitor clock stretching not enabled via the MONCLKSTR bit in the CFG register. Writing 1 to this bit clears the flag.\r
- */\r
-#define I2C_STAT_MONOV(x)                        (((uint32_t)(((uint32_t)(x)) << I2C_STAT_MONOV_SHIFT)) & I2C_STAT_MONOV_MASK)\r
-#define I2C_STAT_MONACTIVE_MASK                  (0x40000U)\r
-#define I2C_STAT_MONACTIVE_SHIFT                 (18U)\r
-/*! MONACTIVE - Monitor Active flag. Indicates when the Monitor function considers the I 2C bus to be active. Active is defined here as when some Master is on the bus: a bus Start has occurred more recently than a bus Stop.\r
+ *  0b1..Overrun. A Monitor data overrun has occurred. This can only happen when Monitor clock stretching not\r
+ *       enabled via the MONCLKSTR bit in the CFG register. Writing 1 to this bit clears the flag.\r
+ */\r
+#define I2C_STAT_MONOV(x) (((uint32_t)(((uint32_t)(x)) << I2C_STAT_MONOV_SHIFT)) & I2C_STAT_MONOV_MASK)\r
+#define I2C_STAT_MONACTIVE_MASK (0x40000U)\r
+#define I2C_STAT_MONACTIVE_SHIFT (18U)\r
+/*! MONACTIVE - Monitor Active flag. Indicates when the Monitor function considers the I 2C bus to\r
+ *    be active. Active is defined here as when some Master is on the bus: a bus Start has occurred\r
+ *    more recently than a bus Stop.\r
  *  0b0..Inactive. The Monitor function considers the I2C bus to be inactive.\r
  *  0b1..Active. The Monitor function considers the I2C bus to be active.\r
  */\r
-#define I2C_STAT_MONACTIVE(x)                    (((uint32_t)(((uint32_t)(x)) << I2C_STAT_MONACTIVE_SHIFT)) & I2C_STAT_MONACTIVE_MASK)\r
-#define I2C_STAT_MONIDLE_MASK                    (0x80000U)\r
-#define I2C_STAT_MONIDLE_SHIFT                   (19U)\r
-/*! MONIDLE - Monitor Idle flag. This flag is set when the Monitor function sees the I2C bus change from active to inactive. This can be used by software to decide when to process data accumulated by the Monitor function. This flag will cause an interrupt when set if enabled via the INTENSET register. The flag can be cleared by writing a 1 to this bit.\r
+#define I2C_STAT_MONACTIVE(x) (((uint32_t)(((uint32_t)(x)) << I2C_STAT_MONACTIVE_SHIFT)) & I2C_STAT_MONACTIVE_MASK)\r
+#define I2C_STAT_MONIDLE_MASK (0x80000U)\r
+#define I2C_STAT_MONIDLE_SHIFT (19U)\r
+/*! MONIDLE - Monitor Idle flag. This flag is set when the Monitor function sees the I2C bus change\r
+ *    from active to inactive. This can be used by software to decide when to process data\r
+ *    accumulated by the Monitor function. This flag will cause an interrupt when set if enabled via the\r
+ *    INTENSET register. The flag can be cleared by writing a 1 to this bit.\r
  *  0b0..Not idle. The I2C bus is not idle, or this flag has been cleared by software.\r
  *  0b1..Idle. The I2C bus has gone idle at least once since the last time this flag was cleared by software.\r
  */\r
-#define I2C_STAT_MONIDLE(x)                      (((uint32_t)(((uint32_t)(x)) << I2C_STAT_MONIDLE_SHIFT)) & I2C_STAT_MONIDLE_MASK)\r
-#define I2C_STAT_EVENTTIMEOUT_MASK               (0x1000000U)\r
-#define I2C_STAT_EVENTTIMEOUT_SHIFT              (24U)\r
-/*! EVENTTIMEOUT - Event Time-out Interrupt flag. Indicates when the time between events has been longer than the time specified by the TIMEOUT register. Events include Start, Stop, and clock edges. The flag is cleared by writing a 1 to this bit. No time-out is created when the I2C-bus is idle.\r
+#define I2C_STAT_MONIDLE(x) (((uint32_t)(((uint32_t)(x)) << I2C_STAT_MONIDLE_SHIFT)) & I2C_STAT_MONIDLE_MASK)\r
+#define I2C_STAT_EVENTTIMEOUT_MASK (0x1000000U)\r
+#define I2C_STAT_EVENTTIMEOUT_SHIFT (24U)\r
+/*! EVENTTIMEOUT - Event Time-out Interrupt flag. Indicates when the time between events has been\r
+ *    longer than the time specified by the TIMEOUT register. Events include Start, Stop, and clock\r
+ *    edges. The flag is cleared by writing a 1 to this bit. No time-out is created when the I2C-bus\r
+ *    is idle.\r
  *  0b0..No time-out. I2C bus events have not caused a time-out.\r
- *  0b1..Event time-out. The time between I2C bus events has been longer than the time specified by the TIMEOUT register.\r
- */\r
-#define I2C_STAT_EVENTTIMEOUT(x)                 (((uint32_t)(((uint32_t)(x)) << I2C_STAT_EVENTTIMEOUT_SHIFT)) & I2C_STAT_EVENTTIMEOUT_MASK)\r
-#define I2C_STAT_SCLTIMEOUT_MASK                 (0x2000000U)\r
-#define I2C_STAT_SCLTIMEOUT_SHIFT                (25U)\r
-/*! SCLTIMEOUT - SCL Time-out Interrupt flag. Indicates when SCL has remained low longer than the time specific by the TIMEOUT register. The flag is cleared by writing a 1 to this bit.\r
+ *  0b1..Event time-out. The time between I2C bus events has been longer than the time specified by the TIMEOUT\r
+ * register.\r
+ */\r
+#define I2C_STAT_EVENTTIMEOUT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_STAT_EVENTTIMEOUT_SHIFT)) & I2C_STAT_EVENTTIMEOUT_MASK)\r
+#define I2C_STAT_SCLTIMEOUT_MASK (0x2000000U)\r
+#define I2C_STAT_SCLTIMEOUT_SHIFT (25U)\r
+/*! SCLTIMEOUT - SCL Time-out Interrupt flag. Indicates when SCL has remained low longer than the\r
+ *    time specific by the TIMEOUT register. The flag is cleared by writing a 1 to this bit.\r
  *  0b0..No time-out. SCL low time has not caused a time-out.\r
  *  0b1..Time-out. SCL low time has caused a time-out.\r
  */\r
-#define I2C_STAT_SCLTIMEOUT(x)                   (((uint32_t)(((uint32_t)(x)) << I2C_STAT_SCLTIMEOUT_SHIFT)) & I2C_STAT_SCLTIMEOUT_MASK)\r
+#define I2C_STAT_SCLTIMEOUT(x) (((uint32_t)(((uint32_t)(x)) << I2C_STAT_SCLTIMEOUT_SHIFT)) & I2C_STAT_SCLTIMEOUT_MASK)\r
 /*! @} */\r
 \r
 /*! @name INTENSET - Interrupt Enable Set and read register. */\r
 /*! @{ */\r
-#define I2C_INTENSET_MSTPENDINGEN_MASK           (0x1U)\r
-#define I2C_INTENSET_MSTPENDINGEN_SHIFT          (0U)\r
+#define I2C_INTENSET_MSTPENDINGEN_MASK (0x1U)\r
+#define I2C_INTENSET_MSTPENDINGEN_SHIFT (0U)\r
 /*! MSTPENDINGEN - Master Pending interrupt Enable.\r
  *  0b0..Disabled. The MstPending interrupt is disabled.\r
  *  0b1..Enabled. The MstPending interrupt is enabled.\r
  */\r
-#define I2C_INTENSET_MSTPENDINGEN(x)             (((uint32_t)(((uint32_t)(x)) << I2C_INTENSET_MSTPENDINGEN_SHIFT)) & I2C_INTENSET_MSTPENDINGEN_MASK)\r
-#define I2C_INTENSET_MSTARBLOSSEN_MASK           (0x10U)\r
-#define I2C_INTENSET_MSTARBLOSSEN_SHIFT          (4U)\r
+#define I2C_INTENSET_MSTPENDINGEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_INTENSET_MSTPENDINGEN_SHIFT)) & I2C_INTENSET_MSTPENDINGEN_MASK)\r
+#define I2C_INTENSET_MSTARBLOSSEN_MASK (0x10U)\r
+#define I2C_INTENSET_MSTARBLOSSEN_SHIFT (4U)\r
 /*! MSTARBLOSSEN - Master Arbitration Loss interrupt Enable.\r
  *  0b0..Disabled. The MstArbLoss interrupt is disabled.\r
  *  0b1..Enabled. The MstArbLoss interrupt is enabled.\r
  */\r
-#define I2C_INTENSET_MSTARBLOSSEN(x)             (((uint32_t)(((uint32_t)(x)) << I2C_INTENSET_MSTARBLOSSEN_SHIFT)) & I2C_INTENSET_MSTARBLOSSEN_MASK)\r
-#define I2C_INTENSET_MSTSTSTPERREN_MASK          (0x40U)\r
-#define I2C_INTENSET_MSTSTSTPERREN_SHIFT         (6U)\r
+#define I2C_INTENSET_MSTARBLOSSEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_INTENSET_MSTARBLOSSEN_SHIFT)) & I2C_INTENSET_MSTARBLOSSEN_MASK)\r
+#define I2C_INTENSET_MSTSTSTPERREN_MASK (0x40U)\r
+#define I2C_INTENSET_MSTSTSTPERREN_SHIFT (6U)\r
 /*! MSTSTSTPERREN - Master Start/Stop Error interrupt Enable.\r
  *  0b0..Disabled. The MstStStpErr interrupt is disabled.\r
  *  0b1..Enabled. The MstStStpErr interrupt is enabled.\r
  */\r
-#define I2C_INTENSET_MSTSTSTPERREN(x)            (((uint32_t)(((uint32_t)(x)) << I2C_INTENSET_MSTSTSTPERREN_SHIFT)) & I2C_INTENSET_MSTSTSTPERREN_MASK)\r
-#define I2C_INTENSET_SLVPENDINGEN_MASK           (0x100U)\r
-#define I2C_INTENSET_SLVPENDINGEN_SHIFT          (8U)\r
+#define I2C_INTENSET_MSTSTSTPERREN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_INTENSET_MSTSTSTPERREN_SHIFT)) & I2C_INTENSET_MSTSTSTPERREN_MASK)\r
+#define I2C_INTENSET_SLVPENDINGEN_MASK (0x100U)\r
+#define I2C_INTENSET_SLVPENDINGEN_SHIFT (8U)\r
 /*! SLVPENDINGEN - Slave Pending interrupt Enable.\r
  *  0b0..Disabled. The SlvPending interrupt is disabled.\r
  *  0b1..Enabled. The SlvPending interrupt is enabled.\r
  */\r
-#define I2C_INTENSET_SLVPENDINGEN(x)             (((uint32_t)(((uint32_t)(x)) << I2C_INTENSET_SLVPENDINGEN_SHIFT)) & I2C_INTENSET_SLVPENDINGEN_MASK)\r
-#define I2C_INTENSET_SLVNOTSTREN_MASK            (0x800U)\r
-#define I2C_INTENSET_SLVNOTSTREN_SHIFT           (11U)\r
+#define I2C_INTENSET_SLVPENDINGEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_INTENSET_SLVPENDINGEN_SHIFT)) & I2C_INTENSET_SLVPENDINGEN_MASK)\r
+#define I2C_INTENSET_SLVNOTSTREN_MASK (0x800U)\r
+#define I2C_INTENSET_SLVNOTSTREN_SHIFT (11U)\r
 /*! SLVNOTSTREN - Slave Not Stretching interrupt Enable.\r
  *  0b0..Disabled. The SlvNotStr interrupt is disabled.\r
  *  0b1..Enabled. The SlvNotStr interrupt is enabled.\r
  */\r
-#define I2C_INTENSET_SLVNOTSTREN(x)              (((uint32_t)(((uint32_t)(x)) << I2C_INTENSET_SLVNOTSTREN_SHIFT)) & I2C_INTENSET_SLVNOTSTREN_MASK)\r
-#define I2C_INTENSET_SLVDESELEN_MASK             (0x8000U)\r
-#define I2C_INTENSET_SLVDESELEN_SHIFT            (15U)\r
+#define I2C_INTENSET_SLVNOTSTREN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_INTENSET_SLVNOTSTREN_SHIFT)) & I2C_INTENSET_SLVNOTSTREN_MASK)\r
+#define I2C_INTENSET_SLVDESELEN_MASK (0x8000U)\r
+#define I2C_INTENSET_SLVDESELEN_SHIFT (15U)\r
 /*! SLVDESELEN - Slave Deselect interrupt Enable.\r
  *  0b0..Disabled. The SlvDeSel interrupt is disabled.\r
  *  0b1..Enabled. The SlvDeSel interrupt is enabled.\r
  */\r
-#define I2C_INTENSET_SLVDESELEN(x)               (((uint32_t)(((uint32_t)(x)) << I2C_INTENSET_SLVDESELEN_SHIFT)) & I2C_INTENSET_SLVDESELEN_MASK)\r
-#define I2C_INTENSET_MONRDYEN_MASK               (0x10000U)\r
-#define I2C_INTENSET_MONRDYEN_SHIFT              (16U)\r
+#define I2C_INTENSET_SLVDESELEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_INTENSET_SLVDESELEN_SHIFT)) & I2C_INTENSET_SLVDESELEN_MASK)\r
+#define I2C_INTENSET_MONRDYEN_MASK (0x10000U)\r
+#define I2C_INTENSET_MONRDYEN_SHIFT (16U)\r
 /*! MONRDYEN - Monitor data Ready interrupt Enable.\r
  *  0b0..Disabled. The MonRdy interrupt is disabled.\r
  *  0b1..Enabled. The MonRdy interrupt is enabled.\r
  */\r
-#define I2C_INTENSET_MONRDYEN(x)                 (((uint32_t)(((uint32_t)(x)) << I2C_INTENSET_MONRDYEN_SHIFT)) & I2C_INTENSET_MONRDYEN_MASK)\r
-#define I2C_INTENSET_MONOVEN_MASK                (0x20000U)\r
-#define I2C_INTENSET_MONOVEN_SHIFT               (17U)\r
+#define I2C_INTENSET_MONRDYEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_INTENSET_MONRDYEN_SHIFT)) & I2C_INTENSET_MONRDYEN_MASK)\r
+#define I2C_INTENSET_MONOVEN_MASK (0x20000U)\r
+#define I2C_INTENSET_MONOVEN_SHIFT (17U)\r
 /*! MONOVEN - Monitor Overrun interrupt Enable.\r
  *  0b0..Disabled. The MonOv interrupt is disabled.\r
  *  0b1..Enabled. The MonOv interrupt is enabled.\r
  */\r
-#define I2C_INTENSET_MONOVEN(x)                  (((uint32_t)(((uint32_t)(x)) << I2C_INTENSET_MONOVEN_SHIFT)) & I2C_INTENSET_MONOVEN_MASK)\r
-#define I2C_INTENSET_MONIDLEEN_MASK              (0x80000U)\r
-#define I2C_INTENSET_MONIDLEEN_SHIFT             (19U)\r
+#define I2C_INTENSET_MONOVEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_INTENSET_MONOVEN_SHIFT)) & I2C_INTENSET_MONOVEN_MASK)\r
+#define I2C_INTENSET_MONIDLEEN_MASK (0x80000U)\r
+#define I2C_INTENSET_MONIDLEEN_SHIFT (19U)\r
 /*! MONIDLEEN - Monitor Idle interrupt Enable.\r
  *  0b0..Disabled. The MonIdle interrupt is disabled.\r
  *  0b1..Enabled. The MonIdle interrupt is enabled.\r
  */\r
-#define I2C_INTENSET_MONIDLEEN(x)                (((uint32_t)(((uint32_t)(x)) << I2C_INTENSET_MONIDLEEN_SHIFT)) & I2C_INTENSET_MONIDLEEN_MASK)\r
-#define I2C_INTENSET_EVENTTIMEOUTEN_MASK         (0x1000000U)\r
-#define I2C_INTENSET_EVENTTIMEOUTEN_SHIFT        (24U)\r
+#define I2C_INTENSET_MONIDLEEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_INTENSET_MONIDLEEN_SHIFT)) & I2C_INTENSET_MONIDLEEN_MASK)\r
+#define I2C_INTENSET_EVENTTIMEOUTEN_MASK (0x1000000U)\r
+#define I2C_INTENSET_EVENTTIMEOUTEN_SHIFT (24U)\r
 /*! EVENTTIMEOUTEN - Event time-out interrupt Enable.\r
  *  0b0..Disabled. The Event time-out interrupt is disabled.\r
  *  0b1..Enabled. The Event time-out interrupt is enabled.\r
  */\r
-#define I2C_INTENSET_EVENTTIMEOUTEN(x)           (((uint32_t)(((uint32_t)(x)) << I2C_INTENSET_EVENTTIMEOUTEN_SHIFT)) & I2C_INTENSET_EVENTTIMEOUTEN_MASK)\r
-#define I2C_INTENSET_SCLTIMEOUTEN_MASK           (0x2000000U)\r
-#define I2C_INTENSET_SCLTIMEOUTEN_SHIFT          (25U)\r
+#define I2C_INTENSET_EVENTTIMEOUTEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_INTENSET_EVENTTIMEOUTEN_SHIFT)) & I2C_INTENSET_EVENTTIMEOUTEN_MASK)\r
+#define I2C_INTENSET_SCLTIMEOUTEN_MASK (0x2000000U)\r
+#define I2C_INTENSET_SCLTIMEOUTEN_SHIFT (25U)\r
 /*! SCLTIMEOUTEN - SCL time-out interrupt Enable.\r
  *  0b0..Disabled. The SCL time-out interrupt is disabled.\r
  *  0b1..Enabled. The SCL time-out interrupt is enabled.\r
  */\r
-#define I2C_INTENSET_SCLTIMEOUTEN(x)             (((uint32_t)(((uint32_t)(x)) << I2C_INTENSET_SCLTIMEOUTEN_SHIFT)) & I2C_INTENSET_SCLTIMEOUTEN_MASK)\r
+#define I2C_INTENSET_SCLTIMEOUTEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_INTENSET_SCLTIMEOUTEN_SHIFT)) & I2C_INTENSET_SCLTIMEOUTEN_MASK)\r
 /*! @} */\r
 \r
 /*! @name INTENCLR - Interrupt Enable Clear register. */\r
 /*! @{ */\r
-#define I2C_INTENCLR_MSTPENDINGCLR_MASK          (0x1U)\r
-#define I2C_INTENCLR_MSTPENDINGCLR_SHIFT         (0U)\r
-#define I2C_INTENCLR_MSTPENDINGCLR(x)            (((uint32_t)(((uint32_t)(x)) << I2C_INTENCLR_MSTPENDINGCLR_SHIFT)) & I2C_INTENCLR_MSTPENDINGCLR_MASK)\r
-#define I2C_INTENCLR_MSTARBLOSSCLR_MASK          (0x10U)\r
-#define I2C_INTENCLR_MSTARBLOSSCLR_SHIFT         (4U)\r
-#define I2C_INTENCLR_MSTARBLOSSCLR(x)            (((uint32_t)(((uint32_t)(x)) << I2C_INTENCLR_MSTARBLOSSCLR_SHIFT)) & I2C_INTENCLR_MSTARBLOSSCLR_MASK)\r
-#define I2C_INTENCLR_MSTSTSTPERRCLR_MASK         (0x40U)\r
-#define I2C_INTENCLR_MSTSTSTPERRCLR_SHIFT        (6U)\r
-#define I2C_INTENCLR_MSTSTSTPERRCLR(x)           (((uint32_t)(((uint32_t)(x)) << I2C_INTENCLR_MSTSTSTPERRCLR_SHIFT)) & I2C_INTENCLR_MSTSTSTPERRCLR_MASK)\r
-#define I2C_INTENCLR_SLVPENDINGCLR_MASK          (0x100U)\r
-#define I2C_INTENCLR_SLVPENDINGCLR_SHIFT         (8U)\r
-#define I2C_INTENCLR_SLVPENDINGCLR(x)            (((uint32_t)(((uint32_t)(x)) << I2C_INTENCLR_SLVPENDINGCLR_SHIFT)) & I2C_INTENCLR_SLVPENDINGCLR_MASK)\r
-#define I2C_INTENCLR_SLVNOTSTRCLR_MASK           (0x800U)\r
-#define I2C_INTENCLR_SLVNOTSTRCLR_SHIFT          (11U)\r
-#define I2C_INTENCLR_SLVNOTSTRCLR(x)             (((uint32_t)(((uint32_t)(x)) << I2C_INTENCLR_SLVNOTSTRCLR_SHIFT)) & I2C_INTENCLR_SLVNOTSTRCLR_MASK)\r
-#define I2C_INTENCLR_SLVDESELCLR_MASK            (0x8000U)\r
-#define I2C_INTENCLR_SLVDESELCLR_SHIFT           (15U)\r
-#define I2C_INTENCLR_SLVDESELCLR(x)              (((uint32_t)(((uint32_t)(x)) << I2C_INTENCLR_SLVDESELCLR_SHIFT)) & I2C_INTENCLR_SLVDESELCLR_MASK)\r
-#define I2C_INTENCLR_MONRDYCLR_MASK              (0x10000U)\r
-#define I2C_INTENCLR_MONRDYCLR_SHIFT             (16U)\r
-#define I2C_INTENCLR_MONRDYCLR(x)                (((uint32_t)(((uint32_t)(x)) << I2C_INTENCLR_MONRDYCLR_SHIFT)) & I2C_INTENCLR_MONRDYCLR_MASK)\r
-#define I2C_INTENCLR_MONOVCLR_MASK               (0x20000U)\r
-#define I2C_INTENCLR_MONOVCLR_SHIFT              (17U)\r
-#define I2C_INTENCLR_MONOVCLR(x)                 (((uint32_t)(((uint32_t)(x)) << I2C_INTENCLR_MONOVCLR_SHIFT)) & I2C_INTENCLR_MONOVCLR_MASK)\r
-#define I2C_INTENCLR_MONIDLECLR_MASK             (0x80000U)\r
-#define I2C_INTENCLR_MONIDLECLR_SHIFT            (19U)\r
-#define I2C_INTENCLR_MONIDLECLR(x)               (((uint32_t)(((uint32_t)(x)) << I2C_INTENCLR_MONIDLECLR_SHIFT)) & I2C_INTENCLR_MONIDLECLR_MASK)\r
-#define I2C_INTENCLR_EVENTTIMEOUTCLR_MASK        (0x1000000U)\r
-#define I2C_INTENCLR_EVENTTIMEOUTCLR_SHIFT       (24U)\r
-#define I2C_INTENCLR_EVENTTIMEOUTCLR(x)          (((uint32_t)(((uint32_t)(x)) << I2C_INTENCLR_EVENTTIMEOUTCLR_SHIFT)) & I2C_INTENCLR_EVENTTIMEOUTCLR_MASK)\r
-#define I2C_INTENCLR_SCLTIMEOUTCLR_MASK          (0x2000000U)\r
-#define I2C_INTENCLR_SCLTIMEOUTCLR_SHIFT         (25U)\r
-#define I2C_INTENCLR_SCLTIMEOUTCLR(x)            (((uint32_t)(((uint32_t)(x)) << I2C_INTENCLR_SCLTIMEOUTCLR_SHIFT)) & I2C_INTENCLR_SCLTIMEOUTCLR_MASK)\r
+#define I2C_INTENCLR_MSTPENDINGCLR_MASK (0x1U)\r
+#define I2C_INTENCLR_MSTPENDINGCLR_SHIFT (0U)\r
+/*! MSTPENDINGCLR - Master Pending interrupt clear. Writing 1 to this bit clears the corresponding\r
+ *    bit in the INTENSET register if implemented.\r
+ */\r
+#define I2C_INTENCLR_MSTPENDINGCLR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_INTENCLR_MSTPENDINGCLR_SHIFT)) & I2C_INTENCLR_MSTPENDINGCLR_MASK)\r
+#define I2C_INTENCLR_MSTARBLOSSCLR_MASK (0x10U)\r
+#define I2C_INTENCLR_MSTARBLOSSCLR_SHIFT (4U)\r
+/*! MSTARBLOSSCLR - Master Arbitration Loss interrupt clear.\r
+ */\r
+#define I2C_INTENCLR_MSTARBLOSSCLR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_INTENCLR_MSTARBLOSSCLR_SHIFT)) & I2C_INTENCLR_MSTARBLOSSCLR_MASK)\r
+#define I2C_INTENCLR_MSTSTSTPERRCLR_MASK (0x40U)\r
+#define I2C_INTENCLR_MSTSTSTPERRCLR_SHIFT (6U)\r
+/*! MSTSTSTPERRCLR - Master Start/Stop Error interrupt clear.\r
+ */\r
+#define I2C_INTENCLR_MSTSTSTPERRCLR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_INTENCLR_MSTSTSTPERRCLR_SHIFT)) & I2C_INTENCLR_MSTSTSTPERRCLR_MASK)\r
+#define I2C_INTENCLR_SLVPENDINGCLR_MASK (0x100U)\r
+#define I2C_INTENCLR_SLVPENDINGCLR_SHIFT (8U)\r
+/*! SLVPENDINGCLR - Slave Pending interrupt clear.\r
+ */\r
+#define I2C_INTENCLR_SLVPENDINGCLR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_INTENCLR_SLVPENDINGCLR_SHIFT)) & I2C_INTENCLR_SLVPENDINGCLR_MASK)\r
+#define I2C_INTENCLR_SLVNOTSTRCLR_MASK (0x800U)\r
+#define I2C_INTENCLR_SLVNOTSTRCLR_SHIFT (11U)\r
+/*! SLVNOTSTRCLR - Slave Not Stretching interrupt clear.\r
+ */\r
+#define I2C_INTENCLR_SLVNOTSTRCLR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_INTENCLR_SLVNOTSTRCLR_SHIFT)) & I2C_INTENCLR_SLVNOTSTRCLR_MASK)\r
+#define I2C_INTENCLR_SLVDESELCLR_MASK (0x8000U)\r
+#define I2C_INTENCLR_SLVDESELCLR_SHIFT (15U)\r
+/*! SLVDESELCLR - Slave Deselect interrupt clear.\r
+ */\r
+#define I2C_INTENCLR_SLVDESELCLR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_INTENCLR_SLVDESELCLR_SHIFT)) & I2C_INTENCLR_SLVDESELCLR_MASK)\r
+#define I2C_INTENCLR_MONRDYCLR_MASK (0x10000U)\r
+#define I2C_INTENCLR_MONRDYCLR_SHIFT (16U)\r
+/*! MONRDYCLR - Monitor data Ready interrupt clear.\r
+ */\r
+#define I2C_INTENCLR_MONRDYCLR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_INTENCLR_MONRDYCLR_SHIFT)) & I2C_INTENCLR_MONRDYCLR_MASK)\r
+#define I2C_INTENCLR_MONOVCLR_MASK (0x20000U)\r
+#define I2C_INTENCLR_MONOVCLR_SHIFT (17U)\r
+/*! MONOVCLR - Monitor Overrun interrupt clear.\r
+ */\r
+#define I2C_INTENCLR_MONOVCLR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_INTENCLR_MONOVCLR_SHIFT)) & I2C_INTENCLR_MONOVCLR_MASK)\r
+#define I2C_INTENCLR_MONIDLECLR_MASK (0x80000U)\r
+#define I2C_INTENCLR_MONIDLECLR_SHIFT (19U)\r
+/*! MONIDLECLR - Monitor Idle interrupt clear.\r
+ */\r
+#define I2C_INTENCLR_MONIDLECLR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_INTENCLR_MONIDLECLR_SHIFT)) & I2C_INTENCLR_MONIDLECLR_MASK)\r
+#define I2C_INTENCLR_EVENTTIMEOUTCLR_MASK (0x1000000U)\r
+#define I2C_INTENCLR_EVENTTIMEOUTCLR_SHIFT (24U)\r
+/*! EVENTTIMEOUTCLR - Event time-out interrupt clear.\r
+ */\r
+#define I2C_INTENCLR_EVENTTIMEOUTCLR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_INTENCLR_EVENTTIMEOUTCLR_SHIFT)) & I2C_INTENCLR_EVENTTIMEOUTCLR_MASK)\r
+#define I2C_INTENCLR_SCLTIMEOUTCLR_MASK (0x2000000U)\r
+#define I2C_INTENCLR_SCLTIMEOUTCLR_SHIFT (25U)\r
+/*! SCLTIMEOUTCLR - SCL time-out interrupt clear.\r
+ */\r
+#define I2C_INTENCLR_SCLTIMEOUTCLR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_INTENCLR_SCLTIMEOUTCLR_SHIFT)) & I2C_INTENCLR_SCLTIMEOUTCLR_MASK)\r
 /*! @} */\r
 \r
 /*! @name TIMEOUT - Time-out value register. */\r
 /*! @{ */\r
-#define I2C_TIMEOUT_TOMIN_MASK                   (0xFU)\r
-#define I2C_TIMEOUT_TOMIN_SHIFT                  (0U)\r
-#define I2C_TIMEOUT_TOMIN(x)                     (((uint32_t)(((uint32_t)(x)) << I2C_TIMEOUT_TOMIN_SHIFT)) & I2C_TIMEOUT_TOMIN_MASK)\r
-#define I2C_TIMEOUT_TO_MASK                      (0xFFF0U)\r
-#define I2C_TIMEOUT_TO_SHIFT                     (4U)\r
-#define I2C_TIMEOUT_TO(x)                        (((uint32_t)(((uint32_t)(x)) << I2C_TIMEOUT_TO_SHIFT)) & I2C_TIMEOUT_TO_MASK)\r
+#define I2C_TIMEOUT_TOMIN_MASK (0xFU)\r
+#define I2C_TIMEOUT_TOMIN_SHIFT (0U)\r
+/*! TOMIN - Time-out time value, bottom four bits. These are hard-wired to 0xF. This gives a minimum\r
+ *    time-out of 16 I2C function clocks and also a time-out resolution of 16 I2C function clocks.\r
+ */\r
+#define I2C_TIMEOUT_TOMIN(x) (((uint32_t)(((uint32_t)(x)) << I2C_TIMEOUT_TOMIN_SHIFT)) & I2C_TIMEOUT_TOMIN_MASK)\r
+#define I2C_TIMEOUT_TO_MASK (0xFFF0U)\r
+#define I2C_TIMEOUT_TO_SHIFT (4U)\r
+/*! TO - Time-out time value. Specifies the time-out interval value in increments of 16 I 2C\r
+ *    function clocks, as defined by the CLKDIV register. To change this value while I2C is in operation,\r
+ *    disable all time-outs, write a new value to TIMEOUT, then re-enable time-outs. 0x000 = A\r
+ *    time-out will occur after 16 counts of the I2C function clock. 0x001 = A time-out will occur after\r
+ *    32 counts of the I2C function clock. 0xFFF = A time-out will occur after 65,536 counts of the\r
+ *    I2C function clock.\r
+ */\r
+#define I2C_TIMEOUT_TO(x) (((uint32_t)(((uint32_t)(x)) << I2C_TIMEOUT_TO_SHIFT)) & I2C_TIMEOUT_TO_MASK)\r
 /*! @} */\r
 \r
-/*! @name CLKDIV - Clock pre-divider for the entire I2C interface. This determines what time increments are used for the MSTTIME register, and controls some timing of the Slave function. */\r
+/*! @name CLKDIV - Clock pre-divider for the entire I2C interface. This determines what time increments are used for the\r
+ * MSTTIME register, and controls some timing of the Slave function. */\r
 /*! @{ */\r
-#define I2C_CLKDIV_DIVVAL_MASK                   (0xFFFFU)\r
-#define I2C_CLKDIV_DIVVAL_SHIFT                  (0U)\r
-#define I2C_CLKDIV_DIVVAL(x)                     (((uint32_t)(((uint32_t)(x)) << I2C_CLKDIV_DIVVAL_SHIFT)) & I2C_CLKDIV_DIVVAL_MASK)\r
+#define I2C_CLKDIV_DIVVAL_MASK (0xFFFFU)\r
+#define I2C_CLKDIV_DIVVAL_SHIFT (0U)\r
+/*! DIVVAL - This field controls how the Flexcomm clock (FCLK) is used by the I2C functions that\r
+ *    need an internal clock in order to operate. 0x0000 = FCLK is used directly by the I2C. 0x0001 =\r
+ *    FCLK is divided by 2 before use. 0x0002 = FCLK is divided by 3 before use. 0xFFFF = FCLK is\r
+ *    divided by 65,536 before use.\r
+ */\r
+#define I2C_CLKDIV_DIVVAL(x) (((uint32_t)(((uint32_t)(x)) << I2C_CLKDIV_DIVVAL_SHIFT)) & I2C_CLKDIV_DIVVAL_MASK)\r
 /*! @} */\r
 \r
 /*! @name INTSTAT - Interrupt Status register for Master, Slave, and Monitor functions. */\r
 /*! @{ */\r
-#define I2C_INTSTAT_MSTPENDING_MASK              (0x1U)\r
-#define I2C_INTSTAT_MSTPENDING_SHIFT             (0U)\r
-#define I2C_INTSTAT_MSTPENDING(x)                (((uint32_t)(((uint32_t)(x)) << I2C_INTSTAT_MSTPENDING_SHIFT)) & I2C_INTSTAT_MSTPENDING_MASK)\r
-#define I2C_INTSTAT_MSTARBLOSS_MASK              (0x10U)\r
-#define I2C_INTSTAT_MSTARBLOSS_SHIFT             (4U)\r
-#define I2C_INTSTAT_MSTARBLOSS(x)                (((uint32_t)(((uint32_t)(x)) << I2C_INTSTAT_MSTARBLOSS_SHIFT)) & I2C_INTSTAT_MSTARBLOSS_MASK)\r
-#define I2C_INTSTAT_MSTSTSTPERR_MASK             (0x40U)\r
-#define I2C_INTSTAT_MSTSTSTPERR_SHIFT            (6U)\r
-#define I2C_INTSTAT_MSTSTSTPERR(x)               (((uint32_t)(((uint32_t)(x)) << I2C_INTSTAT_MSTSTSTPERR_SHIFT)) & I2C_INTSTAT_MSTSTSTPERR_MASK)\r
-#define I2C_INTSTAT_SLVPENDING_MASK              (0x100U)\r
-#define I2C_INTSTAT_SLVPENDING_SHIFT             (8U)\r
-#define I2C_INTSTAT_SLVPENDING(x)                (((uint32_t)(((uint32_t)(x)) << I2C_INTSTAT_SLVPENDING_SHIFT)) & I2C_INTSTAT_SLVPENDING_MASK)\r
-#define I2C_INTSTAT_SLVNOTSTR_MASK               (0x800U)\r
-#define I2C_INTSTAT_SLVNOTSTR_SHIFT              (11U)\r
-#define I2C_INTSTAT_SLVNOTSTR(x)                 (((uint32_t)(((uint32_t)(x)) << I2C_INTSTAT_SLVNOTSTR_SHIFT)) & I2C_INTSTAT_SLVNOTSTR_MASK)\r
-#define I2C_INTSTAT_SLVDESEL_MASK                (0x8000U)\r
-#define I2C_INTSTAT_SLVDESEL_SHIFT               (15U)\r
-#define I2C_INTSTAT_SLVDESEL(x)                  (((uint32_t)(((uint32_t)(x)) << I2C_INTSTAT_SLVDESEL_SHIFT)) & I2C_INTSTAT_SLVDESEL_MASK)\r
-#define I2C_INTSTAT_MONRDY_MASK                  (0x10000U)\r
-#define I2C_INTSTAT_MONRDY_SHIFT                 (16U)\r
-#define I2C_INTSTAT_MONRDY(x)                    (((uint32_t)(((uint32_t)(x)) << I2C_INTSTAT_MONRDY_SHIFT)) & I2C_INTSTAT_MONRDY_MASK)\r
-#define I2C_INTSTAT_MONOV_MASK                   (0x20000U)\r
-#define I2C_INTSTAT_MONOV_SHIFT                  (17U)\r
-#define I2C_INTSTAT_MONOV(x)                     (((uint32_t)(((uint32_t)(x)) << I2C_INTSTAT_MONOV_SHIFT)) & I2C_INTSTAT_MONOV_MASK)\r
-#define I2C_INTSTAT_MONIDLE_MASK                 (0x80000U)\r
-#define I2C_INTSTAT_MONIDLE_SHIFT                (19U)\r
-#define I2C_INTSTAT_MONIDLE(x)                   (((uint32_t)(((uint32_t)(x)) << I2C_INTSTAT_MONIDLE_SHIFT)) & I2C_INTSTAT_MONIDLE_MASK)\r
-#define I2C_INTSTAT_EVENTTIMEOUT_MASK            (0x1000000U)\r
-#define I2C_INTSTAT_EVENTTIMEOUT_SHIFT           (24U)\r
-#define I2C_INTSTAT_EVENTTIMEOUT(x)              (((uint32_t)(((uint32_t)(x)) << I2C_INTSTAT_EVENTTIMEOUT_SHIFT)) & I2C_INTSTAT_EVENTTIMEOUT_MASK)\r
-#define I2C_INTSTAT_SCLTIMEOUT_MASK              (0x2000000U)\r
-#define I2C_INTSTAT_SCLTIMEOUT_SHIFT             (25U)\r
-#define I2C_INTSTAT_SCLTIMEOUT(x)                (((uint32_t)(((uint32_t)(x)) << I2C_INTSTAT_SCLTIMEOUT_SHIFT)) & I2C_INTSTAT_SCLTIMEOUT_MASK)\r
+#define I2C_INTSTAT_MSTPENDING_MASK (0x1U)\r
+#define I2C_INTSTAT_MSTPENDING_SHIFT (0U)\r
+/*! MSTPENDING - Master Pending.\r
+ */\r
+#define I2C_INTSTAT_MSTPENDING(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_INTSTAT_MSTPENDING_SHIFT)) & I2C_INTSTAT_MSTPENDING_MASK)\r
+#define I2C_INTSTAT_MSTARBLOSS_MASK (0x10U)\r
+#define I2C_INTSTAT_MSTARBLOSS_SHIFT (4U)\r
+/*! MSTARBLOSS - Master Arbitration Loss flag.\r
+ */\r
+#define I2C_INTSTAT_MSTARBLOSS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_INTSTAT_MSTARBLOSS_SHIFT)) & I2C_INTSTAT_MSTARBLOSS_MASK)\r
+#define I2C_INTSTAT_MSTSTSTPERR_MASK (0x40U)\r
+#define I2C_INTSTAT_MSTSTSTPERR_SHIFT (6U)\r
+/*! MSTSTSTPERR - Master Start/Stop Error flag.\r
+ */\r
+#define I2C_INTSTAT_MSTSTSTPERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_INTSTAT_MSTSTSTPERR_SHIFT)) & I2C_INTSTAT_MSTSTSTPERR_MASK)\r
+#define I2C_INTSTAT_SLVPENDING_MASK (0x100U)\r
+#define I2C_INTSTAT_SLVPENDING_SHIFT (8U)\r
+/*! SLVPENDING - Slave Pending.\r
+ */\r
+#define I2C_INTSTAT_SLVPENDING(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_INTSTAT_SLVPENDING_SHIFT)) & I2C_INTSTAT_SLVPENDING_MASK)\r
+#define I2C_INTSTAT_SLVNOTSTR_MASK (0x800U)\r
+#define I2C_INTSTAT_SLVNOTSTR_SHIFT (11U)\r
+/*! SLVNOTSTR - Slave Not Stretching status.\r
+ */\r
+#define I2C_INTSTAT_SLVNOTSTR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_INTSTAT_SLVNOTSTR_SHIFT)) & I2C_INTSTAT_SLVNOTSTR_MASK)\r
+#define I2C_INTSTAT_SLVDESEL_MASK (0x8000U)\r
+#define I2C_INTSTAT_SLVDESEL_SHIFT (15U)\r
+/*! SLVDESEL - Slave Deselected flag.\r
+ */\r
+#define I2C_INTSTAT_SLVDESEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_INTSTAT_SLVDESEL_SHIFT)) & I2C_INTSTAT_SLVDESEL_MASK)\r
+#define I2C_INTSTAT_MONRDY_MASK (0x10000U)\r
+#define I2C_INTSTAT_MONRDY_SHIFT (16U)\r
+/*! MONRDY - Monitor Ready.\r
+ */\r
+#define I2C_INTSTAT_MONRDY(x) (((uint32_t)(((uint32_t)(x)) << I2C_INTSTAT_MONRDY_SHIFT)) & I2C_INTSTAT_MONRDY_MASK)\r
+#define I2C_INTSTAT_MONOV_MASK (0x20000U)\r
+#define I2C_INTSTAT_MONOV_SHIFT (17U)\r
+/*! MONOV - Monitor Overflow flag.\r
+ */\r
+#define I2C_INTSTAT_MONOV(x) (((uint32_t)(((uint32_t)(x)) << I2C_INTSTAT_MONOV_SHIFT)) & I2C_INTSTAT_MONOV_MASK)\r
+#define I2C_INTSTAT_MONIDLE_MASK (0x80000U)\r
+#define I2C_INTSTAT_MONIDLE_SHIFT (19U)\r
+/*! MONIDLE - Monitor Idle flag.\r
+ */\r
+#define I2C_INTSTAT_MONIDLE(x) (((uint32_t)(((uint32_t)(x)) << I2C_INTSTAT_MONIDLE_SHIFT)) & I2C_INTSTAT_MONIDLE_MASK)\r
+#define I2C_INTSTAT_EVENTTIMEOUT_MASK (0x1000000U)\r
+#define I2C_INTSTAT_EVENTTIMEOUT_SHIFT (24U)\r
+/*! EVENTTIMEOUT - Event time-out Interrupt flag.\r
+ */\r
+#define I2C_INTSTAT_EVENTTIMEOUT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_INTSTAT_EVENTTIMEOUT_SHIFT)) & I2C_INTSTAT_EVENTTIMEOUT_MASK)\r
+#define I2C_INTSTAT_SCLTIMEOUT_MASK (0x2000000U)\r
+#define I2C_INTSTAT_SCLTIMEOUT_SHIFT (25U)\r
+/*! SCLTIMEOUT - SCL time-out Interrupt flag.\r
+ */\r
+#define I2C_INTSTAT_SCLTIMEOUT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_INTSTAT_SCLTIMEOUT_SHIFT)) & I2C_INTSTAT_SCLTIMEOUT_MASK)\r
 /*! @} */\r
 \r
 /*! @name MSTCTL - Master control register. */\r
 /*! @{ */\r
-#define I2C_MSTCTL_MSTCONTINUE_MASK              (0x1U)\r
-#define I2C_MSTCTL_MSTCONTINUE_SHIFT             (0U)\r
+#define I2C_MSTCTL_MSTCONTINUE_MASK (0x1U)\r
+#define I2C_MSTCTL_MSTCONTINUE_SHIFT (0U)\r
 /*! MSTCONTINUE - Master Continue. This bit is write-only.\r
  *  0b0..No effect.\r
- *  0b1..Continue. Informs the Master function to continue to the next operation. This must done after writing transmit data, reading received data, or any other housekeeping related to the next bus operation.\r
+ *  0b1..Continue. Informs the Master function to continue to the next operation. This must done after writing\r
+ *       transmit data, reading received data, or any other housekeeping related to the next bus operation.\r
  */\r
-#define I2C_MSTCTL_MSTCONTINUE(x)                (((uint32_t)(((uint32_t)(x)) << I2C_MSTCTL_MSTCONTINUE_SHIFT)) & I2C_MSTCTL_MSTCONTINUE_MASK)\r
-#define I2C_MSTCTL_MSTSTART_MASK                 (0x2U)\r
-#define I2C_MSTCTL_MSTSTART_SHIFT                (1U)\r
+#define I2C_MSTCTL_MSTCONTINUE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_MSTCTL_MSTCONTINUE_SHIFT)) & I2C_MSTCTL_MSTCONTINUE_MASK)\r
+#define I2C_MSTCTL_MSTSTART_MASK (0x2U)\r
+#define I2C_MSTCTL_MSTSTART_SHIFT (1U)\r
 /*! MSTSTART - Master Start control. This bit is write-only.\r
  *  0b0..No effect.\r
  *  0b1..Start. A Start will be generated on the I2C bus at the next allowed time.\r
  */\r
-#define I2C_MSTCTL_MSTSTART(x)                   (((uint32_t)(((uint32_t)(x)) << I2C_MSTCTL_MSTSTART_SHIFT)) & I2C_MSTCTL_MSTSTART_MASK)\r
-#define I2C_MSTCTL_MSTSTOP_MASK                  (0x4U)\r
-#define I2C_MSTCTL_MSTSTOP_SHIFT                 (2U)\r
+#define I2C_MSTCTL_MSTSTART(x) (((uint32_t)(((uint32_t)(x)) << I2C_MSTCTL_MSTSTART_SHIFT)) & I2C_MSTCTL_MSTSTART_MASK)\r
+#define I2C_MSTCTL_MSTSTOP_MASK (0x4U)\r
+#define I2C_MSTCTL_MSTSTOP_SHIFT (2U)\r
 /*! MSTSTOP - Master Stop control. This bit is write-only.\r
  *  0b0..No effect.\r
- *  0b1..Stop. A Stop will be generated on the I2C bus at the next allowed time, preceded by a NACK to the slave if the master is receiving data from the slave (Master Receiver mode).\r
- */\r
-#define I2C_MSTCTL_MSTSTOP(x)                    (((uint32_t)(((uint32_t)(x)) << I2C_MSTCTL_MSTSTOP_SHIFT)) & I2C_MSTCTL_MSTSTOP_MASK)\r
-#define I2C_MSTCTL_MSTDMA_MASK                   (0x8U)\r
-#define I2C_MSTCTL_MSTDMA_SHIFT                  (3U)\r
-/*! MSTDMA - Master DMA enable. Data operations of the I2C can be performed with DMA. Protocol type operations such as Start, address, Stop, and address match must always be done with software, typically via an interrupt. Address acknowledgement must also be done by software except when the I2C is configured to be HSCAPABLE (and address acknowledgement is handled entirely by hardware) or when Automatic Operation is enabled. When a DMA data transfer is complete, MSTDMA must be cleared prior to beginning the next operation, typically a Start or Stop.This bit is read/write.\r
+ *  0b1..Stop. A Stop will be generated on the I2C bus at the next allowed time, preceded by a NACK to the slave\r
+ *       if the master is receiving data from the slave (Master Receiver mode).\r
+ */\r
+#define I2C_MSTCTL_MSTSTOP(x) (((uint32_t)(((uint32_t)(x)) << I2C_MSTCTL_MSTSTOP_SHIFT)) & I2C_MSTCTL_MSTSTOP_MASK)\r
+#define I2C_MSTCTL_MSTDMA_MASK (0x8U)\r
+#define I2C_MSTCTL_MSTDMA_SHIFT (3U)\r
+/*! MSTDMA - Master DMA enable. Data operations of the I2C can be performed with DMA. Protocol type\r
+ *    operations such as Start, address, Stop, and address match must always be done with software,\r
+ *    typically via an interrupt. Address acknowledgement must also be done by software except when\r
+ *    the I2C is configured to be HSCAPABLE (and address acknowledgement is handled entirely by\r
+ *    hardware) or when Automatic Operation is enabled. When a DMA data transfer is complete, MSTDMA\r
+ *    must be cleared prior to beginning the next operation, typically a Start or Stop.This bit is\r
+ *    read/write.\r
  *  0b0..Disable. No DMA requests are generated for master operation.\r
- *  0b1..Enable. A DMA request is generated for I2C master data operations. When this I2C master is generating Acknowledge bits in Master Receiver mode, the acknowledge is generated automatically.\r
+ *  0b1..Enable. A DMA request is generated for I2C master data operations. When this I2C master is generating\r
+ *       Acknowledge bits in Master Receiver mode, the acknowledge is generated automatically.\r
  */\r
-#define I2C_MSTCTL_MSTDMA(x)                     (((uint32_t)(((uint32_t)(x)) << I2C_MSTCTL_MSTDMA_SHIFT)) & I2C_MSTCTL_MSTDMA_MASK)\r
+#define I2C_MSTCTL_MSTDMA(x) (((uint32_t)(((uint32_t)(x)) << I2C_MSTCTL_MSTDMA_SHIFT)) & I2C_MSTCTL_MSTDMA_MASK)\r
 /*! @} */\r
 \r
 /*! @name MSTTIME - Master timing configuration. */\r
 /*! @{ */\r
-#define I2C_MSTTIME_MSTSCLLOW_MASK               (0x7U)\r
-#define I2C_MSTTIME_MSTSCLLOW_SHIFT              (0U)\r
-/*! MSTSCLLOW - Master SCL Low time. Specifies the minimum low time that will be asserted by this master on SCL. Other devices on the bus (masters or slaves) could lengthen this time. This corresponds to the parameter t LOW in the I2C bus specification. I2C bus specification parameters tBUF and tSU;STA have the same values and are also controlled by MSTSCLLOW.\r
+#define I2C_MSTTIME_MSTSCLLOW_MASK (0x7U)\r
+#define I2C_MSTTIME_MSTSCLLOW_SHIFT (0U)\r
+/*! MSTSCLLOW - Master SCL Low time. Specifies the minimum low time that will be asserted by this\r
+ *    master on SCL. Other devices on the bus (masters or slaves) could lengthen this time. This\r
+ *    corresponds to the parameter t LOW in the I2C bus specification. I2C bus specification parameters\r
+ *    tBUF and tSU;STA have the same values and are also controlled by MSTSCLLOW.\r
  *  0b000..2 clocks. Minimum SCL low time is 2 clocks of the I2C clock pre-divider.\r
  *  0b001..3 clocks. Minimum SCL low time is 3 clocks of the I2C clock pre-divider.\r
  *  0b010..4 clocks. Minimum SCL low time is 4 clocks of the I2C clock pre-divider.\r
@@ -8762,10 +11744,14 @@ typedef struct {
  *  0b110..8 clocks. Minimum SCL low time is 8 clocks of the I2C clock pre-divider.\r
  *  0b111..9 clocks. Minimum SCL low time is 9 clocks of the I2C clock pre-divider.\r
  */\r
-#define I2C_MSTTIME_MSTSCLLOW(x)                 (((uint32_t)(((uint32_t)(x)) << I2C_MSTTIME_MSTSCLLOW_SHIFT)) & I2C_MSTTIME_MSTSCLLOW_MASK)\r
-#define I2C_MSTTIME_MSTSCLHIGH_MASK              (0x70U)\r
-#define I2C_MSTTIME_MSTSCLHIGH_SHIFT             (4U)\r
-/*! MSTSCLHIGH - Master SCL High time. Specifies the minimum high time that will be asserted by this master on SCL. Other masters in a multi-master system could shorten this time. This corresponds to the parameter tHIGH in the I2C bus specification. I2C bus specification parameters tSU;STO and tHD;STA have the same values and are also controlled by MSTSCLHIGH.\r
+#define I2C_MSTTIME_MSTSCLLOW(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_MSTTIME_MSTSCLLOW_SHIFT)) & I2C_MSTTIME_MSTSCLLOW_MASK)\r
+#define I2C_MSTTIME_MSTSCLHIGH_MASK (0x70U)\r
+#define I2C_MSTTIME_MSTSCLHIGH_SHIFT (4U)\r
+/*! MSTSCLHIGH - Master SCL High time. Specifies the minimum high time that will be asserted by this\r
+ *    master on SCL. Other masters in a multi-master system could shorten this time. This\r
+ *    corresponds to the parameter tHIGH in the I2C bus specification. I2C bus specification parameters\r
+ *    tSU;STO and tHD;STA have the same values and are also controlled by MSTSCLHIGH.\r
  *  0b000..2 clocks. Minimum SCL high time is 2 clock of the I2C clock pre-divider.\r
  *  0b001..3 clocks. Minimum SCL high time is 3 clocks of the I2C clock pre-divider .\r
  *  0b010..4 clocks. Minimum SCL high time is 4 clock of the I2C clock pre-divider.\r
@@ -8775,270 +11761,342 @@ typedef struct {
  *  0b110..8 clocks. Minimum SCL high time is 8 clock of the I2C clock pre-divider.\r
  *  0b111..9 clocks. Minimum SCL high time is 9 clocks of the I2C clock pre-divider.\r
  */\r
-#define I2C_MSTTIME_MSTSCLHIGH(x)                (((uint32_t)(((uint32_t)(x)) << I2C_MSTTIME_MSTSCLHIGH_SHIFT)) & I2C_MSTTIME_MSTSCLHIGH_MASK)\r
+#define I2C_MSTTIME_MSTSCLHIGH(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_MSTTIME_MSTSCLHIGH_SHIFT)) & I2C_MSTTIME_MSTSCLHIGH_MASK)\r
 /*! @} */\r
 \r
 /*! @name MSTDAT - Combined Master receiver and transmitter data register. */\r
 /*! @{ */\r
-#define I2C_MSTDAT_DATA_MASK                     (0xFFU)\r
-#define I2C_MSTDAT_DATA_SHIFT                    (0U)\r
-#define I2C_MSTDAT_DATA(x)                       (((uint32_t)(((uint32_t)(x)) << I2C_MSTDAT_DATA_SHIFT)) & I2C_MSTDAT_DATA_MASK)\r
+#define I2C_MSTDAT_DATA_MASK (0xFFU)\r
+#define I2C_MSTDAT_DATA_SHIFT (0U)\r
+/*! DATA - Master function data register. Read: read the most recently received data for the Master\r
+ *    function. Write: transmit data using the Master function.\r
+ */\r
+#define I2C_MSTDAT_DATA(x) (((uint32_t)(((uint32_t)(x)) << I2C_MSTDAT_DATA_SHIFT)) & I2C_MSTDAT_DATA_MASK)\r
 /*! @} */\r
 \r
 /*! @name SLVCTL - Slave control register. */\r
 /*! @{ */\r
-#define I2C_SLVCTL_SLVCONTINUE_MASK              (0x1U)\r
-#define I2C_SLVCTL_SLVCONTINUE_SHIFT             (0U)\r
+#define I2C_SLVCTL_SLVCONTINUE_MASK (0x1U)\r
+#define I2C_SLVCTL_SLVCONTINUE_SHIFT (0U)\r
 /*! SLVCONTINUE - Slave Continue.\r
  *  0b0..No effect.\r
- *  0b1..Continue. Informs the Slave function to continue to the next operation, by clearing the SLVPENDING flag in the STAT register. This must be done after writing transmit data, reading received data, or any other housekeeping related to the next bus operation. Automatic Operation has different requirements. SLVCONTINUE should not be set unless SLVPENDING = 1.\r
- */\r
-#define I2C_SLVCTL_SLVCONTINUE(x)                (((uint32_t)(((uint32_t)(x)) << I2C_SLVCTL_SLVCONTINUE_SHIFT)) & I2C_SLVCTL_SLVCONTINUE_MASK)\r
-#define I2C_SLVCTL_SLVNACK_MASK                  (0x2U)\r
-#define I2C_SLVCTL_SLVNACK_SHIFT                 (1U)\r
+ *  0b1..Continue. Informs the Slave function to continue to the next operation, by clearing the SLVPENDING flag\r
+ *       in the STAT register. This must be done after writing transmit data, reading received data, or any other\r
+ *       housekeeping related to the next bus operation. Automatic Operation has different requirements. SLVCONTINUE\r
+ *       should not be set unless SLVPENDING = 1.\r
+ */\r
+#define I2C_SLVCTL_SLVCONTINUE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_SLVCTL_SLVCONTINUE_SHIFT)) & I2C_SLVCTL_SLVCONTINUE_MASK)\r
+#define I2C_SLVCTL_SLVNACK_MASK (0x2U)\r
+#define I2C_SLVCTL_SLVNACK_SHIFT (1U)\r
 /*! SLVNACK - Slave NACK.\r
  *  0b0..No effect.\r
- *  0b1..NACK. Causes the Slave function to NACK the master when the slave is receiving data from the master (Slave Receiver mode).\r
+ *  0b1..NACK. Causes the Slave function to NACK the master when the slave is receiving data from the master (Slave\r
+ * Receiver mode).\r
  */\r
-#define I2C_SLVCTL_SLVNACK(x)                    (((uint32_t)(((uint32_t)(x)) << I2C_SLVCTL_SLVNACK_SHIFT)) & I2C_SLVCTL_SLVNACK_MASK)\r
-#define I2C_SLVCTL_SLVDMA_MASK                   (0x8U)\r
-#define I2C_SLVCTL_SLVDMA_SHIFT                  (3U)\r
+#define I2C_SLVCTL_SLVNACK(x) (((uint32_t)(((uint32_t)(x)) << I2C_SLVCTL_SLVNACK_SHIFT)) & I2C_SLVCTL_SLVNACK_MASK)\r
+#define I2C_SLVCTL_SLVDMA_MASK (0x8U)\r
+#define I2C_SLVCTL_SLVDMA_SHIFT (3U)\r
 /*! SLVDMA - Slave DMA enable.\r
  *  0b0..Disabled. No DMA requests are issued for Slave mode operation.\r
  *  0b1..Enabled. DMA requests are issued for I2C slave data transmission and reception.\r
  */\r
-#define I2C_SLVCTL_SLVDMA(x)                     (((uint32_t)(((uint32_t)(x)) << I2C_SLVCTL_SLVDMA_SHIFT)) & I2C_SLVCTL_SLVDMA_MASK)\r
-#define I2C_SLVCTL_AUTOACK_MASK                  (0x100U)\r
-#define I2C_SLVCTL_AUTOACK_SHIFT                 (8U)\r
-/*! AUTOACK - Automatic Acknowledge.When this bit is set, it will cause an I2C header which matches SLVADR0 and the direction set by AUTOMATCHREAD to be ACKed immediately; this is used with DMA to allow processing of the data without intervention. If this bit is clear and a header matches SLVADR0, the behavior is controlled by AUTONACK in the SLVADR0 register: allowing NACK or interrupt.\r
- *  0b0..Normal, non-automatic operation. If AUTONACK = 0, an SlvPending interrupt is generated when a matching address is received. If AUTONACK = 1, received addresses are NACKed (ignored).\r
- *  0b1..A header with matching SLVADR0 and matching direction as set by AUTOMATCHREAD will be ACKed immediately, allowing the master to move on to the data bytes. If the address matches SLVADR0, but the direction does not match AUTOMATCHREAD, the behavior will depend on the AUTONACK bit in the SLVADR0 register: if AUTONACK is set, then it will be Nacked; else if AUTONACK is clear, then a SlvPending interrupt is generated.\r
- */\r
-#define I2C_SLVCTL_AUTOACK(x)                    (((uint32_t)(((uint32_t)(x)) << I2C_SLVCTL_AUTOACK_SHIFT)) & I2C_SLVCTL_AUTOACK_MASK)\r
-#define I2C_SLVCTL_AUTOMATCHREAD_MASK            (0x200U)\r
-#define I2C_SLVCTL_AUTOMATCHREAD_SHIFT           (9U)\r
-/*! AUTOMATCHREAD - When AUTOACK is set, this bit controls whether it matches a read or write request on the next header with an address matching SLVADR0. Since DMA needs to be configured to match the transfer direction, the direction needs to be specified. This bit allows a direction to be chosen for the next operation.\r
+#define I2C_SLVCTL_SLVDMA(x) (((uint32_t)(((uint32_t)(x)) << I2C_SLVCTL_SLVDMA_SHIFT)) & I2C_SLVCTL_SLVDMA_MASK)\r
+#define I2C_SLVCTL_AUTOACK_MASK (0x100U)\r
+#define I2C_SLVCTL_AUTOACK_SHIFT (8U)\r
+/*! AUTOACK - Automatic Acknowledge.When this bit is set, it will cause an I2C header which matches\r
+ *    SLVADR0 and the direction set by AUTOMATCHREAD to be ACKed immediately; this is used with DMA\r
+ *    to allow processing of the data without intervention. If this bit is clear and a header\r
+ *    matches SLVADR0, the behavior is controlled by AUTONACK in the SLVADR0 register: allowing NACK or\r
+ *    interrupt.\r
+ *  0b0..Normal, non-automatic operation. If AUTONACK = 0, an SlvPending interrupt is generated when a matching\r
+ *       address is received. If AUTONACK = 1, received addresses are NACKed (ignored).\r
+ *  0b1..A header with matching SLVADR0 and matching direction as set by AUTOMATCHREAD will be ACKed immediately,\r
+ *       allowing the master to move on to the data bytes. If the address matches SLVADR0, but the direction does\r
+ *       not match AUTOMATCHREAD, the behavior will depend on the AUTONACK bit in the SLVADR0 register: if AUTONACK\r
+ *       is set, then it will be Nacked; else if AUTONACK is clear, then a SlvPending interrupt is generated.\r
+ */\r
+#define I2C_SLVCTL_AUTOACK(x) (((uint32_t)(((uint32_t)(x)) << I2C_SLVCTL_AUTOACK_SHIFT)) & I2C_SLVCTL_AUTOACK_MASK)\r
+#define I2C_SLVCTL_AUTOMATCHREAD_MASK (0x200U)\r
+#define I2C_SLVCTL_AUTOMATCHREAD_SHIFT (9U)\r
+/*! AUTOMATCHREAD - When AUTOACK is set, this bit controls whether it matches a read or write\r
+ *    request on the next header with an address matching SLVADR0. Since DMA needs to be configured to\r
+ *    match the transfer direction, the direction needs to be specified. This bit allows a direction to\r
+ *    be chosen for the next operation.\r
  *  0b0..The expected next operation in Automatic Mode is an I2C write.\r
  *  0b1..The expected next operation in Automatic Mode is an I2C read.\r
  */\r
-#define I2C_SLVCTL_AUTOMATCHREAD(x)              (((uint32_t)(((uint32_t)(x)) << I2C_SLVCTL_AUTOMATCHREAD_SHIFT)) & I2C_SLVCTL_AUTOMATCHREAD_MASK)\r
+#define I2C_SLVCTL_AUTOMATCHREAD(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_SLVCTL_AUTOMATCHREAD_SHIFT)) & I2C_SLVCTL_AUTOMATCHREAD_MASK)\r
 /*! @} */\r
 \r
 /*! @name SLVDAT - Combined Slave receiver and transmitter data register. */\r
 /*! @{ */\r
-#define I2C_SLVDAT_DATA_MASK                     (0xFFU)\r
-#define I2C_SLVDAT_DATA_SHIFT                    (0U)\r
-#define I2C_SLVDAT_DATA(x)                       (((uint32_t)(((uint32_t)(x)) << I2C_SLVDAT_DATA_SHIFT)) & I2C_SLVDAT_DATA_MASK)\r
+#define I2C_SLVDAT_DATA_MASK (0xFFU)\r
+#define I2C_SLVDAT_DATA_SHIFT (0U)\r
+/*! DATA - Slave function data register. Read: read the most recently received data for the Slave\r
+ *    function. Write: transmit data using the Slave function.\r
+ */\r
+#define I2C_SLVDAT_DATA(x) (((uint32_t)(((uint32_t)(x)) << I2C_SLVDAT_DATA_SHIFT)) & I2C_SLVDAT_DATA_MASK)\r
 /*! @} */\r
 \r
 /*! @name SLVADR - Slave address register. */\r
 /*! @{ */\r
-#define I2C_SLVADR_SADISABLE_MASK                (0x1U)\r
-#define I2C_SLVADR_SADISABLE_SHIFT               (0U)\r
+#define I2C_SLVADR_SADISABLE_MASK (0x1U)\r
+#define I2C_SLVADR_SADISABLE_SHIFT (0U)\r
 /*! SADISABLE - Slave Address n Disable.\r
  *  0b0..Enabled. Slave Address n is enabled.\r
  *  0b1..Ignored Slave Address n is ignored.\r
  */\r
-#define I2C_SLVADR_SADISABLE(x)                  (((uint32_t)(((uint32_t)(x)) << I2C_SLVADR_SADISABLE_SHIFT)) & I2C_SLVADR_SADISABLE_MASK)\r
-#define I2C_SLVADR_SLVADR_MASK                   (0xFEU)\r
-#define I2C_SLVADR_SLVADR_SHIFT                  (1U)\r
-#define I2C_SLVADR_SLVADR(x)                     (((uint32_t)(((uint32_t)(x)) << I2C_SLVADR_SLVADR_SHIFT)) & I2C_SLVADR_SLVADR_MASK)\r
-#define I2C_SLVADR_AUTONACK_MASK                 (0x8000U)\r
-#define I2C_SLVADR_AUTONACK_SHIFT                (15U)\r
-/*! AUTONACK - Automatic NACK operation. Used in conjunction with AUTOACK and AUTOMATCHREAD, allows software to ignore I2C traffic while handling previous I2C data or other operations.\r
+#define I2C_SLVADR_SADISABLE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_SLVADR_SADISABLE_SHIFT)) & I2C_SLVADR_SADISABLE_MASK)\r
+#define I2C_SLVADR_SLVADR_MASK (0xFEU)\r
+#define I2C_SLVADR_SLVADR_SHIFT (1U)\r
+/*! SLVADR - Slave Address. Seven bit slave address that is compared to received addresses if enabled.\r
+ */\r
+#define I2C_SLVADR_SLVADR(x) (((uint32_t)(((uint32_t)(x)) << I2C_SLVADR_SLVADR_SHIFT)) & I2C_SLVADR_SLVADR_MASK)\r
+#define I2C_SLVADR_AUTONACK_MASK (0x8000U)\r
+#define I2C_SLVADR_AUTONACK_SHIFT (15U)\r
+/*! AUTONACK - Automatic NACK operation. Used in conjunction with AUTOACK and AUTOMATCHREAD, allows\r
+ *    software to ignore I2C traffic while handling previous I2C data or other operations.\r
  *  0b0..Normal operation, matching I2C addresses are not ignored.\r
- *  0b1..Automatic-only mode. All incoming addresses are ignored (NACKed), unless AUTOACK is set, it matches SLVADRn, and AUTOMATCHREAD matches the direction.\r
+ *  0b1..Automatic-only mode. All incoming addresses are ignored (NACKed), unless AUTOACK is set, it matches\r
+ *       SLVADRn, and AUTOMATCHREAD matches the direction.\r
  */\r
-#define I2C_SLVADR_AUTONACK(x)                   (((uint32_t)(((uint32_t)(x)) << I2C_SLVADR_AUTONACK_SHIFT)) & I2C_SLVADR_AUTONACK_MASK)\r
+#define I2C_SLVADR_AUTONACK(x) (((uint32_t)(((uint32_t)(x)) << I2C_SLVADR_AUTONACK_SHIFT)) & I2C_SLVADR_AUTONACK_MASK)\r
 /*! @} */\r
 \r
 /* The count of I2C_SLVADR */\r
-#define I2C_SLVADR_COUNT                         (4U)\r
+#define I2C_SLVADR_COUNT (4U)\r
 \r
 /*! @name SLVQUAL0 - Slave Qualification for address 0. */\r
 /*! @{ */\r
-#define I2C_SLVQUAL0_QUALMODE0_MASK              (0x1U)\r
-#define I2C_SLVQUAL0_QUALMODE0_SHIFT             (0U)\r
+#define I2C_SLVQUAL0_QUALMODE0_MASK (0x1U)\r
+#define I2C_SLVQUAL0_QUALMODE0_SHIFT (0U)\r
 /*! QUALMODE0 - Qualify mode for slave address 0.\r
  *  0b0..Mask. The SLVQUAL0 field is used as a logical mask for matching address 0.\r
  *  0b1..Extend. The SLVQUAL0 field is used to extend address 0 matching in a range of addresses.\r
  */\r
-#define I2C_SLVQUAL0_QUALMODE0(x)                (((uint32_t)(((uint32_t)(x)) << I2C_SLVQUAL0_QUALMODE0_SHIFT)) & I2C_SLVQUAL0_QUALMODE0_MASK)\r
-#define I2C_SLVQUAL0_SLVQUAL0_MASK               (0xFEU)\r
-#define I2C_SLVQUAL0_SLVQUAL0_SHIFT              (1U)\r
-#define I2C_SLVQUAL0_SLVQUAL0(x)                 (((uint32_t)(((uint32_t)(x)) << I2C_SLVQUAL0_SLVQUAL0_SHIFT)) & I2C_SLVQUAL0_SLVQUAL0_MASK)\r
+#define I2C_SLVQUAL0_QUALMODE0(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_SLVQUAL0_QUALMODE0_SHIFT)) & I2C_SLVQUAL0_QUALMODE0_MASK)\r
+#define I2C_SLVQUAL0_SLVQUAL0_MASK (0xFEU)\r
+#define I2C_SLVQUAL0_SLVQUAL0_SHIFT (1U)\r
+/*! SLVQUAL0 - Slave address Qualifier for address 0. A value of 0 causes the address in SLVADR0 to\r
+ *    be used as-is, assuming that it is enabled. If QUALMODE0 = 0, any bit in this field which is\r
+ *    set to 1 will cause an automatic match of the corresponding bit of the received address when it\r
+ *    is compared to the SLVADR0 register. If QUALMODE0 = 1, an address range is matched for\r
+ *    address 0. This range extends from the value defined by SLVADR0 to the address defined by SLVQUAL0\r
+ *    (address matches when SLVADR0[7:1] <= received address <= SLVQUAL0[7:1]).\r
+ */\r
+#define I2C_SLVQUAL0_SLVQUAL0(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_SLVQUAL0_SLVQUAL0_SHIFT)) & I2C_SLVQUAL0_SLVQUAL0_MASK)\r
 /*! @} */\r
 \r
 /*! @name MONRXDAT - Monitor receiver data register. */\r
 /*! @{ */\r
-#define I2C_MONRXDAT_MONRXDAT_MASK               (0xFFU)\r
-#define I2C_MONRXDAT_MONRXDAT_SHIFT              (0U)\r
-#define I2C_MONRXDAT_MONRXDAT(x)                 (((uint32_t)(((uint32_t)(x)) << I2C_MONRXDAT_MONRXDAT_SHIFT)) & I2C_MONRXDAT_MONRXDAT_MASK)\r
-#define I2C_MONRXDAT_MONSTART_MASK               (0x100U)\r
-#define I2C_MONRXDAT_MONSTART_SHIFT              (8U)\r
+#define I2C_MONRXDAT_MONRXDAT_MASK (0xFFU)\r
+#define I2C_MONRXDAT_MONRXDAT_SHIFT (0U)\r
+/*! MONRXDAT - Monitor function Receiver Data. This reflects every data byte that passes on the I2C pins.\r
+ */\r
+#define I2C_MONRXDAT_MONRXDAT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_MONRXDAT_MONRXDAT_SHIFT)) & I2C_MONRXDAT_MONRXDAT_MASK)\r
+#define I2C_MONRXDAT_MONSTART_MASK (0x100U)\r
+#define I2C_MONRXDAT_MONSTART_SHIFT (8U)\r
 /*! MONSTART - Monitor Received Start.\r
  *  0b0..No start detected. The Monitor function has not detected a Start event on the I2C bus.\r
  *  0b1..Start detected. The Monitor function has detected a Start event on the I2C bus.\r
  */\r
-#define I2C_MONRXDAT_MONSTART(x)                 (((uint32_t)(((uint32_t)(x)) << I2C_MONRXDAT_MONSTART_SHIFT)) & I2C_MONRXDAT_MONSTART_MASK)\r
-#define I2C_MONRXDAT_MONRESTART_MASK             (0x200U)\r
-#define I2C_MONRXDAT_MONRESTART_SHIFT            (9U)\r
+#define I2C_MONRXDAT_MONSTART(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_MONRXDAT_MONSTART_SHIFT)) & I2C_MONRXDAT_MONSTART_MASK)\r
+#define I2C_MONRXDAT_MONRESTART_MASK (0x200U)\r
+#define I2C_MONRXDAT_MONRESTART_SHIFT (9U)\r
 /*! MONRESTART - Monitor Received Repeated Start.\r
  *  0b0..No repeated start detected. The Monitor function has not detected a Repeated Start event on the I2C bus.\r
  *  0b1..Repeated start detected. The Monitor function has detected a Repeated Start event on the I2C bus.\r
  */\r
-#define I2C_MONRXDAT_MONRESTART(x)               (((uint32_t)(((uint32_t)(x)) << I2C_MONRXDAT_MONRESTART_SHIFT)) & I2C_MONRXDAT_MONRESTART_MASK)\r
-#define I2C_MONRXDAT_MONNACK_MASK                (0x400U)\r
-#define I2C_MONRXDAT_MONNACK_SHIFT               (10U)\r
+#define I2C_MONRXDAT_MONRESTART(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_MONRXDAT_MONRESTART_SHIFT)) & I2C_MONRXDAT_MONRESTART_MASK)\r
+#define I2C_MONRXDAT_MONNACK_MASK (0x400U)\r
+#define I2C_MONRXDAT_MONNACK_SHIFT (10U)\r
 /*! MONNACK - Monitor Received NACK.\r
- *  0b0..Acknowledged. The data currently being provided by the Monitor function was acknowledged by at least one master or slave receiver.\r
- *  0b1..Not acknowledged. The data currently being provided by the Monitor function was not acknowledged by any receiver.\r
+ *  0b0..Acknowledged. The data currently being provided by the Monitor function was acknowledged by at least one master\r
+ * or slave receiver. 0b1..Not acknowledged. The data currently being provided by the Monitor function was not\r
+ * acknowledged by any receiver.\r
  */\r
-#define I2C_MONRXDAT_MONNACK(x)                  (((uint32_t)(((uint32_t)(x)) << I2C_MONRXDAT_MONNACK_SHIFT)) & I2C_MONRXDAT_MONNACK_MASK)\r
+#define I2C_MONRXDAT_MONNACK(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2C_MONRXDAT_MONNACK_SHIFT)) & I2C_MONRXDAT_MONNACK_MASK)\r
 /*! @} */\r
 \r
 /*! @name ID - Peripheral identification register. */\r
 /*! @{ */\r
-#define I2C_ID_APERTURE_MASK                     (0xFFU)\r
-#define I2C_ID_APERTURE_SHIFT                    (0U)\r
-#define I2C_ID_APERTURE(x)                       (((uint32_t)(((uint32_t)(x)) << I2C_ID_APERTURE_SHIFT)) & I2C_ID_APERTURE_MASK)\r
-#define I2C_ID_MINOR_REV_MASK                    (0xF00U)\r
-#define I2C_ID_MINOR_REV_SHIFT                   (8U)\r
-#define I2C_ID_MINOR_REV(x)                      (((uint32_t)(((uint32_t)(x)) << I2C_ID_MINOR_REV_SHIFT)) & I2C_ID_MINOR_REV_MASK)\r
-#define I2C_ID_MAJOR_REV_MASK                    (0xF000U)\r
-#define I2C_ID_MAJOR_REV_SHIFT                   (12U)\r
-#define I2C_ID_MAJOR_REV(x)                      (((uint32_t)(((uint32_t)(x)) << I2C_ID_MAJOR_REV_SHIFT)) & I2C_ID_MAJOR_REV_MASK)\r
-#define I2C_ID_ID_MASK                           (0xFFFF0000U)\r
-#define I2C_ID_ID_SHIFT                          (16U)\r
-#define I2C_ID_ID(x)                             (((uint32_t)(((uint32_t)(x)) << I2C_ID_ID_SHIFT)) & I2C_ID_ID_MASK)\r
+#define I2C_ID_APERTURE_MASK (0xFFU)\r
+#define I2C_ID_APERTURE_SHIFT (0U)\r
+/*! APERTURE - Aperture: encoded as (aperture size/4K) -1, so 0x00 means a 4K aperture.\r
+ */\r
+#define I2C_ID_APERTURE(x) (((uint32_t)(((uint32_t)(x)) << I2C_ID_APERTURE_SHIFT)) & I2C_ID_APERTURE_MASK)\r
+#define I2C_ID_MINOR_REV_MASK (0xF00U)\r
+#define I2C_ID_MINOR_REV_SHIFT (8U)\r
+/*! MINOR_REV - Minor revision of module implementation.\r
+ */\r
+#define I2C_ID_MINOR_REV(x) (((uint32_t)(((uint32_t)(x)) << I2C_ID_MINOR_REV_SHIFT)) & I2C_ID_MINOR_REV_MASK)\r
+#define I2C_ID_MAJOR_REV_MASK (0xF000U)\r
+#define I2C_ID_MAJOR_REV_SHIFT (12U)\r
+/*! MAJOR_REV - Major revision of module implementation.\r
+ */\r
+#define I2C_ID_MAJOR_REV(x) (((uint32_t)(((uint32_t)(x)) << I2C_ID_MAJOR_REV_SHIFT)) & I2C_ID_MAJOR_REV_MASK)\r
+#define I2C_ID_ID_MASK (0xFFFF0000U)\r
+#define I2C_ID_ID_SHIFT (16U)\r
+/*! ID - Module identifier for the selected function.\r
+ */\r
+#define I2C_ID_ID(x) (((uint32_t)(((uint32_t)(x)) << I2C_ID_ID_SHIFT)) & I2C_ID_ID_MASK)\r
 /*! @} */\r
 \r
-\r
 /*!\r
  * @}\r
  */ /* end of group I2C_Register_Masks */\r
 \r
-\r
 /* I2C - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral I2C0 base address */\r
-  #define I2C0_BASE                                (0x50086000u)\r
-  /** Peripheral I2C0 base address */\r
-  #define I2C0_BASE_NS                             (0x40086000u)\r
-  /** Peripheral I2C0 base pointer */\r
-  #define I2C0                                     ((I2C_Type *)I2C0_BASE)\r
-  /** Peripheral I2C0 base pointer */\r
-  #define I2C0_NS                                  ((I2C_Type *)I2C0_BASE_NS)\r
-  /** Peripheral I2C1 base address */\r
-  #define I2C1_BASE                                (0x50087000u)\r
-  /** Peripheral I2C1 base address */\r
-  #define I2C1_BASE_NS                             (0x40087000u)\r
-  /** Peripheral I2C1 base pointer */\r
-  #define I2C1                                     ((I2C_Type *)I2C1_BASE)\r
-  /** Peripheral I2C1 base pointer */\r
-  #define I2C1_NS                                  ((I2C_Type *)I2C1_BASE_NS)\r
-  /** Peripheral I2C2 base address */\r
-  #define I2C2_BASE                                (0x50088000u)\r
-  /** Peripheral I2C2 base address */\r
-  #define I2C2_BASE_NS                             (0x40088000u)\r
-  /** Peripheral I2C2 base pointer */\r
-  #define I2C2                                     ((I2C_Type *)I2C2_BASE)\r
-  /** Peripheral I2C2 base pointer */\r
-  #define I2C2_NS                                  ((I2C_Type *)I2C2_BASE_NS)\r
-  /** Peripheral I2C3 base address */\r
-  #define I2C3_BASE                                (0x50089000u)\r
-  /** Peripheral I2C3 base address */\r
-  #define I2C3_BASE_NS                             (0x40089000u)\r
-  /** Peripheral I2C3 base pointer */\r
-  #define I2C3                                     ((I2C_Type *)I2C3_BASE)\r
-  /** Peripheral I2C3 base pointer */\r
-  #define I2C3_NS                                  ((I2C_Type *)I2C3_BASE_NS)\r
-  /** Peripheral I2C4 base address */\r
-  #define I2C4_BASE                                (0x5008A000u)\r
-  /** Peripheral I2C4 base address */\r
-  #define I2C4_BASE_NS                             (0x4008A000u)\r
-  /** Peripheral I2C4 base pointer */\r
-  #define I2C4                                     ((I2C_Type *)I2C4_BASE)\r
-  /** Peripheral I2C4 base pointer */\r
-  #define I2C4_NS                                  ((I2C_Type *)I2C4_BASE_NS)\r
-  /** Peripheral I2C5 base address */\r
-  #define I2C5_BASE                                (0x50096000u)\r
-  /** Peripheral I2C5 base address */\r
-  #define I2C5_BASE_NS                             (0x40096000u)\r
-  /** Peripheral I2C5 base pointer */\r
-  #define I2C5                                     ((I2C_Type *)I2C5_BASE)\r
-  /** Peripheral I2C5 base pointer */\r
-  #define I2C5_NS                                  ((I2C_Type *)I2C5_BASE_NS)\r
-  /** Peripheral I2C6 base address */\r
-  #define I2C6_BASE                                (0x50097000u)\r
-  /** Peripheral I2C6 base address */\r
-  #define I2C6_BASE_NS                             (0x40097000u)\r
-  /** Peripheral I2C6 base pointer */\r
-  #define I2C6                                     ((I2C_Type *)I2C6_BASE)\r
-  /** Peripheral I2C6 base pointer */\r
-  #define I2C6_NS                                  ((I2C_Type *)I2C6_BASE_NS)\r
-  /** Peripheral I2C7 base address */\r
-  #define I2C7_BASE                                (0x50098000u)\r
-  /** Peripheral I2C7 base address */\r
-  #define I2C7_BASE_NS                             (0x40098000u)\r
-  /** Peripheral I2C7 base pointer */\r
-  #define I2C7                                     ((I2C_Type *)I2C7_BASE)\r
-  /** Peripheral I2C7 base pointer */\r
-  #define I2C7_NS                                  ((I2C_Type *)I2C7_BASE_NS)\r
-  /** Array initializer of I2C peripheral base addresses */\r
-  #define I2C_BASE_ADDRS                           { I2C0_BASE, I2C1_BASE, I2C2_BASE, I2C3_BASE, I2C4_BASE, I2C5_BASE, I2C6_BASE, I2C7_BASE }\r
-  /** Array initializer of I2C peripheral base pointers */\r
-  #define I2C_BASE_PTRS                            { I2C0, I2C1, I2C2, I2C3, I2C4, I2C5, I2C6, I2C7 }\r
-  /** Array initializer of I2C peripheral base addresses */\r
-  #define I2C_BASE_ADDRS_NS                        { I2C0_BASE_NS, I2C1_BASE_NS, I2C2_BASE_NS, I2C3_BASE_NS, I2C4_BASE_NS, I2C5_BASE_NS, I2C6_BASE_NS, I2C7_BASE_NS }\r
-  /** Array initializer of I2C peripheral base pointers */\r
-  #define I2C_BASE_PTRS_NS                         { I2C0_NS, I2C1_NS, I2C2_NS, I2C3_NS, I2C4_NS, I2C5_NS, I2C6_NS, I2C7_NS }\r
+/** Peripheral I2C0 base address */\r
+#define I2C0_BASE (0x50086000u)\r
+/** Peripheral I2C0 base address */\r
+#define I2C0_BASE_NS (0x40086000u)\r
+/** Peripheral I2C0 base pointer */\r
+#define I2C0 ((I2C_Type *)I2C0_BASE)\r
+/** Peripheral I2C0 base pointer */\r
+#define I2C0_NS ((I2C_Type *)I2C0_BASE_NS)\r
+/** Peripheral I2C1 base address */\r
+#define I2C1_BASE (0x50087000u)\r
+/** Peripheral I2C1 base address */\r
+#define I2C1_BASE_NS (0x40087000u)\r
+/** Peripheral I2C1 base pointer */\r
+#define I2C1 ((I2C_Type *)I2C1_BASE)\r
+/** Peripheral I2C1 base pointer */\r
+#define I2C1_NS ((I2C_Type *)I2C1_BASE_NS)\r
+/** Peripheral I2C2 base address */\r
+#define I2C2_BASE (0x50088000u)\r
+/** Peripheral I2C2 base address */\r
+#define I2C2_BASE_NS (0x40088000u)\r
+/** Peripheral I2C2 base pointer */\r
+#define I2C2 ((I2C_Type *)I2C2_BASE)\r
+/** Peripheral I2C2 base pointer */\r
+#define I2C2_NS ((I2C_Type *)I2C2_BASE_NS)\r
+/** Peripheral I2C3 base address */\r
+#define I2C3_BASE (0x50089000u)\r
+/** Peripheral I2C3 base address */\r
+#define I2C3_BASE_NS (0x40089000u)\r
+/** Peripheral I2C3 base pointer */\r
+#define I2C3 ((I2C_Type *)I2C3_BASE)\r
+/** Peripheral I2C3 base pointer */\r
+#define I2C3_NS ((I2C_Type *)I2C3_BASE_NS)\r
+/** Peripheral I2C4 base address */\r
+#define I2C4_BASE (0x5008A000u)\r
+/** Peripheral I2C4 base address */\r
+#define I2C4_BASE_NS (0x4008A000u)\r
+/** Peripheral I2C4 base pointer */\r
+#define I2C4 ((I2C_Type *)I2C4_BASE)\r
+/** Peripheral I2C4 base pointer */\r
+#define I2C4_NS ((I2C_Type *)I2C4_BASE_NS)\r
+/** Peripheral I2C5 base address */\r
+#define I2C5_BASE (0x50096000u)\r
+/** Peripheral I2C5 base address */\r
+#define I2C5_BASE_NS (0x40096000u)\r
+/** Peripheral I2C5 base pointer */\r
+#define I2C5 ((I2C_Type *)I2C5_BASE)\r
+/** Peripheral I2C5 base pointer */\r
+#define I2C5_NS ((I2C_Type *)I2C5_BASE_NS)\r
+/** Peripheral I2C6 base address */\r
+#define I2C6_BASE (0x50097000u)\r
+/** Peripheral I2C6 base address */\r
+#define I2C6_BASE_NS (0x40097000u)\r
+/** Peripheral I2C6 base pointer */\r
+#define I2C6 ((I2C_Type *)I2C6_BASE)\r
+/** Peripheral I2C6 base pointer */\r
+#define I2C6_NS ((I2C_Type *)I2C6_BASE_NS)\r
+/** Peripheral I2C7 base address */\r
+#define I2C7_BASE (0x50098000u)\r
+/** Peripheral I2C7 base address */\r
+#define I2C7_BASE_NS (0x40098000u)\r
+/** Peripheral I2C7 base pointer */\r
+#define I2C7 ((I2C_Type *)I2C7_BASE)\r
+/** Peripheral I2C7 base pointer */\r
+#define I2C7_NS ((I2C_Type *)I2C7_BASE_NS)\r
+/** Array initializer of I2C peripheral base addresses */\r
+#define I2C_BASE_ADDRS                                                                         \\r
+    {                                                                                          \\r
+        I2C0_BASE, I2C1_BASE, I2C2_BASE, I2C3_BASE, I2C4_BASE, I2C5_BASE, I2C6_BASE, I2C7_BASE \\r
+    }\r
+/** Array initializer of I2C peripheral base pointers */\r
+#define I2C_BASE_PTRS                                  \\r
+    {                                                  \\r
+        I2C0, I2C1, I2C2, I2C3, I2C4, I2C5, I2C6, I2C7 \\r
+    }\r
+/** Array initializer of I2C peripheral base addresses */\r
+#define I2C_BASE_ADDRS_NS                                                                                              \\r
+    {                                                                                                                  \\r
+        I2C0_BASE_NS, I2C1_BASE_NS, I2C2_BASE_NS, I2C3_BASE_NS, I2C4_BASE_NS, I2C5_BASE_NS, I2C6_BASE_NS, I2C7_BASE_NS \\r
+    }\r
+/** Array initializer of I2C peripheral base pointers */\r
+#define I2C_BASE_PTRS_NS                                                       \\r
+    {                                                                          \\r
+        I2C0_NS, I2C1_NS, I2C2_NS, I2C3_NS, I2C4_NS, I2C5_NS, I2C6_NS, I2C7_NS \\r
+    }\r
 #else\r
-  /** Peripheral I2C0 base address */\r
-  #define I2C0_BASE                                (0x40086000u)\r
-  /** Peripheral I2C0 base pointer */\r
-  #define I2C0                                     ((I2C_Type *)I2C0_BASE)\r
-  /** Peripheral I2C1 base address */\r
-  #define I2C1_BASE                                (0x40087000u)\r
-  /** Peripheral I2C1 base pointer */\r
-  #define I2C1                                     ((I2C_Type *)I2C1_BASE)\r
-  /** Peripheral I2C2 base address */\r
-  #define I2C2_BASE                                (0x40088000u)\r
-  /** Peripheral I2C2 base pointer */\r
-  #define I2C2                                     ((I2C_Type *)I2C2_BASE)\r
-  /** Peripheral I2C3 base address */\r
-  #define I2C3_BASE                                (0x40089000u)\r
-  /** Peripheral I2C3 base pointer */\r
-  #define I2C3                                     ((I2C_Type *)I2C3_BASE)\r
-  /** Peripheral I2C4 base address */\r
-  #define I2C4_BASE                                (0x4008A000u)\r
-  /** Peripheral I2C4 base pointer */\r
-  #define I2C4                                     ((I2C_Type *)I2C4_BASE)\r
-  /** Peripheral I2C5 base address */\r
-  #define I2C5_BASE                                (0x40096000u)\r
-  /** Peripheral I2C5 base pointer */\r
-  #define I2C5                                     ((I2C_Type *)I2C5_BASE)\r
-  /** Peripheral I2C6 base address */\r
-  #define I2C6_BASE                                (0x40097000u)\r
-  /** Peripheral I2C6 base pointer */\r
-  #define I2C6                                     ((I2C_Type *)I2C6_BASE)\r
-  /** Peripheral I2C7 base address */\r
-  #define I2C7_BASE                                (0x40098000u)\r
-  /** Peripheral I2C7 base pointer */\r
-  #define I2C7                                     ((I2C_Type *)I2C7_BASE)\r
-  /** Array initializer of I2C peripheral base addresses */\r
-  #define I2C_BASE_ADDRS                           { I2C0_BASE, I2C1_BASE, I2C2_BASE, I2C3_BASE, I2C4_BASE, I2C5_BASE, I2C6_BASE, I2C7_BASE }\r
-  /** Array initializer of I2C peripheral base pointers */\r
-  #define I2C_BASE_PTRS                            { I2C0, I2C1, I2C2, I2C3, I2C4, I2C5, I2C6, I2C7 }\r
+/** Peripheral I2C0 base address */\r
+#define I2C0_BASE (0x40086000u)\r
+/** Peripheral I2C0 base pointer */\r
+#define I2C0 ((I2C_Type *)I2C0_BASE)\r
+/** Peripheral I2C1 base address */\r
+#define I2C1_BASE (0x40087000u)\r
+/** Peripheral I2C1 base pointer */\r
+#define I2C1 ((I2C_Type *)I2C1_BASE)\r
+/** Peripheral I2C2 base address */\r
+#define I2C2_BASE (0x40088000u)\r
+/** Peripheral I2C2 base pointer */\r
+#define I2C2 ((I2C_Type *)I2C2_BASE)\r
+/** Peripheral I2C3 base address */\r
+#define I2C3_BASE (0x40089000u)\r
+/** Peripheral I2C3 base pointer */\r
+#define I2C3 ((I2C_Type *)I2C3_BASE)\r
+/** Peripheral I2C4 base address */\r
+#define I2C4_BASE (0x4008A000u)\r
+/** Peripheral I2C4 base pointer */\r
+#define I2C4 ((I2C_Type *)I2C4_BASE)\r
+/** Peripheral I2C5 base address */\r
+#define I2C5_BASE (0x40096000u)\r
+/** Peripheral I2C5 base pointer */\r
+#define I2C5 ((I2C_Type *)I2C5_BASE)\r
+/** Peripheral I2C6 base address */\r
+#define I2C6_BASE (0x40097000u)\r
+/** Peripheral I2C6 base pointer */\r
+#define I2C6 ((I2C_Type *)I2C6_BASE)\r
+/** Peripheral I2C7 base address */\r
+#define I2C7_BASE (0x40098000u)\r
+/** Peripheral I2C7 base pointer */\r
+#define I2C7 ((I2C_Type *)I2C7_BASE)\r
+/** Array initializer of I2C peripheral base addresses */\r
+#define I2C_BASE_ADDRS                                                                         \\r
+    {                                                                                          \\r
+        I2C0_BASE, I2C1_BASE, I2C2_BASE, I2C3_BASE, I2C4_BASE, I2C5_BASE, I2C6_BASE, I2C7_BASE \\r
+    }\r
+/** Array initializer of I2C peripheral base pointers */\r
+#define I2C_BASE_PTRS                                  \\r
+    {                                                  \\r
+        I2C0, I2C1, I2C2, I2C3, I2C4, I2C5, I2C6, I2C7 \\r
+    }\r
 #endif\r
 /** Interrupt vectors for the I2C peripheral type */\r
-#define I2C_IRQS                                 { FLEXCOMM0_IRQn, FLEXCOMM1_IRQn, FLEXCOMM2_IRQn, FLEXCOMM3_IRQn, FLEXCOMM4_IRQn, FLEXCOMM5_IRQn, FLEXCOMM6_IRQn, FLEXCOMM7_IRQn }\r
+#define I2C_IRQS                                                                                        \\r
+    {                                                                                                   \\r
+        FLEXCOMM0_IRQn, FLEXCOMM1_IRQn, FLEXCOMM2_IRQn, FLEXCOMM3_IRQn, FLEXCOMM4_IRQn, FLEXCOMM5_IRQn, \\r
+            FLEXCOMM6_IRQn, FLEXCOMM7_IRQn                                                              \\r
+    }\r
 \r
 /*!\r
  * @}\r
  */ /* end of group I2C_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- I2S Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -9049,38 +12107,36 @@ typedef struct {
  */\r
 \r
 /** I2S - Register Layout Typedef */\r
-typedef struct {\r
-       uint8_t RESERVED_0[3072];\r
-  __IO uint32_t CFG1;                              /**< Configuration register 1 for the primary channel pair., offset: 0xC00 */\r
-  __IO uint32_t CFG2;                              /**< Configuration register 2 for the primary channel pair., offset: 0xC04 */\r
-  __IO uint32_t STAT;                              /**< Status register for the primary channel pair., offset: 0xC08 */\r
-       uint8_t RESERVED_1[16];\r
-  __IO uint32_t DIV;                               /**< Clock divider, used by all channel pairs., offset: 0xC1C */\r
-  struct {                                         /* offset: 0xC20, array step: 0x20 */\r
-    __IO uint32_t PCFG1;                             /**< Configuration register 1 for channel pair, array offset: 0xC20, array step: 0x20 */\r
-    __IO uint32_t PCFG2;                             /**< Configuration register 2 for channel pair, array offset: 0xC24, array step: 0x20 */\r
-    __IO uint32_t PSTAT;                             /**< Status register for channel pair, array offset: 0xC28, array step: 0x20 */\r
-         uint8_t RESERVED_0[20];\r
-  } SECCHANNEL[3];\r
-       uint8_t RESERVED_2[384];\r
-  __IO uint32_t FIFOCFG;                           /**< FIFO configuration and enable register., offset: 0xE00 */\r
-  __IO uint32_t FIFOSTAT;                          /**< FIFO status register., offset: 0xE04 */\r
-  __IO uint32_t FIFOTRIG;                          /**< FIFO trigger settings for interrupt and DMA request., offset: 0xE08 */\r
-       uint8_t RESERVED_3[4];\r
-  __IO uint32_t FIFOINTENSET;                      /**< FIFO interrupt enable set (enable) and read register., offset: 0xE10 */\r
-  __IO uint32_t FIFOINTENCLR;                      /**< FIFO interrupt enable clear (disable) and read register., offset: 0xE14 */\r
-  __I  uint32_t FIFOINTSTAT;                       /**< FIFO interrupt status register., offset: 0xE18 */\r
-       uint8_t RESERVED_4[4];\r
-  __O  uint32_t FIFOWR;                            /**< FIFO write data., offset: 0xE20 */\r
-  __O  uint32_t FIFOWR48H;                         /**< FIFO write data for upper data bits. May only be used if the I2S is configured for 2x 24-bit data and not using DMA., offset: 0xE24 */\r
-       uint8_t RESERVED_5[8];\r
-  __I  uint32_t FIFORD;                            /**< FIFO read data., offset: 0xE30 */\r
-  __I  uint32_t FIFORD48H;                         /**< FIFO read data for upper data bits. May only be used if the I2S is configured for 2x 24-bit data and not using DMA., offset: 0xE34 */\r
-       uint8_t RESERVED_6[8];\r
-  __I  uint32_t FIFORDNOPOP;                       /**< FIFO data read with no FIFO pop., offset: 0xE40 */\r
-  __I  uint32_t FIFORD48HNOPOP;                    /**< FIFO data read for upper data bits with no FIFO pop. May only be used if the I2S is configured for 2x 24-bit data and not using DMA., offset: 0xE44 */\r
-       uint8_t RESERVED_7[436];\r
-  __I  uint32_t ID;                                /**< I2S Module identification, offset: 0xFFC */\r
+typedef struct\r
+{\r
+    uint8_t RESERVED_0[3072];\r
+    __IO uint32_t CFG1; /**< Configuration register 1 for the primary channel pair., offset: 0xC00 */\r
+    __IO uint32_t CFG2; /**< Configuration register 2 for the primary channel pair., offset: 0xC04 */\r
+    __IO uint32_t STAT; /**< Status register for the primary channel pair., offset: 0xC08 */\r
+    uint8_t RESERVED_1[16];\r
+    __IO uint32_t DIV; /**< Clock divider, used by all channel pairs., offset: 0xC1C */\r
+    uint8_t RESERVED_2[480];\r
+    __IO uint32_t FIFOCFG;  /**< FIFO configuration and enable register., offset: 0xE00 */\r
+    __IO uint32_t FIFOSTAT; /**< FIFO status register., offset: 0xE04 */\r
+    __IO uint32_t FIFOTRIG; /**< FIFO trigger settings for interrupt and DMA request., offset: 0xE08 */\r
+    uint8_t RESERVED_3[4];\r
+    __IO uint32_t FIFOINTENSET; /**< FIFO interrupt enable set (enable) and read register., offset: 0xE10 */\r
+    __IO uint32_t FIFOINTENCLR; /**< FIFO interrupt enable clear (disable) and read register., offset: 0xE14 */\r
+    __I uint32_t FIFOINTSTAT;   /**< FIFO interrupt status register., offset: 0xE18 */\r
+    uint8_t RESERVED_4[4];\r
+    __O uint32_t FIFOWR;    /**< FIFO write data., offset: 0xE20 */\r
+    __O uint32_t FIFOWR48H; /**< FIFO write data for upper data bits. May only be used if the I2S is configured for 2x\r
+                               24-bit data and not using DMA., offset: 0xE24 */\r
+    uint8_t RESERVED_5[8];\r
+    __I uint32_t FIFORD;    /**< FIFO read data., offset: 0xE30 */\r
+    __I uint32_t FIFORD48H; /**< FIFO read data for upper data bits. May only be used if the I2S is configured for 2x\r
+                               24-bit data and not using DMA., offset: 0xE34 */\r
+    uint8_t RESERVED_6[8];\r
+    __I uint32_t FIFORDNOPOP;    /**< FIFO data read with no FIFO pop., offset: 0xE40 */\r
+    __I uint32_t FIFORD48HNOPOP; /**< FIFO data read for upper data bits with no FIFO pop. May only be used if the I2S\r
+                                    is configured for 2x 24-bit data and not using DMA., offset: 0xE44 */\r
+    uint8_t RESERVED_7[436];\r
+    __I uint32_t ID; /**< I2S Module identification, offset: 0xFFC */\r
 } I2S_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -9094,567 +12150,749 @@ typedef struct {
 \r
 /*! @name CFG1 - Configuration register 1 for the primary channel pair. */\r
 /*! @{ */\r
-#define I2S_CFG1_MAINENABLE_MASK                 (0x1U)\r
-#define I2S_CFG1_MAINENABLE_SHIFT                (0U)\r
+#define I2S_CFG1_MAINENABLE_MASK (0x1U)\r
+#define I2S_CFG1_MAINENABLE_SHIFT (0U)\r
 /*! MAINENABLE - Main enable for I 2S function in this Flexcomm\r
- *  0b0..All I 2S channel pairs in this Flexcomm are disabled and the internal state machines, counters, and flags are reset. No other channel pairs can be enabled.\r
- *  0b1..This I 2S channel pair is enabled. Other channel pairs in this Flexcomm may be enabled in their individual PAIRENABLE bits.\r
- */\r
-#define I2S_CFG1_MAINENABLE(x)                   (((uint32_t)(((uint32_t)(x)) << I2S_CFG1_MAINENABLE_SHIFT)) & I2S_CFG1_MAINENABLE_MASK)\r
-#define I2S_CFG1_DATAPAUSE_MASK                  (0x2U)\r
-#define I2S_CFG1_DATAPAUSE_SHIFT                 (1U)\r
-/*! DATAPAUSE - Data flow Pause. Allows pausing data flow between the I2S serializer/deserializer and the FIFO. This could be done in order to change streams, or while restarting after a data underflow or overflow. When paused, FIFO operations can be done without corrupting data that is in the process of being sent or received. Once a data pause has been requested, the interface may need to complete sending data that was in progress before interrupting the flow of data. Software must check that the pause is actually in effect before taking action. This is done by monitoring the DATAPAUSED flag in the STAT register. When DATAPAUSE is cleared, data transfer will resume at the beginning of the next frame.\r
+ *  0b0..All I 2S channel pairs in this Flexcomm are disabled and the internal state machines, counters, and flags\r
+ *       are reset. No other channel pairs can be enabled.\r
+ *  0b1..This I 2S channel pair is enabled. Other channel pairs in this Flexcomm may be enabled in their individual\r
+ * PAIRENABLE bits.\r
+ */\r
+#define I2S_CFG1_MAINENABLE(x) (((uint32_t)(((uint32_t)(x)) << I2S_CFG1_MAINENABLE_SHIFT)) & I2S_CFG1_MAINENABLE_MASK)\r
+#define I2S_CFG1_DATAPAUSE_MASK (0x2U)\r
+#define I2S_CFG1_DATAPAUSE_SHIFT (1U)\r
+/*! DATAPAUSE - Data flow Pause. Allows pausing data flow between the I2S serializer/deserializer\r
+ *    and the FIFO. This could be done in order to change streams, or while restarting after a data\r
+ *    underflow or overflow. When paused, FIFO operations can be done without corrupting data that is\r
+ *    in the process of being sent or received. Once a data pause has been requested, the interface\r
+ *    may need to complete sending data that was in progress before interrupting the flow of data.\r
+ *    Software must check that the pause is actually in effect before taking action. This is done by\r
+ *    monitoring the DATAPAUSED flag in the STAT register. When DATAPAUSE is cleared, data transfer\r
+ *    will resume at the beginning of the next frame.\r
  *  0b0..Normal operation, or resuming normal operation at the next frame if the I2S has already been paused.\r
  *  0b1..A pause in the data flow is being requested. It is in effect when DATAPAUSED in STAT = 1.\r
  */\r
-#define I2S_CFG1_DATAPAUSE(x)                    (((uint32_t)(((uint32_t)(x)) << I2S_CFG1_DATAPAUSE_SHIFT)) & I2S_CFG1_DATAPAUSE_MASK)\r
-#define I2S_CFG1_PAIRCOUNT_MASK                  (0xCU)\r
-#define I2S_CFG1_PAIRCOUNT_SHIFT                 (2U)\r
-/*! PAIRCOUNT - Provides the number of I2S channel pairs in this Flexcomm This is a read-only field whose value may be different in other Flexcomms. 00 = there is 1 I2S channel pair in this Flexcomm. 01 = there are 2 I2S channel pairs in this Flexcomm. 10 = there are 3 I2S channel pairs in this Flexcomm. 11 = there are 4 I2S channel pairs in this Flexcomm.\r
+#define I2S_CFG1_DATAPAUSE(x) (((uint32_t)(((uint32_t)(x)) << I2S_CFG1_DATAPAUSE_SHIFT)) & I2S_CFG1_DATAPAUSE_MASK)\r
+#define I2S_CFG1_PAIRCOUNT_MASK (0xCU)\r
+#define I2S_CFG1_PAIRCOUNT_SHIFT (2U)\r
+/*! PAIRCOUNT - Provides the number of I2S channel pairs in this Flexcomm This is a read-only field\r
+ *    whose value may be different in other Flexcomms. 00 = there is 1 I2S channel pair in this\r
+ *    Flexcomm. 01 = there are 2 I2S channel pairs in this Flexcomm. 10 = there are 3 I2S channel pairs\r
+ *    in this Flexcomm. 11 = there are 4 I2S channel pairs in this Flexcomm.\r
  *  0b00..1 I2S channel pairs in this flexcomm\r
  *  0b01..2 I2S channel pairs in this flexcomm\r
  *  0b10..3 I2S channel pairs in this flexcomm\r
  *  0b11..4 I2S channel pairs in this flexcomm\r
  */\r
-#define I2S_CFG1_PAIRCOUNT(x)                    (((uint32_t)(((uint32_t)(x)) << I2S_CFG1_PAIRCOUNT_SHIFT)) & I2S_CFG1_PAIRCOUNT_MASK)\r
-#define I2S_CFG1_MSTSLVCFG_MASK                  (0x30U)\r
-#define I2S_CFG1_MSTSLVCFG_SHIFT                 (4U)\r
-/*! MSTSLVCFG - Master / slave configuration selection, determining how SCK and WS are used by all channel pairs in this Flexcomm.\r
- *  0b00..Normal slave mode, the default mode. SCK and WS are received from a master and used to transmit or receive data.\r
- *  0b01..WS synchronized master. WS is received from another master and used to synchronize the generation of SCK, when divided from the Flexcomm function clock.\r
- *  0b10..Master using an existing SCK. SCK is received and used directly to generate WS, as well as transmitting or receiving data.\r
- *  0b11..Normal master mode. SCK and WS are generated so they can be sent to one or more slave devices.\r
- */\r
-#define I2S_CFG1_MSTSLVCFG(x)                    (((uint32_t)(((uint32_t)(x)) << I2S_CFG1_MSTSLVCFG_SHIFT)) & I2S_CFG1_MSTSLVCFG_MASK)\r
-#define I2S_CFG1_MODE_MASK                       (0xC0U)\r
-#define I2S_CFG1_MODE_SHIFT                      (6U)\r
-/*! MODE - Selects the basic I2S operating mode. Other configurations modify this to obtain all supported cases. See Formats and modes for examples.\r
- *  0b00..I2S mode a.k.a. 'classic' mode. WS has a 50% duty cycle, with (for each enabled channel pair) one piece of left channel data occurring during the first phase, and one pieces of right channel data occurring during the second phase. In this mode, the data region begins one clock after the leading WS edge for the frame. For a 50% WS duty cycle, FRAMELEN must define an even number of I2S clocks for the frame. If FRAMELEN defines an odd number of clocks per frame, the extra clock will occur on the right.\r
+#define I2S_CFG1_PAIRCOUNT(x) (((uint32_t)(((uint32_t)(x)) << I2S_CFG1_PAIRCOUNT_SHIFT)) & I2S_CFG1_PAIRCOUNT_MASK)\r
+#define I2S_CFG1_MSTSLVCFG_MASK (0x30U)\r
+#define I2S_CFG1_MSTSLVCFG_SHIFT (4U)\r
+/*! MSTSLVCFG - Master / slave configuration selection, determining how SCK and WS are used by all channel pairs in this\r
+ * Flexcomm. 0b00..Normal slave mode, the default mode. SCK and WS are received from a master and used to transmit or\r
+ * receive data. 0b01..WS synchronized master. WS is received from another master and used to synchronize the generation\r
+ * of SCK, when divided from the Flexcomm function clock. 0b10..Master using an existing SCK. SCK is received and used\r
+ * directly to generate WS, as well as transmitting or receiving data. 0b11..Normal master mode. SCK and WS are\r
+ * generated so they can be sent to one or more slave devices.\r
+ */\r
+#define I2S_CFG1_MSTSLVCFG(x) (((uint32_t)(((uint32_t)(x)) << I2S_CFG1_MSTSLVCFG_SHIFT)) & I2S_CFG1_MSTSLVCFG_MASK)\r
+#define I2S_CFG1_MODE_MASK (0xC0U)\r
+#define I2S_CFG1_MODE_SHIFT (6U)\r
+/*! MODE - Selects the basic I2S operating mode. Other configurations modify this to obtain all\r
+ *    supported cases. See Formats and modes for examples.\r
+ *  0b00..I2S mode a.k.a. 'classic' mode. WS has a 50% duty cycle, with (for each enabled channel pair) one piece\r
+ *        of left channel data occurring during the first phase, and one pieces of right channel data occurring\r
+ *        during the second phase. In this mode, the data region begins one clock after the leading WS edge for the\r
+ *        frame. For a 50% WS duty cycle, FRAMELEN must define an even number of I2S clocks for the frame. If\r
+ *        FRAMELEN defines an odd number of clocks per frame, the extra clock will occur on the right.\r
  *  0b01..DSP mode where WS has a 50% duty cycle. See remark for mode 0.\r
  *  0b10..DSP mode where WS has a one clock long pulse at the beginning of each data frame.\r
  *  0b11..DSP mode where WS has a one data slot long pulse at the beginning of each data frame.\r
  */\r
-#define I2S_CFG1_MODE(x)                         (((uint32_t)(((uint32_t)(x)) << I2S_CFG1_MODE_SHIFT)) & I2S_CFG1_MODE_MASK)\r
-#define I2S_CFG1_RIGHTLOW_MASK                   (0x100U)\r
-#define I2S_CFG1_RIGHTLOW_SHIFT                  (8U)\r
-/*! RIGHTLOW - Right channel data is in the Low portion of FIFO data. Essentially, this swaps left and right channel data as it is transferred to or from the FIFO. This bit is not used if the data width is greater than 24 bits or if PDMDATA = 1. Note that if the ONECHANNEL field (bit 10 of this register) = 1, the one channel to be used is the nominally the left channel. POSITION can still place that data in the frame where right channel data is normally located. if all enabled channel pairs have ONECHANNEL = 1, then RIGHTLOW = 1 is not allowed.\r
- *  0b0..The right channel is taken from the high part of the FIFO data. For example, when data is 16 bits, FIFO bits 31:16 are used for the right channel.\r
- *  0b1..The right channel is taken from the low part of the FIFO data. For example, when data is 16 bits, FIFO bits 15:0 are used for the right channel.\r
- */\r
-#define I2S_CFG1_RIGHTLOW(x)                     (((uint32_t)(((uint32_t)(x)) << I2S_CFG1_RIGHTLOW_SHIFT)) & I2S_CFG1_RIGHTLOW_MASK)\r
-#define I2S_CFG1_LEFTJUST_MASK                   (0x200U)\r
-#define I2S_CFG1_LEFTJUST_SHIFT                  (9U)\r
+#define I2S_CFG1_MODE(x) (((uint32_t)(((uint32_t)(x)) << I2S_CFG1_MODE_SHIFT)) & I2S_CFG1_MODE_MASK)\r
+#define I2S_CFG1_RIGHTLOW_MASK (0x100U)\r
+#define I2S_CFG1_RIGHTLOW_SHIFT (8U)\r
+/*! RIGHTLOW - Right channel data is in the Low portion of FIFO data. Essentially, this swaps left\r
+ *    and right channel data as it is transferred to or from the FIFO. This bit is not used if the\r
+ *    data width is greater than 24 bits or if PDMDATA = 1. Note that if the ONECHANNEL field (bit 10\r
+ *    of this register) = 1, the one channel to be used is the nominally the left channel. POSITION\r
+ *    can still place that data in the frame where right channel data is normally located. if all\r
+ *    enabled channel pairs have ONECHANNEL = 1, then RIGHTLOW = 1 is not allowed.\r
+ *  0b0..The right channel is taken from the high part of the FIFO data. For example, when data is 16 bits, FIFO\r
+ *       bits 31:16 are used for the right channel.\r
+ *  0b1..The right channel is taken from the low part of the FIFO data. For example, when data is 16 bits, FIFO\r
+ *       bits 15:0 are used for the right channel.\r
+ */\r
+#define I2S_CFG1_RIGHTLOW(x) (((uint32_t)(((uint32_t)(x)) << I2S_CFG1_RIGHTLOW_SHIFT)) & I2S_CFG1_RIGHTLOW_MASK)\r
+#define I2S_CFG1_LEFTJUST_MASK (0x200U)\r
+#define I2S_CFG1_LEFTJUST_SHIFT (9U)\r
 /*! LEFTJUST - Left Justify data.\r
- *  0b0..Data is transferred between the FIFO and the I2S serializer/deserializer right justified, i.e. starting from bit 0 and continuing to the position defined by DATALEN. This would correspond to right justified data in the stream on the data bus.\r
- *  0b1..Data is transferred between the FIFO and the I2S serializer/deserializer left justified, i.e. starting from the MSB of the FIFO entry and continuing for the number of bits defined by DATALEN. This would correspond to left justified data in the stream on the data bus.\r
- */\r
-#define I2S_CFG1_LEFTJUST(x)                     (((uint32_t)(((uint32_t)(x)) << I2S_CFG1_LEFTJUST_SHIFT)) & I2S_CFG1_LEFTJUST_MASK)\r
-#define I2S_CFG1_ONECHANNEL_MASK                 (0x400U)\r
-#define I2S_CFG1_ONECHANNEL_SHIFT                (10U)\r
-/*! ONECHANNEL - Single channel mode. Applies to both transmit and receive. This configuration bit applies only to the first I2S channel pair. Other channel pairs may select this mode independently in their separate CFG1 registers.\r
+ *  0b0..Data is transferred between the FIFO and the I2S serializer/deserializer right justified, i.e. starting\r
+ *       from bit 0 and continuing to the position defined by DATALEN. This would correspond to right justified data\r
+ *       in the stream on the data bus.\r
+ *  0b1..Data is transferred between the FIFO and the I2S serializer/deserializer left justified, i.e. starting\r
+ *       from the MSB of the FIFO entry and continuing for the number of bits defined by DATALEN. This would\r
+ *       correspond to left justified data in the stream on the data bus.\r
+ */\r
+#define I2S_CFG1_LEFTJUST(x) (((uint32_t)(((uint32_t)(x)) << I2S_CFG1_LEFTJUST_SHIFT)) & I2S_CFG1_LEFTJUST_MASK)\r
+#define I2S_CFG1_ONECHANNEL_MASK (0x400U)\r
+#define I2S_CFG1_ONECHANNEL_SHIFT (10U)\r
+/*! ONECHANNEL - Single channel mode. Applies to both transmit and receive. This configuration bit\r
+ *    applies only to the first I2S channel pair. Other channel pairs may select this mode\r
+ *    independently in their separate CFG1 registers.\r
  *  0b0..I2S data for this channel pair is treated as left and right channels.\r
- *  0b1..I2S data for this channel pair is treated as a single channel, functionally the left channel for this pair. In mode 0 only, the right side of the frame begins at POSITION = 0x100. This is because mode 0 makes a clear distinction between the left and right sides of the frame. When ONECHANNEL = 1, the single channel of data may be placed on the right by setting POSITION to 0x100 + the data position within the right side (e.g. 0x108 would place data starting at the 8th clock after the middle of the frame). In other modes, data for the single channel of data is placed at the clock defined by POSITION.\r
- */\r
-#define I2S_CFG1_ONECHANNEL(x)                   (((uint32_t)(((uint32_t)(x)) << I2S_CFG1_ONECHANNEL_SHIFT)) & I2S_CFG1_ONECHANNEL_MASK)\r
-#define I2S_CFG1_PDMDATA_MASK                    (0x800U)\r
-#define I2S_CFG1_PDMDATA_SHIFT                   (11U)\r
-/*! PDMDATA - PDM Data selection. This bit controls the data source for I2S transmit, and cannot be set in Rx mode. This bit only has an effect if the device the Flexcomm resides in includes a D-Mic subsystem. For the LPC55xx, this bit applies only to Flexcomm 6,7.\r
- *  0b0..Normal operation, data is transferred to or from the Flexcomm FIFO.\r
- *  0b1..The data source is the D-Mic subsystem. When PDMDATA = 1, only the primary channel pair can be used in this Flexcomm. If ONECHANNEL = 1, only the PDM left data is used. the WS rate must match the Fs (sample rate) of the D-Mic decimator. A rate mismatch will at some point cause the I2S to overrun or underrun.\r
- */\r
-#define I2S_CFG1_PDMDATA(x)                      (((uint32_t)(((uint32_t)(x)) << I2S_CFG1_PDMDATA_SHIFT)) & I2S_CFG1_PDMDATA_MASK)\r
-#define I2S_CFG1_SCK_POL_MASK                    (0x1000U)\r
-#define I2S_CFG1_SCK_POL_SHIFT                   (12U)\r
+ *  0b1..I2S data for this channel pair is treated as a single channel, functionally the left channel for this\r
+ *       pair. In mode 0 only, the right side of the frame begins at POSITION = 0x100. This is because mode 0 makes a\r
+ *       clear distinction between the left and right sides of the frame. When ONECHANNEL = 1, the single channel\r
+ *       of data may be placed on the right by setting POSITION to 0x100 + the data position within the right side\r
+ *       (e.g. 0x108 would place data starting at the 8th clock after the middle of the frame). In other modes, data\r
+ *       for the single channel of data is placed at the clock defined by POSITION.\r
+ */\r
+#define I2S_CFG1_ONECHANNEL(x) (((uint32_t)(((uint32_t)(x)) << I2S_CFG1_ONECHANNEL_SHIFT)) & I2S_CFG1_ONECHANNEL_MASK)\r
+#define I2S_CFG1_SCK_POL_MASK (0x1000U)\r
+#define I2S_CFG1_SCK_POL_SHIFT (12U)\r
 /*! SCK_POL - SCK polarity.\r
  *  0b0..Data is launched on SCK falling edges and sampled on SCK rising edges (standard for I2S).\r
  *  0b1..Data is launched on SCK rising edges and sampled on SCK falling edges.\r
  */\r
-#define I2S_CFG1_SCK_POL(x)                      (((uint32_t)(((uint32_t)(x)) << I2S_CFG1_SCK_POL_SHIFT)) & I2S_CFG1_SCK_POL_MASK)\r
-#define I2S_CFG1_WS_POL_MASK                     (0x2000U)\r
-#define I2S_CFG1_WS_POL_SHIFT                    (13U)\r
+#define I2S_CFG1_SCK_POL(x) (((uint32_t)(((uint32_t)(x)) << I2S_CFG1_SCK_POL_SHIFT)) & I2S_CFG1_SCK_POL_MASK)\r
+#define I2S_CFG1_WS_POL_MASK (0x2000U)\r
+#define I2S_CFG1_WS_POL_SHIFT (13U)\r
 /*! WS_POL - WS polarity.\r
  *  0b0..Data frames begin at a falling edge of WS (standard for classic I2S).\r
- *  0b1..WS is inverted, resulting in a data frame beginning at a rising edge of WS (standard for most 'non-classic' variations of I2S).\r
+ *  0b1..WS is inverted, resulting in a data frame beginning at a rising edge of WS (standard for most 'non-classic'\r
+ * variations of I2S).\r
  */\r
-#define I2S_CFG1_WS_POL(x)                       (((uint32_t)(((uint32_t)(x)) << I2S_CFG1_WS_POL_SHIFT)) & I2S_CFG1_WS_POL_MASK)\r
-#define I2S_CFG1_DATALEN_MASK                    (0x1F0000U)\r
-#define I2S_CFG1_DATALEN_SHIFT                   (16U)\r
-#define I2S_CFG1_DATALEN(x)                      (((uint32_t)(((uint32_t)(x)) << I2S_CFG1_DATALEN_SHIFT)) & I2S_CFG1_DATALEN_MASK)\r
+#define I2S_CFG1_WS_POL(x) (((uint32_t)(((uint32_t)(x)) << I2S_CFG1_WS_POL_SHIFT)) & I2S_CFG1_WS_POL_MASK)\r
+#define I2S_CFG1_DATALEN_MASK (0x1F0000U)\r
+#define I2S_CFG1_DATALEN_SHIFT (16U)\r
+/*! DATALEN - Data Length, minus 1 encoded, defines the number of data bits to be transmitted or\r
+ *    received for all I2S channel pairs in this Flexcomm. Note that data is only driven to or received\r
+ *    from SDA for the number of bits defined by DATALEN. DATALEN is also used in these ways by the\r
+ *    I2S: Determines the size of data transfers between the FIFO and the I2S\r
+ *    serializer/deserializer. See FIFO buffer configurations and usage In mode 1, 2, and 3, determines the location of\r
+ *    right data following left data in the frame. In mode 3 (where WS has a one data slot long pulse\r
+ *    at the beginning of each data frame) determines the duration of the WS pulse. Values: 0x00 to\r
+ *    0x02 = not supported 0x03 = data is 4 bits in length 0x04 = data is 5 bits in length 0x1F =\r
+ *    data is 32 bits in length\r
+ */\r
+#define I2S_CFG1_DATALEN(x) (((uint32_t)(((uint32_t)(x)) << I2S_CFG1_DATALEN_SHIFT)) & I2S_CFG1_DATALEN_MASK)\r
 /*! @} */\r
 \r
 /*! @name CFG2 - Configuration register 2 for the primary channel pair. */\r
 /*! @{ */\r
-#define I2S_CFG2_FRAMELEN_MASK                   (0x1FFU)\r
-#define I2S_CFG2_FRAMELEN_SHIFT                  (0U)\r
-#define I2S_CFG2_FRAMELEN(x)                     (((uint32_t)(((uint32_t)(x)) << I2S_CFG2_FRAMELEN_SHIFT)) & I2S_CFG2_FRAMELEN_MASK)\r
-#define I2S_CFG2_POSITION_MASK                   (0x1FF0000U)\r
-#define I2S_CFG2_POSITION_SHIFT                  (16U)\r
-#define I2S_CFG2_POSITION(x)                     (((uint32_t)(((uint32_t)(x)) << I2S_CFG2_POSITION_SHIFT)) & I2S_CFG2_POSITION_MASK)\r
+#define I2S_CFG2_FRAMELEN_MASK (0x1FFU)\r
+#define I2S_CFG2_FRAMELEN_SHIFT (0U)\r
+/*! FRAMELEN - Frame Length, minus 1 encoded, defines the number of clocks and data bits in the\r
+ *    frames that this channel pair participates in. See Frame format. 0x000 to 0x002 = not supported\r
+ *    0x003 = frame is 4 bits in total length 0x004 = frame is 5 bits in total length 0x1FF = frame is\r
+ *    512 bits in total length if FRAMELEN is an defines an odd length frame (e.g. 33 clocks) in\r
+ *    mode 0 or 1, the extra clock appears in the right half. When MODE = 3, FRAMELEN must be larger\r
+ *    than DATALEN in order for the WS pulse to be generated correctly.\r
+ */\r
+#define I2S_CFG2_FRAMELEN(x) (((uint32_t)(((uint32_t)(x)) << I2S_CFG2_FRAMELEN_SHIFT)) & I2S_CFG2_FRAMELEN_MASK)\r
+#define I2S_CFG2_POSITION_MASK (0x1FF0000U)\r
+#define I2S_CFG2_POSITION_SHIFT (16U)\r
+/*! POSITION - Data Position. Defines the location within the frame of the data for this channel\r
+ *    pair. POSITION + DATALEN must be less than FRAMELEN. See Frame format. When MODE = 0, POSITION\r
+ *    defines the location of data in both the left phase and right phase, starting one clock after\r
+ *    the WS edge. In other modes, POSITION defines the location of data within the entire frame.\r
+ *    ONECHANNEL = 1 while MODE = 0 is a special case, see the description of ONECHANNEL. The\r
+ *    combination of DATALEN and the POSITION fields of all channel pairs must be made such that the channels\r
+ *    do not overlap within the frame. 0x000 = data begins at bit position 0 (the first bit\r
+ *    position) within the frame or WS phase. 0x001 = data begins at bit position 1 within the frame or WS\r
+ *    phase. 0x002 = data begins at bit position 2 within the frame or WS phase.\r
+ */\r
+#define I2S_CFG2_POSITION(x) (((uint32_t)(((uint32_t)(x)) << I2S_CFG2_POSITION_SHIFT)) & I2S_CFG2_POSITION_MASK)\r
 /*! @} */\r
 \r
 /*! @name STAT - Status register for the primary channel pair. */\r
 /*! @{ */\r
-#define I2S_STAT_BUSY_MASK                       (0x1U)\r
-#define I2S_STAT_BUSY_SHIFT                      (0U)\r
-/*! BUSY - Busy status for the primary channel pair. Other BUSY flags may be found in the STAT register for each channel pair.\r
- *  0b0..The transmitter/receiver for channel pair is currently idle.\r
- *  0b1..The transmitter/receiver for channel pair is currently processing data.\r
- */\r
-#define I2S_STAT_BUSY(x)                         (((uint32_t)(((uint32_t)(x)) << I2S_STAT_BUSY_SHIFT)) & I2S_STAT_BUSY_MASK)\r
-#define I2S_STAT_SLVFRMERR_MASK                  (0x2U)\r
-#define I2S_STAT_SLVFRMERR_SHIFT                 (1U)\r
-/*! SLVFRMERR - Slave Frame Error flag. This applies when at least one channel pair is operating as a slave. An error indicates that the incoming WS signal did not transition as expected due to a mismatch between FRAMELEN and the actual incoming I2S stream.\r
+#define I2S_STAT_BUSY_MASK (0x1U)\r
+#define I2S_STAT_BUSY_SHIFT (0U)\r
+/*! BUSY - Busy status for the primary channel pair. Other BUSY flags may be found in the STAT register for each channel\r
+ * pair. 0b0..The transmitter/receiver for channel pair is currently idle. 0b1..The transmitter/receiver for channel\r
+ * pair is currently processing data.\r
+ */\r
+#define I2S_STAT_BUSY(x) (((uint32_t)(((uint32_t)(x)) << I2S_STAT_BUSY_SHIFT)) & I2S_STAT_BUSY_MASK)\r
+#define I2S_STAT_SLVFRMERR_MASK (0x2U)\r
+#define I2S_STAT_SLVFRMERR_SHIFT (1U)\r
+/*! SLVFRMERR - Slave Frame Error flag. This applies when at least one channel pair is operating as\r
+ *    a slave. An error indicates that the incoming WS signal did not transition as expected due to\r
+ *    a mismatch between FRAMELEN and the actual incoming I2S stream.\r
  *  0b0..No error has been recorded.\r
- *  0b1..An error has been recorded for some channel pair that is operating in slave mode. ERROR is cleared by writing a 1 to this bit position.\r
- */\r
-#define I2S_STAT_SLVFRMERR(x)                    (((uint32_t)(((uint32_t)(x)) << I2S_STAT_SLVFRMERR_SHIFT)) & I2S_STAT_SLVFRMERR_MASK)\r
-#define I2S_STAT_LR_MASK                         (0x4U)\r
-#define I2S_STAT_LR_SHIFT                        (2U)\r
-/*! LR - Left/Right indication. This flag is considered to be a debugging aid and is not expected to be used by an I2S driver. Valid when one channel pair is busy. Indicates left or right data being processed for the currently busy channel pair.\r
+ *  0b1..An error has been recorded for some channel pair that is operating in slave mode. ERROR is cleared by writing a\r
+ * 1 to this bit position.\r
+ */\r
+#define I2S_STAT_SLVFRMERR(x) (((uint32_t)(((uint32_t)(x)) << I2S_STAT_SLVFRMERR_SHIFT)) & I2S_STAT_SLVFRMERR_MASK)\r
+#define I2S_STAT_LR_MASK (0x4U)\r
+#define I2S_STAT_LR_SHIFT (2U)\r
+/*! LR - Left/Right indication. This flag is considered to be a debugging aid and is not expected to\r
+ *    be used by an I2S driver. Valid when one channel pair is busy. Indicates left or right data\r
+ *    being processed for the currently busy channel pair.\r
  *  0b0..Left channel.\r
  *  0b1..Right channel.\r
  */\r
-#define I2S_STAT_LR(x)                           (((uint32_t)(((uint32_t)(x)) << I2S_STAT_LR_SHIFT)) & I2S_STAT_LR_MASK)\r
-#define I2S_STAT_DATAPAUSED_MASK                 (0x8U)\r
-#define I2S_STAT_DATAPAUSED_SHIFT                (3U)\r
+#define I2S_STAT_LR(x) (((uint32_t)(((uint32_t)(x)) << I2S_STAT_LR_SHIFT)) & I2S_STAT_LR_MASK)\r
+#define I2S_STAT_DATAPAUSED_MASK (0x8U)\r
+#define I2S_STAT_DATAPAUSED_SHIFT (3U)\r
 /*! DATAPAUSED - Data Paused status flag. Applies to all I2S channels\r
- *  0b0..Data is not currently paused. A data pause may have been requested but is not yet in force, waiting for an allowed pause point. Refer to the description of the DATAPAUSE control bit in the CFG1 register.\r
+ *  0b0..Data is not currently paused. A data pause may have been requested but is not yet in force, waiting for\r
+ *       an allowed pause point. Refer to the description of the DATAPAUSE control bit in the CFG1 register.\r
  *  0b1..A data pause has been requested and is now in force.\r
  */\r
-#define I2S_STAT_DATAPAUSED(x)                   (((uint32_t)(((uint32_t)(x)) << I2S_STAT_DATAPAUSED_SHIFT)) & I2S_STAT_DATAPAUSED_MASK)\r
+#define I2S_STAT_DATAPAUSED(x) (((uint32_t)(((uint32_t)(x)) << I2S_STAT_DATAPAUSED_SHIFT)) & I2S_STAT_DATAPAUSED_MASK)\r
 /*! @} */\r
 \r
 /*! @name DIV - Clock divider, used by all channel pairs. */\r
 /*! @{ */\r
-#define I2S_DIV_DIV_MASK                         (0xFFFU)\r
-#define I2S_DIV_DIV_SHIFT                        (0U)\r
-#define I2S_DIV_DIV(x)                           (((uint32_t)(((uint32_t)(x)) << I2S_DIV_DIV_SHIFT)) & I2S_DIV_DIV_MASK)\r
-/*! @} */\r
-\r
-/*! @name SECCHANNEL_PCFG1 - Configuration register 1 for channel pair */\r
-/*! @{ */\r
-#define I2S_SECCHANNEL_PCFG1_PAIRENABLE_MASK     (0x1U)\r
-#define I2S_SECCHANNEL_PCFG1_PAIRENABLE_SHIFT    (0U)\r
-#define I2S_SECCHANNEL_PCFG1_PAIRENABLE(x)       (((uint32_t)(((uint32_t)(x)) << I2S_SECCHANNEL_PCFG1_PAIRENABLE_SHIFT)) & I2S_SECCHANNEL_PCFG1_PAIRENABLE_MASK)\r
-#define I2S_SECCHANNEL_PCFG1_ONECHANNEL_MASK     (0x400U)\r
-#define I2S_SECCHANNEL_PCFG1_ONECHANNEL_SHIFT    (10U)\r
-#define I2S_SECCHANNEL_PCFG1_ONECHANNEL(x)       (((uint32_t)(((uint32_t)(x)) << I2S_SECCHANNEL_PCFG1_ONECHANNEL_SHIFT)) & I2S_SECCHANNEL_PCFG1_ONECHANNEL_MASK)\r
-/*! @} */\r
-\r
-/* The count of I2S_SECCHANNEL_PCFG1 */\r
-#define I2S_SECCHANNEL_PCFG1_COUNT               (3U)\r
-\r
-/*! @name SECCHANNEL_PCFG2 - Configuration register 2 for channel pair */\r
-/*! @{ */\r
-#define I2S_SECCHANNEL_PCFG2_POSITION_MASK       (0x1FF0000U)\r
-#define I2S_SECCHANNEL_PCFG2_POSITION_SHIFT      (16U)\r
-#define I2S_SECCHANNEL_PCFG2_POSITION(x)         (((uint32_t)(((uint32_t)(x)) << I2S_SECCHANNEL_PCFG2_POSITION_SHIFT)) & I2S_SECCHANNEL_PCFG2_POSITION_MASK)\r
-/*! @} */\r
-\r
-/* The count of I2S_SECCHANNEL_PCFG2 */\r
-#define I2S_SECCHANNEL_PCFG2_COUNT               (3U)\r
-\r
-/*! @name SECCHANNEL_PSTAT - Status register for channel pair */\r
-/*! @{ */\r
-#define I2S_SECCHANNEL_PSTAT_BUSY_MASK           (0x1U)\r
-#define I2S_SECCHANNEL_PSTAT_BUSY_SHIFT          (0U)\r
-#define I2S_SECCHANNEL_PSTAT_BUSY(x)             (((uint32_t)(((uint32_t)(x)) << I2S_SECCHANNEL_PSTAT_BUSY_SHIFT)) & I2S_SECCHANNEL_PSTAT_BUSY_MASK)\r
-#define I2S_SECCHANNEL_PSTAT_SLVFRMERR_MASK      (0x2U)\r
-#define I2S_SECCHANNEL_PSTAT_SLVFRMERR_SHIFT     (1U)\r
-#define I2S_SECCHANNEL_PSTAT_SLVFRMERR(x)        (((uint32_t)(((uint32_t)(x)) << I2S_SECCHANNEL_PSTAT_SLVFRMERR_SHIFT)) & I2S_SECCHANNEL_PSTAT_SLVFRMERR_MASK)\r
-#define I2S_SECCHANNEL_PSTAT_LR_MASK             (0x4U)\r
-#define I2S_SECCHANNEL_PSTAT_LR_SHIFT            (2U)\r
-#define I2S_SECCHANNEL_PSTAT_LR(x)               (((uint32_t)(((uint32_t)(x)) << I2S_SECCHANNEL_PSTAT_LR_SHIFT)) & I2S_SECCHANNEL_PSTAT_LR_MASK)\r
-#define I2S_SECCHANNEL_PSTAT_DATAPAUSED_MASK     (0x8U)\r
-#define I2S_SECCHANNEL_PSTAT_DATAPAUSED_SHIFT    (3U)\r
-#define I2S_SECCHANNEL_PSTAT_DATAPAUSED(x)       (((uint32_t)(((uint32_t)(x)) << I2S_SECCHANNEL_PSTAT_DATAPAUSED_SHIFT)) & I2S_SECCHANNEL_PSTAT_DATAPAUSED_MASK)\r
+#define I2S_DIV_DIV_MASK (0xFFFU)\r
+#define I2S_DIV_DIV_SHIFT (0U)\r
+/*! DIV - This field controls how this I2S block uses the Flexcomm function clock. 0x000 = The\r
+ *    Flexcomm function clock is used directly. 0x001 = The Flexcomm function clock is divided by 2.\r
+ *    0x002 = The Flexcomm function clock is divided by 3. 0xFFF = The Flexcomm function clock is\r
+ *    divided by 4,096.\r
+ */\r
+#define I2S_DIV_DIV(x) (((uint32_t)(((uint32_t)(x)) << I2S_DIV_DIV_SHIFT)) & I2S_DIV_DIV_MASK)\r
 /*! @} */\r
 \r
-/* The count of I2S_SECCHANNEL_PSTAT */\r
-#define I2S_SECCHANNEL_PSTAT_COUNT               (3U)\r
-\r
 /*! @name FIFOCFG - FIFO configuration and enable register. */\r
 /*! @{ */\r
-#define I2S_FIFOCFG_ENABLETX_MASK                (0x1U)\r
-#define I2S_FIFOCFG_ENABLETX_SHIFT               (0U)\r
+#define I2S_FIFOCFG_ENABLETX_MASK (0x1U)\r
+#define I2S_FIFOCFG_ENABLETX_SHIFT (0U)\r
 /*! ENABLETX - Enable the transmit FIFO.\r
  *  0b0..The transmit FIFO is not enabled.\r
  *  0b1..The transmit FIFO is enabled.\r
  */\r
-#define I2S_FIFOCFG_ENABLETX(x)                  (((uint32_t)(((uint32_t)(x)) << I2S_FIFOCFG_ENABLETX_SHIFT)) & I2S_FIFOCFG_ENABLETX_MASK)\r
-#define I2S_FIFOCFG_ENABLERX_MASK                (0x2U)\r
-#define I2S_FIFOCFG_ENABLERX_SHIFT               (1U)\r
+#define I2S_FIFOCFG_ENABLETX(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2S_FIFOCFG_ENABLETX_SHIFT)) & I2S_FIFOCFG_ENABLETX_MASK)\r
+#define I2S_FIFOCFG_ENABLERX_MASK (0x2U)\r
+#define I2S_FIFOCFG_ENABLERX_SHIFT (1U)\r
 /*! ENABLERX - Enable the receive FIFO.\r
  *  0b0..The receive FIFO is not enabled.\r
  *  0b1..The receive FIFO is enabled.\r
  */\r
-#define I2S_FIFOCFG_ENABLERX(x)                  (((uint32_t)(((uint32_t)(x)) << I2S_FIFOCFG_ENABLERX_SHIFT)) & I2S_FIFOCFG_ENABLERX_MASK)\r
-#define I2S_FIFOCFG_TXI2SE0_MASK                 (0x4U)\r
-#define I2S_FIFOCFG_TXI2SE0_SHIFT                (2U)\r
-/*! TXI2SE0 - Transmit I2S empty 0. Determines the value sent by the I2S in transmit mode if the TX FIFO becomes empty. This value is sent repeatedly until the I2S is paused, the error is cleared, new data is provided, and the I2S is un-paused.\r
- *  0b0..If the TX FIFO becomes empty, the last value is sent. This setting may be used when the data length is 24 bits or less, or when MONO = 1 for this channel pair.\r
- *  0b1..If the TX FIFO becomes empty, 0 is sent. Use if the data length is greater than 24 bits or if zero fill is preferred.\r
- */\r
-#define I2S_FIFOCFG_TXI2SE0(x)                   (((uint32_t)(((uint32_t)(x)) << I2S_FIFOCFG_TXI2SE0_SHIFT)) & I2S_FIFOCFG_TXI2SE0_MASK)\r
-#define I2S_FIFOCFG_PACK48_MASK                  (0x8U)\r
-#define I2S_FIFOCFG_PACK48_SHIFT                 (3U)\r
-/*! PACK48 - Packing format for 48-bit data. This relates to how data is entered into or taken from the FIFO by software or DMA.\r
- *  0b0..48-bit I2S FIFO entries are handled as all 24-bit values.\r
- *  0b1..48-bit I2S FIFO entries are handled as alternating 32-bit and 16-bit values.\r
- */\r
-#define I2S_FIFOCFG_PACK48(x)                    (((uint32_t)(((uint32_t)(x)) << I2S_FIFOCFG_PACK48_SHIFT)) & I2S_FIFOCFG_PACK48_MASK)\r
-#define I2S_FIFOCFG_SIZE_MASK                    (0x30U)\r
-#define I2S_FIFOCFG_SIZE_SHIFT                   (4U)\r
-#define I2S_FIFOCFG_SIZE(x)                      (((uint32_t)(((uint32_t)(x)) << I2S_FIFOCFG_SIZE_SHIFT)) & I2S_FIFOCFG_SIZE_MASK)\r
-#define I2S_FIFOCFG_DMATX_MASK                   (0x1000U)\r
-#define I2S_FIFOCFG_DMATX_SHIFT                  (12U)\r
+#define I2S_FIFOCFG_ENABLERX(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2S_FIFOCFG_ENABLERX_SHIFT)) & I2S_FIFOCFG_ENABLERX_MASK)\r
+#define I2S_FIFOCFG_TXI2SE0_MASK (0x4U)\r
+#define I2S_FIFOCFG_TXI2SE0_SHIFT (2U)\r
+/*! TXI2SE0 - Transmit I2S empty 0. Determines the value sent by the I2S in transmit mode if the TX\r
+ *    FIFO becomes empty. This value is sent repeatedly until the I2S is paused, the error is\r
+ *    cleared, new data is provided, and the I2S is un-paused.\r
+ *  0b0..If the TX FIFO becomes empty, the last value is sent. This setting may be used when the data length is 24\r
+ *       bits or less, or when MONO = 1 for this channel pair.\r
+ *  0b1..If the TX FIFO becomes empty, 0 is sent. Use if the data length is greater than 24 bits or if zero fill is\r
+ * preferred.\r
+ */\r
+#define I2S_FIFOCFG_TXI2SE0(x) (((uint32_t)(((uint32_t)(x)) << I2S_FIFOCFG_TXI2SE0_SHIFT)) & I2S_FIFOCFG_TXI2SE0_MASK)\r
+#define I2S_FIFOCFG_PACK48_MASK (0x8U)\r
+#define I2S_FIFOCFG_PACK48_SHIFT (3U)\r
+/*! PACK48 - Packing format for 48-bit data. This relates to how data is entered into or taken from the FIFO by software\r
+ * or DMA. 0b0..48-bit I2S FIFO entries are handled as all 24-bit values. 0b1..48-bit I2S FIFO entries are handled as\r
+ * alternating 32-bit and 16-bit values.\r
+ */\r
+#define I2S_FIFOCFG_PACK48(x) (((uint32_t)(((uint32_t)(x)) << I2S_FIFOCFG_PACK48_SHIFT)) & I2S_FIFOCFG_PACK48_MASK)\r
+#define I2S_FIFOCFG_SIZE_MASK (0x30U)\r
+#define I2S_FIFOCFG_SIZE_SHIFT (4U)\r
+/*! SIZE - FIFO size configuration. This is a read-only field. 0x0 = FIFO is configured as 16\r
+ *    entries of 8 bits. 0x1, 0x2, 0x3 = not applicable to USART.\r
+ */\r
+#define I2S_FIFOCFG_SIZE(x) (((uint32_t)(((uint32_t)(x)) << I2S_FIFOCFG_SIZE_SHIFT)) & I2S_FIFOCFG_SIZE_MASK)\r
+#define I2S_FIFOCFG_DMATX_MASK (0x1000U)\r
+#define I2S_FIFOCFG_DMATX_SHIFT (12U)\r
 /*! DMATX - DMA configuration for transmit.\r
  *  0b0..DMA is not used for the transmit function.\r
- *  0b1..Trigger DMA for the transmit function if the FIFO is not full. Generally, data interrupts would be disabled if DMA is enabled.\r
+ *  0b1..Trigger DMA for the transmit function if the FIFO is not full. Generally, data interrupts would be disabled if\r
+ * DMA is enabled.\r
  */\r
-#define I2S_FIFOCFG_DMATX(x)                     (((uint32_t)(((uint32_t)(x)) << I2S_FIFOCFG_DMATX_SHIFT)) & I2S_FIFOCFG_DMATX_MASK)\r
-#define I2S_FIFOCFG_DMARX_MASK                   (0x2000U)\r
-#define I2S_FIFOCFG_DMARX_SHIFT                  (13U)\r
+#define I2S_FIFOCFG_DMATX(x) (((uint32_t)(((uint32_t)(x)) << I2S_FIFOCFG_DMATX_SHIFT)) & I2S_FIFOCFG_DMATX_MASK)\r
+#define I2S_FIFOCFG_DMARX_MASK (0x2000U)\r
+#define I2S_FIFOCFG_DMARX_SHIFT (13U)\r
 /*! DMARX - DMA configuration for receive.\r
  *  0b0..DMA is not used for the receive function.\r
- *  0b1..Trigger DMA for the receive function if the FIFO is not empty. Generally, data interrupts would be disabled if DMA is enabled.\r
- */\r
-#define I2S_FIFOCFG_DMARX(x)                     (((uint32_t)(((uint32_t)(x)) << I2S_FIFOCFG_DMARX_SHIFT)) & I2S_FIFOCFG_DMARX_MASK)\r
-#define I2S_FIFOCFG_WAKETX_MASK                  (0x4000U)\r
-#define I2S_FIFOCFG_WAKETX_SHIFT                 (14U)\r
-/*! WAKETX - Wake-up for transmit FIFO level. This allows the device to be woken from reduced power modes (up to power-down, as long as the peripheral function works in that power mode) without enabling the TXLVL interrupt. Only DMA wakes up, processes data, and goes back to sleep. The CPU will remain stopped until woken by another cause, such as DMA completion. See Hardware Wake-up control register.\r
+ *  0b1..Trigger DMA for the receive function if the FIFO is not empty. Generally, data interrupts would be disabled if\r
+ * DMA is enabled.\r
+ */\r
+#define I2S_FIFOCFG_DMARX(x) (((uint32_t)(((uint32_t)(x)) << I2S_FIFOCFG_DMARX_SHIFT)) & I2S_FIFOCFG_DMARX_MASK)\r
+#define I2S_FIFOCFG_WAKETX_MASK (0x4000U)\r
+#define I2S_FIFOCFG_WAKETX_SHIFT (14U)\r
+/*! WAKETX - Wake-up for transmit FIFO level. This allows the device to be woken from reduced power\r
+ *    modes (up to power-down, as long as the peripheral function works in that power mode) without\r
+ *    enabling the TXLVL interrupt. Only DMA wakes up, processes data, and goes back to sleep. The\r
+ *    CPU will remain stopped until woken by another cause, such as DMA completion. See Hardware\r
+ *    Wake-up control register.\r
  *  0b0..Only enabled interrupts will wake up the device form reduced power modes.\r
- *  0b1..A device wake-up for DMA will occur if the transmit FIFO level reaches the value specified by TXLVL in FIFOTRIG, even when the TXLVL interrupt is not enabled.\r
- */\r
-#define I2S_FIFOCFG_WAKETX(x)                    (((uint32_t)(((uint32_t)(x)) << I2S_FIFOCFG_WAKETX_SHIFT)) & I2S_FIFOCFG_WAKETX_MASK)\r
-#define I2S_FIFOCFG_WAKERX_MASK                  (0x8000U)\r
-#define I2S_FIFOCFG_WAKERX_SHIFT                 (15U)\r
-/*! WAKERX - Wake-up for receive FIFO level. This allows the device to be woken from reduced power modes (up to power-down, as long as the peripheral function works in that power mode) without enabling the TXLVL interrupt. Only DMA wakes up, processes data, and goes back to sleep. The CPU will remain stopped until woken by another cause, such as DMA completion. See Hardware Wake-up control register.\r
+ *  0b1..A device wake-up for DMA will occur if the transmit FIFO level reaches the value specified by TXLVL in\r
+ *       FIFOTRIG, even when the TXLVL interrupt is not enabled.\r
+ */\r
+#define I2S_FIFOCFG_WAKETX(x) (((uint32_t)(((uint32_t)(x)) << I2S_FIFOCFG_WAKETX_SHIFT)) & I2S_FIFOCFG_WAKETX_MASK)\r
+#define I2S_FIFOCFG_WAKERX_MASK (0x8000U)\r
+#define I2S_FIFOCFG_WAKERX_SHIFT (15U)\r
+/*! WAKERX - Wake-up for receive FIFO level. This allows the device to be woken from reduced power\r
+ *    modes (up to power-down, as long as the peripheral function works in that power mode) without\r
+ *    enabling the TXLVL interrupt. Only DMA wakes up, processes data, and goes back to sleep. The\r
+ *    CPU will remain stopped until woken by another cause, such as DMA completion. See Hardware\r
+ *    Wake-up control register.\r
  *  0b0..Only enabled interrupts will wake up the device form reduced power modes.\r
- *  0b1..A device wake-up for DMA will occur if the receive FIFO level reaches the value specified by RXLVL in FIFOTRIG, even when the RXLVL interrupt is not enabled.\r
+ *  0b1..A device wake-up for DMA will occur if the receive FIFO level reaches the value specified by RXLVL in\r
+ *       FIFOTRIG, even when the RXLVL interrupt is not enabled.\r
  */\r
-#define I2S_FIFOCFG_WAKERX(x)                    (((uint32_t)(((uint32_t)(x)) << I2S_FIFOCFG_WAKERX_SHIFT)) & I2S_FIFOCFG_WAKERX_MASK)\r
-#define I2S_FIFOCFG_EMPTYTX_MASK                 (0x10000U)\r
-#define I2S_FIFOCFG_EMPTYTX_SHIFT                (16U)\r
-#define I2S_FIFOCFG_EMPTYTX(x)                   (((uint32_t)(((uint32_t)(x)) << I2S_FIFOCFG_EMPTYTX_SHIFT)) & I2S_FIFOCFG_EMPTYTX_MASK)\r
-#define I2S_FIFOCFG_EMPTYRX_MASK                 (0x20000U)\r
-#define I2S_FIFOCFG_EMPTYRX_SHIFT                (17U)\r
-#define I2S_FIFOCFG_EMPTYRX(x)                   (((uint32_t)(((uint32_t)(x)) << I2S_FIFOCFG_EMPTYRX_SHIFT)) & I2S_FIFOCFG_EMPTYRX_MASK)\r
-#define I2S_FIFOCFG_POPDBG_MASK                  (0x40000U)\r
-#define I2S_FIFOCFG_POPDBG_SHIFT                 (18U)\r
-/*! POPDBG - Pop FIFO for debug reads.\r
- *  0b0..Debug reads of the FIFO do not pop the FIFO.\r
- *  0b1..A debug read will cause the FIFO to pop.\r
+#define I2S_FIFOCFG_WAKERX(x) (((uint32_t)(((uint32_t)(x)) << I2S_FIFOCFG_WAKERX_SHIFT)) & I2S_FIFOCFG_WAKERX_MASK)\r
+#define I2S_FIFOCFG_EMPTYTX_MASK (0x10000U)\r
+#define I2S_FIFOCFG_EMPTYTX_SHIFT (16U)\r
+/*! EMPTYTX - Empty command for the transmit FIFO. When a 1 is written to this bit, the TX FIFO is emptied.\r
  */\r
-#define I2S_FIFOCFG_POPDBG(x)                    (((uint32_t)(((uint32_t)(x)) << I2S_FIFOCFG_POPDBG_SHIFT)) & I2S_FIFOCFG_POPDBG_MASK)\r
+#define I2S_FIFOCFG_EMPTYTX(x) (((uint32_t)(((uint32_t)(x)) << I2S_FIFOCFG_EMPTYTX_SHIFT)) & I2S_FIFOCFG_EMPTYTX_MASK)\r
+#define I2S_FIFOCFG_EMPTYRX_MASK (0x20000U)\r
+#define I2S_FIFOCFG_EMPTYRX_SHIFT (17U)\r
+/*! EMPTYRX - Empty command for the receive FIFO. When a 1 is written to this bit, the RX FIFO is emptied.\r
+ */\r
+#define I2S_FIFOCFG_EMPTYRX(x) (((uint32_t)(((uint32_t)(x)) << I2S_FIFOCFG_EMPTYRX_SHIFT)) & I2S_FIFOCFG_EMPTYRX_MASK)\r
 /*! @} */\r
 \r
 /*! @name FIFOSTAT - FIFO status register. */\r
 /*! @{ */\r
-#define I2S_FIFOSTAT_TXERR_MASK                  (0x1U)\r
-#define I2S_FIFOSTAT_TXERR_SHIFT                 (0U)\r
-#define I2S_FIFOSTAT_TXERR(x)                    (((uint32_t)(((uint32_t)(x)) << I2S_FIFOSTAT_TXERR_SHIFT)) & I2S_FIFOSTAT_TXERR_MASK)\r
-#define I2S_FIFOSTAT_RXERR_MASK                  (0x2U)\r
-#define I2S_FIFOSTAT_RXERR_SHIFT                 (1U)\r
-#define I2S_FIFOSTAT_RXERR(x)                    (((uint32_t)(((uint32_t)(x)) << I2S_FIFOSTAT_RXERR_SHIFT)) & I2S_FIFOSTAT_RXERR_MASK)\r
-#define I2S_FIFOSTAT_PERINT_MASK                 (0x8U)\r
-#define I2S_FIFOSTAT_PERINT_SHIFT                (3U)\r
-#define I2S_FIFOSTAT_PERINT(x)                   (((uint32_t)(((uint32_t)(x)) << I2S_FIFOSTAT_PERINT_SHIFT)) & I2S_FIFOSTAT_PERINT_MASK)\r
-#define I2S_FIFOSTAT_TXEMPTY_MASK                (0x10U)\r
-#define I2S_FIFOSTAT_TXEMPTY_SHIFT               (4U)\r
-#define I2S_FIFOSTAT_TXEMPTY(x)                  (((uint32_t)(((uint32_t)(x)) << I2S_FIFOSTAT_TXEMPTY_SHIFT)) & I2S_FIFOSTAT_TXEMPTY_MASK)\r
-#define I2S_FIFOSTAT_TXNOTFULL_MASK              (0x20U)\r
-#define I2S_FIFOSTAT_TXNOTFULL_SHIFT             (5U)\r
-#define I2S_FIFOSTAT_TXNOTFULL(x)                (((uint32_t)(((uint32_t)(x)) << I2S_FIFOSTAT_TXNOTFULL_SHIFT)) & I2S_FIFOSTAT_TXNOTFULL_MASK)\r
-#define I2S_FIFOSTAT_RXNOTEMPTY_MASK             (0x40U)\r
-#define I2S_FIFOSTAT_RXNOTEMPTY_SHIFT            (6U)\r
-#define I2S_FIFOSTAT_RXNOTEMPTY(x)               (((uint32_t)(((uint32_t)(x)) << I2S_FIFOSTAT_RXNOTEMPTY_SHIFT)) & I2S_FIFOSTAT_RXNOTEMPTY_MASK)\r
-#define I2S_FIFOSTAT_RXFULL_MASK                 (0x80U)\r
-#define I2S_FIFOSTAT_RXFULL_SHIFT                (7U)\r
-#define I2S_FIFOSTAT_RXFULL(x)                   (((uint32_t)(((uint32_t)(x)) << I2S_FIFOSTAT_RXFULL_SHIFT)) & I2S_FIFOSTAT_RXFULL_MASK)\r
-#define I2S_FIFOSTAT_TXLVL_MASK                  (0x1F00U)\r
-#define I2S_FIFOSTAT_TXLVL_SHIFT                 (8U)\r
-#define I2S_FIFOSTAT_TXLVL(x)                    (((uint32_t)(((uint32_t)(x)) << I2S_FIFOSTAT_TXLVL_SHIFT)) & I2S_FIFOSTAT_TXLVL_MASK)\r
-#define I2S_FIFOSTAT_RXLVL_MASK                  (0x1F0000U)\r
-#define I2S_FIFOSTAT_RXLVL_SHIFT                 (16U)\r
-#define I2S_FIFOSTAT_RXLVL(x)                    (((uint32_t)(((uint32_t)(x)) << I2S_FIFOSTAT_RXLVL_SHIFT)) & I2S_FIFOSTAT_RXLVL_MASK)\r
+#define I2S_FIFOSTAT_TXERR_MASK (0x1U)\r
+#define I2S_FIFOSTAT_TXERR_SHIFT (0U)\r
+/*! TXERR - TX FIFO error. Will be set if a transmit FIFO error occurs. This could be an overflow\r
+ *    caused by pushing data into a full FIFO, or by an underflow if the FIFO is empty when data is\r
+ *    needed. Cleared by writing a 1 to this bit.\r
+ */\r
+#define I2S_FIFOSTAT_TXERR(x) (((uint32_t)(((uint32_t)(x)) << I2S_FIFOSTAT_TXERR_SHIFT)) & I2S_FIFOSTAT_TXERR_MASK)\r
+#define I2S_FIFOSTAT_RXERR_MASK (0x2U)\r
+#define I2S_FIFOSTAT_RXERR_SHIFT (1U)\r
+/*! RXERR - RX FIFO error. Will be set if a receive FIFO overflow occurs, caused by software or DMA\r
+ *    not emptying the FIFO fast enough. Cleared by writing a 1 to this bit.\r
+ */\r
+#define I2S_FIFOSTAT_RXERR(x) (((uint32_t)(((uint32_t)(x)) << I2S_FIFOSTAT_RXERR_SHIFT)) & I2S_FIFOSTAT_RXERR_MASK)\r
+#define I2S_FIFOSTAT_PERINT_MASK (0x8U)\r
+#define I2S_FIFOSTAT_PERINT_SHIFT (3U)\r
+/*! PERINT - Peripheral interrupt. When 1, this indicates that the peripheral function has asserted\r
+ *    an interrupt. The details can be found by reading the peripheral's STAT register.\r
+ */\r
+#define I2S_FIFOSTAT_PERINT(x) (((uint32_t)(((uint32_t)(x)) << I2S_FIFOSTAT_PERINT_SHIFT)) & I2S_FIFOSTAT_PERINT_MASK)\r
+#define I2S_FIFOSTAT_TXEMPTY_MASK (0x10U)\r
+#define I2S_FIFOSTAT_TXEMPTY_SHIFT (4U)\r
+/*! TXEMPTY - Transmit FIFO empty. When 1, the transmit FIFO is empty. The peripheral may still be processing the last\r
+ * piece of data.\r
+ */\r
+#define I2S_FIFOSTAT_TXEMPTY(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2S_FIFOSTAT_TXEMPTY_SHIFT)) & I2S_FIFOSTAT_TXEMPTY_MASK)\r
+#define I2S_FIFOSTAT_TXNOTFULL_MASK (0x20U)\r
+#define I2S_FIFOSTAT_TXNOTFULL_SHIFT (5U)\r
+/*! TXNOTFULL - Transmit FIFO not full. When 1, the transmit FIFO is not full, so more data can be\r
+ *    written. When 0, the transmit FIFO is full and another write would cause it to overflow.\r
+ */\r
+#define I2S_FIFOSTAT_TXNOTFULL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2S_FIFOSTAT_TXNOTFULL_SHIFT)) & I2S_FIFOSTAT_TXNOTFULL_MASK)\r
+#define I2S_FIFOSTAT_RXNOTEMPTY_MASK (0x40U)\r
+#define I2S_FIFOSTAT_RXNOTEMPTY_SHIFT (6U)\r
+/*! RXNOTEMPTY - Receive FIFO not empty. When 1, the receive FIFO is not empty, so data can be read. When 0, the receive\r
+ * FIFO is empty.\r
+ */\r
+#define I2S_FIFOSTAT_RXNOTEMPTY(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2S_FIFOSTAT_RXNOTEMPTY_SHIFT)) & I2S_FIFOSTAT_RXNOTEMPTY_MASK)\r
+#define I2S_FIFOSTAT_RXFULL_MASK (0x80U)\r
+#define I2S_FIFOSTAT_RXFULL_SHIFT (7U)\r
+/*! RXFULL - Receive FIFO full. When 1, the receive FIFO is full. Data needs to be read out to\r
+ *    prevent the peripheral from causing an overflow.\r
+ */\r
+#define I2S_FIFOSTAT_RXFULL(x) (((uint32_t)(((uint32_t)(x)) << I2S_FIFOSTAT_RXFULL_SHIFT)) & I2S_FIFOSTAT_RXFULL_MASK)\r
+#define I2S_FIFOSTAT_TXLVL_MASK (0x1F00U)\r
+#define I2S_FIFOSTAT_TXLVL_SHIFT (8U)\r
+/*! TXLVL - Transmit FIFO current level. A 0 means the TX FIFO is currently empty, and the TXEMPTY\r
+ *    and TXNOTFULL flags will be 1. Other values tell how much data is actually in the TX FIFO at\r
+ *    the point where the read occurs. If the TX FIFO is full, the TXEMPTY and TXNOTFULL flags will be\r
+ *    0.\r
+ */\r
+#define I2S_FIFOSTAT_TXLVL(x) (((uint32_t)(((uint32_t)(x)) << I2S_FIFOSTAT_TXLVL_SHIFT)) & I2S_FIFOSTAT_TXLVL_MASK)\r
+#define I2S_FIFOSTAT_RXLVL_MASK (0x1F0000U)\r
+#define I2S_FIFOSTAT_RXLVL_SHIFT (16U)\r
+/*! RXLVL - Receive FIFO current level. A 0 means the RX FIFO is currently empty, and the RXFULL and\r
+ *    RXNOTEMPTY flags will be 0. Other values tell how much data is actually in the RX FIFO at the\r
+ *    point where the read occurs. If the RX FIFO is full, the RXFULL and RXNOTEMPTY flags will be\r
+ *    1.\r
+ */\r
+#define I2S_FIFOSTAT_RXLVL(x) (((uint32_t)(((uint32_t)(x)) << I2S_FIFOSTAT_RXLVL_SHIFT)) & I2S_FIFOSTAT_RXLVL_MASK)\r
 /*! @} */\r
 \r
 /*! @name FIFOTRIG - FIFO trigger settings for interrupt and DMA request. */\r
 /*! @{ */\r
-#define I2S_FIFOTRIG_TXLVLENA_MASK               (0x1U)\r
-#define I2S_FIFOTRIG_TXLVLENA_SHIFT              (0U)\r
-/*! TXLVLENA - Transmit FIFO level trigger enable. This trigger will become an interrupt if enabled in FIFOINTENSET, or a DMA trigger if DMATX in FIFOCFG is set.\r
+#define I2S_FIFOTRIG_TXLVLENA_MASK (0x1U)\r
+#define I2S_FIFOTRIG_TXLVLENA_SHIFT (0U)\r
+/*! TXLVLENA - Transmit FIFO level trigger enable. This trigger will become an interrupt if enabled\r
+ *    in FIFOINTENSET, or a DMA trigger if DMATX in FIFOCFG is set.\r
  *  0b0..Transmit FIFO level does not generate a FIFO level trigger.\r
- *  0b1..An trigger will be generated if the transmit FIFO level reaches the value specified by the TXLVL field in this register.\r
- */\r
-#define I2S_FIFOTRIG_TXLVLENA(x)                 (((uint32_t)(((uint32_t)(x)) << I2S_FIFOTRIG_TXLVLENA_SHIFT)) & I2S_FIFOTRIG_TXLVLENA_MASK)\r
-#define I2S_FIFOTRIG_RXLVLENA_MASK               (0x2U)\r
-#define I2S_FIFOTRIG_RXLVLENA_SHIFT              (1U)\r
-/*! RXLVLENA - Receive FIFO level trigger enable. This trigger will become an interrupt if enabled in FIFOINTENSET, or a DMA trigger if DMARX in FIFOCFG is set.\r
+ *  0b1..An trigger will be generated if the transmit FIFO level reaches the value specified by the TXLVL field in this\r
+ * register.\r
+ */\r
+#define I2S_FIFOTRIG_TXLVLENA(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2S_FIFOTRIG_TXLVLENA_SHIFT)) & I2S_FIFOTRIG_TXLVLENA_MASK)\r
+#define I2S_FIFOTRIG_RXLVLENA_MASK (0x2U)\r
+#define I2S_FIFOTRIG_RXLVLENA_SHIFT (1U)\r
+/*! RXLVLENA - Receive FIFO level trigger enable. This trigger will become an interrupt if enabled\r
+ *    in FIFOINTENSET, or a DMA trigger if DMARX in FIFOCFG is set.\r
  *  0b0..Receive FIFO level does not generate a FIFO level trigger.\r
- *  0b1..An trigger will be generated if the receive FIFO level reaches the value specified by the RXLVL field in this register.\r
- */\r
-#define I2S_FIFOTRIG_RXLVLENA(x)                 (((uint32_t)(((uint32_t)(x)) << I2S_FIFOTRIG_RXLVLENA_SHIFT)) & I2S_FIFOTRIG_RXLVLENA_MASK)\r
-#define I2S_FIFOTRIG_TXLVL_MASK                  (0xF00U)\r
-#define I2S_FIFOTRIG_TXLVL_SHIFT                 (8U)\r
-#define I2S_FIFOTRIG_TXLVL(x)                    (((uint32_t)(((uint32_t)(x)) << I2S_FIFOTRIG_TXLVL_SHIFT)) & I2S_FIFOTRIG_TXLVL_MASK)\r
-#define I2S_FIFOTRIG_RXLVL_MASK                  (0xF0000U)\r
-#define I2S_FIFOTRIG_RXLVL_SHIFT                 (16U)\r
-#define I2S_FIFOTRIG_RXLVL(x)                    (((uint32_t)(((uint32_t)(x)) << I2S_FIFOTRIG_RXLVL_SHIFT)) & I2S_FIFOTRIG_RXLVL_MASK)\r
+ *  0b1..An trigger will be generated if the receive FIFO level reaches the value specified by the RXLVL field in this\r
+ * register.\r
+ */\r
+#define I2S_FIFOTRIG_RXLVLENA(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2S_FIFOTRIG_RXLVLENA_SHIFT)) & I2S_FIFOTRIG_RXLVLENA_MASK)\r
+#define I2S_FIFOTRIG_TXLVL_MASK (0xF00U)\r
+#define I2S_FIFOTRIG_TXLVL_SHIFT (8U)\r
+/*! TXLVL - Transmit FIFO level trigger point. This field is used only when TXLVLENA = 1. If enabled\r
+ *    to do so, the FIFO level can wake up the device just enough to perform DMA, then return to\r
+ *    the reduced power mode. See Hardware Wake-up control register. 0 = trigger when the TX FIFO\r
+ *    becomes empty. 1 = trigger when the TX FIFO level decreases to one entry. 15 = trigger when the TX\r
+ *    FIFO level decreases to 15 entries (is no longer full).\r
+ */\r
+#define I2S_FIFOTRIG_TXLVL(x) (((uint32_t)(((uint32_t)(x)) << I2S_FIFOTRIG_TXLVL_SHIFT)) & I2S_FIFOTRIG_TXLVL_MASK)\r
+#define I2S_FIFOTRIG_RXLVL_MASK (0xF0000U)\r
+#define I2S_FIFOTRIG_RXLVL_SHIFT (16U)\r
+/*! RXLVL - Receive FIFO level trigger point. The RX FIFO level is checked when a new piece of data\r
+ *    is received. This field is used only when RXLVLENA = 1. If enabled to do so, the FIFO level\r
+ *    can wake up the device just enough to perform DMA, then return to the reduced power mode. See\r
+ *    Hardware Wake-up control register. 0 = trigger when the RX FIFO has received one entry (is no\r
+ *    longer empty). 1 = trigger when the RX FIFO has received two entries. 15 = trigger when the RX\r
+ *    FIFO has received 16 entries (has become full).\r
+ */\r
+#define I2S_FIFOTRIG_RXLVL(x) (((uint32_t)(((uint32_t)(x)) << I2S_FIFOTRIG_RXLVL_SHIFT)) & I2S_FIFOTRIG_RXLVL_MASK)\r
 /*! @} */\r
 \r
 /*! @name FIFOINTENSET - FIFO interrupt enable set (enable) and read register. */\r
 /*! @{ */\r
-#define I2S_FIFOINTENSET_TXERR_MASK              (0x1U)\r
-#define I2S_FIFOINTENSET_TXERR_SHIFT             (0U)\r
-/*! TXERR - Determines whether an interrupt occurs when a transmit error occurs, based on the TXERR flag in the FIFOSTAT register.\r
- *  0b0..No interrupt will be generated for a transmit error.\r
- *  0b1..An interrupt will be generated when a transmit error occurs.\r
- */\r
-#define I2S_FIFOINTENSET_TXERR(x)                (((uint32_t)(((uint32_t)(x)) << I2S_FIFOINTENSET_TXERR_SHIFT)) & I2S_FIFOINTENSET_TXERR_MASK)\r
-#define I2S_FIFOINTENSET_RXERR_MASK              (0x2U)\r
-#define I2S_FIFOINTENSET_RXERR_SHIFT             (1U)\r
-/*! RXERR - Determines whether an interrupt occurs when a receive error occurs, based on the RXERR flag in the FIFOSTAT register.\r
- *  0b0..No interrupt will be generated for a receive error.\r
- *  0b1..An interrupt will be generated when a receive error occurs.\r
- */\r
-#define I2S_FIFOINTENSET_RXERR(x)                (((uint32_t)(((uint32_t)(x)) << I2S_FIFOINTENSET_RXERR_SHIFT)) & I2S_FIFOINTENSET_RXERR_MASK)\r
-#define I2S_FIFOINTENSET_TXLVL_MASK              (0x4U)\r
-#define I2S_FIFOINTENSET_TXLVL_SHIFT             (2U)\r
-/*! TXLVL - Determines whether an interrupt occurs when a the transmit FIFO reaches the level specified by the TXLVL field in the FIFOTRIG register.\r
+#define I2S_FIFOINTENSET_TXERR_MASK (0x1U)\r
+#define I2S_FIFOINTENSET_TXERR_SHIFT (0U)\r
+/*! TXERR - Determines whether an interrupt occurs when a transmit error occurs, based on the TXERR flag in the FIFOSTAT\r
+ * register. 0b0..No interrupt will be generated for a transmit error. 0b1..An interrupt will be generated when a\r
+ * transmit error occurs.\r
+ */\r
+#define I2S_FIFOINTENSET_TXERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2S_FIFOINTENSET_TXERR_SHIFT)) & I2S_FIFOINTENSET_TXERR_MASK)\r
+#define I2S_FIFOINTENSET_RXERR_MASK (0x2U)\r
+#define I2S_FIFOINTENSET_RXERR_SHIFT (1U)\r
+/*! RXERR - Determines whether an interrupt occurs when a receive error occurs, based on the RXERR flag in the FIFOSTAT\r
+ * register. 0b0..No interrupt will be generated for a receive error. 0b1..An interrupt will be generated when a receive\r
+ * error occurs.\r
+ */\r
+#define I2S_FIFOINTENSET_RXERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2S_FIFOINTENSET_RXERR_SHIFT)) & I2S_FIFOINTENSET_RXERR_MASK)\r
+#define I2S_FIFOINTENSET_TXLVL_MASK (0x4U)\r
+#define I2S_FIFOINTENSET_TXLVL_SHIFT (2U)\r
+/*! TXLVL - Determines whether an interrupt occurs when a the transmit FIFO reaches the level\r
+ *    specified by the TXLVL field in the FIFOTRIG register.\r
  *  0b0..No interrupt will be generated based on the TX FIFO level.\r
- *  0b1..If TXLVLENA in the FIFOTRIG register = 1, an interrupt will be generated when the TX FIFO level decreases to the level specified by TXLVL in the FIFOTRIG register.\r
- */\r
-#define I2S_FIFOINTENSET_TXLVL(x)                (((uint32_t)(((uint32_t)(x)) << I2S_FIFOINTENSET_TXLVL_SHIFT)) & I2S_FIFOINTENSET_TXLVL_MASK)\r
-#define I2S_FIFOINTENSET_RXLVL_MASK              (0x8U)\r
-#define I2S_FIFOINTENSET_RXLVL_SHIFT             (3U)\r
-/*! RXLVL - Determines whether an interrupt occurs when a the receive FIFO reaches the level specified by the TXLVL field in the FIFOTRIG register.\r
+ *  0b1..If TXLVLENA in the FIFOTRIG register = 1, an interrupt will be generated when the TX FIFO level decreases\r
+ *       to the level specified by TXLVL in the FIFOTRIG register.\r
+ */\r
+#define I2S_FIFOINTENSET_TXLVL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2S_FIFOINTENSET_TXLVL_SHIFT)) & I2S_FIFOINTENSET_TXLVL_MASK)\r
+#define I2S_FIFOINTENSET_RXLVL_MASK (0x8U)\r
+#define I2S_FIFOINTENSET_RXLVL_SHIFT (3U)\r
+/*! RXLVL - Determines whether an interrupt occurs when a the receive FIFO reaches the level\r
+ *    specified by the TXLVL field in the FIFOTRIG register.\r
  *  0b0..No interrupt will be generated based on the RX FIFO level.\r
- *  0b1..If RXLVLENA in the FIFOTRIG register = 1, an interrupt will be generated when the when the RX FIFO level increases to the level specified by RXLVL in the FIFOTRIG register.\r
+ *  0b1..If RXLVLENA in the FIFOTRIG register = 1, an interrupt will be generated when the when the RX FIFO level\r
+ *       increases to the level specified by RXLVL in the FIFOTRIG register.\r
  */\r
-#define I2S_FIFOINTENSET_RXLVL(x)                (((uint32_t)(((uint32_t)(x)) << I2S_FIFOINTENSET_RXLVL_SHIFT)) & I2S_FIFOINTENSET_RXLVL_MASK)\r
+#define I2S_FIFOINTENSET_RXLVL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2S_FIFOINTENSET_RXLVL_SHIFT)) & I2S_FIFOINTENSET_RXLVL_MASK)\r
 /*! @} */\r
 \r
 /*! @name FIFOINTENCLR - FIFO interrupt enable clear (disable) and read register. */\r
 /*! @{ */\r
-#define I2S_FIFOINTENCLR_TXERR_MASK              (0x1U)\r
-#define I2S_FIFOINTENCLR_TXERR_SHIFT             (0U)\r
-#define I2S_FIFOINTENCLR_TXERR(x)                (((uint32_t)(((uint32_t)(x)) << I2S_FIFOINTENCLR_TXERR_SHIFT)) & I2S_FIFOINTENCLR_TXERR_MASK)\r
-#define I2S_FIFOINTENCLR_RXERR_MASK              (0x2U)\r
-#define I2S_FIFOINTENCLR_RXERR_SHIFT             (1U)\r
-#define I2S_FIFOINTENCLR_RXERR(x)                (((uint32_t)(((uint32_t)(x)) << I2S_FIFOINTENCLR_RXERR_SHIFT)) & I2S_FIFOINTENCLR_RXERR_MASK)\r
-#define I2S_FIFOINTENCLR_TXLVL_MASK              (0x4U)\r
-#define I2S_FIFOINTENCLR_TXLVL_SHIFT             (2U)\r
-#define I2S_FIFOINTENCLR_TXLVL(x)                (((uint32_t)(((uint32_t)(x)) << I2S_FIFOINTENCLR_TXLVL_SHIFT)) & I2S_FIFOINTENCLR_TXLVL_MASK)\r
-#define I2S_FIFOINTENCLR_RXLVL_MASK              (0x8U)\r
-#define I2S_FIFOINTENCLR_RXLVL_SHIFT             (3U)\r
-#define I2S_FIFOINTENCLR_RXLVL(x)                (((uint32_t)(((uint32_t)(x)) << I2S_FIFOINTENCLR_RXLVL_SHIFT)) & I2S_FIFOINTENCLR_RXLVL_MASK)\r
+#define I2S_FIFOINTENCLR_TXERR_MASK (0x1U)\r
+#define I2S_FIFOINTENCLR_TXERR_SHIFT (0U)\r
+/*! TXERR - Writing one clears the corresponding bits in the FIFOINTENSET register.\r
+ */\r
+#define I2S_FIFOINTENCLR_TXERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2S_FIFOINTENCLR_TXERR_SHIFT)) & I2S_FIFOINTENCLR_TXERR_MASK)\r
+#define I2S_FIFOINTENCLR_RXERR_MASK (0x2U)\r
+#define I2S_FIFOINTENCLR_RXERR_SHIFT (1U)\r
+/*! RXERR - Writing one clears the corresponding bits in the FIFOINTENSET register.\r
+ */\r
+#define I2S_FIFOINTENCLR_RXERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2S_FIFOINTENCLR_RXERR_SHIFT)) & I2S_FIFOINTENCLR_RXERR_MASK)\r
+#define I2S_FIFOINTENCLR_TXLVL_MASK (0x4U)\r
+#define I2S_FIFOINTENCLR_TXLVL_SHIFT (2U)\r
+/*! TXLVL - Writing one clears the corresponding bits in the FIFOINTENSET register.\r
+ */\r
+#define I2S_FIFOINTENCLR_TXLVL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2S_FIFOINTENCLR_TXLVL_SHIFT)) & I2S_FIFOINTENCLR_TXLVL_MASK)\r
+#define I2S_FIFOINTENCLR_RXLVL_MASK (0x8U)\r
+#define I2S_FIFOINTENCLR_RXLVL_SHIFT (3U)\r
+/*! RXLVL - Writing one clears the corresponding bits in the FIFOINTENSET register.\r
+ */\r
+#define I2S_FIFOINTENCLR_RXLVL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2S_FIFOINTENCLR_RXLVL_SHIFT)) & I2S_FIFOINTENCLR_RXLVL_MASK)\r
 /*! @} */\r
 \r
 /*! @name FIFOINTSTAT - FIFO interrupt status register. */\r
 /*! @{ */\r
-#define I2S_FIFOINTSTAT_TXERR_MASK               (0x1U)\r
-#define I2S_FIFOINTSTAT_TXERR_SHIFT              (0U)\r
-#define I2S_FIFOINTSTAT_TXERR(x)                 (((uint32_t)(((uint32_t)(x)) << I2S_FIFOINTSTAT_TXERR_SHIFT)) & I2S_FIFOINTSTAT_TXERR_MASK)\r
-#define I2S_FIFOINTSTAT_RXERR_MASK               (0x2U)\r
-#define I2S_FIFOINTSTAT_RXERR_SHIFT              (1U)\r
-#define I2S_FIFOINTSTAT_RXERR(x)                 (((uint32_t)(((uint32_t)(x)) << I2S_FIFOINTSTAT_RXERR_SHIFT)) & I2S_FIFOINTSTAT_RXERR_MASK)\r
-#define I2S_FIFOINTSTAT_TXLVL_MASK               (0x4U)\r
-#define I2S_FIFOINTSTAT_TXLVL_SHIFT              (2U)\r
-#define I2S_FIFOINTSTAT_TXLVL(x)                 (((uint32_t)(((uint32_t)(x)) << I2S_FIFOINTSTAT_TXLVL_SHIFT)) & I2S_FIFOINTSTAT_TXLVL_MASK)\r
-#define I2S_FIFOINTSTAT_RXLVL_MASK               (0x8U)\r
-#define I2S_FIFOINTSTAT_RXLVL_SHIFT              (3U)\r
-#define I2S_FIFOINTSTAT_RXLVL(x)                 (((uint32_t)(((uint32_t)(x)) << I2S_FIFOINTSTAT_RXLVL_SHIFT)) & I2S_FIFOINTSTAT_RXLVL_MASK)\r
-#define I2S_FIFOINTSTAT_PERINT_MASK              (0x10U)\r
-#define I2S_FIFOINTSTAT_PERINT_SHIFT             (4U)\r
-#define I2S_FIFOINTSTAT_PERINT(x)                (((uint32_t)(((uint32_t)(x)) << I2S_FIFOINTSTAT_PERINT_SHIFT)) & I2S_FIFOINTSTAT_PERINT_MASK)\r
+#define I2S_FIFOINTSTAT_TXERR_MASK (0x1U)\r
+#define I2S_FIFOINTSTAT_TXERR_SHIFT (0U)\r
+/*! TXERR - TX FIFO error.\r
+ */\r
+#define I2S_FIFOINTSTAT_TXERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2S_FIFOINTSTAT_TXERR_SHIFT)) & I2S_FIFOINTSTAT_TXERR_MASK)\r
+#define I2S_FIFOINTSTAT_RXERR_MASK (0x2U)\r
+#define I2S_FIFOINTSTAT_RXERR_SHIFT (1U)\r
+/*! RXERR - RX FIFO error.\r
+ */\r
+#define I2S_FIFOINTSTAT_RXERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2S_FIFOINTSTAT_RXERR_SHIFT)) & I2S_FIFOINTSTAT_RXERR_MASK)\r
+#define I2S_FIFOINTSTAT_TXLVL_MASK (0x4U)\r
+#define I2S_FIFOINTSTAT_TXLVL_SHIFT (2U)\r
+/*! TXLVL - Transmit FIFO level interrupt.\r
+ */\r
+#define I2S_FIFOINTSTAT_TXLVL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2S_FIFOINTSTAT_TXLVL_SHIFT)) & I2S_FIFOINTSTAT_TXLVL_MASK)\r
+#define I2S_FIFOINTSTAT_RXLVL_MASK (0x8U)\r
+#define I2S_FIFOINTSTAT_RXLVL_SHIFT (3U)\r
+/*! RXLVL - Receive FIFO level interrupt.\r
+ */\r
+#define I2S_FIFOINTSTAT_RXLVL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2S_FIFOINTSTAT_RXLVL_SHIFT)) & I2S_FIFOINTSTAT_RXLVL_MASK)\r
+#define I2S_FIFOINTSTAT_PERINT_MASK (0x10U)\r
+#define I2S_FIFOINTSTAT_PERINT_SHIFT (4U)\r
+/*! PERINT - Peripheral interrupt.\r
+ */\r
+#define I2S_FIFOINTSTAT_PERINT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2S_FIFOINTSTAT_PERINT_SHIFT)) & I2S_FIFOINTSTAT_PERINT_MASK)\r
 /*! @} */\r
 \r
 /*! @name FIFOWR - FIFO write data. */\r
 /*! @{ */\r
-#define I2S_FIFOWR_TXDATA_MASK                   (0xFFFFFFFFU)\r
-#define I2S_FIFOWR_TXDATA_SHIFT                  (0U)\r
-#define I2S_FIFOWR_TXDATA(x)                     (((uint32_t)(((uint32_t)(x)) << I2S_FIFOWR_TXDATA_SHIFT)) & I2S_FIFOWR_TXDATA_MASK)\r
+#define I2S_FIFOWR_TXDATA_MASK (0xFFFFFFFFU)\r
+#define I2S_FIFOWR_TXDATA_SHIFT (0U)\r
+/*! TXDATA - Transmit data to the FIFO. The number of bits used depends on configuration details.\r
+ */\r
+#define I2S_FIFOWR_TXDATA(x) (((uint32_t)(((uint32_t)(x)) << I2S_FIFOWR_TXDATA_SHIFT)) & I2S_FIFOWR_TXDATA_MASK)\r
 /*! @} */\r
 \r
-/*! @name FIFOWR48H - FIFO write data for upper data bits. May only be used if the I2S is configured for 2x 24-bit data and not using DMA. */\r
+/*! @name FIFOWR48H - FIFO write data for upper data bits. May only be used if the I2S is configured for 2x 24-bit data\r
+ * and not using DMA. */\r
 /*! @{ */\r
-#define I2S_FIFOWR48H_TXDATA_MASK                (0xFFFFFFU)\r
-#define I2S_FIFOWR48H_TXDATA_SHIFT               (0U)\r
-#define I2S_FIFOWR48H_TXDATA(x)                  (((uint32_t)(((uint32_t)(x)) << I2S_FIFOWR48H_TXDATA_SHIFT)) & I2S_FIFOWR48H_TXDATA_MASK)\r
+#define I2S_FIFOWR48H_TXDATA_MASK (0xFFFFFFU)\r
+#define I2S_FIFOWR48H_TXDATA_SHIFT (0U)\r
+/*! TXDATA - Transmit data to the FIFO. Whether this register is used and the number of bits used depends on\r
+ * configuration details.\r
+ */\r
+#define I2S_FIFOWR48H_TXDATA(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2S_FIFOWR48H_TXDATA_SHIFT)) & I2S_FIFOWR48H_TXDATA_MASK)\r
 /*! @} */\r
 \r
 /*! @name FIFORD - FIFO read data. */\r
 /*! @{ */\r
-#define I2S_FIFORD_RXDATA_MASK                   (0xFFFFFFFFU)\r
-#define I2S_FIFORD_RXDATA_SHIFT                  (0U)\r
-#define I2S_FIFORD_RXDATA(x)                     (((uint32_t)(((uint32_t)(x)) << I2S_FIFORD_RXDATA_SHIFT)) & I2S_FIFORD_RXDATA_MASK)\r
+#define I2S_FIFORD_RXDATA_MASK (0xFFFFFFFFU)\r
+#define I2S_FIFORD_RXDATA_SHIFT (0U)\r
+/*! RXDATA - Received data from the FIFO. The number of bits used depends on configuration details.\r
+ */\r
+#define I2S_FIFORD_RXDATA(x) (((uint32_t)(((uint32_t)(x)) << I2S_FIFORD_RXDATA_SHIFT)) & I2S_FIFORD_RXDATA_MASK)\r
 /*! @} */\r
 \r
-/*! @name FIFORD48H - FIFO read data for upper data bits. May only be used if the I2S is configured for 2x 24-bit data and not using DMA. */\r
+/*! @name FIFORD48H - FIFO read data for upper data bits. May only be used if the I2S is configured for 2x 24-bit data\r
+ * and not using DMA. */\r
 /*! @{ */\r
-#define I2S_FIFORD48H_RXDATA_MASK                (0xFFFFFFU)\r
-#define I2S_FIFORD48H_RXDATA_SHIFT               (0U)\r
-#define I2S_FIFORD48H_RXDATA(x)                  (((uint32_t)(((uint32_t)(x)) << I2S_FIFORD48H_RXDATA_SHIFT)) & I2S_FIFORD48H_RXDATA_MASK)\r
+#define I2S_FIFORD48H_RXDATA_MASK (0xFFFFFFU)\r
+#define I2S_FIFORD48H_RXDATA_SHIFT (0U)\r
+/*! RXDATA - Received data from the FIFO. Whether this register is used and the number of bits used depends on\r
+ * configuration details.\r
+ */\r
+#define I2S_FIFORD48H_RXDATA(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2S_FIFORD48H_RXDATA_SHIFT)) & I2S_FIFORD48H_RXDATA_MASK)\r
 /*! @} */\r
 \r
 /*! @name FIFORDNOPOP - FIFO data read with no FIFO pop. */\r
 /*! @{ */\r
-#define I2S_FIFORDNOPOP_RXDATA_MASK              (0xFFFFFFFFU)\r
-#define I2S_FIFORDNOPOP_RXDATA_SHIFT             (0U)\r
-#define I2S_FIFORDNOPOP_RXDATA(x)                (((uint32_t)(((uint32_t)(x)) << I2S_FIFORDNOPOP_RXDATA_SHIFT)) & I2S_FIFORDNOPOP_RXDATA_MASK)\r
+#define I2S_FIFORDNOPOP_RXDATA_MASK (0xFFFFFFFFU)\r
+#define I2S_FIFORDNOPOP_RXDATA_SHIFT (0U)\r
+/*! RXDATA - Received data from the FIFO.\r
+ */\r
+#define I2S_FIFORDNOPOP_RXDATA(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2S_FIFORDNOPOP_RXDATA_SHIFT)) & I2S_FIFORDNOPOP_RXDATA_MASK)\r
 /*! @} */\r
 \r
-/*! @name FIFORD48HNOPOP - FIFO data read for upper data bits with no FIFO pop. May only be used if the I2S is configured for 2x 24-bit data and not using DMA. */\r
+/*! @name FIFORD48HNOPOP - FIFO data read for upper data bits with no FIFO pop. May only be used if the I2S is\r
+ * configured for 2x 24-bit data and not using DMA. */\r
 /*! @{ */\r
-#define I2S_FIFORD48HNOPOP_RXDATA_MASK           (0xFFFFFFU)\r
-#define I2S_FIFORD48HNOPOP_RXDATA_SHIFT          (0U)\r
-#define I2S_FIFORD48HNOPOP_RXDATA(x)             (((uint32_t)(((uint32_t)(x)) << I2S_FIFORD48HNOPOP_RXDATA_SHIFT)) & I2S_FIFORD48HNOPOP_RXDATA_MASK)\r
+#define I2S_FIFORD48HNOPOP_RXDATA_MASK (0xFFFFFFU)\r
+#define I2S_FIFORD48HNOPOP_RXDATA_SHIFT (0U)\r
+/*! RXDATA - Received data from the FIFO. Whether this register is used and the number of bits used depends on\r
+ * configuration details.\r
+ */\r
+#define I2S_FIFORD48HNOPOP_RXDATA(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << I2S_FIFORD48HNOPOP_RXDATA_SHIFT)) & I2S_FIFORD48HNOPOP_RXDATA_MASK)\r
 /*! @} */\r
 \r
 /*! @name ID - I2S Module identification */\r
 /*! @{ */\r
-#define I2S_ID_Aperture_MASK                     (0xFFU)\r
-#define I2S_ID_Aperture_SHIFT                    (0U)\r
-#define I2S_ID_Aperture(x)                       (((uint32_t)(((uint32_t)(x)) << I2S_ID_Aperture_SHIFT)) & I2S_ID_Aperture_MASK)\r
-#define I2S_ID_Minor_Rev_MASK                    (0xF00U)\r
-#define I2S_ID_Minor_Rev_SHIFT                   (8U)\r
-#define I2S_ID_Minor_Rev(x)                      (((uint32_t)(((uint32_t)(x)) << I2S_ID_Minor_Rev_SHIFT)) & I2S_ID_Minor_Rev_MASK)\r
-#define I2S_ID_Major_Rev_MASK                    (0xF000U)\r
-#define I2S_ID_Major_Rev_SHIFT                   (12U)\r
-#define I2S_ID_Major_Rev(x)                      (((uint32_t)(((uint32_t)(x)) << I2S_ID_Major_Rev_SHIFT)) & I2S_ID_Major_Rev_MASK)\r
-#define I2S_ID_ID_MASK                           (0xFFFF0000U)\r
-#define I2S_ID_ID_SHIFT                          (16U)\r
-#define I2S_ID_ID(x)                             (((uint32_t)(((uint32_t)(x)) << I2S_ID_ID_SHIFT)) & I2S_ID_ID_MASK)\r
+#define I2S_ID_APERTURE_MASK (0xFFU)\r
+#define I2S_ID_APERTURE_SHIFT (0U)\r
+/*! APERTURE - Aperture: encoded as (aperture size/4K) -1, so 0x00 means a 4K aperture.\r
+ */\r
+#define I2S_ID_APERTURE(x) (((uint32_t)(((uint32_t)(x)) << I2S_ID_APERTURE_SHIFT)) & I2S_ID_APERTURE_MASK)\r
+#define I2S_ID_MINOR_REV_MASK (0xF00U)\r
+#define I2S_ID_MINOR_REV_SHIFT (8U)\r
+/*! MINOR_REV - Minor revision of module implementation, starting at 0.\r
+ */\r
+#define I2S_ID_MINOR_REV(x) (((uint32_t)(((uint32_t)(x)) << I2S_ID_MINOR_REV_SHIFT)) & I2S_ID_MINOR_REV_MASK)\r
+#define I2S_ID_MAJOR_REV_MASK (0xF000U)\r
+#define I2S_ID_MAJOR_REV_SHIFT (12U)\r
+/*! MAJOR_REV - Major revision of module implementation, starting at 0.\r
+ */\r
+#define I2S_ID_MAJOR_REV(x) (((uint32_t)(((uint32_t)(x)) << I2S_ID_MAJOR_REV_SHIFT)) & I2S_ID_MAJOR_REV_MASK)\r
+#define I2S_ID_ID_MASK (0xFFFF0000U)\r
+#define I2S_ID_ID_SHIFT (16U)\r
+/*! ID - Unique module identifier for this IP block.\r
+ */\r
+#define I2S_ID_ID(x) (((uint32_t)(((uint32_t)(x)) << I2S_ID_ID_SHIFT)) & I2S_ID_ID_MASK)\r
 /*! @} */\r
 \r
-\r
 /*!\r
  * @}\r
  */ /* end of group I2S_Register_Masks */\r
 \r
-\r
 /* I2S - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral I2S0 base address */\r
-  #define I2S0_BASE                                (0x50086000u)\r
-  /** Peripheral I2S0 base address */\r
-  #define I2S0_BASE_NS                             (0x40086000u)\r
-  /** Peripheral I2S0 base pointer */\r
-  #define I2S0                                     ((I2S_Type *)I2S0_BASE)\r
-  /** Peripheral I2S0 base pointer */\r
-  #define I2S0_NS                                  ((I2S_Type *)I2S0_BASE_NS)\r
-  /** Peripheral I2S1 base address */\r
-  #define I2S1_BASE                                (0x50087000u)\r
-  /** Peripheral I2S1 base address */\r
-  #define I2S1_BASE_NS                             (0x40087000u)\r
-  /** Peripheral I2S1 base pointer */\r
-  #define I2S1                                     ((I2S_Type *)I2S1_BASE)\r
-  /** Peripheral I2S1 base pointer */\r
-  #define I2S1_NS                                  ((I2S_Type *)I2S1_BASE_NS)\r
-  /** Peripheral I2S2 base address */\r
-  #define I2S2_BASE                                (0x50088000u)\r
-  /** Peripheral I2S2 base address */\r
-  #define I2S2_BASE_NS                             (0x40088000u)\r
-  /** Peripheral I2S2 base pointer */\r
-  #define I2S2                                     ((I2S_Type *)I2S2_BASE)\r
-  /** Peripheral I2S2 base pointer */\r
-  #define I2S2_NS                                  ((I2S_Type *)I2S2_BASE_NS)\r
-  /** Peripheral I2S3 base address */\r
-  #define I2S3_BASE                                (0x50089000u)\r
-  /** Peripheral I2S3 base address */\r
-  #define I2S3_BASE_NS                             (0x40089000u)\r
-  /** Peripheral I2S3 base pointer */\r
-  #define I2S3                                     ((I2S_Type *)I2S3_BASE)\r
-  /** Peripheral I2S3 base pointer */\r
-  #define I2S3_NS                                  ((I2S_Type *)I2S3_BASE_NS)\r
-  /** Peripheral I2S4 base address */\r
-  #define I2S4_BASE                                (0x5008A000u)\r
-  /** Peripheral I2S4 base address */\r
-  #define I2S4_BASE_NS                             (0x4008A000u)\r
-  /** Peripheral I2S4 base pointer */\r
-  #define I2S4                                     ((I2S_Type *)I2S4_BASE)\r
-  /** Peripheral I2S4 base pointer */\r
-  #define I2S4_NS                                  ((I2S_Type *)I2S4_BASE_NS)\r
-  /** Peripheral I2S5 base address */\r
-  #define I2S5_BASE                                (0x50096000u)\r
-  /** Peripheral I2S5 base address */\r
-  #define I2S5_BASE_NS                             (0x40096000u)\r
-  /** Peripheral I2S5 base pointer */\r
-  #define I2S5                                     ((I2S_Type *)I2S5_BASE)\r
-  /** Peripheral I2S5 base pointer */\r
-  #define I2S5_NS                                  ((I2S_Type *)I2S5_BASE_NS)\r
-  /** Peripheral I2S6 base address */\r
-  #define I2S6_BASE                                (0x50097000u)\r
-  /** Peripheral I2S6 base address */\r
-  #define I2S6_BASE_NS                             (0x40097000u)\r
-  /** Peripheral I2S6 base pointer */\r
-  #define I2S6                                     ((I2S_Type *)I2S6_BASE)\r
-  /** Peripheral I2S6 base pointer */\r
-  #define I2S6_NS                                  ((I2S_Type *)I2S6_BASE_NS)\r
-  /** Peripheral I2S7 base address */\r
-  #define I2S7_BASE                                (0x50098000u)\r
-  /** Peripheral I2S7 base address */\r
-  #define I2S7_BASE_NS                             (0x40098000u)\r
-  /** Peripheral I2S7 base pointer */\r
-  #define I2S7                                     ((I2S_Type *)I2S7_BASE)\r
-  /** Peripheral I2S7 base pointer */\r
-  #define I2S7_NS                                  ((I2S_Type *)I2S7_BASE_NS)\r
-  /** Array initializer of I2S peripheral base addresses */\r
-  #define I2S_BASE_ADDRS                           { I2S0_BASE, I2S1_BASE, I2S2_BASE, I2S3_BASE, I2S4_BASE, I2S5_BASE, I2S6_BASE, I2S7_BASE }\r
-  /** Array initializer of I2S peripheral base pointers */\r
-  #define I2S_BASE_PTRS                            { I2S0, I2S1, I2S2, I2S3, I2S4, I2S5, I2S6, I2S7 }\r
-  /** Array initializer of I2S peripheral base addresses */\r
-  #define I2S_BASE_ADDRS_NS                        { I2S0_BASE_NS, I2S1_BASE_NS, I2S2_BASE_NS, I2S3_BASE_NS, I2S4_BASE_NS, I2S5_BASE_NS, I2S6_BASE_NS, I2S7_BASE_NS }\r
-  /** Array initializer of I2S peripheral base pointers */\r
-  #define I2S_BASE_PTRS_NS                         { I2S0_NS, I2S1_NS, I2S2_NS, I2S3_NS, I2S4_NS, I2S5_NS, I2S6_NS, I2S7_NS }\r
+/** Peripheral I2S0 base address */\r
+#define I2S0_BASE (0x50086000u)\r
+/** Peripheral I2S0 base address */\r
+#define I2S0_BASE_NS (0x40086000u)\r
+/** Peripheral I2S0 base pointer */\r
+#define I2S0 ((I2S_Type *)I2S0_BASE)\r
+/** Peripheral I2S0 base pointer */\r
+#define I2S0_NS ((I2S_Type *)I2S0_BASE_NS)\r
+/** Peripheral I2S1 base address */\r
+#define I2S1_BASE (0x50087000u)\r
+/** Peripheral I2S1 base address */\r
+#define I2S1_BASE_NS (0x40087000u)\r
+/** Peripheral I2S1 base pointer */\r
+#define I2S1 ((I2S_Type *)I2S1_BASE)\r
+/** Peripheral I2S1 base pointer */\r
+#define I2S1_NS ((I2S_Type *)I2S1_BASE_NS)\r
+/** Peripheral I2S2 base address */\r
+#define I2S2_BASE (0x50088000u)\r
+/** Peripheral I2S2 base address */\r
+#define I2S2_BASE_NS (0x40088000u)\r
+/** Peripheral I2S2 base pointer */\r
+#define I2S2 ((I2S_Type *)I2S2_BASE)\r
+/** Peripheral I2S2 base pointer */\r
+#define I2S2_NS ((I2S_Type *)I2S2_BASE_NS)\r
+/** Peripheral I2S3 base address */\r
+#define I2S3_BASE (0x50089000u)\r
+/** Peripheral I2S3 base address */\r
+#define I2S3_BASE_NS (0x40089000u)\r
+/** Peripheral I2S3 base pointer */\r
+#define I2S3 ((I2S_Type *)I2S3_BASE)\r
+/** Peripheral I2S3 base pointer */\r
+#define I2S3_NS ((I2S_Type *)I2S3_BASE_NS)\r
+/** Peripheral I2S4 base address */\r
+#define I2S4_BASE (0x5008A000u)\r
+/** Peripheral I2S4 base address */\r
+#define I2S4_BASE_NS (0x4008A000u)\r
+/** Peripheral I2S4 base pointer */\r
+#define I2S4 ((I2S_Type *)I2S4_BASE)\r
+/** Peripheral I2S4 base pointer */\r
+#define I2S4_NS ((I2S_Type *)I2S4_BASE_NS)\r
+/** Peripheral I2S5 base address */\r
+#define I2S5_BASE (0x50096000u)\r
+/** Peripheral I2S5 base address */\r
+#define I2S5_BASE_NS (0x40096000u)\r
+/** Peripheral I2S5 base pointer */\r
+#define I2S5 ((I2S_Type *)I2S5_BASE)\r
+/** Peripheral I2S5 base pointer */\r
+#define I2S5_NS ((I2S_Type *)I2S5_BASE_NS)\r
+/** Peripheral I2S6 base address */\r
+#define I2S6_BASE (0x50097000u)\r
+/** Peripheral I2S6 base address */\r
+#define I2S6_BASE_NS (0x40097000u)\r
+/** Peripheral I2S6 base pointer */\r
+#define I2S6 ((I2S_Type *)I2S6_BASE)\r
+/** Peripheral I2S6 base pointer */\r
+#define I2S6_NS ((I2S_Type *)I2S6_BASE_NS)\r
+/** Peripheral I2S7 base address */\r
+#define I2S7_BASE (0x50098000u)\r
+/** Peripheral I2S7 base address */\r
+#define I2S7_BASE_NS (0x40098000u)\r
+/** Peripheral I2S7 base pointer */\r
+#define I2S7 ((I2S_Type *)I2S7_BASE)\r
+/** Peripheral I2S7 base pointer */\r
+#define I2S7_NS ((I2S_Type *)I2S7_BASE_NS)\r
+/** Array initializer of I2S peripheral base addresses */\r
+#define I2S_BASE_ADDRS                                                                         \\r
+    {                                                                                          \\r
+        I2S0_BASE, I2S1_BASE, I2S2_BASE, I2S3_BASE, I2S4_BASE, I2S5_BASE, I2S6_BASE, I2S7_BASE \\r
+    }\r
+/** Array initializer of I2S peripheral base pointers */\r
+#define I2S_BASE_PTRS                                  \\r
+    {                                                  \\r
+        I2S0, I2S1, I2S2, I2S3, I2S4, I2S5, I2S6, I2S7 \\r
+    }\r
+/** Array initializer of I2S peripheral base addresses */\r
+#define I2S_BASE_ADDRS_NS                                                                                              \\r
+    {                                                                                                                  \\r
+        I2S0_BASE_NS, I2S1_BASE_NS, I2S2_BASE_NS, I2S3_BASE_NS, I2S4_BASE_NS, I2S5_BASE_NS, I2S6_BASE_NS, I2S7_BASE_NS \\r
+    }\r
+/** Array initializer of I2S peripheral base pointers */\r
+#define I2S_BASE_PTRS_NS                                                       \\r
+    {                                                                          \\r
+        I2S0_NS, I2S1_NS, I2S2_NS, I2S3_NS, I2S4_NS, I2S5_NS, I2S6_NS, I2S7_NS \\r
+    }\r
 #else\r
-  /** Peripheral I2S0 base address */\r
-  #define I2S0_BASE                                (0x40086000u)\r
-  /** Peripheral I2S0 base pointer */\r
-  #define I2S0                                     ((I2S_Type *)I2S0_BASE)\r
-  /** Peripheral I2S1 base address */\r
-  #define I2S1_BASE                                (0x40087000u)\r
-  /** Peripheral I2S1 base pointer */\r
-  #define I2S1                                     ((I2S_Type *)I2S1_BASE)\r
-  /** Peripheral I2S2 base address */\r
-  #define I2S2_BASE                                (0x40088000u)\r
-  /** Peripheral I2S2 base pointer */\r
-  #define I2S2                                     ((I2S_Type *)I2S2_BASE)\r
-  /** Peripheral I2S3 base address */\r
-  #define I2S3_BASE                                (0x40089000u)\r
-  /** Peripheral I2S3 base pointer */\r
-  #define I2S3                                     ((I2S_Type *)I2S3_BASE)\r
-  /** Peripheral I2S4 base address */\r
-  #define I2S4_BASE                                (0x4008A000u)\r
-  /** Peripheral I2S4 base pointer */\r
-  #define I2S4                                     ((I2S_Type *)I2S4_BASE)\r
-  /** Peripheral I2S5 base address */\r
-  #define I2S5_BASE                                (0x40096000u)\r
-  /** Peripheral I2S5 base pointer */\r
-  #define I2S5                                     ((I2S_Type *)I2S5_BASE)\r
-  /** Peripheral I2S6 base address */\r
-  #define I2S6_BASE                                (0x40097000u)\r
-  /** Peripheral I2S6 base pointer */\r
-  #define I2S6                                     ((I2S_Type *)I2S6_BASE)\r
-  /** Peripheral I2S7 base address */\r
-  #define I2S7_BASE                                (0x40098000u)\r
-  /** Peripheral I2S7 base pointer */\r
-  #define I2S7                                     ((I2S_Type *)I2S7_BASE)\r
-  /** Array initializer of I2S peripheral base addresses */\r
-  #define I2S_BASE_ADDRS                           { I2S0_BASE, I2S1_BASE, I2S2_BASE, I2S3_BASE, I2S4_BASE, I2S5_BASE, I2S6_BASE, I2S7_BASE }\r
-  /** Array initializer of I2S peripheral base pointers */\r
-  #define I2S_BASE_PTRS                            { I2S0, I2S1, I2S2, I2S3, I2S4, I2S5, I2S6, I2S7 }\r
+/** Peripheral I2S0 base address */\r
+#define I2S0_BASE (0x40086000u)\r
+/** Peripheral I2S0 base pointer */\r
+#define I2S0 ((I2S_Type *)I2S0_BASE)\r
+/** Peripheral I2S1 base address */\r
+#define I2S1_BASE (0x40087000u)\r
+/** Peripheral I2S1 base pointer */\r
+#define I2S1 ((I2S_Type *)I2S1_BASE)\r
+/** Peripheral I2S2 base address */\r
+#define I2S2_BASE (0x40088000u)\r
+/** Peripheral I2S2 base pointer */\r
+#define I2S2 ((I2S_Type *)I2S2_BASE)\r
+/** Peripheral I2S3 base address */\r
+#define I2S3_BASE (0x40089000u)\r
+/** Peripheral I2S3 base pointer */\r
+#define I2S3 ((I2S_Type *)I2S3_BASE)\r
+/** Peripheral I2S4 base address */\r
+#define I2S4_BASE (0x4008A000u)\r
+/** Peripheral I2S4 base pointer */\r
+#define I2S4 ((I2S_Type *)I2S4_BASE)\r
+/** Peripheral I2S5 base address */\r
+#define I2S5_BASE (0x40096000u)\r
+/** Peripheral I2S5 base pointer */\r
+#define I2S5 ((I2S_Type *)I2S5_BASE)\r
+/** Peripheral I2S6 base address */\r
+#define I2S6_BASE (0x40097000u)\r
+/** Peripheral I2S6 base pointer */\r
+#define I2S6 ((I2S_Type *)I2S6_BASE)\r
+/** Peripheral I2S7 base address */\r
+#define I2S7_BASE (0x40098000u)\r
+/** Peripheral I2S7 base pointer */\r
+#define I2S7 ((I2S_Type *)I2S7_BASE)\r
+/** Array initializer of I2S peripheral base addresses */\r
+#define I2S_BASE_ADDRS                                                                         \\r
+    {                                                                                          \\r
+        I2S0_BASE, I2S1_BASE, I2S2_BASE, I2S3_BASE, I2S4_BASE, I2S5_BASE, I2S6_BASE, I2S7_BASE \\r
+    }\r
+/** Array initializer of I2S peripheral base pointers */\r
+#define I2S_BASE_PTRS                                  \\r
+    {                                                  \\r
+        I2S0, I2S1, I2S2, I2S3, I2S4, I2S5, I2S6, I2S7 \\r
+    }\r
 #endif\r
 /** Interrupt vectors for the I2S peripheral type */\r
-#define I2S_IRQS                                 { FLEXCOMM0_IRQn, FLEXCOMM1_IRQn, FLEXCOMM2_IRQn, FLEXCOMM3_IRQn, FLEXCOMM4_IRQn, FLEXCOMM5_IRQn, FLEXCOMM6_IRQn, FLEXCOMM7_IRQn }\r
+#define I2S_IRQS                                                                                        \\r
+    {                                                                                                   \\r
+        FLEXCOMM0_IRQn, FLEXCOMM1_IRQn, FLEXCOMM2_IRQn, FLEXCOMM3_IRQn, FLEXCOMM4_IRQn, FLEXCOMM5_IRQn, \\r
+            FLEXCOMM6_IRQn, FLEXCOMM7_IRQn                                                              \\r
+    }\r
 \r
 /*!\r
  * @}\r
  */ /* end of group I2S_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- INPUTMUX Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -9665,56 +12903,66 @@ typedef struct {
  */\r
 \r
 /** INPUTMUX - Register Layout Typedef */\r
-typedef struct {\r
-  __IO uint32_t SCT0_INMUX[7];                     /**< Input mux register for SCT0 input, array offset: 0x0, array step: 0x4 */\r
-       uint8_t RESERVED_0[4];\r
-  __IO uint32_t TIMER0CAPTSEL[4];                  /**< Capture select registers for TIMER0 inputs, array offset: 0x20, array step: 0x4 */\r
-       uint8_t RESERVED_1[16];\r
-  __IO uint32_t TIMER1CAPTSEL[4];                  /**< Capture select registers for TIMER1 inputs, array offset: 0x40, array step: 0x4 */\r
-       uint8_t RESERVED_2[16];\r
-  __IO uint32_t TIMER2CAPTSEL[4];                  /**< Capture select registers for TIMER2 inputs, array offset: 0x60, array step: 0x4 */\r
-       uint8_t RESERVED_3[80];\r
-  __IO uint32_t PINTSEL[8];                        /**< Pin interrupt select register, array offset: 0xC0, array step: 0x4 */\r
-  __IO uint32_t DMA0_ITRIG_INMUX[23];              /**< Trigger select register for DMA0 channel, array offset: 0xE0, array step: 0x4 */\r
-       uint8_t RESERVED_4[36];\r
-  __IO uint32_t DMA0_OTRIG_INMUX[4];               /**< DMA0 output trigger selection to become DMA0 trigger, array offset: 0x160, array step: 0x4 */\r
-       uint8_t RESERVED_5[16];\r
-  __IO uint32_t FREQMEAS_REF;                      /**< Selection for frequency measurement reference clock, offset: 0x180 */\r
-  __IO uint32_t FREQMEAS_TARGET;                   /**< Selection for frequency measurement target clock, offset: 0x184 */\r
-       uint8_t RESERVED_6[24];\r
-  __IO uint32_t TIMER3CAPTSEL[4];                  /**< Capture select registers for TIMER3 inputs, array offset: 0x1A0, array step: 0x4 */\r
-       uint8_t RESERVED_7[16];\r
-  __IO uint32_t TIMER4CAPTSEL[4];                  /**< Capture select registers for TIMER4 inputs, array offset: 0x1C0, array step: 0x4 */\r
-       uint8_t RESERVED_8[16];\r
-  __IO uint32_t PINTSECSEL[2];                     /**< Pin interrupt secure select register, array offset: 0x1E0, array step: 0x4 */\r
-       uint8_t RESERVED_9[24];\r
-  __IO uint32_t DMA1_ITRIG_INMUX[10];              /**< Trigger select register for DMA1 channel, array offset: 0x200, array step: 0x4 */\r
-       uint8_t RESERVED_10[24];\r
-  __IO uint32_t DMA1_OTRIG_INMUX[4];               /**< DMA1 output trigger selection to become DMA1 trigger, array offset: 0x240, array step: 0x4 */\r
-       uint8_t RESERVED_11[1264];\r
-  __IO uint32_t DMA0_REQ_ENA;                      /**< Enable DMA0 requests, offset: 0x740 */\r
-       uint8_t RESERVED_12[4];\r
-  __O  uint32_t DMA0_REQ_ENA_SET;                  /**< Set one or several bits in DMA0_REQ_ENA register, offset: 0x748 */\r
-       uint8_t RESERVED_13[4];\r
-  __O  uint32_t DMA0_REQ_ENA_CLR;                  /**< Clear one or several bits in DMA0_REQ_ENA register, offset: 0x750 */\r
-       uint8_t RESERVED_14[12];\r
-  __IO uint32_t DMA1_REQ_ENA;                      /**< Enable DMA1 requests, offset: 0x760 */\r
-       uint8_t RESERVED_15[4];\r
-  __O  uint32_t DMA1_REQ_ENA_SET;                  /**< Set one or several bits in DMA1_REQ_ENA register, offset: 0x768 */\r
-       uint8_t RESERVED_16[4];\r
-  __O  uint32_t DMA1_REQ_ENA_CLR;                  /**< Clear one or several bits in DMA1_REQ_ENA register, offset: 0x770 */\r
-       uint8_t RESERVED_17[12];\r
-  __IO uint32_t DMA0_ITRIG_ENA;                    /**< Enable DMA0 triggers, offset: 0x780 */\r
-       uint8_t RESERVED_18[4];\r
-  __O  uint32_t DMA0_ITRIG_ENA_SET;                /**< Set one or several bits in DMA0_ITRIG_ENA register, offset: 0x788 */\r
-       uint8_t RESERVED_19[4];\r
-  __O  uint32_t DMA0_ITRIG_ENA_CLR;                /**< Clear one or several bits in DMA0_ITRIG_ENA register, offset: 0x790 */\r
-       uint8_t RESERVED_20[12];\r
-  __IO uint32_t DMA1_ITRIG_ENA;                    /**< Enable DMA1 triggers, offset: 0x7A0 */\r
-       uint8_t RESERVED_21[4];\r
-  __O  uint32_t DMA1_ITRIG_ENA_SET;                /**< Set one or several bits in DMA1_ITRIG_ENA register, offset: 0x7A8 */\r
-       uint8_t RESERVED_22[4];\r
-  __O  uint32_t DMA1_ITRIG_ENA_CLR;                /**< Clear one or several bits in DMA1_ITRIG_ENA register, offset: 0x7B0 */\r
+typedef struct\r
+{\r
+    __IO uint32_t SCT0_INMUX[7]; /**< Input mux register for SCT0 input, array offset: 0x0, array step: 0x4 */\r
+    uint8_t RESERVED_0[4];\r
+    __IO uint32_t\r
+        TIMER0CAPTSEL[4]; /**< Capture select registers for TIMER0 inputs, array offset: 0x20, array step: 0x4 */\r
+    uint8_t RESERVED_1[16];\r
+    __IO uint32_t\r
+        TIMER1CAPTSEL[4]; /**< Capture select registers for TIMER1 inputs, array offset: 0x40, array step: 0x4 */\r
+    uint8_t RESERVED_2[16];\r
+    __IO uint32_t\r
+        TIMER2CAPTSEL[4]; /**< Capture select registers for TIMER2 inputs, array offset: 0x60, array step: 0x4 */\r
+    uint8_t RESERVED_3[80];\r
+    __IO uint32_t PINTSEL[8]; /**< Pin interrupt select register, array offset: 0xC0, array step: 0x4 */\r
+    __IO uint32_t\r
+        DMA0_ITRIG_INMUX[23]; /**< Trigger select register for DMA0 channel, array offset: 0xE0, array step: 0x4 */\r
+    uint8_t RESERVED_4[36];\r
+    __IO uint32_t DMA0_OTRIG_INMUX[4]; /**< DMA0 output trigger selection to become DMA0 trigger, array offset: 0x160,\r
+                                          array step: 0x4 */\r
+    uint8_t RESERVED_5[16];\r
+    __IO uint32_t FREQMEAS_REF;    /**< Selection for frequency measurement reference clock, offset: 0x180 */\r
+    __IO uint32_t FREQMEAS_TARGET; /**< Selection for frequency measurement target clock, offset: 0x184 */\r
+    uint8_t RESERVED_6[24];\r
+    __IO uint32_t\r
+        TIMER3CAPTSEL[4]; /**< Capture select registers for TIMER3 inputs, array offset: 0x1A0, array step: 0x4 */\r
+    uint8_t RESERVED_7[16];\r
+    __IO uint32_t\r
+        TIMER4CAPTSEL[4]; /**< Capture select registers for TIMER4 inputs, array offset: 0x1C0, array step: 0x4 */\r
+    uint8_t RESERVED_8[16];\r
+    __IO uint32_t PINTSECSEL[2]; /**< Pin interrupt secure select register, array offset: 0x1E0, array step: 0x4 */\r
+    uint8_t RESERVED_9[24];\r
+    __IO uint32_t\r
+        DMA1_ITRIG_INMUX[10]; /**< Trigger select register for DMA1 channel, array offset: 0x200, array step: 0x4 */\r
+    uint8_t RESERVED_10[24];\r
+    __IO uint32_t DMA1_OTRIG_INMUX[4]; /**< DMA1 output trigger selection to become DMA1 trigger, array offset: 0x240,\r
+                                          array step: 0x4 */\r
+    uint8_t RESERVED_11[1264];\r
+    __IO uint32_t DMA0_REQ_ENA; /**< Enable DMA0 requests, offset: 0x740 */\r
+    uint8_t RESERVED_12[4];\r
+    __O uint32_t DMA0_REQ_ENA_SET; /**< Set one or several bits in DMA0_REQ_ENA register, offset: 0x748 */\r
+    uint8_t RESERVED_13[4];\r
+    __O uint32_t DMA0_REQ_ENA_CLR; /**< Clear one or several bits in DMA0_REQ_ENA register, offset: 0x750 */\r
+    uint8_t RESERVED_14[12];\r
+    __IO uint32_t DMA1_REQ_ENA; /**< Enable DMA1 requests, offset: 0x760 */\r
+    uint8_t RESERVED_15[4];\r
+    __O uint32_t DMA1_REQ_ENA_SET; /**< Set one or several bits in DMA1_REQ_ENA register, offset: 0x768 */\r
+    uint8_t RESERVED_16[4];\r
+    __O uint32_t DMA1_REQ_ENA_CLR; /**< Clear one or several bits in DMA1_REQ_ENA register, offset: 0x770 */\r
+    uint8_t RESERVED_17[12];\r
+    __IO uint32_t DMA0_ITRIG_ENA; /**< Enable DMA0 triggers, offset: 0x780 */\r
+    uint8_t RESERVED_18[4];\r
+    __O uint32_t DMA0_ITRIG_ENA_SET; /**< Set one or several bits in DMA0_ITRIG_ENA register, offset: 0x788 */\r
+    uint8_t RESERVED_19[4];\r
+    __O uint32_t DMA0_ITRIG_ENA_CLR; /**< Clear one or several bits in DMA0_ITRIG_ENA register, offset: 0x790 */\r
+    uint8_t RESERVED_20[12];\r
+    __IO uint32_t DMA1_ITRIG_ENA; /**< Enable DMA1 triggers, offset: 0x7A0 */\r
+    uint8_t RESERVED_21[4];\r
+    __O uint32_t DMA1_ITRIG_ENA_SET; /**< Set one or several bits in DMA1_ITRIG_ENA register, offset: 0x7A8 */\r
+    uint8_t RESERVED_22[4];\r
+    __O uint32_t DMA1_ITRIG_ENA_CLR; /**< Clear one or several bits in DMA1_ITRIG_ENA register, offset: 0x7B0 */\r
 } INPUTMUX_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -9728,8 +12976,8 @@ typedef struct {
 \r
 /*! @name SCT0_INMUX - Input mux register for SCT0 input */\r
 /*! @{ */\r
-#define INPUTMUX_SCT0_INMUX_INP_N_MASK           (0x1FU)\r
-#define INPUTMUX_SCT0_INMUX_INP_N_SHIFT          (0U)\r
+#define INPUTMUX_SCT0_INMUX_INP_N_MASK (0x1FU)\r
+#define INPUTMUX_SCT0_INMUX_INP_N_SHIFT (0U)\r
 /*! INP_N - Input number to SCT0 inputs 0 to 6..\r
  *  0b00000..SCT_GPI0 function selected from IOCON register\r
  *  0b00001..SCT_GPI1 function selected from IOCON register\r
@@ -9757,16 +13005,17 @@ typedef struct {
  *  0b10111..DEBUG_HALTED from cpu0 or cpu1\r
  *  0b11000-0b11111..None\r
  */\r
-#define INPUTMUX_SCT0_INMUX_INP_N(x)             (((uint32_t)(((uint32_t)(x)) << INPUTMUX_SCT0_INMUX_INP_N_SHIFT)) & INPUTMUX_SCT0_INMUX_INP_N_MASK)\r
+#define INPUTMUX_SCT0_INMUX_INP_N(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << INPUTMUX_SCT0_INMUX_INP_N_SHIFT)) & INPUTMUX_SCT0_INMUX_INP_N_MASK)\r
 /*! @} */\r
 \r
 /* The count of INPUTMUX_SCT0_INMUX */\r
-#define INPUTMUX_SCT0_INMUX_COUNT                (7U)\r
+#define INPUTMUX_SCT0_INMUX_COUNT (7U)\r
 \r
 /*! @name TIMER0CAPTSEL - Capture select registers for TIMER0 inputs */\r
 /*! @{ */\r
-#define INPUTMUX_TIMER0CAPTSEL_CAPTSEL_MASK      (0x1FU)\r
-#define INPUTMUX_TIMER0CAPTSEL_CAPTSEL_SHIFT     (0U)\r
+#define INPUTMUX_TIMER0CAPTSEL_CAPTSEL_MASK (0x1FU)\r
+#define INPUTMUX_TIMER0CAPTSEL_CAPTSEL_SHIFT (0U)\r
 /*! CAPTSEL - Input number to TIMER0 capture inputs 0 to 4\r
  *  0b00000..CT_INP0 function selected from IOCON register\r
  *  0b00001..CT_INP1 function selected from IOCON register\r
@@ -9785,9 +13034,9 @@ typedef struct {
  *  0b01110..CT_INP14 function selected from IOCON register\r
  *  0b01111..CT_INP15 function selected from IOCON register\r
  *  0b10000..CT_INP16 function selected from IOCON register\r
- *  0b10001..CT_INP17 function selected from IOCON register\r
- *  0b10010..CT_INP18 function selected from IOCON register\r
- *  0b10011..CT_INP19 function selected from IOCON register\r
+ *  0b10001..None\r
+ *  0b10010..None\r
+ *  0b10011..None\r
  *  0b10100..USB0_FRAME_TOGGLE\r
  *  0b10101..USB1_FRAME_TOGGLE\r
  *  0b10110..COMP_OUTPUT output from analog comparator\r
@@ -9795,16 +13044,17 @@ typedef struct {
  *  0b11000..I2S_SHARED_WS[1] output from I2S pin sharing\r
  *  0b11001-0b11111..None\r
  */\r
-#define INPUTMUX_TIMER0CAPTSEL_CAPTSEL(x)        (((uint32_t)(((uint32_t)(x)) << INPUTMUX_TIMER0CAPTSEL_CAPTSEL_SHIFT)) & INPUTMUX_TIMER0CAPTSEL_CAPTSEL_MASK)\r
+#define INPUTMUX_TIMER0CAPTSEL_CAPTSEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << INPUTMUX_TIMER0CAPTSEL_CAPTSEL_SHIFT)) & INPUTMUX_TIMER0CAPTSEL_CAPTSEL_MASK)\r
 /*! @} */\r
 \r
 /* The count of INPUTMUX_TIMER0CAPTSEL */\r
-#define INPUTMUX_TIMER0CAPTSEL_COUNT             (4U)\r
+#define INPUTMUX_TIMER0CAPTSEL_COUNT (4U)\r
 \r
 /*! @name TIMER1CAPTSEL - Capture select registers for TIMER1 inputs */\r
 /*! @{ */\r
-#define INPUTMUX_TIMER1CAPTSEL_CAPTSEL_MASK      (0x1FU)\r
-#define INPUTMUX_TIMER1CAPTSEL_CAPTSEL_SHIFT     (0U)\r
+#define INPUTMUX_TIMER1CAPTSEL_CAPTSEL_MASK (0x1FU)\r
+#define INPUTMUX_TIMER1CAPTSEL_CAPTSEL_SHIFT (0U)\r
 /*! CAPTSEL - Input number to TIMER1 capture inputs 0 to 4\r
  *  0b00000..CT_INP0 function selected from IOCON register\r
  *  0b00001..CT_INP1 function selected from IOCON register\r
@@ -9823,9 +13073,9 @@ typedef struct {
  *  0b01110..CT_INP14 function selected from IOCON register\r
  *  0b01111..CT_INP15 function selected from IOCON register\r
  *  0b10000..CT_INP16 function selected from IOCON register\r
- *  0b10001..CT_INP17 function selected from IOCON register\r
- *  0b10010..CT_INP18 function selected from IOCON register\r
- *  0b10011..CT_INP19 function selected from IOCON register\r
+ *  0b10001..None\r
+ *  0b10010..None\r
+ *  0b10011..None\r
  *  0b10100..USB0_FRAME_TOGGLE\r
  *  0b10101..USB1_FRAME_TOGGLE\r
  *  0b10110..COMP_OUTPUT output from analog comparator\r
@@ -9833,16 +13083,17 @@ typedef struct {
  *  0b11000..I2S_SHARED_WS[1] output from I2S pin sharing\r
  *  0b11001-0b11111..None\r
  */\r
-#define INPUTMUX_TIMER1CAPTSEL_CAPTSEL(x)        (((uint32_t)(((uint32_t)(x)) << INPUTMUX_TIMER1CAPTSEL_CAPTSEL_SHIFT)) & INPUTMUX_TIMER1CAPTSEL_CAPTSEL_MASK)\r
+#define INPUTMUX_TIMER1CAPTSEL_CAPTSEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << INPUTMUX_TIMER1CAPTSEL_CAPTSEL_SHIFT)) & INPUTMUX_TIMER1CAPTSEL_CAPTSEL_MASK)\r
 /*! @} */\r
 \r
 /* The count of INPUTMUX_TIMER1CAPTSEL */\r
-#define INPUTMUX_TIMER1CAPTSEL_COUNT             (4U)\r
+#define INPUTMUX_TIMER1CAPTSEL_COUNT (4U)\r
 \r
 /*! @name TIMER2CAPTSEL - Capture select registers for TIMER2 inputs */\r
 /*! @{ */\r
-#define INPUTMUX_TIMER2CAPTSEL_CAPTSEL_MASK      (0x1FU)\r
-#define INPUTMUX_TIMER2CAPTSEL_CAPTSEL_SHIFT     (0U)\r
+#define INPUTMUX_TIMER2CAPTSEL_CAPTSEL_MASK (0x1FU)\r
+#define INPUTMUX_TIMER2CAPTSEL_CAPTSEL_SHIFT (0U)\r
 /*! CAPTSEL - Input number to TIMER2 capture inputs 0 to 4\r
  *  0b00000..CT_INP0 function selected from IOCON register\r
  *  0b00001..CT_INP1 function selected from IOCON register\r
@@ -9861,9 +13112,9 @@ typedef struct {
  *  0b01110..CT_INP14 function selected from IOCON register\r
  *  0b01111..CT_INP15 function selected from IOCON register\r
  *  0b10000..CT_INP16 function selected from IOCON register\r
- *  0b10001..CT_INP17 function selected from IOCON register\r
- *  0b10010..CT_INP18 function selected from IOCON register\r
- *  0b10011..CT_INP19 function selected from IOCON register\r
+ *  0b10001..None\r
+ *  0b10010..None\r
+ *  0b10011..None\r
  *  0b10100..USB0_FRAME_TOGGLE\r
  *  0b10101..USB1_FRAME_TOGGLE\r
  *  0b10110..COMP_OUTPUT output from analog comparator\r
@@ -9871,26 +13122,31 @@ typedef struct {
  *  0b11000..I2S_SHARED_WS[1] output from I2S pin sharing\r
  *  0b11001-0b11111..None\r
  */\r
-#define INPUTMUX_TIMER2CAPTSEL_CAPTSEL(x)        (((uint32_t)(((uint32_t)(x)) << INPUTMUX_TIMER2CAPTSEL_CAPTSEL_SHIFT)) & INPUTMUX_TIMER2CAPTSEL_CAPTSEL_MASK)\r
+#define INPUTMUX_TIMER2CAPTSEL_CAPTSEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << INPUTMUX_TIMER2CAPTSEL_CAPTSEL_SHIFT)) & INPUTMUX_TIMER2CAPTSEL_CAPTSEL_MASK)\r
 /*! @} */\r
 \r
 /* The count of INPUTMUX_TIMER2CAPTSEL */\r
-#define INPUTMUX_TIMER2CAPTSEL_COUNT             (4U)\r
+#define INPUTMUX_TIMER2CAPTSEL_COUNT (4U)\r
 \r
 /*! @name PINTSEL - Pin interrupt select register */\r
 /*! @{ */\r
-#define INPUTMUX_PINTSEL_INTPIN_MASK             (0x7FU)\r
-#define INPUTMUX_PINTSEL_INTPIN_SHIFT            (0U)\r
-#define INPUTMUX_PINTSEL_INTPIN(x)               (((uint32_t)(((uint32_t)(x)) << INPUTMUX_PINTSEL_INTPIN_SHIFT)) & INPUTMUX_PINTSEL_INTPIN_MASK)\r
+#define INPUTMUX_PINTSEL_INTPIN_MASK (0x7FU)\r
+#define INPUTMUX_PINTSEL_INTPIN_SHIFT (0U)\r
+/*! INTPIN - Pin number select for pin interrupt or pattern match engine input. For PIOx_y: INTPIN =\r
+ *    (x * 32) + y. PIO0_0 to PIO1_31 correspond to numbers 0 to 63.\r
+ */\r
+#define INPUTMUX_PINTSEL_INTPIN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << INPUTMUX_PINTSEL_INTPIN_SHIFT)) & INPUTMUX_PINTSEL_INTPIN_MASK)\r
 /*! @} */\r
 \r
 /* The count of INPUTMUX_PINTSEL */\r
-#define INPUTMUX_PINTSEL_COUNT                   (8U)\r
+#define INPUTMUX_PINTSEL_COUNT (8U)\r
 \r
 /*! @name DMA0_ITRIG_INMUX - Trigger select register for DMA0 channel */\r
 /*! @{ */\r
-#define INPUTMUX_DMA0_ITRIG_INMUX_INP_MASK       (0x1FU)\r
-#define INPUTMUX_DMA0_ITRIG_INMUX_INP_SHIFT      (0U)\r
+#define INPUTMUX_DMA0_ITRIG_INMUX_INP_MASK (0x1FU)\r
+#define INPUTMUX_DMA0_ITRIG_INMUX_INP_SHIFT (0U)\r
 /*! INP - Trigger input number (decimal value) for DMA channel n (n = 0 to 22).\r
  *  0b00000..Pin interrupt 0\r
  *  0b00001..Pin interrupt 1\r
@@ -9916,40 +13172,54 @@ typedef struct {
  *  0b10101..HASH DMA RX trigger\r
  *  0b10110-0b11111..None\r
  */\r
-#define INPUTMUX_DMA0_ITRIG_INMUX_INP(x)         (((uint32_t)(((uint32_t)(x)) << INPUTMUX_DMA0_ITRIG_INMUX_INP_SHIFT)) & INPUTMUX_DMA0_ITRIG_INMUX_INP_MASK)\r
+#define INPUTMUX_DMA0_ITRIG_INMUX_INP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << INPUTMUX_DMA0_ITRIG_INMUX_INP_SHIFT)) & INPUTMUX_DMA0_ITRIG_INMUX_INP_MASK)\r
 /*! @} */\r
 \r
 /* The count of INPUTMUX_DMA0_ITRIG_INMUX */\r
-#define INPUTMUX_DMA0_ITRIG_INMUX_COUNT          (23U)\r
+#define INPUTMUX_DMA0_ITRIG_INMUX_COUNT (23U)\r
 \r
 /*! @name DMA0_OTRIG_INMUX - DMA0 output trigger selection to become DMA0 trigger */\r
 /*! @{ */\r
-#define INPUTMUX_DMA0_OTRIG_INMUX_INP_MASK       (0x1FU)\r
-#define INPUTMUX_DMA0_OTRIG_INMUX_INP_SHIFT      (0U)\r
-#define INPUTMUX_DMA0_OTRIG_INMUX_INP(x)         (((uint32_t)(((uint32_t)(x)) << INPUTMUX_DMA0_OTRIG_INMUX_INP_SHIFT)) & INPUTMUX_DMA0_OTRIG_INMUX_INP_MASK)\r
+#define INPUTMUX_DMA0_OTRIG_INMUX_INP_MASK (0x1FU)\r
+#define INPUTMUX_DMA0_OTRIG_INMUX_INP_SHIFT (0U)\r
+/*! INP - DMA trigger output number (decimal value) for DMA channel n (n = 0 to 22).\r
+ */\r
+#define INPUTMUX_DMA0_OTRIG_INMUX_INP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << INPUTMUX_DMA0_OTRIG_INMUX_INP_SHIFT)) & INPUTMUX_DMA0_OTRIG_INMUX_INP_MASK)\r
 /*! @} */\r
 \r
 /* The count of INPUTMUX_DMA0_OTRIG_INMUX */\r
-#define INPUTMUX_DMA0_OTRIG_INMUX_COUNT          (4U)\r
+#define INPUTMUX_DMA0_OTRIG_INMUX_COUNT (4U)\r
 \r
 /*! @name FREQMEAS_REF - Selection for frequency measurement reference clock */\r
 /*! @{ */\r
-#define INPUTMUX_FREQMEAS_REF_CLKIN_MASK         (0x1FU)\r
-#define INPUTMUX_FREQMEAS_REF_CLKIN_SHIFT        (0U)\r
-#define INPUTMUX_FREQMEAS_REF_CLKIN(x)           (((uint32_t)(((uint32_t)(x)) << INPUTMUX_FREQMEAS_REF_CLKIN_SHIFT)) & INPUTMUX_FREQMEAS_REF_CLKIN_MASK)\r
+#define INPUTMUX_FREQMEAS_REF_CLKIN_MASK (0x1FU)\r
+#define INPUTMUX_FREQMEAS_REF_CLKIN_SHIFT (0U)\r
+/*! CLKIN - Clock source number (decimal value) for frequency measure function target clock: 0 =\r
+ *    CLK_IN 1 = FRO 12 MHz oscillator 2 = Watchdog oscillator 3 = 32 kHz RTC oscillator 4 = Main clock\r
+ *    (see Section 4.5.23) 5 = PIO0_4 6 = PIO0_20 7 = PIO0_24 8 = PIO1_4\r
+ */\r
+#define INPUTMUX_FREQMEAS_REF_CLKIN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << INPUTMUX_FREQMEAS_REF_CLKIN_SHIFT)) & INPUTMUX_FREQMEAS_REF_CLKIN_MASK)\r
 /*! @} */\r
 \r
 /*! @name FREQMEAS_TARGET - Selection for frequency measurement target clock */\r
 /*! @{ */\r
-#define INPUTMUX_FREQMEAS_TARGET_CLKIN_MASK      (0x1FU)\r
-#define INPUTMUX_FREQMEAS_TARGET_CLKIN_SHIFT     (0U)\r
-#define INPUTMUX_FREQMEAS_TARGET_CLKIN(x)        (((uint32_t)(((uint32_t)(x)) << INPUTMUX_FREQMEAS_TARGET_CLKIN_SHIFT)) & INPUTMUX_FREQMEAS_TARGET_CLKIN_MASK)\r
+#define INPUTMUX_FREQMEAS_TARGET_CLKIN_MASK (0x1FU)\r
+#define INPUTMUX_FREQMEAS_TARGET_CLKIN_SHIFT (0U)\r
+/*! CLKIN - Clock source number (decimal value) for frequency measure function target clock: 0 =\r
+ *    CLK_IN 1 = FRO 12 MHz oscillator 2 = Watchdog oscillator 3 = 32 kHz RTC oscillator 4 = Main clock\r
+ *    (see Section 4.5.23) 5 = PIO0_4 6 = PIO0_20 7 = PIO0_24 8 = PIO1_4\r
+ */\r
+#define INPUTMUX_FREQMEAS_TARGET_CLKIN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << INPUTMUX_FREQMEAS_TARGET_CLKIN_SHIFT)) & INPUTMUX_FREQMEAS_TARGET_CLKIN_MASK)\r
 /*! @} */\r
 \r
 /*! @name TIMER3CAPTSEL - Capture select registers for TIMER3 inputs */\r
 /*! @{ */\r
-#define INPUTMUX_TIMER3CAPTSEL_CAPTSEL_MASK      (0x1FU)\r
-#define INPUTMUX_TIMER3CAPTSEL_CAPTSEL_SHIFT     (0U)\r
+#define INPUTMUX_TIMER3CAPTSEL_CAPTSEL_MASK (0x1FU)\r
+#define INPUTMUX_TIMER3CAPTSEL_CAPTSEL_SHIFT (0U)\r
 /*! CAPTSEL - Input number to TIMER3 capture inputs 0 to 4\r
  *  0b00000..CT_INP0 function selected from IOCON register\r
  *  0b00001..CT_INP1 function selected from IOCON register\r
@@ -9978,16 +13248,17 @@ typedef struct {
  *  0b11000..I2S_SHARED_WS[1] output from I2S pin sharing\r
  *  0b11001-0b11111..None\r
  */\r
-#define INPUTMUX_TIMER3CAPTSEL_CAPTSEL(x)        (((uint32_t)(((uint32_t)(x)) << INPUTMUX_TIMER3CAPTSEL_CAPTSEL_SHIFT)) & INPUTMUX_TIMER3CAPTSEL_CAPTSEL_MASK)\r
+#define INPUTMUX_TIMER3CAPTSEL_CAPTSEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << INPUTMUX_TIMER3CAPTSEL_CAPTSEL_SHIFT)) & INPUTMUX_TIMER3CAPTSEL_CAPTSEL_MASK)\r
 /*! @} */\r
 \r
 /* The count of INPUTMUX_TIMER3CAPTSEL */\r
-#define INPUTMUX_TIMER3CAPTSEL_COUNT             (4U)\r
+#define INPUTMUX_TIMER3CAPTSEL_COUNT (4U)\r
 \r
 /*! @name TIMER4CAPTSEL - Capture select registers for TIMER4 inputs */\r
 /*! @{ */\r
-#define INPUTMUX_TIMER4CAPTSEL_CAPTSEL_MASK      (0x1FU)\r
-#define INPUTMUX_TIMER4CAPTSEL_CAPTSEL_SHIFT     (0U)\r
+#define INPUTMUX_TIMER4CAPTSEL_CAPTSEL_MASK (0x1FU)\r
+#define INPUTMUX_TIMER4CAPTSEL_CAPTSEL_SHIFT (0U)\r
 /*! CAPTSEL - Input number to TIMER4 capture inputs 0 to 4\r
  *  0b00000..CT_INP0 function selected from IOCON register\r
  *  0b00001..CT_INP1 function selected from IOCON register\r
@@ -10016,26 +13287,31 @@ typedef struct {
  *  0b11000..I2S_SHARED_WS[1] output from I2S pin sharing\r
  *  0b11001-0b11111..None\r
  */\r
-#define INPUTMUX_TIMER4CAPTSEL_CAPTSEL(x)        (((uint32_t)(((uint32_t)(x)) << INPUTMUX_TIMER4CAPTSEL_CAPTSEL_SHIFT)) & INPUTMUX_TIMER4CAPTSEL_CAPTSEL_MASK)\r
+#define INPUTMUX_TIMER4CAPTSEL_CAPTSEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << INPUTMUX_TIMER4CAPTSEL_CAPTSEL_SHIFT)) & INPUTMUX_TIMER4CAPTSEL_CAPTSEL_MASK)\r
 /*! @} */\r
 \r
 /* The count of INPUTMUX_TIMER4CAPTSEL */\r
-#define INPUTMUX_TIMER4CAPTSEL_COUNT             (4U)\r
+#define INPUTMUX_TIMER4CAPTSEL_COUNT (4U)\r
 \r
 /*! @name PINTSECSEL - Pin interrupt secure select register */\r
 /*! @{ */\r
-#define INPUTMUX_PINTSECSEL_INTPIN_MASK          (0x3FU)\r
-#define INPUTMUX_PINTSECSEL_INTPIN_SHIFT         (0U)\r
-#define INPUTMUX_PINTSECSEL_INTPIN(x)            (((uint32_t)(((uint32_t)(x)) << INPUTMUX_PINTSECSEL_INTPIN_SHIFT)) & INPUTMUX_PINTSECSEL_INTPIN_MASK)\r
+#define INPUTMUX_PINTSECSEL_INTPIN_MASK (0x3FU)\r
+#define INPUTMUX_PINTSECSEL_INTPIN_SHIFT (0U)\r
+/*! INTPIN - Pin number select for pin interrupt secure or pattern match engine input. For PIO0_x:\r
+ *    INTPIN = x. PIO0_0 to PIO0_31 correspond to numbers 0 to 31.\r
+ */\r
+#define INPUTMUX_PINTSECSEL_INTPIN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << INPUTMUX_PINTSECSEL_INTPIN_SHIFT)) & INPUTMUX_PINTSECSEL_INTPIN_MASK)\r
 /*! @} */\r
 \r
 /* The count of INPUTMUX_PINTSECSEL */\r
-#define INPUTMUX_PINTSECSEL_COUNT                (2U)\r
+#define INPUTMUX_PINTSECSEL_COUNT (2U)\r
 \r
 /*! @name DMA1_ITRIG_INMUX - Trigger select register for DMA1 channel */\r
 /*! @{ */\r
-#define INPUTMUX_DMA1_ITRIG_INMUX_INP_MASK       (0xFU)\r
-#define INPUTMUX_DMA1_ITRIG_INMUX_INP_SHIFT      (0U)\r
+#define INPUTMUX_DMA1_ITRIG_INMUX_INP_MASK (0xFU)\r
+#define INPUTMUX_DMA1_ITRIG_INMUX_INP_SHIFT (0U)\r
 /*! INP - Trigger input number (decimal value) for DMA channel n (n = 0 to 9).\r
  *  0b0000..Pin interrupt 0\r
  *  0b0001..Pin interrupt 1\r
@@ -10054,146 +13330,209 @@ typedef struct {
  *  0b1110..HASH DMA RX trigger\r
  *  0b1111..None\r
  */\r
-#define INPUTMUX_DMA1_ITRIG_INMUX_INP(x)         (((uint32_t)(((uint32_t)(x)) << INPUTMUX_DMA1_ITRIG_INMUX_INP_SHIFT)) & INPUTMUX_DMA1_ITRIG_INMUX_INP_MASK)\r
+#define INPUTMUX_DMA1_ITRIG_INMUX_INP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << INPUTMUX_DMA1_ITRIG_INMUX_INP_SHIFT)) & INPUTMUX_DMA1_ITRIG_INMUX_INP_MASK)\r
 /*! @} */\r
 \r
 /* The count of INPUTMUX_DMA1_ITRIG_INMUX */\r
-#define INPUTMUX_DMA1_ITRIG_INMUX_COUNT          (10U)\r
+#define INPUTMUX_DMA1_ITRIG_INMUX_COUNT (10U)\r
 \r
 /*! @name DMA1_OTRIG_INMUX - DMA1 output trigger selection to become DMA1 trigger */\r
 /*! @{ */\r
-#define INPUTMUX_DMA1_OTRIG_INMUX_INP_MASK       (0xFU)\r
-#define INPUTMUX_DMA1_OTRIG_INMUX_INP_SHIFT      (0U)\r
-#define INPUTMUX_DMA1_OTRIG_INMUX_INP(x)         (((uint32_t)(((uint32_t)(x)) << INPUTMUX_DMA1_OTRIG_INMUX_INP_SHIFT)) & INPUTMUX_DMA1_OTRIG_INMUX_INP_MASK)\r
+#define INPUTMUX_DMA1_OTRIG_INMUX_INP_MASK (0xFU)\r
+#define INPUTMUX_DMA1_OTRIG_INMUX_INP_SHIFT (0U)\r
+/*! INP - DMA trigger output number (decimal value) for DMA channel n (n = 0 to 9).\r
+ */\r
+#define INPUTMUX_DMA1_OTRIG_INMUX_INP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << INPUTMUX_DMA1_OTRIG_INMUX_INP_SHIFT)) & INPUTMUX_DMA1_OTRIG_INMUX_INP_MASK)\r
 /*! @} */\r
 \r
 /* The count of INPUTMUX_DMA1_OTRIG_INMUX */\r
-#define INPUTMUX_DMA1_OTRIG_INMUX_COUNT          (4U)\r
+#define INPUTMUX_DMA1_OTRIG_INMUX_COUNT (4U)\r
 \r
 /*! @name DMA0_REQ_ENA - Enable DMA0 requests */\r
 /*! @{ */\r
-#define INPUTMUX_DMA0_REQ_ENA_REQ_ENA_MASK       (0x7FFFFFU)\r
-#define INPUTMUX_DMA0_REQ_ENA_REQ_ENA_SHIFT      (0U)\r
-#define INPUTMUX_DMA0_REQ_ENA_REQ_ENA(x)         (((uint32_t)(((uint32_t)(x)) << INPUTMUX_DMA0_REQ_ENA_REQ_ENA_SHIFT)) & INPUTMUX_DMA0_REQ_ENA_REQ_ENA_MASK)\r
+#define INPUTMUX_DMA0_REQ_ENA_REQ_ENA_MASK (0x7FFFFFU)\r
+#define INPUTMUX_DMA0_REQ_ENA_REQ_ENA_SHIFT (0U)\r
+/*! REQ_ENA - Controls the 23 request inputs of DMA0. If bit i is '1' the DMA request input #i is enabled.\r
+ */\r
+#define INPUTMUX_DMA0_REQ_ENA_REQ_ENA(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << INPUTMUX_DMA0_REQ_ENA_REQ_ENA_SHIFT)) & INPUTMUX_DMA0_REQ_ENA_REQ_ENA_MASK)\r
 /*! @} */\r
 \r
 /*! @name DMA0_REQ_ENA_SET - Set one or several bits in DMA0_REQ_ENA register */\r
 /*! @{ */\r
-#define INPUTMUX_DMA0_REQ_ENA_SET_SET_MASK       (0x7FFFFFU)\r
-#define INPUTMUX_DMA0_REQ_ENA_SET_SET_SHIFT      (0U)\r
-#define INPUTMUX_DMA0_REQ_ENA_SET_SET(x)         (((uint32_t)(((uint32_t)(x)) << INPUTMUX_DMA0_REQ_ENA_SET_SET_SHIFT)) & INPUTMUX_DMA0_REQ_ENA_SET_SET_MASK)\r
+#define INPUTMUX_DMA0_REQ_ENA_SET_SET_MASK (0x7FFFFFU)\r
+#define INPUTMUX_DMA0_REQ_ENA_SET_SET_SHIFT (0U)\r
+/*! SET - Write : If bit #i = 1, bit #i in DMA0_REQ_ENA register is set to 1; if bit #i = 0 , no change in DMA0_REQ_ENA\r
+ * register\r
+ */\r
+#define INPUTMUX_DMA0_REQ_ENA_SET_SET(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << INPUTMUX_DMA0_REQ_ENA_SET_SET_SHIFT)) & INPUTMUX_DMA0_REQ_ENA_SET_SET_MASK)\r
 /*! @} */\r
 \r
 /*! @name DMA0_REQ_ENA_CLR - Clear one or several bits in DMA0_REQ_ENA register */\r
 /*! @{ */\r
-#define INPUTMUX_DMA0_REQ_ENA_CLR_CLR_MASK       (0x7FFFFFU)\r
-#define INPUTMUX_DMA0_REQ_ENA_CLR_CLR_SHIFT      (0U)\r
-#define INPUTMUX_DMA0_REQ_ENA_CLR_CLR(x)         (((uint32_t)(((uint32_t)(x)) << INPUTMUX_DMA0_REQ_ENA_CLR_CLR_SHIFT)) & INPUTMUX_DMA0_REQ_ENA_CLR_CLR_MASK)\r
+#define INPUTMUX_DMA0_REQ_ENA_CLR_CLR_MASK (0x7FFFFFU)\r
+#define INPUTMUX_DMA0_REQ_ENA_CLR_CLR_SHIFT (0U)\r
+/*! CLR - Write : If bit #i = 1, bit #i in DMA0_REQ_ENA register is reset to 0; if bit #i = 0 , no change in\r
+ * DMA0_REQ_ENA register\r
+ */\r
+#define INPUTMUX_DMA0_REQ_ENA_CLR_CLR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << INPUTMUX_DMA0_REQ_ENA_CLR_CLR_SHIFT)) & INPUTMUX_DMA0_REQ_ENA_CLR_CLR_MASK)\r
 /*! @} */\r
 \r
 /*! @name DMA1_REQ_ENA - Enable DMA1 requests */\r
 /*! @{ */\r
-#define INPUTMUX_DMA1_REQ_ENA_REQ_ENA_MASK       (0x3FFU)\r
-#define INPUTMUX_DMA1_REQ_ENA_REQ_ENA_SHIFT      (0U)\r
-#define INPUTMUX_DMA1_REQ_ENA_REQ_ENA(x)         (((uint32_t)(((uint32_t)(x)) << INPUTMUX_DMA1_REQ_ENA_REQ_ENA_SHIFT)) & INPUTMUX_DMA1_REQ_ENA_REQ_ENA_MASK)\r
+#define INPUTMUX_DMA1_REQ_ENA_REQ_ENA_MASK (0x3FFU)\r
+#define INPUTMUX_DMA1_REQ_ENA_REQ_ENA_SHIFT (0U)\r
+/*! REQ_ENA - Controls the 10 request inputs of DMA1. If bit i is '1' the DMA request input #i is enabled.\r
+ */\r
+#define INPUTMUX_DMA1_REQ_ENA_REQ_ENA(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << INPUTMUX_DMA1_REQ_ENA_REQ_ENA_SHIFT)) & INPUTMUX_DMA1_REQ_ENA_REQ_ENA_MASK)\r
 /*! @} */\r
 \r
 /*! @name DMA1_REQ_ENA_SET - Set one or several bits in DMA1_REQ_ENA register */\r
 /*! @{ */\r
-#define INPUTMUX_DMA1_REQ_ENA_SET_SET_MASK       (0x3FFU)\r
-#define INPUTMUX_DMA1_REQ_ENA_SET_SET_SHIFT      (0U)\r
-#define INPUTMUX_DMA1_REQ_ENA_SET_SET(x)         (((uint32_t)(((uint32_t)(x)) << INPUTMUX_DMA1_REQ_ENA_SET_SET_SHIFT)) & INPUTMUX_DMA1_REQ_ENA_SET_SET_MASK)\r
+#define INPUTMUX_DMA1_REQ_ENA_SET_SET_MASK (0x3FFU)\r
+#define INPUTMUX_DMA1_REQ_ENA_SET_SET_SHIFT (0U)\r
+/*! SET - Write : If bit #i = 1, bit #i in DMA1_REQ_ENA register is set to 1; if bit #i = 0 , no change in DMA1_REQ_ENA\r
+ * register\r
+ */\r
+#define INPUTMUX_DMA1_REQ_ENA_SET_SET(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << INPUTMUX_DMA1_REQ_ENA_SET_SET_SHIFT)) & INPUTMUX_DMA1_REQ_ENA_SET_SET_MASK)\r
 /*! @} */\r
 \r
 /*! @name DMA1_REQ_ENA_CLR - Clear one or several bits in DMA1_REQ_ENA register */\r
 /*! @{ */\r
-#define INPUTMUX_DMA1_REQ_ENA_CLR_CLR_MASK       (0x3FFU)\r
-#define INPUTMUX_DMA1_REQ_ENA_CLR_CLR_SHIFT      (0U)\r
-#define INPUTMUX_DMA1_REQ_ENA_CLR_CLR(x)         (((uint32_t)(((uint32_t)(x)) << INPUTMUX_DMA1_REQ_ENA_CLR_CLR_SHIFT)) & INPUTMUX_DMA1_REQ_ENA_CLR_CLR_MASK)\r
+#define INPUTMUX_DMA1_REQ_ENA_CLR_CLR_MASK (0x3FFU)\r
+#define INPUTMUX_DMA1_REQ_ENA_CLR_CLR_SHIFT (0U)\r
+/*! CLR - Write : If bit #i = 1, bit #i in DMA1_REQ_ENA register is reset to 0; if bit #i = 0 , no change in\r
+ * DMA1_REQ_ENA register\r
+ */\r
+#define INPUTMUX_DMA1_REQ_ENA_CLR_CLR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << INPUTMUX_DMA1_REQ_ENA_CLR_CLR_SHIFT)) & INPUTMUX_DMA1_REQ_ENA_CLR_CLR_MASK)\r
 /*! @} */\r
 \r
 /*! @name DMA0_ITRIG_ENA - Enable DMA0 triggers */\r
 /*! @{ */\r
-#define INPUTMUX_DMA0_ITRIG_ENA_ITRIG_ENA_MASK   (0x3FFFFFU)\r
-#define INPUTMUX_DMA0_ITRIG_ENA_ITRIG_ENA_SHIFT  (0U)\r
-#define INPUTMUX_DMA0_ITRIG_ENA_ITRIG_ENA(x)     (((uint32_t)(((uint32_t)(x)) << INPUTMUX_DMA0_ITRIG_ENA_ITRIG_ENA_SHIFT)) & INPUTMUX_DMA0_ITRIG_ENA_ITRIG_ENA_MASK)\r
+#define INPUTMUX_DMA0_ITRIG_ENA_ITRIG_ENA_MASK (0x3FFFFFU)\r
+#define INPUTMUX_DMA0_ITRIG_ENA_ITRIG_ENA_SHIFT (0U)\r
+/*! ITRIG_ENA - Controls the 22 trigger inputs of DMA0. If bit i is '1' the DMA trigger input #i is enabled.\r
+ */\r
+#define INPUTMUX_DMA0_ITRIG_ENA_ITRIG_ENA(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << INPUTMUX_DMA0_ITRIG_ENA_ITRIG_ENA_SHIFT)) & INPUTMUX_DMA0_ITRIG_ENA_ITRIG_ENA_MASK)\r
 /*! @} */\r
 \r
 /*! @name DMA0_ITRIG_ENA_SET - Set one or several bits in DMA0_ITRIG_ENA register */\r
 /*! @{ */\r
-#define INPUTMUX_DMA0_ITRIG_ENA_SET_SET_MASK     (0x3FFFFFU)\r
-#define INPUTMUX_DMA0_ITRIG_ENA_SET_SET_SHIFT    (0U)\r
-#define INPUTMUX_DMA0_ITRIG_ENA_SET_SET(x)       (((uint32_t)(((uint32_t)(x)) << INPUTMUX_DMA0_ITRIG_ENA_SET_SET_SHIFT)) & INPUTMUX_DMA0_ITRIG_ENA_SET_SET_MASK)\r
+#define INPUTMUX_DMA0_ITRIG_ENA_SET_SET_MASK (0x3FFFFFU)\r
+#define INPUTMUX_DMA0_ITRIG_ENA_SET_SET_SHIFT (0U)\r
+/*! SET - Write : If bit #i = 1, bit #i in DMA0_ITRIG_ENA register is set to 1; if bit #i = 0 , no\r
+ *    change in DMA0_ITRIG_ENA register\r
+ */\r
+#define INPUTMUX_DMA0_ITRIG_ENA_SET_SET(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << INPUTMUX_DMA0_ITRIG_ENA_SET_SET_SHIFT)) & INPUTMUX_DMA0_ITRIG_ENA_SET_SET_MASK)\r
 /*! @} */\r
 \r
 /*! @name DMA0_ITRIG_ENA_CLR - Clear one or several bits in DMA0_ITRIG_ENA register */\r
 /*! @{ */\r
-#define INPUTMUX_DMA0_ITRIG_ENA_CLR_CLR_MASK     (0x3FFFFFU)\r
-#define INPUTMUX_DMA0_ITRIG_ENA_CLR_CLR_SHIFT    (0U)\r
-#define INPUTMUX_DMA0_ITRIG_ENA_CLR_CLR(x)       (((uint32_t)(((uint32_t)(x)) << INPUTMUX_DMA0_ITRIG_ENA_CLR_CLR_SHIFT)) & INPUTMUX_DMA0_ITRIG_ENA_CLR_CLR_MASK)\r
+#define INPUTMUX_DMA0_ITRIG_ENA_CLR_CLR_MASK (0x3FFFFFU)\r
+#define INPUTMUX_DMA0_ITRIG_ENA_CLR_CLR_SHIFT (0U)\r
+/*! CLR - Write : If bit #i = 1, bit #i in DMA0_ITRIG_ENA register is reset to 0; if bit #i = 0 , no\r
+ *    change in DMA0_ITRIG_ENA register\r
+ */\r
+#define INPUTMUX_DMA0_ITRIG_ENA_CLR_CLR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << INPUTMUX_DMA0_ITRIG_ENA_CLR_CLR_SHIFT)) & INPUTMUX_DMA0_ITRIG_ENA_CLR_CLR_MASK)\r
 /*! @} */\r
 \r
 /*! @name DMA1_ITRIG_ENA - Enable DMA1 triggers */\r
 /*! @{ */\r
-#define INPUTMUX_DMA1_ITRIG_ENA_ITRIG_ENA_MASK   (0x7FFFU)\r
-#define INPUTMUX_DMA1_ITRIG_ENA_ITRIG_ENA_SHIFT  (0U)\r
-#define INPUTMUX_DMA1_ITRIG_ENA_ITRIG_ENA(x)     (((uint32_t)(((uint32_t)(x)) << INPUTMUX_DMA1_ITRIG_ENA_ITRIG_ENA_SHIFT)) & INPUTMUX_DMA1_ITRIG_ENA_ITRIG_ENA_MASK)\r
+#define INPUTMUX_DMA1_ITRIG_ENA_ITRIG_ENA_MASK (0x7FFFU)\r
+#define INPUTMUX_DMA1_ITRIG_ENA_ITRIG_ENA_SHIFT (0U)\r
+/*! ITRIG_ENA - Controls the 15 trigger inputs of DMA1. If bit i is '1' the DMA trigger input #i is enabled.\r
+ */\r
+#define INPUTMUX_DMA1_ITRIG_ENA_ITRIG_ENA(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << INPUTMUX_DMA1_ITRIG_ENA_ITRIG_ENA_SHIFT)) & INPUTMUX_DMA1_ITRIG_ENA_ITRIG_ENA_MASK)\r
 /*! @} */\r
 \r
 /*! @name DMA1_ITRIG_ENA_SET - Set one or several bits in DMA1_ITRIG_ENA register */\r
 /*! @{ */\r
-#define INPUTMUX_DMA1_ITRIG_ENA_SET_SET_MASK     (0x7FFFU)\r
-#define INPUTMUX_DMA1_ITRIG_ENA_SET_SET_SHIFT    (0U)\r
-#define INPUTMUX_DMA1_ITRIG_ENA_SET_SET(x)       (((uint32_t)(((uint32_t)(x)) << INPUTMUX_DMA1_ITRIG_ENA_SET_SET_SHIFT)) & INPUTMUX_DMA1_ITRIG_ENA_SET_SET_MASK)\r
+#define INPUTMUX_DMA1_ITRIG_ENA_SET_SET_MASK (0x7FFFU)\r
+#define INPUTMUX_DMA1_ITRIG_ENA_SET_SET_SHIFT (0U)\r
+/*! SET - Write : If bit #i = 1, bit #i in DMA1_ITRIG_ENA register is set to 1; if bit #i = 0 , no\r
+ *    change in DMA1_ITRIG_ENA register\r
+ */\r
+#define INPUTMUX_DMA1_ITRIG_ENA_SET_SET(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << INPUTMUX_DMA1_ITRIG_ENA_SET_SET_SHIFT)) & INPUTMUX_DMA1_ITRIG_ENA_SET_SET_MASK)\r
 /*! @} */\r
 \r
 /*! @name DMA1_ITRIG_ENA_CLR - Clear one or several bits in DMA1_ITRIG_ENA register */\r
 /*! @{ */\r
-#define INPUTMUX_DMA1_ITRIG_ENA_CLR_CLR_MASK     (0x7FFFU)\r
-#define INPUTMUX_DMA1_ITRIG_ENA_CLR_CLR_SHIFT    (0U)\r
-#define INPUTMUX_DMA1_ITRIG_ENA_CLR_CLR(x)       (((uint32_t)(((uint32_t)(x)) << INPUTMUX_DMA1_ITRIG_ENA_CLR_CLR_SHIFT)) & INPUTMUX_DMA1_ITRIG_ENA_CLR_CLR_MASK)\r
+#define INPUTMUX_DMA1_ITRIG_ENA_CLR_CLR_MASK (0x7FFFU)\r
+#define INPUTMUX_DMA1_ITRIG_ENA_CLR_CLR_SHIFT (0U)\r
+/*! CLR - Write : If bit #i = 1, bit #i in DMA1_ITRIG_ENA register is reset to 0; if bit #i = 0 , no\r
+ *    change in DMA1_ITRIG_ENA register\r
+ */\r
+#define INPUTMUX_DMA1_ITRIG_ENA_CLR_CLR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << INPUTMUX_DMA1_ITRIG_ENA_CLR_CLR_SHIFT)) & INPUTMUX_DMA1_ITRIG_ENA_CLR_CLR_MASK)\r
 /*! @} */\r
 \r
-\r
 /*!\r
  * @}\r
  */ /* end of group INPUTMUX_Register_Masks */\r
 \r
-\r
 /* INPUTMUX - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral INPUTMUX base address */\r
-  #define INPUTMUX_BASE                            (0x50006000u)\r
-  /** Peripheral INPUTMUX base address */\r
-  #define INPUTMUX_BASE_NS                         (0x40006000u)\r
-  /** Peripheral INPUTMUX base pointer */\r
-  #define INPUTMUX                                 ((INPUTMUX_Type *)INPUTMUX_BASE)\r
-  /** Peripheral INPUTMUX base pointer */\r
-  #define INPUTMUX_NS                              ((INPUTMUX_Type *)INPUTMUX_BASE_NS)\r
-  /** Array initializer of INPUTMUX peripheral base addresses */\r
-  #define INPUTMUX_BASE_ADDRS                      { INPUTMUX_BASE }\r
-  /** Array initializer of INPUTMUX peripheral base pointers */\r
-  #define INPUTMUX_BASE_PTRS                       { INPUTMUX }\r
-  /** Array initializer of INPUTMUX peripheral base addresses */\r
-  #define INPUTMUX_BASE_ADDRS_NS                   { INPUTMUX_BASE_NS }\r
-  /** Array initializer of INPUTMUX peripheral base pointers */\r
-  #define INPUTMUX_BASE_PTRS_NS                    { INPUTMUX_NS }\r
+/** Peripheral INPUTMUX base address */\r
+#define INPUTMUX_BASE (0x50006000u)\r
+/** Peripheral INPUTMUX base address */\r
+#define INPUTMUX_BASE_NS (0x40006000u)\r
+/** Peripheral INPUTMUX base pointer */\r
+#define INPUTMUX ((INPUTMUX_Type *)INPUTMUX_BASE)\r
+/** Peripheral INPUTMUX base pointer */\r
+#define INPUTMUX_NS ((INPUTMUX_Type *)INPUTMUX_BASE_NS)\r
+/** Array initializer of INPUTMUX peripheral base addresses */\r
+#define INPUTMUX_BASE_ADDRS \\r
+    {                       \\r
+        INPUTMUX_BASE       \\r
+    }\r
+/** Array initializer of INPUTMUX peripheral base pointers */\r
+#define INPUTMUX_BASE_PTRS \\r
+    {                      \\r
+        INPUTMUX           \\r
+    }\r
+/** Array initializer of INPUTMUX peripheral base addresses */\r
+#define INPUTMUX_BASE_ADDRS_NS \\r
+    {                          \\r
+        INPUTMUX_BASE_NS       \\r
+    }\r
+/** Array initializer of INPUTMUX peripheral base pointers */\r
+#define INPUTMUX_BASE_PTRS_NS \\r
+    {                         \\r
+        INPUTMUX_NS           \\r
+    }\r
 #else\r
-  /** Peripheral INPUTMUX base address */\r
-  #define INPUTMUX_BASE                            (0x40006000u)\r
-  /** Peripheral INPUTMUX base pointer */\r
-  #define INPUTMUX                                 ((INPUTMUX_Type *)INPUTMUX_BASE)\r
-  /** Array initializer of INPUTMUX peripheral base addresses */\r
-  #define INPUTMUX_BASE_ADDRS                      { INPUTMUX_BASE }\r
-  /** Array initializer of INPUTMUX peripheral base pointers */\r
-  #define INPUTMUX_BASE_PTRS                       { INPUTMUX }\r
+/** Peripheral INPUTMUX base address */\r
+#define INPUTMUX_BASE (0x40006000u)\r
+/** Peripheral INPUTMUX base pointer */\r
+#define INPUTMUX ((INPUTMUX_Type *)INPUTMUX_BASE)\r
+/** Array initializer of INPUTMUX peripheral base addresses */\r
+#define INPUTMUX_BASE_ADDRS \\r
+    {                       \\r
+        INPUTMUX_BASE       \\r
+    }\r
+/** Array initializer of INPUTMUX peripheral base pointers */\r
+#define INPUTMUX_BASE_PTRS \\r
+    {                      \\r
+        INPUTMUX           \\r
+    }\r
 #endif\r
 \r
 /*!\r
  * @}\r
  */ /* end of group INPUTMUX_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- IOCON Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -10204,8 +13543,10 @@ typedef struct {
  */\r
 \r
 /** IOCON - Register Layout Typedef */\r
-typedef struct {\r
-  __IO uint32_t PIO[2][32];                        /**< Digital I/O control for port 0 pins PIO0_0..Digital I/O control for port 1 pins PIO1_31, array offset: 0x0, array step: index*0x80, index2*0x4 */\r
+typedef struct\r
+{\r
+    __IO uint32_t PIO[2][32]; /**< Digital I/O control for port 0 pins PIO0_0..Digital I/O control for port 1 pins\r
+                                 PIO1_31, array offset: 0x0, array step: index*0x80, index2*0x4 */\r
 } IOCON_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -10219,8 +13560,8 @@ typedef struct {
 \r
 /*! @name PIO - Digital I/O control for port 0 pins PIO0_0..Digital I/O control for port 1 pins PIO1_31 */\r
 /*! @{ */\r
-#define IOCON_PIO_FUNC_MASK                      (0xFU)\r
-#define IOCON_PIO_FUNC_SHIFT                     (0U)\r
+#define IOCON_PIO_FUNC_MASK (0xFU)\r
+#define IOCON_PIO_FUNC_SHIFT (0U)\r
 /*! FUNC - Selects pin function.\r
  *  0b0000..Alternative connection 0.\r
  *  0b0001..Alternative connection 1.\r
@@ -10231,134 +13572,151 @@ typedef struct {
  *  0b0110..Alternative connection 6.\r
  *  0b0111..Alternative connection 7.\r
  */\r
-#define IOCON_PIO_FUNC(x)                        (((uint32_t)(((uint32_t)(x)) << IOCON_PIO_FUNC_SHIFT)) & IOCON_PIO_FUNC_MASK)\r
-#define IOCON_PIO_MODE_MASK                      (0x30U)\r
-#define IOCON_PIO_MODE_SHIFT                     (4U)\r
+#define IOCON_PIO_FUNC(x) (((uint32_t)(((uint32_t)(x)) << IOCON_PIO_FUNC_SHIFT)) & IOCON_PIO_FUNC_MASK)\r
+#define IOCON_PIO_MODE_MASK (0x30U)\r
+#define IOCON_PIO_MODE_SHIFT (4U)\r
 /*! MODE - Selects function mode (on-chip pull-up/pull-down resistor control).\r
  *  0b00..Inactive. Inactive (no pull-down/pull-up resistor enabled).\r
  *  0b01..Pull-down. Pull-down resistor enabled.\r
  *  0b10..Pull-up. Pull-up resistor enabled.\r
  *  0b11..Repeater. Repeater mode.\r
  */\r
-#define IOCON_PIO_MODE(x)                        (((uint32_t)(((uint32_t)(x)) << IOCON_PIO_MODE_SHIFT)) & IOCON_PIO_MODE_MASK)\r
-#define IOCON_PIO_SLEW_MASK                      (0x40U)\r
-#define IOCON_PIO_SLEW_SHIFT                     (6U)\r
+#define IOCON_PIO_MODE(x) (((uint32_t)(((uint32_t)(x)) << IOCON_PIO_MODE_SHIFT)) & IOCON_PIO_MODE_MASK)\r
+#define IOCON_PIO_SLEW_MASK (0x40U)\r
+#define IOCON_PIO_SLEW_SHIFT (6U)\r
 /*! SLEW - Driver slew rate.\r
- *  0b0..Standard mode, output slew rate control is enabled. More outputs can be switched simultaneously.\r
- *  0b1..Fast mode, slew rate control is disabled. Refer to the appropriate specific device data sheet for details.\r
+ *  0b0..Standard-mode, output slew rate is slower. More outputs can be switched simultaneously.\r
+ *  0b1..Fast-mode, output slew rate is faster. Refer to the appropriate specific device data sheet for details.\r
  */\r
-#define IOCON_PIO_SLEW(x)                        (((uint32_t)(((uint32_t)(x)) << IOCON_PIO_SLEW_SHIFT)) & IOCON_PIO_SLEW_MASK)\r
-#define IOCON_PIO_INVERT_MASK                    (0x80U)\r
-#define IOCON_PIO_INVERT_SHIFT                   (7U)\r
+#define IOCON_PIO_SLEW(x) (((uint32_t)(((uint32_t)(x)) << IOCON_PIO_SLEW_SHIFT)) & IOCON_PIO_SLEW_MASK)\r
+#define IOCON_PIO_INVERT_MASK (0x80U)\r
+#define IOCON_PIO_INVERT_SHIFT (7U)\r
 /*! INVERT - Input polarity.\r
  *  0b0..Disabled. Input function is not inverted.\r
  *  0b1..Enabled. Input is function inverted.\r
  */\r
-#define IOCON_PIO_INVERT(x)                      (((uint32_t)(((uint32_t)(x)) << IOCON_PIO_INVERT_SHIFT)) & IOCON_PIO_INVERT_MASK)\r
-#define IOCON_PIO_DIGIMODE_MASK                  (0x100U)\r
-#define IOCON_PIO_DIGIMODE_SHIFT                 (8U)\r
+#define IOCON_PIO_INVERT(x) (((uint32_t)(((uint32_t)(x)) << IOCON_PIO_INVERT_SHIFT)) & IOCON_PIO_INVERT_MASK)\r
+#define IOCON_PIO_DIGIMODE_MASK (0x100U)\r
+#define IOCON_PIO_DIGIMODE_SHIFT (8U)\r
 /*! DIGIMODE - Select Digital mode.\r
- *  0b0..Analog mode, digital input is disabled.\r
- *  0b1..Digital mode, digital input is enabled.\r
+ *  0b0..Disable digital mode. Digital input set to 0.\r
+ *  0b1..Enable Digital mode. Digital input is enabled.\r
  */\r
-#define IOCON_PIO_DIGIMODE(x)                    (((uint32_t)(((uint32_t)(x)) << IOCON_PIO_DIGIMODE_SHIFT)) & IOCON_PIO_DIGIMODE_MASK)\r
-#define IOCON_PIO_OD_MASK                        (0x200U)\r
-#define IOCON_PIO_OD_SHIFT                       (9U)\r
-/*! OD - Controls open-drain mode.\r
+#define IOCON_PIO_DIGIMODE(x) (((uint32_t)(((uint32_t)(x)) << IOCON_PIO_DIGIMODE_SHIFT)) & IOCON_PIO_DIGIMODE_MASK)\r
+#define IOCON_PIO_OD_MASK (0x200U)\r
+#define IOCON_PIO_OD_SHIFT (9U)\r
+/*! OD - Controls open-drain mode in standard GPIO mode (EGP = 1). This bit has no effect in I2C mode (EGP=0).\r
  *  0b0..Normal. Normal push-pull output\r
  *  0b1..Open-drain. Simulated open-drain output (high drive disabled).\r
  */\r
-#define IOCON_PIO_OD(x)                          (((uint32_t)(((uint32_t)(x)) << IOCON_PIO_OD_SHIFT)) & IOCON_PIO_OD_MASK)\r
-#define IOCON_PIO_ASW_MASK                       (0x400U)\r
-#define IOCON_PIO_ASW_SHIFT                      (10U)\r
-/*! ASW - Analog switch input control. Usable only if DIGIMODE = 0b0\r
- *  0b0..Analog switch is open.\r
- *  0b1..Analog switch is closed.\r
- */\r
-#define IOCON_PIO_ASW(x)                         (((uint32_t)(((uint32_t)(x)) << IOCON_PIO_ASW_SHIFT)) & IOCON_PIO_ASW_MASK)\r
-#define IOCON_PIO_SSEL_MASK                      (0x800U)\r
-#define IOCON_PIO_SSEL_SHIFT                     (11U)\r
+#define IOCON_PIO_OD(x) (((uint32_t)(((uint32_t)(x)) << IOCON_PIO_OD_SHIFT)) & IOCON_PIO_OD_MASK)\r
+#define IOCON_PIO_ASW_MASK (0x400U)\r
+#define IOCON_PIO_ASW_SHIFT (10U)\r
+/*! ASW - Analog switch input control.\r
+ *  0b0..For pins PIO0_9, PIO0_11, PIO0_12, PIO0_15, PIO0_18, PIO0_31, PIO1_0 and PIO1_9, analog switch is closed\r
+ *       (enabled). For the other pins, analog switch is open (disabled).\r
+ *  0b1..For all pins except PIO0_9, PIO0_11, PIO0_12, PIO0_15, PIO0_18, PIO0_31, PIO1_0 and PIO1_9 analog switch is\r
+ * closed (enabled)\r
+ */\r
+#define IOCON_PIO_ASW(x) (((uint32_t)(((uint32_t)(x)) << IOCON_PIO_ASW_SHIFT)) & IOCON_PIO_ASW_MASK)\r
+#define IOCON_PIO_SSEL_MASK (0x800U)\r
+#define IOCON_PIO_SSEL_SHIFT (11U)\r
 /*! SSEL - Supply Selection bit.\r
  *  0b0..3V3 Signaling in I2C Mode.\r
  *  0b1..1V8 Signaling in I2C Mode.\r
  */\r
-#define IOCON_PIO_SSEL(x)                        (((uint32_t)(((uint32_t)(x)) << IOCON_PIO_SSEL_SHIFT)) & IOCON_PIO_SSEL_MASK)\r
-#define IOCON_PIO_FILTEROFF_MASK                 (0x1000U)\r
-#define IOCON_PIO_FILTEROFF_SHIFT                (12U)\r
+#define IOCON_PIO_SSEL(x) (((uint32_t)(((uint32_t)(x)) << IOCON_PIO_SSEL_SHIFT)) & IOCON_PIO_SSEL_MASK)\r
+#define IOCON_PIO_FILTEROFF_MASK (0x1000U)\r
+#define IOCON_PIO_FILTEROFF_SHIFT (12U)\r
 /*! FILTEROFF - Controls input glitch filter.\r
- *  0b0..Filter enabled. Noise pulses below approximately 10 ns are filtered out.\r
- *  0b1..Filter disabled. No input filtering is done.\r
+ *  0b0..Filter enabled.\r
+ *  0b1..Filter disabled.\r
  */\r
-#define IOCON_PIO_FILTEROFF(x)                   (((uint32_t)(((uint32_t)(x)) << IOCON_PIO_FILTEROFF_SHIFT)) & IOCON_PIO_FILTEROFF_MASK)\r
-#define IOCON_PIO_ECS_MASK                       (0x2000U)\r
-#define IOCON_PIO_ECS_SHIFT                      (13U)\r
-/*! ECS - Pull-up current source enable in IIC mode.\r
+#define IOCON_PIO_FILTEROFF(x) (((uint32_t)(((uint32_t)(x)) << IOCON_PIO_FILTEROFF_SHIFT)) & IOCON_PIO_FILTEROFF_MASK)\r
+#define IOCON_PIO_ECS_MASK (0x2000U)\r
+#define IOCON_PIO_ECS_SHIFT (13U)\r
+/*! ECS - Pull-up current source enable in I2C mode.\r
  *  0b1..Enabled. Pull resistor is conencted.\r
- *  0b0..Disabled. IO is in open drain.\r
+ *  0b0..Disabled. IO is in open drain cell.\r
  */\r
-#define IOCON_PIO_ECS(x)                         (((uint32_t)(((uint32_t)(x)) << IOCON_PIO_ECS_SHIFT)) & IOCON_PIO_ECS_MASK)\r
-#define IOCON_PIO_EGP_MASK                       (0x4000U)\r
-#define IOCON_PIO_EGP_SHIFT                      (14U)\r
-/*! EGP - Controls slew rate of I2C pad.\r
+#define IOCON_PIO_ECS(x) (((uint32_t)(((uint32_t)(x)) << IOCON_PIO_ECS_SHIFT)) & IOCON_PIO_ECS_MASK)\r
+#define IOCON_PIO_EGP_MASK (0x4000U)\r
+#define IOCON_PIO_EGP_SHIFT (14U)\r
+/*! EGP - Switch between GPIO mode and I2C mode.\r
  *  0b0..I2C mode.\r
  *  0b1..GPIO mode.\r
  */\r
-#define IOCON_PIO_EGP(x)                         (((uint32_t)(((uint32_t)(x)) << IOCON_PIO_EGP_SHIFT)) & IOCON_PIO_EGP_MASK)\r
-#define IOCON_PIO_I2CFILTER_MASK                 (0x8000U)\r
-#define IOCON_PIO_I2CFILTER_SHIFT                (15U)\r
-/*! I2CFILTER - Configures I2C features for standard mode, fast mode, and Fast Mode Plus operation.\r
- *  0b0..I2C 50 ns glitch filter enabled. Typically used for Fast-mode and Fast-mode Plus I2C.\r
- *  0b1..I2C 10 ns glitch filter enabled. Typically used for Standard-mode I2C.\r
+#define IOCON_PIO_EGP(x) (((uint32_t)(((uint32_t)(x)) << IOCON_PIO_EGP_SHIFT)) & IOCON_PIO_EGP_MASK)\r
+#define IOCON_PIO_I2CFILTER_MASK (0x8000U)\r
+#define IOCON_PIO_I2CFILTER_SHIFT (15U)\r
+/*! I2CFILTER - Configures I2C features for standard mode, fast mode, and Fast Mode Plus operation and High-Speed mode\r
+ * operation. 0b0..I2C 50 ns glitch filter enabled. Typically used for Standard-mode, Fast-mode and Fast-mode Plus I2C.\r
+ *  0b1..I2C 10 ns glitch filter enabled. Typically used for High-speed mode I2C.\r
  */\r
-#define IOCON_PIO_I2CFILTER(x)                   (((uint32_t)(((uint32_t)(x)) << IOCON_PIO_I2CFILTER_SHIFT)) & IOCON_PIO_I2CFILTER_MASK)\r
+#define IOCON_PIO_I2CFILTER(x) (((uint32_t)(((uint32_t)(x)) << IOCON_PIO_I2CFILTER_SHIFT)) & IOCON_PIO_I2CFILTER_MASK)\r
 /*! @} */\r
 \r
 /* The count of IOCON_PIO */\r
-#define IOCON_PIO_COUNT                          (2U)\r
+#define IOCON_PIO_COUNT (2U)\r
 \r
 /* The count of IOCON_PIO */\r
-#define IOCON_PIO_COUNT2                         (32U)\r
-\r
+#define IOCON_PIO_COUNT2 (32U)\r
 \r
 /*!\r
  * @}\r
  */ /* end of group IOCON_Register_Masks */\r
 \r
-\r
 /* IOCON - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral IOCON base address */\r
-  #define IOCON_BASE                               (0x50001000u)\r
-  /** Peripheral IOCON base address */\r
-  #define IOCON_BASE_NS                            (0x40001000u)\r
-  /** Peripheral IOCON base pointer */\r
-  #define IOCON                                    ((IOCON_Type *)IOCON_BASE)\r
-  /** Peripheral IOCON base pointer */\r
-  #define IOCON_NS                                 ((IOCON_Type *)IOCON_BASE_NS)\r
-  /** Array initializer of IOCON peripheral base addresses */\r
-  #define IOCON_BASE_ADDRS                         { IOCON_BASE }\r
-  /** Array initializer of IOCON peripheral base pointers */\r
-  #define IOCON_BASE_PTRS                          { IOCON }\r
-  /** Array initializer of IOCON peripheral base addresses */\r
-  #define IOCON_BASE_ADDRS_NS                      { IOCON_BASE_NS }\r
-  /** Array initializer of IOCON peripheral base pointers */\r
-  #define IOCON_BASE_PTRS_NS                       { IOCON_NS }\r
+/** Peripheral IOCON base address */\r
+#define IOCON_BASE (0x50001000u)\r
+/** Peripheral IOCON base address */\r
+#define IOCON_BASE_NS (0x40001000u)\r
+/** Peripheral IOCON base pointer */\r
+#define IOCON ((IOCON_Type *)IOCON_BASE)\r
+/** Peripheral IOCON base pointer */\r
+#define IOCON_NS ((IOCON_Type *)IOCON_BASE_NS)\r
+/** Array initializer of IOCON peripheral base addresses */\r
+#define IOCON_BASE_ADDRS \\r
+    {                    \\r
+        IOCON_BASE       \\r
+    }\r
+/** Array initializer of IOCON peripheral base pointers */\r
+#define IOCON_BASE_PTRS \\r
+    {                   \\r
+        IOCON           \\r
+    }\r
+/** Array initializer of IOCON peripheral base addresses */\r
+#define IOCON_BASE_ADDRS_NS \\r
+    {                       \\r
+        IOCON_BASE_NS       \\r
+    }\r
+/** Array initializer of IOCON peripheral base pointers */\r
+#define IOCON_BASE_PTRS_NS \\r
+    {                      \\r
+        IOCON_NS           \\r
+    }\r
 #else\r
-  /** Peripheral IOCON base address */\r
-  #define IOCON_BASE                               (0x40001000u)\r
-  /** Peripheral IOCON base pointer */\r
-  #define IOCON                                    ((IOCON_Type *)IOCON_BASE)\r
-  /** Array initializer of IOCON peripheral base addresses */\r
-  #define IOCON_BASE_ADDRS                         { IOCON_BASE }\r
-  /** Array initializer of IOCON peripheral base pointers */\r
-  #define IOCON_BASE_PTRS                          { IOCON }\r
+/** Peripheral IOCON base address */\r
+#define IOCON_BASE (0x40001000u)\r
+/** Peripheral IOCON base pointer */\r
+#define IOCON ((IOCON_Type *)IOCON_BASE)\r
+/** Array initializer of IOCON peripheral base addresses */\r
+#define IOCON_BASE_ADDRS \\r
+    {                    \\r
+        IOCON_BASE       \\r
+    }\r
+/** Array initializer of IOCON peripheral base pointers */\r
+#define IOCON_BASE_PTRS \\r
+    {                   \\r
+        IOCON           \\r
+    }\r
 #endif\r
 \r
 /*!\r
  * @}\r
  */ /* end of group IOCON_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- MAILBOX Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -10369,15 +13727,18 @@ typedef struct {
  */\r
 \r
 /** MAILBOX - Register Layout Typedef */\r
-typedef struct {\r
-  struct {                                         /* offset: 0x0, array step: 0x10 */\r
-    __IO uint32_t IRQ;                               /**< Interrupt request register for the Cortex-M0+ CPU., array offset: 0x0, array step: 0x10 */\r
-    __O  uint32_t IRQSET;                            /**< Set bits in IRQ0, array offset: 0x4, array step: 0x10 */\r
-    __O  uint32_t IRQCLR;                            /**< Clear bits in IRQ0, array offset: 0x8, array step: 0x10 */\r
-         uint8_t RESERVED_0[4];\r
-  } MBOXIRQ[2];\r
-       uint8_t RESERVED_0[216];\r
-  __IO uint32_t MUTEX;                             /**< Mutual exclusion register[1], offset: 0xF8 */\r
+typedef struct\r
+{\r
+    struct\r
+    { /* offset: 0x0, array step: 0x10 */\r
+        __IO uint32_t\r
+            IRQ; /**< Interrupt request register for the Cortex-M0+ CPU., array offset: 0x0, array step: 0x10 */\r
+        __O uint32_t IRQSET; /**< Set bits in IRQ0, array offset: 0x4, array step: 0x10 */\r
+        __O uint32_t IRQCLR; /**< Clear bits in IRQ0, array offset: 0x8, array step: 0x10 */\r
+        uint8_t RESERVED_0[4];\r
+    } MBOXIRQ[2];\r
+    uint8_t RESERVED_0[216];\r
+    __IO uint32_t MUTEX; /**< Mutual exclusion register[1], offset: 0xF8 */\r
 } MAILBOX_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -10391,83 +13752,112 @@ typedef struct {
 \r
 /*! @name MBOXIRQ_IRQ - Interrupt request register for the Cortex-M0+ CPU. */\r
 /*! @{ */\r
-#define MAILBOX_MBOXIRQ_IRQ_INTREQ_MASK          (0xFFFFFFFFU)\r
-#define MAILBOX_MBOXIRQ_IRQ_INTREQ_SHIFT         (0U)\r
-#define MAILBOX_MBOXIRQ_IRQ_INTREQ(x)            (((uint32_t)(((uint32_t)(x)) << MAILBOX_MBOXIRQ_IRQ_INTREQ_SHIFT)) & MAILBOX_MBOXIRQ_IRQ_INTREQ_MASK)\r
+#define MAILBOX_MBOXIRQ_IRQ_INTREQ_MASK (0xFFFFFFFFU)\r
+#define MAILBOX_MBOXIRQ_IRQ_INTREQ_SHIFT (0U)\r
+/*! INTREQ - If any bit is set, an interrupt request is sent to the Cortex-M0+ interrupt controller.\r
+ */\r
+#define MAILBOX_MBOXIRQ_IRQ_INTREQ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << MAILBOX_MBOXIRQ_IRQ_INTREQ_SHIFT)) & MAILBOX_MBOXIRQ_IRQ_INTREQ_MASK)\r
 /*! @} */\r
 \r
 /* The count of MAILBOX_MBOXIRQ_IRQ */\r
-#define MAILBOX_MBOXIRQ_IRQ_COUNT                (2U)\r
+#define MAILBOX_MBOXIRQ_IRQ_COUNT (2U)\r
 \r
 /*! @name MBOXIRQ_IRQSET - Set bits in IRQ0 */\r
 /*! @{ */\r
-#define MAILBOX_MBOXIRQ_IRQSET_INTREQSET_MASK    (0xFFFFFFFFU)\r
-#define MAILBOX_MBOXIRQ_IRQSET_INTREQSET_SHIFT   (0U)\r
-#define MAILBOX_MBOXIRQ_IRQSET_INTREQSET(x)      (((uint32_t)(((uint32_t)(x)) << MAILBOX_MBOXIRQ_IRQSET_INTREQSET_SHIFT)) & MAILBOX_MBOXIRQ_IRQSET_INTREQSET_MASK)\r
+#define MAILBOX_MBOXIRQ_IRQSET_INTREQSET_MASK (0xFFFFFFFFU)\r
+#define MAILBOX_MBOXIRQ_IRQSET_INTREQSET_SHIFT (0U)\r
+/*! INTREQSET - Writing 1 sets the corresponding bit in the IRQ0 register.\r
+ */\r
+#define MAILBOX_MBOXIRQ_IRQSET_INTREQSET(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << MAILBOX_MBOXIRQ_IRQSET_INTREQSET_SHIFT)) & MAILBOX_MBOXIRQ_IRQSET_INTREQSET_MASK)\r
 /*! @} */\r
 \r
 /* The count of MAILBOX_MBOXIRQ_IRQSET */\r
-#define MAILBOX_MBOXIRQ_IRQSET_COUNT             (2U)\r
+#define MAILBOX_MBOXIRQ_IRQSET_COUNT (2U)\r
 \r
 /*! @name MBOXIRQ_IRQCLR - Clear bits in IRQ0 */\r
 /*! @{ */\r
-#define MAILBOX_MBOXIRQ_IRQCLR_INTREQCLR_MASK    (0xFFFFFFFFU)\r
-#define MAILBOX_MBOXIRQ_IRQCLR_INTREQCLR_SHIFT   (0U)\r
-#define MAILBOX_MBOXIRQ_IRQCLR_INTREQCLR(x)      (((uint32_t)(((uint32_t)(x)) << MAILBOX_MBOXIRQ_IRQCLR_INTREQCLR_SHIFT)) & MAILBOX_MBOXIRQ_IRQCLR_INTREQCLR_MASK)\r
+#define MAILBOX_MBOXIRQ_IRQCLR_INTREQCLR_MASK (0xFFFFFFFFU)\r
+#define MAILBOX_MBOXIRQ_IRQCLR_INTREQCLR_SHIFT (0U)\r
+/*! INTREQCLR - Writing 1 clears the corresponding bit in the IRQ0 register.\r
+ */\r
+#define MAILBOX_MBOXIRQ_IRQCLR_INTREQCLR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << MAILBOX_MBOXIRQ_IRQCLR_INTREQCLR_SHIFT)) & MAILBOX_MBOXIRQ_IRQCLR_INTREQCLR_MASK)\r
 /*! @} */\r
 \r
 /* The count of MAILBOX_MBOXIRQ_IRQCLR */\r
-#define MAILBOX_MBOXIRQ_IRQCLR_COUNT             (2U)\r
+#define MAILBOX_MBOXIRQ_IRQCLR_COUNT (2U)\r
 \r
 /*! @name MUTEX - Mutual exclusion register[1] */\r
 /*! @{ */\r
-#define MAILBOX_MUTEX_EX_MASK                    (0x1U)\r
-#define MAILBOX_MUTEX_EX_SHIFT                   (0U)\r
-#define MAILBOX_MUTEX_EX(x)                      (((uint32_t)(((uint32_t)(x)) << MAILBOX_MUTEX_EX_SHIFT)) & MAILBOX_MUTEX_EX_MASK)\r
+#define MAILBOX_MUTEX_EX_MASK (0x1U)\r
+#define MAILBOX_MUTEX_EX_SHIFT (0U)\r
+/*! EX - Cleared when read, set when written. See usage description above.\r
+ */\r
+#define MAILBOX_MUTEX_EX(x) (((uint32_t)(((uint32_t)(x)) << MAILBOX_MUTEX_EX_SHIFT)) & MAILBOX_MUTEX_EX_MASK)\r
 /*! @} */\r
 \r
-\r
 /*!\r
  * @}\r
  */ /* end of group MAILBOX_Register_Masks */\r
 \r
-\r
 /* MAILBOX - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral MAILBOX base address */\r
-  #define MAILBOX_BASE                             (0x5008B000u)\r
-  /** Peripheral MAILBOX base address */\r
-  #define MAILBOX_BASE_NS                          (0x4008B000u)\r
-  /** Peripheral MAILBOX base pointer */\r
-  #define MAILBOX                                  ((MAILBOX_Type *)MAILBOX_BASE)\r
-  /** Peripheral MAILBOX base pointer */\r
-  #define MAILBOX_NS                               ((MAILBOX_Type *)MAILBOX_BASE_NS)\r
-  /** Array initializer of MAILBOX peripheral base addresses */\r
-  #define MAILBOX_BASE_ADDRS                       { MAILBOX_BASE }\r
-  /** Array initializer of MAILBOX peripheral base pointers */\r
-  #define MAILBOX_BASE_PTRS                        { MAILBOX }\r
-  /** Array initializer of MAILBOX peripheral base addresses */\r
-  #define MAILBOX_BASE_ADDRS_NS                    { MAILBOX_BASE_NS }\r
-  /** Array initializer of MAILBOX peripheral base pointers */\r
-  #define MAILBOX_BASE_PTRS_NS                     { MAILBOX_NS }\r
+/** Peripheral MAILBOX base address */\r
+#define MAILBOX_BASE (0x5008B000u)\r
+/** Peripheral MAILBOX base address */\r
+#define MAILBOX_BASE_NS (0x4008B000u)\r
+/** Peripheral MAILBOX base pointer */\r
+#define MAILBOX ((MAILBOX_Type *)MAILBOX_BASE)\r
+/** Peripheral MAILBOX base pointer */\r
+#define MAILBOX_NS ((MAILBOX_Type *)MAILBOX_BASE_NS)\r
+/** Array initializer of MAILBOX peripheral base addresses */\r
+#define MAILBOX_BASE_ADDRS \\r
+    {                      \\r
+        MAILBOX_BASE       \\r
+    }\r
+/** Array initializer of MAILBOX peripheral base pointers */\r
+#define MAILBOX_BASE_PTRS \\r
+    {                     \\r
+        MAILBOX           \\r
+    }\r
+/** Array initializer of MAILBOX peripheral base addresses */\r
+#define MAILBOX_BASE_ADDRS_NS \\r
+    {                         \\r
+        MAILBOX_BASE_NS       \\r
+    }\r
+/** Array initializer of MAILBOX peripheral base pointers */\r
+#define MAILBOX_BASE_PTRS_NS \\r
+    {                        \\r
+        MAILBOX_NS           \\r
+    }\r
 #else\r
-  /** Peripheral MAILBOX base address */\r
-  #define MAILBOX_BASE                             (0x4008B000u)\r
-  /** Peripheral MAILBOX base pointer */\r
-  #define MAILBOX                                  ((MAILBOX_Type *)MAILBOX_BASE)\r
-  /** Array initializer of MAILBOX peripheral base addresses */\r
-  #define MAILBOX_BASE_ADDRS                       { MAILBOX_BASE }\r
-  /** Array initializer of MAILBOX peripheral base pointers */\r
-  #define MAILBOX_BASE_PTRS                        { MAILBOX }\r
+/** Peripheral MAILBOX base address */\r
+#define MAILBOX_BASE (0x4008B000u)\r
+/** Peripheral MAILBOX base pointer */\r
+#define MAILBOX ((MAILBOX_Type *)MAILBOX_BASE)\r
+/** Array initializer of MAILBOX peripheral base addresses */\r
+#define MAILBOX_BASE_ADDRS \\r
+    {                      \\r
+        MAILBOX_BASE       \\r
+    }\r
+/** Array initializer of MAILBOX peripheral base pointers */\r
+#define MAILBOX_BASE_PTRS \\r
+    {                     \\r
+        MAILBOX           \\r
+    }\r
 #endif\r
 /** Interrupt vectors for the MAILBOX peripheral type */\r
-#define MAILBOX_IRQS                             { MAILBOX_IRQn }\r
+#define MAILBOX_IRQS \\r
+    {                \\r
+        MAILBOX_IRQn \\r
+    }\r
 \r
 /*!\r
  * @}\r
  */ /* end of group MAILBOX_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- MRT Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -10478,17 +13868,25 @@ typedef struct {
  */\r
 \r
 /** MRT - Register Layout Typedef */\r
-typedef struct {\r
-  struct {                                         /* offset: 0x0, array step: 0x10 */\r
-    __IO uint32_t INTVAL;                            /**< MRT Time interval value register. This value is loaded into the TIMER register., array offset: 0x0, array step: 0x10 */\r
-    __I  uint32_t TIMER;                             /**< MRT Timer register. This register reads the value of the down-counter., array offset: 0x4, array step: 0x10 */\r
-    __IO uint32_t CTRL;                              /**< MRT Control register. This register controls the MRT modes., array offset: 0x8, array step: 0x10 */\r
-    __IO uint32_t STAT;                              /**< MRT Status register., array offset: 0xC, array step: 0x10 */\r
-  } CHANNEL[4];\r
-       uint8_t RESERVED_0[176];\r
-  __IO uint32_t MODCFG;                            /**< Module Configuration register. This register provides information about this particular MRT instance, and allows choosing an overall mode for the idle channel feature., offset: 0xF0 */\r
-  __I  uint32_t IDLE_CH;                           /**< Idle channel register. This register returns the number of the first idle channel., offset: 0xF4 */\r
-  __IO uint32_t IRQ_FLAG;                          /**< Global interrupt flag register, offset: 0xF8 */\r
+typedef struct\r
+{\r
+    struct\r
+    {                         /* offset: 0x0, array step: 0x10 */\r
+        __IO uint32_t INTVAL; /**< MRT Time interval value register. This value is loaded into the TIMER register.,\r
+                                 array offset: 0x0, array step: 0x10 */\r
+        __I uint32_t TIMER;   /**< MRT Timer register. This register reads the value of the down-counter., array offset:\r
+                                 0x4, array step: 0x10 */\r
+        __IO uint32_t CTRL;   /**< MRT Control register. This register controls the MRT modes., array offset: 0x8, array\r
+                                 step: 0x10 */\r
+        __IO uint32_t STAT;   /**< MRT Status register., array offset: 0xC, array step: 0x10 */\r
+    } CHANNEL[4];\r
+    uint8_t RESERVED_0[176];\r
+    __IO uint32_t\r
+        MODCFG; /**< Module Configuration register. This register provides information about this particular MRT\r
+                   instance, and allows choosing an overall mode for the idle channel feature., offset: 0xF0 */\r
+    __I uint32_t IDLE_CH;   /**< Idle channel register. This register returns the number of the first idle channel.,\r
+                               offset: 0xF4 */\r
+    __IO uint32_t IRQ_FLAG; /**< Global interrupt flag register, offset: 0xF8 */\r
 } MRT_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -10502,168 +13900,232 @@ typedef struct {
 \r
 /*! @name CHANNEL_INTVAL - MRT Time interval value register. This value is loaded into the TIMER register. */\r
 /*! @{ */\r
-#define MRT_CHANNEL_INTVAL_IVALUE_MASK           (0xFFFFFFU)\r
-#define MRT_CHANNEL_INTVAL_IVALUE_SHIFT          (0U)\r
-#define MRT_CHANNEL_INTVAL_IVALUE(x)             (((uint32_t)(((uint32_t)(x)) << MRT_CHANNEL_INTVAL_IVALUE_SHIFT)) & MRT_CHANNEL_INTVAL_IVALUE_MASK)\r
-#define MRT_CHANNEL_INTVAL_LOAD_MASK             (0x80000000U)\r
-#define MRT_CHANNEL_INTVAL_LOAD_SHIFT            (31U)\r
-/*! LOAD - Determines how the timer interval value IVALUE -1 is loaded into the TIMERn register. This bit is write-only. Reading this bit always returns 0.\r
- *  0b0..No force load. The load from the INTVALn register to the TIMERn register is processed at the end of the time interval if the repeat mode is selected.\r
- *  0b1..Force load. The INTVALn interval value IVALUE -1 is immediately loaded into the TIMERn register while TIMERn is running.\r
- */\r
-#define MRT_CHANNEL_INTVAL_LOAD(x)               (((uint32_t)(((uint32_t)(x)) << MRT_CHANNEL_INTVAL_LOAD_SHIFT)) & MRT_CHANNEL_INTVAL_LOAD_MASK)\r
+#define MRT_CHANNEL_INTVAL_IVALUE_MASK (0xFFFFFFU)\r
+#define MRT_CHANNEL_INTVAL_IVALUE_SHIFT (0U)\r
+/*! IVALUE - Time interval load value. This value is loaded into the TIMERn register and the MRT\r
+ *    channel n starts counting down from IVALUE -1. If the timer is idle, writing a non-zero value to\r
+ *    this bit field starts the timer immediately. If the timer is running, writing a zero to this\r
+ *    bit field does the following: If LOAD = 1, the timer stops immediately. If LOAD = 0, the timer\r
+ *    stops at the end of the time interval.\r
+ */\r
+#define MRT_CHANNEL_INTVAL_IVALUE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << MRT_CHANNEL_INTVAL_IVALUE_SHIFT)) & MRT_CHANNEL_INTVAL_IVALUE_MASK)\r
+#define MRT_CHANNEL_INTVAL_LOAD_MASK (0x80000000U)\r
+#define MRT_CHANNEL_INTVAL_LOAD_SHIFT (31U)\r
+/*! LOAD - Determines how the timer interval value IVALUE -1 is loaded into the TIMERn register.\r
+ *    This bit is write-only. Reading this bit always returns 0.\r
+ *  0b0..No force load. The load from the INTVALn register to the TIMERn register is processed at the end of the\r
+ *       time interval if the repeat mode is selected.\r
+ *  0b1..Force load. The INTVALn interval value IVALUE -1 is immediately loaded into the TIMERn register while TIMERn is\r
+ * running.\r
+ */\r
+#define MRT_CHANNEL_INTVAL_LOAD(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << MRT_CHANNEL_INTVAL_LOAD_SHIFT)) & MRT_CHANNEL_INTVAL_LOAD_MASK)\r
 /*! @} */\r
 \r
 /* The count of MRT_CHANNEL_INTVAL */\r
-#define MRT_CHANNEL_INTVAL_COUNT                 (4U)\r
+#define MRT_CHANNEL_INTVAL_COUNT (4U)\r
 \r
 /*! @name CHANNEL_TIMER - MRT Timer register. This register reads the value of the down-counter. */\r
 /*! @{ */\r
-#define MRT_CHANNEL_TIMER_VALUE_MASK             (0xFFFFFFU)\r
-#define MRT_CHANNEL_TIMER_VALUE_SHIFT            (0U)\r
-#define MRT_CHANNEL_TIMER_VALUE(x)               (((uint32_t)(((uint32_t)(x)) << MRT_CHANNEL_TIMER_VALUE_SHIFT)) & MRT_CHANNEL_TIMER_VALUE_MASK)\r
+#define MRT_CHANNEL_TIMER_VALUE_MASK (0xFFFFFFU)\r
+#define MRT_CHANNEL_TIMER_VALUE_SHIFT (0U)\r
+/*! VALUE - Holds the current timer value of the down-counter. The initial value of the TIMERn\r
+ *    register is loaded as IVALUE - 1 from the INTVALn register either at the end of the time interval\r
+ *    or immediately in the following cases: INTVALn register is updated in the idle state. INTVALn\r
+ *    register is updated with LOAD = 1. When the timer is in idle state, reading this bit fields\r
+ *    returns -1 (0x00FF FFFF).\r
+ */\r
+#define MRT_CHANNEL_TIMER_VALUE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << MRT_CHANNEL_TIMER_VALUE_SHIFT)) & MRT_CHANNEL_TIMER_VALUE_MASK)\r
 /*! @} */\r
 \r
 /* The count of MRT_CHANNEL_TIMER */\r
-#define MRT_CHANNEL_TIMER_COUNT                  (4U)\r
+#define MRT_CHANNEL_TIMER_COUNT (4U)\r
 \r
 /*! @name CHANNEL_CTRL - MRT Control register. This register controls the MRT modes. */\r
 /*! @{ */\r
-#define MRT_CHANNEL_CTRL_INTEN_MASK              (0x1U)\r
-#define MRT_CHANNEL_CTRL_INTEN_SHIFT             (0U)\r
+#define MRT_CHANNEL_CTRL_INTEN_MASK (0x1U)\r
+#define MRT_CHANNEL_CTRL_INTEN_SHIFT (0U)\r
 /*! INTEN - Enable the TIMERn interrupt.\r
  *  0b0..Disabled. TIMERn interrupt is disabled.\r
  *  0b1..Enabled. TIMERn interrupt is enabled.\r
  */\r
-#define MRT_CHANNEL_CTRL_INTEN(x)                (((uint32_t)(((uint32_t)(x)) << MRT_CHANNEL_CTRL_INTEN_SHIFT)) & MRT_CHANNEL_CTRL_INTEN_MASK)\r
-#define MRT_CHANNEL_CTRL_MODE_MASK               (0x6U)\r
-#define MRT_CHANNEL_CTRL_MODE_SHIFT              (1U)\r
+#define MRT_CHANNEL_CTRL_INTEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << MRT_CHANNEL_CTRL_INTEN_SHIFT)) & MRT_CHANNEL_CTRL_INTEN_MASK)\r
+#define MRT_CHANNEL_CTRL_MODE_MASK (0x6U)\r
+#define MRT_CHANNEL_CTRL_MODE_SHIFT (1U)\r
 /*! MODE - Selects timer mode.\r
  *  0b00..Repeat interrupt mode.\r
  *  0b01..One-shot interrupt mode.\r
  *  0b10..One-shot stall mode.\r
  *  0b11..Reserved.\r
  */\r
-#define MRT_CHANNEL_CTRL_MODE(x)                 (((uint32_t)(((uint32_t)(x)) << MRT_CHANNEL_CTRL_MODE_SHIFT)) & MRT_CHANNEL_CTRL_MODE_MASK)\r
+#define MRT_CHANNEL_CTRL_MODE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << MRT_CHANNEL_CTRL_MODE_SHIFT)) & MRT_CHANNEL_CTRL_MODE_MASK)\r
 /*! @} */\r
 \r
 /* The count of MRT_CHANNEL_CTRL */\r
-#define MRT_CHANNEL_CTRL_COUNT                   (4U)\r
+#define MRT_CHANNEL_CTRL_COUNT (4U)\r
 \r
 /*! @name CHANNEL_STAT - MRT Status register. */\r
 /*! @{ */\r
-#define MRT_CHANNEL_STAT_INTFLAG_MASK            (0x1U)\r
-#define MRT_CHANNEL_STAT_INTFLAG_SHIFT           (0U)\r
+#define MRT_CHANNEL_STAT_INTFLAG_MASK (0x1U)\r
+#define MRT_CHANNEL_STAT_INTFLAG_SHIFT (0U)\r
 /*! INTFLAG - Monitors the interrupt flag.\r
  *  0b0..No pending interrupt. Writing a zero is equivalent to no operation.\r
- *  0b1..Pending interrupt. The interrupt is pending because TIMERn has reached the end of the time interval. If the INTEN bit in the CONTROLn is also set to 1, the interrupt for timer channel n and the global interrupt are raised. Writing a 1 to this bit clears the interrupt request.\r
- */\r
-#define MRT_CHANNEL_STAT_INTFLAG(x)              (((uint32_t)(((uint32_t)(x)) << MRT_CHANNEL_STAT_INTFLAG_SHIFT)) & MRT_CHANNEL_STAT_INTFLAG_MASK)\r
-#define MRT_CHANNEL_STAT_RUN_MASK                (0x2U)\r
-#define MRT_CHANNEL_STAT_RUN_SHIFT               (1U)\r
+ *  0b1..Pending interrupt. The interrupt is pending because TIMERn has reached the end of the time interval. If\r
+ *       the INTEN bit in the CONTROLn is also set to 1, the interrupt for timer channel n and the global interrupt\r
+ *       are raised. Writing a 1 to this bit clears the interrupt request.\r
+ */\r
+#define MRT_CHANNEL_STAT_INTFLAG(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << MRT_CHANNEL_STAT_INTFLAG_SHIFT)) & MRT_CHANNEL_STAT_INTFLAG_MASK)\r
+#define MRT_CHANNEL_STAT_RUN_MASK (0x2U)\r
+#define MRT_CHANNEL_STAT_RUN_SHIFT (1U)\r
 /*! RUN - Indicates the state of TIMERn. This bit is read-only.\r
  *  0b0..Idle state. TIMERn is stopped.\r
  *  0b1..Running. TIMERn is running.\r
  */\r
-#define MRT_CHANNEL_STAT_RUN(x)                  (((uint32_t)(((uint32_t)(x)) << MRT_CHANNEL_STAT_RUN_SHIFT)) & MRT_CHANNEL_STAT_RUN_MASK)\r
-#define MRT_CHANNEL_STAT_INUSE_MASK              (0x4U)\r
-#define MRT_CHANNEL_STAT_INUSE_SHIFT             (2U)\r
-/*! INUSE - Channel In Use flag. Operating details depend on the MULTITASK bit in the MODCFG register, and affects the use of IDLE_CH. See Idle channel register for details of the two operating modes.\r
+#define MRT_CHANNEL_STAT_RUN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << MRT_CHANNEL_STAT_RUN_SHIFT)) & MRT_CHANNEL_STAT_RUN_MASK)\r
+#define MRT_CHANNEL_STAT_INUSE_MASK (0x4U)\r
+#define MRT_CHANNEL_STAT_INUSE_SHIFT (2U)\r
+/*! INUSE - Channel In Use flag. Operating details depend on the MULTITASK bit in the MODCFG\r
+ *    register, and affects the use of IDLE_CH. See Idle channel register for details of the two operating\r
+ *    modes.\r
  *  0b0..This channel is not in use.\r
  *  0b1..This channel is in use.\r
  */\r
-#define MRT_CHANNEL_STAT_INUSE(x)                (((uint32_t)(((uint32_t)(x)) << MRT_CHANNEL_STAT_INUSE_SHIFT)) & MRT_CHANNEL_STAT_INUSE_MASK)\r
+#define MRT_CHANNEL_STAT_INUSE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << MRT_CHANNEL_STAT_INUSE_SHIFT)) & MRT_CHANNEL_STAT_INUSE_MASK)\r
 /*! @} */\r
 \r
 /* The count of MRT_CHANNEL_STAT */\r
-#define MRT_CHANNEL_STAT_COUNT                   (4U)\r
-\r
-/*! @name MODCFG - Module Configuration register. This register provides information about this particular MRT instance, and allows choosing an overall mode for the idle channel feature. */\r
-/*! @{ */\r
-#define MRT_MODCFG_NOC_MASK                      (0xFU)\r
-#define MRT_MODCFG_NOC_SHIFT                     (0U)\r
-#define MRT_MODCFG_NOC(x)                        (((uint32_t)(((uint32_t)(x)) << MRT_MODCFG_NOC_SHIFT)) & MRT_MODCFG_NOC_MASK)\r
-#define MRT_MODCFG_NOB_MASK                      (0x1F0U)\r
-#define MRT_MODCFG_NOB_SHIFT                     (4U)\r
-#define MRT_MODCFG_NOB(x)                        (((uint32_t)(((uint32_t)(x)) << MRT_MODCFG_NOB_SHIFT)) & MRT_MODCFG_NOB_MASK)\r
-#define MRT_MODCFG_MULTITASK_MASK                (0x80000000U)\r
-#define MRT_MODCFG_MULTITASK_SHIFT               (31U)\r
+#define MRT_CHANNEL_STAT_COUNT (4U)\r
+\r
+/*! @name MODCFG - Module Configuration register. This register provides information about this particular MRT instance,\r
+ * and allows choosing an overall mode for the idle channel feature. */\r
+/*! @{ */\r
+#define MRT_MODCFG_NOC_MASK (0xFU)\r
+#define MRT_MODCFG_NOC_SHIFT (0U)\r
+/*! NOC - Identifies the number of channels in this MRT.(4 channels on this device.)\r
+ */\r
+#define MRT_MODCFG_NOC(x) (((uint32_t)(((uint32_t)(x)) << MRT_MODCFG_NOC_SHIFT)) & MRT_MODCFG_NOC_MASK)\r
+#define MRT_MODCFG_NOB_MASK (0x1F0U)\r
+#define MRT_MODCFG_NOB_SHIFT (4U)\r
+/*! NOB - Identifies the number of timer bits in this MRT. (24 bits wide on this device.)\r
+ */\r
+#define MRT_MODCFG_NOB(x) (((uint32_t)(((uint32_t)(x)) << MRT_MODCFG_NOB_SHIFT)) & MRT_MODCFG_NOB_MASK)\r
+#define MRT_MODCFG_MULTITASK_MASK (0x80000000U)\r
+#define MRT_MODCFG_MULTITASK_SHIFT (31U)\r
 /*! MULTITASK - Selects the operating mode for the INUSE flags and the IDLE_CH register.\r
  *  0b0..Hardware status mode. In this mode, the INUSE(n) flags for all channels are reset.\r
  *  0b1..Multi-task mode.\r
  */\r
-#define MRT_MODCFG_MULTITASK(x)                  (((uint32_t)(((uint32_t)(x)) << MRT_MODCFG_MULTITASK_SHIFT)) & MRT_MODCFG_MULTITASK_MASK)\r
+#define MRT_MODCFG_MULTITASK(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << MRT_MODCFG_MULTITASK_SHIFT)) & MRT_MODCFG_MULTITASK_MASK)\r
 /*! @} */\r
 \r
 /*! @name IDLE_CH - Idle channel register. This register returns the number of the first idle channel. */\r
 /*! @{ */\r
-#define MRT_IDLE_CH_CHAN_MASK                    (0xF0U)\r
-#define MRT_IDLE_CH_CHAN_SHIFT                   (4U)\r
-#define MRT_IDLE_CH_CHAN(x)                      (((uint32_t)(((uint32_t)(x)) << MRT_IDLE_CH_CHAN_SHIFT)) & MRT_IDLE_CH_CHAN_MASK)\r
+#define MRT_IDLE_CH_CHAN_MASK (0xF0U)\r
+#define MRT_IDLE_CH_CHAN_SHIFT (4U)\r
+/*! CHAN - Idle channel. Reading the CHAN bits, returns the lowest idle timer channel. The number is\r
+ *    positioned such that it can be used as an offset from the MRT base address in order to access\r
+ *    the registers for the allocated channel. If all timer channels are running, CHAN = 0xF. See\r
+ *    text above for more details.\r
+ */\r
+#define MRT_IDLE_CH_CHAN(x) (((uint32_t)(((uint32_t)(x)) << MRT_IDLE_CH_CHAN_SHIFT)) & MRT_IDLE_CH_CHAN_MASK)\r
 /*! @} */\r
 \r
 /*! @name IRQ_FLAG - Global interrupt flag register */\r
 /*! @{ */\r
-#define MRT_IRQ_FLAG_GFLAG0_MASK                 (0x1U)\r
-#define MRT_IRQ_FLAG_GFLAG0_SHIFT                (0U)\r
+#define MRT_IRQ_FLAG_GFLAG0_MASK (0x1U)\r
+#define MRT_IRQ_FLAG_GFLAG0_SHIFT (0U)\r
 /*! GFLAG0 - Monitors the interrupt flag of TIMER0.\r
  *  0b0..No pending interrupt. Writing a zero is equivalent to no operation.\r
- *  0b1..Pending interrupt. The interrupt is pending because TIMER0 has reached the end of the time interval. If the INTEN bit in the CONTROL0 register is also set to 1, the interrupt for timer channel 0 and the global interrupt are raised. Writing a 1 to this bit clears the interrupt request.\r
+ *  0b1..Pending interrupt. The interrupt is pending because TIMER0 has reached the end of the time interval. If\r
+ *       the INTEN bit in the CONTROL0 register is also set to 1, the interrupt for timer channel 0 and the global\r
+ *       interrupt are raised. Writing a 1 to this bit clears the interrupt request.\r
+ */\r
+#define MRT_IRQ_FLAG_GFLAG0(x) (((uint32_t)(((uint32_t)(x)) << MRT_IRQ_FLAG_GFLAG0_SHIFT)) & MRT_IRQ_FLAG_GFLAG0_MASK)\r
+#define MRT_IRQ_FLAG_GFLAG1_MASK (0x2U)\r
+#define MRT_IRQ_FLAG_GFLAG1_SHIFT (1U)\r
+/*! GFLAG1 - Monitors the interrupt flag of TIMER1. See description of channel 0.\r
+ */\r
+#define MRT_IRQ_FLAG_GFLAG1(x) (((uint32_t)(((uint32_t)(x)) << MRT_IRQ_FLAG_GFLAG1_SHIFT)) & MRT_IRQ_FLAG_GFLAG1_MASK)\r
+#define MRT_IRQ_FLAG_GFLAG2_MASK (0x4U)\r
+#define MRT_IRQ_FLAG_GFLAG2_SHIFT (2U)\r
+/*! GFLAG2 - Monitors the interrupt flag of TIMER2. See description of channel 0.\r
+ */\r
+#define MRT_IRQ_FLAG_GFLAG2(x) (((uint32_t)(((uint32_t)(x)) << MRT_IRQ_FLAG_GFLAG2_SHIFT)) & MRT_IRQ_FLAG_GFLAG2_MASK)\r
+#define MRT_IRQ_FLAG_GFLAG3_MASK (0x8U)\r
+#define MRT_IRQ_FLAG_GFLAG3_SHIFT (3U)\r
+/*! GFLAG3 - Monitors the interrupt flag of TIMER3. See description of channel 0.\r
  */\r
-#define MRT_IRQ_FLAG_GFLAG0(x)                   (((uint32_t)(((uint32_t)(x)) << MRT_IRQ_FLAG_GFLAG0_SHIFT)) & MRT_IRQ_FLAG_GFLAG0_MASK)\r
-#define MRT_IRQ_FLAG_GFLAG1_MASK                 (0x2U)\r
-#define MRT_IRQ_FLAG_GFLAG1_SHIFT                (1U)\r
-#define MRT_IRQ_FLAG_GFLAG1(x)                   (((uint32_t)(((uint32_t)(x)) << MRT_IRQ_FLAG_GFLAG1_SHIFT)) & MRT_IRQ_FLAG_GFLAG1_MASK)\r
-#define MRT_IRQ_FLAG_GFLAG2_MASK                 (0x4U)\r
-#define MRT_IRQ_FLAG_GFLAG2_SHIFT                (2U)\r
-#define MRT_IRQ_FLAG_GFLAG2(x)                   (((uint32_t)(((uint32_t)(x)) << MRT_IRQ_FLAG_GFLAG2_SHIFT)) & MRT_IRQ_FLAG_GFLAG2_MASK)\r
-#define MRT_IRQ_FLAG_GFLAG3_MASK                 (0x8U)\r
-#define MRT_IRQ_FLAG_GFLAG3_SHIFT                (3U)\r
-#define MRT_IRQ_FLAG_GFLAG3(x)                   (((uint32_t)(((uint32_t)(x)) << MRT_IRQ_FLAG_GFLAG3_SHIFT)) & MRT_IRQ_FLAG_GFLAG3_MASK)\r
+#define MRT_IRQ_FLAG_GFLAG3(x) (((uint32_t)(((uint32_t)(x)) << MRT_IRQ_FLAG_GFLAG3_SHIFT)) & MRT_IRQ_FLAG_GFLAG3_MASK)\r
 /*! @} */\r
 \r
-\r
 /*!\r
  * @}\r
  */ /* end of group MRT_Register_Masks */\r
 \r
-\r
 /* MRT - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral MRT0 base address */\r
-  #define MRT0_BASE                                (0x5000D000u)\r
-  /** Peripheral MRT0 base address */\r
-  #define MRT0_BASE_NS                             (0x4000D000u)\r
-  /** Peripheral MRT0 base pointer */\r
-  #define MRT0                                     ((MRT_Type *)MRT0_BASE)\r
-  /** Peripheral MRT0 base pointer */\r
-  #define MRT0_NS                                  ((MRT_Type *)MRT0_BASE_NS)\r
-  /** Array initializer of MRT peripheral base addresses */\r
-  #define MRT_BASE_ADDRS                           { MRT0_BASE }\r
-  /** Array initializer of MRT peripheral base pointers */\r
-  #define MRT_BASE_PTRS                            { MRT0 }\r
-  /** Array initializer of MRT peripheral base addresses */\r
-  #define MRT_BASE_ADDRS_NS                        { MRT0_BASE_NS }\r
-  /** Array initializer of MRT peripheral base pointers */\r
-  #define MRT_BASE_PTRS_NS                         { MRT0_NS }\r
+/** Peripheral MRT0 base address */\r
+#define MRT0_BASE (0x5000D000u)\r
+/** Peripheral MRT0 base address */\r
+#define MRT0_BASE_NS (0x4000D000u)\r
+/** Peripheral MRT0 base pointer */\r
+#define MRT0 ((MRT_Type *)MRT0_BASE)\r
+/** Peripheral MRT0 base pointer */\r
+#define MRT0_NS ((MRT_Type *)MRT0_BASE_NS)\r
+/** Array initializer of MRT peripheral base addresses */\r
+#define MRT_BASE_ADDRS \\r
+    {                  \\r
+        MRT0_BASE      \\r
+    }\r
+/** Array initializer of MRT peripheral base pointers */\r
+#define MRT_BASE_PTRS \\r
+    {                 \\r
+        MRT0          \\r
+    }\r
+/** Array initializer of MRT peripheral base addresses */\r
+#define MRT_BASE_ADDRS_NS \\r
+    {                     \\r
+        MRT0_BASE_NS      \\r
+    }\r
+/** Array initializer of MRT peripheral base pointers */\r
+#define MRT_BASE_PTRS_NS \\r
+    {                    \\r
+        MRT0_NS          \\r
+    }\r
 #else\r
-  /** Peripheral MRT0 base address */\r
-  #define MRT0_BASE                                (0x4000D000u)\r
-  /** Peripheral MRT0 base pointer */\r
-  #define MRT0                                     ((MRT_Type *)MRT0_BASE)\r
-  /** Array initializer of MRT peripheral base addresses */\r
-  #define MRT_BASE_ADDRS                           { MRT0_BASE }\r
-  /** Array initializer of MRT peripheral base pointers */\r
-  #define MRT_BASE_PTRS                            { MRT0 }\r
+/** Peripheral MRT0 base address */\r
+#define MRT0_BASE (0x4000D000u)\r
+/** Peripheral MRT0 base pointer */\r
+#define MRT0 ((MRT_Type *)MRT0_BASE)\r
+/** Array initializer of MRT peripheral base addresses */\r
+#define MRT_BASE_ADDRS \\r
+    {                  \\r
+        MRT0_BASE      \\r
+    }\r
+/** Array initializer of MRT peripheral base pointers */\r
+#define MRT_BASE_PTRS \\r
+    {                 \\r
+        MRT0          \\r
+    }\r
 #endif\r
 /** Interrupt vectors for the MRT peripheral type */\r
-#define MRT_IRQS                                 { MRT0_IRQn }\r
+#define MRT_IRQS  \\r
+    {             \\r
+        MRT0_IRQn \\r
+    }\r
 \r
 /*!\r
  * @}\r
  */ /* end of group MRT_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- OSTIMER Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -10674,15 +14136,16 @@ typedef struct {
  */\r
 \r
 /** OSTIMER - Register Layout Typedef */\r
-typedef struct {\r
-  __I  uint32_t EVTIMERL;                          /**< EVTIMER Low Register, offset: 0x0 */\r
-  __I  uint32_t EVTIMERH;                          /**< EVTIMER High Register, offset: 0x4 */\r
-  __I  uint32_t CAPTUREN_L;                        /**< Local Capture Low Register for CPUn, offset: 0x8 */\r
-  __I  uint32_t CAPTUREN_H;                        /**< Local Capture High Register for CPUn, offset: 0xC */\r
-  __IO uint32_t MATCHN_L;                          /**< Local Match Low Register for CPUn, offset: 0x10 */\r
-  __IO uint32_t MATCHN_H;                          /**< Match High Register for CPUn, offset: 0x14 */\r
-       uint8_t RESERVED_0[4];\r
-  __IO uint32_t OSEVENT_CTRL;                      /**< OS_EVENT TIMER Control Register for CPUn, offset: 0x1C */\r
+typedef struct\r
+{\r
+    __I uint32_t EVTIMERL;   /**< EVTIMER Low Register, offset: 0x0 */\r
+    __I uint32_t EVTIMERH;   /**< EVTIMER High Register, offset: 0x4 */\r
+    __I uint32_t CAPTUREN_L; /**< Local Capture Low Register for CPUn, offset: 0x8 */\r
+    __I uint32_t CAPTUREN_H; /**< Local Capture High Register for CPUn, offset: 0xC */\r
+    __IO uint32_t MATCHN_L;  /**< Local Match Low Register for CPUn, offset: 0x10 */\r
+    __IO uint32_t MATCHN_H;  /**< Match High Register for CPUn, offset: 0x14 */\r
+    uint8_t RESERVED_0[4];\r
+    __IO uint32_t OSEVENT_CTRL; /**< OS_EVENT TIMER Control Register for CPUn, offset: 0x1C */\r
 } OSTIMER_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -10698,96 +14161,160 @@ typedef struct {
 /*! @{ */\r
 #define OSTIMER_EVTIMERL_EVTIMER_COUNT_VALUE_MASK (0xFFFFFFFFU)\r
 #define OSTIMER_EVTIMERL_EVTIMER_COUNT_VALUE_SHIFT (0U)\r
-#define OSTIMER_EVTIMERL_EVTIMER_COUNT_VALUE(x)  (((uint32_t)(((uint32_t)(x)) << OSTIMER_EVTIMERL_EVTIMER_COUNT_VALUE_SHIFT)) & OSTIMER_EVTIMERL_EVTIMER_COUNT_VALUE_MASK)\r
+/*! EVTIMER_COUNT_VALUE - A read reflects the current value of the lower 32 bits of the EVTIMER.\r
+ *    Note there is physically only one EVTimer, readable from all domains.\r
+ */\r
+#define OSTIMER_EVTIMERL_EVTIMER_COUNT_VALUE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << OSTIMER_EVTIMERL_EVTIMER_COUNT_VALUE_SHIFT)) & \\r
+     OSTIMER_EVTIMERL_EVTIMER_COUNT_VALUE_MASK)\r
 /*! @} */\r
 \r
 /*! @name EVTIMERH - EVTIMER High Register */\r
 /*! @{ */\r
-#define OSTIMER_EVTIMERH_EVTIMER_COUNT_VALUE_MASK (0xFFFFFFFFU)\r
+#define OSTIMER_EVTIMERH_EVTIMER_COUNT_VALUE_MASK (0x3FFU)\r
 #define OSTIMER_EVTIMERH_EVTIMER_COUNT_VALUE_SHIFT (0U)\r
-#define OSTIMER_EVTIMERH_EVTIMER_COUNT_VALUE(x)  (((uint32_t)(((uint32_t)(x)) << OSTIMER_EVTIMERH_EVTIMER_COUNT_VALUE_SHIFT)) & OSTIMER_EVTIMERH_EVTIMER_COUNT_VALUE_MASK)\r
+/*! EVTIMER_COUNT_VALUE - A read reflects the current value of the upper 32 bits of the EVTIMER.\r
+ *    Note there is physically only one EVTimer, readable from all domains.\r
+ */\r
+#define OSTIMER_EVTIMERH_EVTIMER_COUNT_VALUE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << OSTIMER_EVTIMERH_EVTIMER_COUNT_VALUE_SHIFT)) & \\r
+     OSTIMER_EVTIMERH_EVTIMER_COUNT_VALUE_MASK)\r
 /*! @} */\r
 \r
 /*! @name CAPTUREN_L - Local Capture Low Register for CPUn */\r
 /*! @{ */\r
-#define OSTIMER_CAPTUREN_L_CAPTUREn_VALUE_MASK   (0xFFFFFFFFU)\r
-#define OSTIMER_CAPTUREN_L_CAPTUREn_VALUE_SHIFT  (0U)\r
-#define OSTIMER_CAPTUREN_L_CAPTUREn_VALUE(x)     (((uint32_t)(((uint32_t)(x)) << OSTIMER_CAPTUREN_L_CAPTUREn_VALUE_SHIFT)) & OSTIMER_CAPTUREN_L_CAPTUREn_VALUE_MASK)\r
+#define OSTIMER_CAPTUREN_L_CAPTUREn_VALUE_MASK (0xFFFFFFFFU)\r
+#define OSTIMER_CAPTUREN_L_CAPTUREn_VALUE_SHIFT (0U)\r
+/*! CAPTUREn_VALUE - A read reflects the value of the lower 32 bits of the central EVTIMER at the\r
+ *    time the last capture signal was generated by the CPU. A separate pair of CAPTURE registers are\r
+ *    implemented for each CPU. Each CPU reads its own capture value at the same pair of addresses.\r
+ */\r
+#define OSTIMER_CAPTUREN_L_CAPTUREn_VALUE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << OSTIMER_CAPTUREN_L_CAPTUREn_VALUE_SHIFT)) & OSTIMER_CAPTUREN_L_CAPTUREn_VALUE_MASK)\r
 /*! @} */\r
 \r
 /*! @name CAPTUREN_H - Local Capture High Register for CPUn */\r
 /*! @{ */\r
-#define OSTIMER_CAPTUREN_H_CAPTUREn_VALUE_MASK   (0xFFFFFFFFU)\r
-#define OSTIMER_CAPTUREN_H_CAPTUREn_VALUE_SHIFT  (0U)\r
-#define OSTIMER_CAPTUREN_H_CAPTUREn_VALUE(x)     (((uint32_t)(((uint32_t)(x)) << OSTIMER_CAPTUREN_H_CAPTUREn_VALUE_SHIFT)) & OSTIMER_CAPTUREN_H_CAPTUREn_VALUE_MASK)\r
+#define OSTIMER_CAPTUREN_H_CAPTUREn_VALUE_MASK (0x3FFU)\r
+#define OSTIMER_CAPTUREN_H_CAPTUREn_VALUE_SHIFT (0U)\r
+/*! CAPTUREn_VALUE - A read reflects the value of the upper 32 bits of the central EVTIMER at the\r
+ *    time the last capture signal was generated by the CPU. A separate pair of CAPTURE registers are\r
+ *    implemented for each CPU. Each CPU reads its own capture value at the same pair of addresses.\r
+ */\r
+#define OSTIMER_CAPTUREN_H_CAPTUREn_VALUE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << OSTIMER_CAPTUREN_H_CAPTUREn_VALUE_SHIFT)) & OSTIMER_CAPTUREN_H_CAPTUREn_VALUE_MASK)\r
 /*! @} */\r
 \r
 /*! @name MATCHN_L - Local Match Low Register for CPUn */\r
 /*! @{ */\r
-#define OSTIMER_MATCHN_L_MATCHn_VALUE_MASK       (0xFFFFFFFFU)\r
-#define OSTIMER_MATCHN_L_MATCHn_VALUE_SHIFT      (0U)\r
-#define OSTIMER_MATCHN_L_MATCHn_VALUE(x)         (((uint32_t)(((uint32_t)(x)) << OSTIMER_MATCHN_L_MATCHn_VALUE_SHIFT)) & OSTIMER_MATCHN_L_MATCHn_VALUE_MASK)\r
+#define OSTIMER_MATCHN_L_MATCHn_VALUE_MASK (0xFFFFFFFFU)\r
+#define OSTIMER_MATCHN_L_MATCHn_VALUE_SHIFT (0U)\r
+/*! MATCHn_VALUE - The value written to the MATCH (L/H) register pair is compared against the\r
+ *    central EVTIMER. When a match occurs, an interrupt request is generated if enabled. A separate pair\r
+ *    of MATCH registers are implemented for each CPU. Each CPU reads its own local value at the\r
+ *    same pair of addresses.\r
+ */\r
+#define OSTIMER_MATCHN_L_MATCHn_VALUE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << OSTIMER_MATCHN_L_MATCHn_VALUE_SHIFT)) & OSTIMER_MATCHN_L_MATCHn_VALUE_MASK)\r
 /*! @} */\r
 \r
 /*! @name MATCHN_H - Match High Register for CPUn */\r
 /*! @{ */\r
-#define OSTIMER_MATCHN_H_MATCHn_VALUE_MASK       (0xFFFFFFFFU)\r
-#define OSTIMER_MATCHN_H_MATCHn_VALUE_SHIFT      (0U)\r
-#define OSTIMER_MATCHN_H_MATCHn_VALUE(x)         (((uint32_t)(((uint32_t)(x)) << OSTIMER_MATCHN_H_MATCHn_VALUE_SHIFT)) & OSTIMER_MATCHN_H_MATCHn_VALUE_MASK)\r
+#define OSTIMER_MATCHN_H_MATCHn_VALUE_MASK (0x3FFU)\r
+#define OSTIMER_MATCHN_H_MATCHn_VALUE_SHIFT (0U)\r
+/*! MATCHn_VALUE - The value written to the MATCH (L/H) register pair is compared against the\r
+ *    central EVTIMER. When a match occurs, an interrupt request is generated if enabled. A separate pair\r
+ *    of MATCH registers are implemented for each CPU. Each CPU reads its own local value at the\r
+ *    same pair of addresses.\r
+ */\r
+#define OSTIMER_MATCHN_H_MATCHn_VALUE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << OSTIMER_MATCHN_H_MATCHn_VALUE_SHIFT)) & OSTIMER_MATCHN_H_MATCHn_VALUE_MASK)\r
 /*! @} */\r
 \r
 /*! @name OSEVENT_CTRL - OS_EVENT TIMER Control Register for CPUn */\r
 /*! @{ */\r
 #define OSTIMER_OSEVENT_CTRL_OSTIMER_INTRFLAG_MASK (0x1U)\r
 #define OSTIMER_OSEVENT_CTRL_OSTIMER_INTRFLAG_SHIFT (0U)\r
-#define OSTIMER_OSEVENT_CTRL_OSTIMER_INTRFLAG(x) (((uint32_t)(((uint32_t)(x)) << OSTIMER_OSEVENT_CTRL_OSTIMER_INTRFLAG_SHIFT)) & OSTIMER_OSEVENT_CTRL_OSTIMER_INTRFLAG_MASK)\r
+/*! OSTIMER_INTRFLAG - This bit is set when a match occurs between the central 64-bit EVTIMER and\r
+ *    the value programmed in the Match-register pair for the associated CPU This bit is cleared by\r
+ *    writing a '1'. Writes to clear this bit are asynchronous. This should be done before a new match\r
+ *    value is written into the MATCH_L/H registers\r
+ */\r
+#define OSTIMER_OSEVENT_CTRL_OSTIMER_INTRFLAG(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << OSTIMER_OSEVENT_CTRL_OSTIMER_INTRFLAG_SHIFT)) & \\r
+     OSTIMER_OSEVENT_CTRL_OSTIMER_INTRFLAG_MASK)\r
 #define OSTIMER_OSEVENT_CTRL_OSTIMER_INTENA_MASK (0x2U)\r
 #define OSTIMER_OSEVENT_CTRL_OSTIMER_INTENA_SHIFT (1U)\r
-#define OSTIMER_OSEVENT_CTRL_OSTIMER_INTENA(x)   (((uint32_t)(((uint32_t)(x)) << OSTIMER_OSEVENT_CTRL_OSTIMER_INTENA_SHIFT)) & OSTIMER_OSEVENT_CTRL_OSTIMER_INTENA_MASK)\r
+/*! OSTIMER_INTENA - When this bit is '1' an interrupt/wakeup request to the Domainn processor will\r
+ *    be asserted when the OSTIMER_INTR flag is set. When this bit is '0', interrupt/wakeup requests\r
+ *    due to the OSTIMER_INTR flag are blocked.A separate OSEVENT_CTRL register is implemented for\r
+ *    each CPU. Each CPU reads its own local value at the same address.\r
+ */\r
+#define OSTIMER_OSEVENT_CTRL_OSTIMER_INTENA(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << OSTIMER_OSEVENT_CTRL_OSTIMER_INTENA_SHIFT)) & \\r
+     OSTIMER_OSEVENT_CTRL_OSTIMER_INTENA_MASK)\r
 /*! @} */\r
 \r
-\r
 /*!\r
  * @}\r
  */ /* end of group OSTIMER_Register_Masks */\r
 \r
-\r
 /* OSTIMER - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral OSTIMER base address */\r
-  #define OSTIMER_BASE                             (0x5002D000u)\r
-  /** Peripheral OSTIMER base address */\r
-  #define OSTIMER_BASE_NS                          (0x4002D000u)\r
-  /** Peripheral OSTIMER base pointer */\r
-  #define OSTIMER                                  ((OSTIMER_Type *)OSTIMER_BASE)\r
-  /** Peripheral OSTIMER base pointer */\r
-  #define OSTIMER_NS                               ((OSTIMER_Type *)OSTIMER_BASE_NS)\r
-  /** Array initializer of OSTIMER peripheral base addresses */\r
-  #define OSTIMER_BASE_ADDRS                       { OSTIMER_BASE }\r
-  /** Array initializer of OSTIMER peripheral base pointers */\r
-  #define OSTIMER_BASE_PTRS                        { OSTIMER }\r
-  /** Array initializer of OSTIMER peripheral base addresses */\r
-  #define OSTIMER_BASE_ADDRS_NS                    { OSTIMER_BASE_NS }\r
-  /** Array initializer of OSTIMER peripheral base pointers */\r
-  #define OSTIMER_BASE_PTRS_NS                     { OSTIMER_NS }\r
+/** Peripheral OSTIMER base address */\r
+#define OSTIMER_BASE (0x5002D000u)\r
+/** Peripheral OSTIMER base address */\r
+#define OSTIMER_BASE_NS (0x4002D000u)\r
+/** Peripheral OSTIMER base pointer */\r
+#define OSTIMER ((OSTIMER_Type *)OSTIMER_BASE)\r
+/** Peripheral OSTIMER base pointer */\r
+#define OSTIMER_NS ((OSTIMER_Type *)OSTIMER_BASE_NS)\r
+/** Array initializer of OSTIMER peripheral base addresses */\r
+#define OSTIMER_BASE_ADDRS \\r
+    {                      \\r
+        OSTIMER_BASE       \\r
+    }\r
+/** Array initializer of OSTIMER peripheral base pointers */\r
+#define OSTIMER_BASE_PTRS \\r
+    {                     \\r
+        OSTIMER           \\r
+    }\r
+/** Array initializer of OSTIMER peripheral base addresses */\r
+#define OSTIMER_BASE_ADDRS_NS \\r
+    {                         \\r
+        OSTIMER_BASE_NS       \\r
+    }\r
+/** Array initializer of OSTIMER peripheral base pointers */\r
+#define OSTIMER_BASE_PTRS_NS \\r
+    {                        \\r
+        OSTIMER_NS           \\r
+    }\r
 #else\r
-  /** Peripheral OSTIMER base address */\r
-  #define OSTIMER_BASE                             (0x4002D000u)\r
-  /** Peripheral OSTIMER base pointer */\r
-  #define OSTIMER                                  ((OSTIMER_Type *)OSTIMER_BASE)\r
-  /** Array initializer of OSTIMER peripheral base addresses */\r
-  #define OSTIMER_BASE_ADDRS                       { OSTIMER_BASE }\r
-  /** Array initializer of OSTIMER peripheral base pointers */\r
-  #define OSTIMER_BASE_PTRS                        { OSTIMER }\r
+/** Peripheral OSTIMER base address */\r
+#define OSTIMER_BASE (0x4002D000u)\r
+/** Peripheral OSTIMER base pointer */\r
+#define OSTIMER ((OSTIMER_Type *)OSTIMER_BASE)\r
+/** Array initializer of OSTIMER peripheral base addresses */\r
+#define OSTIMER_BASE_ADDRS \\r
+    {                      \\r
+        OSTIMER_BASE       \\r
+    }\r
+/** Array initializer of OSTIMER peripheral base pointers */\r
+#define OSTIMER_BASE_PTRS \\r
+    {                     \\r
+        OSTIMER           \\r
+    }\r
 #endif\r
 /** Interrupt vectors for the OSTIMER peripheral type */\r
-#define OSTIMER_IRQS                             { OS_EVENT_IRQn }\r
+#define OSTIMER_IRQS  \\r
+    {                 \\r
+        OS_EVENT_IRQn \\r
+    }\r
 \r
 /*!\r
  * @}\r
  */ /* end of group OSTIMER_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- PINT Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -10798,20 +14325,21 @@ typedef struct {
  */\r
 \r
 /** PINT - Register Layout Typedef */\r
-typedef struct {\r
-  __IO uint32_t ISEL;                              /**< Pin Interrupt Mode register, offset: 0x0 */\r
-  __IO uint32_t IENR;                              /**< Pin interrupt level or rising edge interrupt enable register, offset: 0x4 */\r
-  __O  uint32_t SIENR;                             /**< Pin interrupt level or rising edge interrupt set register, offset: 0x8 */\r
-  __O  uint32_t CIENR;                             /**< Pin interrupt level (rising edge interrupt) clear register, offset: 0xC */\r
-  __IO uint32_t IENF;                              /**< Pin interrupt active level or falling edge interrupt enable register, offset: 0x10 */\r
-  __O  uint32_t SIENF;                             /**< Pin interrupt active level or falling edge interrupt set register, offset: 0x14 */\r
-  __O  uint32_t CIENF;                             /**< Pin interrupt active level or falling edge interrupt clear register, offset: 0x18 */\r
-  __IO uint32_t RISE;                              /**< Pin interrupt rising edge register, offset: 0x1C */\r
-  __IO uint32_t FALL;                              /**< Pin interrupt falling edge register, offset: 0x20 */\r
-  __IO uint32_t IST;                               /**< Pin interrupt status register, offset: 0x24 */\r
-  __IO uint32_t PMCTRL;                            /**< Pattern match interrupt control register, offset: 0x28 */\r
-  __IO uint32_t PMSRC;                             /**< Pattern match interrupt bit-slice source register, offset: 0x2C */\r
-  __IO uint32_t PMCFG;                             /**< Pattern match interrupt bit slice configuration register, offset: 0x30 */\r
+typedef struct\r
+{\r
+    __IO uint32_t ISEL;   /**< Pin Interrupt Mode register, offset: 0x0 */\r
+    __IO uint32_t IENR;   /**< Pin interrupt level or rising edge interrupt enable register, offset: 0x4 */\r
+    __O uint32_t SIENR;   /**< Pin interrupt level or rising edge interrupt set register, offset: 0x8 */\r
+    __O uint32_t CIENR;   /**< Pin interrupt level (rising edge interrupt) clear register, offset: 0xC */\r
+    __IO uint32_t IENF;   /**< Pin interrupt active level or falling edge interrupt enable register, offset: 0x10 */\r
+    __O uint32_t SIENF;   /**< Pin interrupt active level or falling edge interrupt set register, offset: 0x14 */\r
+    __O uint32_t CIENF;   /**< Pin interrupt active level or falling edge interrupt clear register, offset: 0x18 */\r
+    __IO uint32_t RISE;   /**< Pin interrupt rising edge register, offset: 0x1C */\r
+    __IO uint32_t FALL;   /**< Pin interrupt falling edge register, offset: 0x20 */\r
+    __IO uint32_t IST;    /**< Pin interrupt status register, offset: 0x24 */\r
+    __IO uint32_t PMCTRL; /**< Pattern match interrupt control register, offset: 0x28 */\r
+    __IO uint32_t PMSRC;  /**< Pattern match interrupt bit-slice source register, offset: 0x2C */\r
+    __IO uint32_t PMCFG;  /**< Pattern match interrupt bit slice configuration register, offset: 0x30 */\r
 } PINT_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -10825,99 +14353,149 @@ typedef struct {
 \r
 /*! @name ISEL - Pin Interrupt Mode register */\r
 /*! @{ */\r
-#define PINT_ISEL_PMODE_MASK                     (0xFFU)\r
-#define PINT_ISEL_PMODE_SHIFT                    (0U)\r
-#define PINT_ISEL_PMODE(x)                       (((uint32_t)(((uint32_t)(x)) << PINT_ISEL_PMODE_SHIFT)) & PINT_ISEL_PMODE_MASK)\r
+#define PINT_ISEL_PMODE_MASK (0xFFU)\r
+#define PINT_ISEL_PMODE_SHIFT (0U)\r
+/*! PMODE - Selects the interrupt mode for each pin interrupt. Bit n configures the pin interrupt\r
+ *    selected in PINTSELn. 0 = Edge sensitive 1 = Level sensitive\r
+ */\r
+#define PINT_ISEL_PMODE(x) (((uint32_t)(((uint32_t)(x)) << PINT_ISEL_PMODE_SHIFT)) & PINT_ISEL_PMODE_MASK)\r
 /*! @} */\r
 \r
 /*! @name IENR - Pin interrupt level or rising edge interrupt enable register */\r
 /*! @{ */\r
-#define PINT_IENR_ENRL_MASK                      (0xFFU)\r
-#define PINT_IENR_ENRL_SHIFT                     (0U)\r
-#define PINT_IENR_ENRL(x)                        (((uint32_t)(((uint32_t)(x)) << PINT_IENR_ENRL_SHIFT)) & PINT_IENR_ENRL_MASK)\r
+#define PINT_IENR_ENRL_MASK (0xFFU)\r
+#define PINT_IENR_ENRL_SHIFT (0U)\r
+/*! ENRL - Enables the rising edge or level interrupt for each pin interrupt. Bit n configures the\r
+ *    pin interrupt selected in PINTSELn. 0 = Disable rising edge or level interrupt. 1 = Enable\r
+ *    rising edge or level interrupt.\r
+ */\r
+#define PINT_IENR_ENRL(x) (((uint32_t)(((uint32_t)(x)) << PINT_IENR_ENRL_SHIFT)) & PINT_IENR_ENRL_MASK)\r
 /*! @} */\r
 \r
 /*! @name SIENR - Pin interrupt level or rising edge interrupt set register */\r
 /*! @{ */\r
-#define PINT_SIENR_SETENRL_MASK                  (0xFFU)\r
-#define PINT_SIENR_SETENRL_SHIFT                 (0U)\r
-#define PINT_SIENR_SETENRL(x)                    (((uint32_t)(((uint32_t)(x)) << PINT_SIENR_SETENRL_SHIFT)) & PINT_SIENR_SETENRL_MASK)\r
+#define PINT_SIENR_SETENRL_MASK (0xFFU)\r
+#define PINT_SIENR_SETENRL_SHIFT (0U)\r
+/*! SETENRL - Ones written to this address set bits in the IENR, thus enabling interrupts. Bit n\r
+ *    sets bit n in the IENR register. 0 = No operation. 1 = Enable rising edge or level interrupt.\r
+ */\r
+#define PINT_SIENR_SETENRL(x) (((uint32_t)(((uint32_t)(x)) << PINT_SIENR_SETENRL_SHIFT)) & PINT_SIENR_SETENRL_MASK)\r
 /*! @} */\r
 \r
 /*! @name CIENR - Pin interrupt level (rising edge interrupt) clear register */\r
 /*! @{ */\r
-#define PINT_CIENR_CENRL_MASK                    (0xFFU)\r
-#define PINT_CIENR_CENRL_SHIFT                   (0U)\r
-#define PINT_CIENR_CENRL(x)                      (((uint32_t)(((uint32_t)(x)) << PINT_CIENR_CENRL_SHIFT)) & PINT_CIENR_CENRL_MASK)\r
+#define PINT_CIENR_CENRL_MASK (0xFFU)\r
+#define PINT_CIENR_CENRL_SHIFT (0U)\r
+/*! CENRL - Ones written to this address clear bits in the IENR, thus disabling the interrupts. Bit\r
+ *    n clears bit n in the IENR register. 0 = No operation. 1 = Disable rising edge or level\r
+ *    interrupt.\r
+ */\r
+#define PINT_CIENR_CENRL(x) (((uint32_t)(((uint32_t)(x)) << PINT_CIENR_CENRL_SHIFT)) & PINT_CIENR_CENRL_MASK)\r
 /*! @} */\r
 \r
 /*! @name IENF - Pin interrupt active level or falling edge interrupt enable register */\r
 /*! @{ */\r
-#define PINT_IENF_ENAF_MASK                      (0xFFU)\r
-#define PINT_IENF_ENAF_SHIFT                     (0U)\r
-#define PINT_IENF_ENAF(x)                        (((uint32_t)(((uint32_t)(x)) << PINT_IENF_ENAF_SHIFT)) & PINT_IENF_ENAF_MASK)\r
+#define PINT_IENF_ENAF_MASK (0xFFU)\r
+#define PINT_IENF_ENAF_SHIFT (0U)\r
+/*! ENAF - Enables the falling edge or configures the active level interrupt for each pin interrupt.\r
+ *    Bit n configures the pin interrupt selected in PINTSELn. 0 = Disable falling edge interrupt\r
+ *    or set active interrupt level LOW. 1 = Enable falling edge interrupt enabled or set active\r
+ *    interrupt level HIGH.\r
+ */\r
+#define PINT_IENF_ENAF(x) (((uint32_t)(((uint32_t)(x)) << PINT_IENF_ENAF_SHIFT)) & PINT_IENF_ENAF_MASK)\r
 /*! @} */\r
 \r
 /*! @name SIENF - Pin interrupt active level or falling edge interrupt set register */\r
 /*! @{ */\r
-#define PINT_SIENF_SETENAF_MASK                  (0xFFU)\r
-#define PINT_SIENF_SETENAF_SHIFT                 (0U)\r
-#define PINT_SIENF_SETENAF(x)                    (((uint32_t)(((uint32_t)(x)) << PINT_SIENF_SETENAF_SHIFT)) & PINT_SIENF_SETENAF_MASK)\r
+#define PINT_SIENF_SETENAF_MASK (0xFFU)\r
+#define PINT_SIENF_SETENAF_SHIFT (0U)\r
+/*! SETENAF - Ones written to this address set bits in the IENF, thus enabling interrupts. Bit n\r
+ *    sets bit n in the IENF register. 0 = No operation. 1 = Select HIGH-active interrupt or enable\r
+ *    falling edge interrupt.\r
+ */\r
+#define PINT_SIENF_SETENAF(x) (((uint32_t)(((uint32_t)(x)) << PINT_SIENF_SETENAF_SHIFT)) & PINT_SIENF_SETENAF_MASK)\r
 /*! @} */\r
 \r
 /*! @name CIENF - Pin interrupt active level or falling edge interrupt clear register */\r
 /*! @{ */\r
-#define PINT_CIENF_CENAF_MASK                    (0xFFU)\r
-#define PINT_CIENF_CENAF_SHIFT                   (0U)\r
-#define PINT_CIENF_CENAF(x)                      (((uint32_t)(((uint32_t)(x)) << PINT_CIENF_CENAF_SHIFT)) & PINT_CIENF_CENAF_MASK)\r
+#define PINT_CIENF_CENAF_MASK (0xFFU)\r
+#define PINT_CIENF_CENAF_SHIFT (0U)\r
+/*! CENAF - Ones written to this address clears bits in the IENF, thus disabling interrupts. Bit n\r
+ *    clears bit n in the IENF register. 0 = No operation. 1 = LOW-active interrupt selected or\r
+ *    falling edge interrupt disabled.\r
+ */\r
+#define PINT_CIENF_CENAF(x) (((uint32_t)(((uint32_t)(x)) << PINT_CIENF_CENAF_SHIFT)) & PINT_CIENF_CENAF_MASK)\r
 /*! @} */\r
 \r
 /*! @name RISE - Pin interrupt rising edge register */\r
 /*! @{ */\r
-#define PINT_RISE_RDET_MASK                      (0xFFU)\r
-#define PINT_RISE_RDET_SHIFT                     (0U)\r
-#define PINT_RISE_RDET(x)                        (((uint32_t)(((uint32_t)(x)) << PINT_RISE_RDET_SHIFT)) & PINT_RISE_RDET_MASK)\r
+#define PINT_RISE_RDET_MASK (0xFFU)\r
+#define PINT_RISE_RDET_SHIFT (0U)\r
+/*! RDET - Rising edge detect. Bit n detects the rising edge of the pin selected in PINTSELn. Read\r
+ *    0: No rising edge has been detected on this pin since Reset or the last time a one was written\r
+ *    to this bit. Write 0: no operation. Read 1: a rising edge has been detected since Reset or the\r
+ *    last time a one was written to this bit. Write 1: clear rising edge detection for this pin.\r
+ */\r
+#define PINT_RISE_RDET(x) (((uint32_t)(((uint32_t)(x)) << PINT_RISE_RDET_SHIFT)) & PINT_RISE_RDET_MASK)\r
 /*! @} */\r
 \r
 /*! @name FALL - Pin interrupt falling edge register */\r
 /*! @{ */\r
-#define PINT_FALL_FDET_MASK                      (0xFFU)\r
-#define PINT_FALL_FDET_SHIFT                     (0U)\r
-#define PINT_FALL_FDET(x)                        (((uint32_t)(((uint32_t)(x)) << PINT_FALL_FDET_SHIFT)) & PINT_FALL_FDET_MASK)\r
+#define PINT_FALL_FDET_MASK (0xFFU)\r
+#define PINT_FALL_FDET_SHIFT (0U)\r
+/*! FDET - Falling edge detect. Bit n detects the falling edge of the pin selected in PINTSELn. Read\r
+ *    0: No falling edge has been detected on this pin since Reset or the last time a one was\r
+ *    written to this bit. Write 0: no operation. Read 1: a falling edge has been detected since Reset or\r
+ *    the last time a one was written to this bit. Write 1: clear falling edge detection for this\r
+ *    pin.\r
+ */\r
+#define PINT_FALL_FDET(x) (((uint32_t)(((uint32_t)(x)) << PINT_FALL_FDET_SHIFT)) & PINT_FALL_FDET_MASK)\r
 /*! @} */\r
 \r
 /*! @name IST - Pin interrupt status register */\r
 /*! @{ */\r
-#define PINT_IST_PSTAT_MASK                      (0xFFU)\r
-#define PINT_IST_PSTAT_SHIFT                     (0U)\r
-#define PINT_IST_PSTAT(x)                        (((uint32_t)(((uint32_t)(x)) << PINT_IST_PSTAT_SHIFT)) & PINT_IST_PSTAT_MASK)\r
+#define PINT_IST_PSTAT_MASK (0xFFU)\r
+#define PINT_IST_PSTAT_SHIFT (0U)\r
+/*! PSTAT - Pin interrupt status. Bit n returns the status, clears the edge interrupt, or inverts\r
+ *    the active level of the pin selected in PINTSELn. Read 0: interrupt is not being requested for\r
+ *    this interrupt pin. Write 0: no operation. Read 1: interrupt is being requested for this\r
+ *    interrupt pin. Write 1 (edge-sensitive): clear rising- and falling-edge detection for this pin.\r
+ *    Write 1 (level-sensitive): switch the active level for this pin (in the IENF register).\r
+ */\r
+#define PINT_IST_PSTAT(x) (((uint32_t)(((uint32_t)(x)) << PINT_IST_PSTAT_SHIFT)) & PINT_IST_PSTAT_MASK)\r
 /*! @} */\r
 \r
 /*! @name PMCTRL - Pattern match interrupt control register */\r
 /*! @{ */\r
-#define PINT_PMCTRL_SEL_PMATCH_MASK              (0x1U)\r
-#define PINT_PMCTRL_SEL_PMATCH_SHIFT             (0U)\r
-/*! SEL_PMATCH - Specifies whether the 8 pin interrupts are controlled by the pin interrupt function or by the pattern match function.\r
- *  0b0..Pin interrupt. Interrupts are driven in response to the standard pin interrupt function.\r
+#define PINT_PMCTRL_SEL_PMATCH_MASK (0x1U)\r
+#define PINT_PMCTRL_SEL_PMATCH_SHIFT (0U)\r
+/*! SEL_PMATCH - Specifies whether the 8 pin interrupts are controlled by the pin interrupt function or by the pattern\r
+ * match function. 0b0..Pin interrupt. Interrupts are driven in response to the standard pin interrupt function.\r
  *  0b1..Pattern match. Interrupts are driven in response to pattern matches.\r
  */\r
-#define PINT_PMCTRL_SEL_PMATCH(x)                (((uint32_t)(((uint32_t)(x)) << PINT_PMCTRL_SEL_PMATCH_SHIFT)) & PINT_PMCTRL_SEL_PMATCH_MASK)\r
-#define PINT_PMCTRL_ENA_RXEV_MASK                (0x2U)\r
-#define PINT_PMCTRL_ENA_RXEV_SHIFT               (1U)\r
-/*! ENA_RXEV - Enables the RXEV output to the CPU and/or to a GPIO output when the specified boolean expression evaluates to true.\r
- *  0b0..Disabled. RXEV output to the CPU is disabled.\r
- *  0b1..Enabled. RXEV output to the CPU is enabled.\r
+#define PINT_PMCTRL_SEL_PMATCH(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PINT_PMCTRL_SEL_PMATCH_SHIFT)) & PINT_PMCTRL_SEL_PMATCH_MASK)\r
+#define PINT_PMCTRL_ENA_RXEV_MASK (0x2U)\r
+#define PINT_PMCTRL_ENA_RXEV_SHIFT (1U)\r
+/*! ENA_RXEV - Enables the RXEV output to the CPU and/or to a GPIO output when the specified boolean expression\r
+ * evaluates to true. 0b0..Disabled. RXEV output to the CPU is disabled. 0b1..Enabled. RXEV output to the CPU is\r
+ * enabled.\r
+ */\r
+#define PINT_PMCTRL_ENA_RXEV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PINT_PMCTRL_ENA_RXEV_SHIFT)) & PINT_PMCTRL_ENA_RXEV_MASK)\r
+#define PINT_PMCTRL_PMAT_MASK (0xFF000000U)\r
+#define PINT_PMCTRL_PMAT_SHIFT (24U)\r
+/*! PMAT - This field displays the current state of pattern matches. A 1 in any bit of this field\r
+ *    indicates that the corresponding product term is matched by the current state of the appropriate\r
+ *    inputs.\r
  */\r
-#define PINT_PMCTRL_ENA_RXEV(x)                  (((uint32_t)(((uint32_t)(x)) << PINT_PMCTRL_ENA_RXEV_SHIFT)) & PINT_PMCTRL_ENA_RXEV_MASK)\r
-#define PINT_PMCTRL_PMAT_MASK                    (0xFF000000U)\r
-#define PINT_PMCTRL_PMAT_SHIFT                   (24U)\r
-#define PINT_PMCTRL_PMAT(x)                      (((uint32_t)(((uint32_t)(x)) << PINT_PMCTRL_PMAT_SHIFT)) & PINT_PMCTRL_PMAT_MASK)\r
+#define PINT_PMCTRL_PMAT(x) (((uint32_t)(((uint32_t)(x)) << PINT_PMCTRL_PMAT_SHIFT)) & PINT_PMCTRL_PMAT_MASK)\r
 /*! @} */\r
 \r
 /*! @name PMSRC - Pattern match interrupt bit-slice source register */\r
 /*! @{ */\r
-#define PINT_PMSRC_SRC0_MASK                     (0x700U)\r
-#define PINT_PMSRC_SRC0_SHIFT                    (8U)\r
+#define PINT_PMSRC_SRC0_MASK (0x700U)\r
+#define PINT_PMSRC_SRC0_SHIFT (8U)\r
 /*! SRC0 - Selects the input source for bit slice 0\r
  *  0b000..Input 0. Selects the pin selected in the PINTSEL0 register as the source to bit slice 0.\r
  *  0b001..Input 1. Selects the pin selected in the PINTSEL1 register as the source to bit slice 0.\r
@@ -10928,9 +14506,9 @@ typedef struct {
  *  0b110..Input 6. Selects the pin selected in the PINTSEL6 register as the source to bit slice 0.\r
  *  0b111..Input 7. Selects the pin selected in the PINTSEL7 register as the source to bit slice 0.\r
  */\r
-#define PINT_PMSRC_SRC0(x)                       (((uint32_t)(((uint32_t)(x)) << PINT_PMSRC_SRC0_SHIFT)) & PINT_PMSRC_SRC0_MASK)\r
-#define PINT_PMSRC_SRC1_MASK                     (0x3800U)\r
-#define PINT_PMSRC_SRC1_SHIFT                    (11U)\r
+#define PINT_PMSRC_SRC0(x) (((uint32_t)(((uint32_t)(x)) << PINT_PMSRC_SRC0_SHIFT)) & PINT_PMSRC_SRC0_MASK)\r
+#define PINT_PMSRC_SRC1_MASK (0x3800U)\r
+#define PINT_PMSRC_SRC1_SHIFT (11U)\r
 /*! SRC1 - Selects the input source for bit slice 1\r
  *  0b000..Input 0. Selects the pin selected in the PINTSEL0 register as the source to bit slice 1.\r
  *  0b001..Input 1. Selects the pin selected in the PINTSEL1 register as the source to bit slice 1.\r
@@ -10941,9 +14519,9 @@ typedef struct {
  *  0b110..Input 6. Selects the pin selected in the PINTSEL6 register as the source to bit slice 1.\r
  *  0b111..Input 7. Selects the pin selected in the PINTSEL7 register as the source to bit slice 1.\r
  */\r
-#define PINT_PMSRC_SRC1(x)                       (((uint32_t)(((uint32_t)(x)) << PINT_PMSRC_SRC1_SHIFT)) & PINT_PMSRC_SRC1_MASK)\r
-#define PINT_PMSRC_SRC2_MASK                     (0x1C000U)\r
-#define PINT_PMSRC_SRC2_SHIFT                    (14U)\r
+#define PINT_PMSRC_SRC1(x) (((uint32_t)(((uint32_t)(x)) << PINT_PMSRC_SRC1_SHIFT)) & PINT_PMSRC_SRC1_MASK)\r
+#define PINT_PMSRC_SRC2_MASK (0x1C000U)\r
+#define PINT_PMSRC_SRC2_SHIFT (14U)\r
 /*! SRC2 - Selects the input source for bit slice 2\r
  *  0b000..Input 0. Selects the pin selected in the PINTSEL0 register as the source to bit slice 2.\r
  *  0b001..Input 1. Selects the pin selected in the PINTSEL1 register as the source to bit slice 2.\r
@@ -10954,9 +14532,9 @@ typedef struct {
  *  0b110..Input 6. Selects the pin selected in the PINTSEL6 register as the source to bit slice 2.\r
  *  0b111..Input 7. Selects the pin selected in the PINTSEL7 register as the source to bit slice 2.\r
  */\r
-#define PINT_PMSRC_SRC2(x)                       (((uint32_t)(((uint32_t)(x)) << PINT_PMSRC_SRC2_SHIFT)) & PINT_PMSRC_SRC2_MASK)\r
-#define PINT_PMSRC_SRC3_MASK                     (0xE0000U)\r
-#define PINT_PMSRC_SRC3_SHIFT                    (17U)\r
+#define PINT_PMSRC_SRC2(x) (((uint32_t)(((uint32_t)(x)) << PINT_PMSRC_SRC2_SHIFT)) & PINT_PMSRC_SRC2_MASK)\r
+#define PINT_PMSRC_SRC3_MASK (0xE0000U)\r
+#define PINT_PMSRC_SRC3_SHIFT (17U)\r
 /*! SRC3 - Selects the input source for bit slice 3\r
  *  0b000..Input 0. Selects the pin selected in the PINTSEL0 register as the source to bit slice 3.\r
  *  0b001..Input 1. Selects the pin selected in the PINTSEL1 register as the source to bit slice 3.\r
@@ -10967,9 +14545,9 @@ typedef struct {
  *  0b110..Input 6. Selects the pin selected in the PINTSEL6 register as the source to bit slice 3.\r
  *  0b111..Input 7. Selects the pin selected in the PINTSEL7 register as the source to bit slice 3.\r
  */\r
-#define PINT_PMSRC_SRC3(x)                       (((uint32_t)(((uint32_t)(x)) << PINT_PMSRC_SRC3_SHIFT)) & PINT_PMSRC_SRC3_MASK)\r
-#define PINT_PMSRC_SRC4_MASK                     (0x700000U)\r
-#define PINT_PMSRC_SRC4_SHIFT                    (20U)\r
+#define PINT_PMSRC_SRC3(x) (((uint32_t)(((uint32_t)(x)) << PINT_PMSRC_SRC3_SHIFT)) & PINT_PMSRC_SRC3_MASK)\r
+#define PINT_PMSRC_SRC4_MASK (0x700000U)\r
+#define PINT_PMSRC_SRC4_SHIFT (20U)\r
 /*! SRC4 - Selects the input source for bit slice 4\r
  *  0b000..Input 0. Selects the pin selected in the PINTSEL0 register as the source to bit slice 4.\r
  *  0b001..Input 1. Selects the pin selected in the PINTSEL1 register as the source to bit slice 4.\r
@@ -10980,9 +14558,9 @@ typedef struct {
  *  0b110..Input 6. Selects the pin selected in the PINTSEL6 register as the source to bit slice 4.\r
  *  0b111..Input 7. Selects the pin selected in the PINTSEL7 register as the source to bit slice 4.\r
  */\r
-#define PINT_PMSRC_SRC4(x)                       (((uint32_t)(((uint32_t)(x)) << PINT_PMSRC_SRC4_SHIFT)) & PINT_PMSRC_SRC4_MASK)\r
-#define PINT_PMSRC_SRC5_MASK                     (0x3800000U)\r
-#define PINT_PMSRC_SRC5_SHIFT                    (23U)\r
+#define PINT_PMSRC_SRC4(x) (((uint32_t)(((uint32_t)(x)) << PINT_PMSRC_SRC4_SHIFT)) & PINT_PMSRC_SRC4_MASK)\r
+#define PINT_PMSRC_SRC5_MASK (0x3800000U)\r
+#define PINT_PMSRC_SRC5_SHIFT (23U)\r
 /*! SRC5 - Selects the input source for bit slice 5\r
  *  0b000..Input 0. Selects the pin selected in the PINTSEL0 register as the source to bit slice 5.\r
  *  0b001..Input 1. Selects the pin selected in the PINTSEL1 register as the source to bit slice 5.\r
@@ -10993,9 +14571,9 @@ typedef struct {
  *  0b110..Input 6. Selects the pin selected in the PINTSEL6 register as the source to bit slice 5.\r
  *  0b111..Input 7. Selects the pin selected in the PINTSEL7 register as the source to bit slice 5.\r
  */\r
-#define PINT_PMSRC_SRC5(x)                       (((uint32_t)(((uint32_t)(x)) << PINT_PMSRC_SRC5_SHIFT)) & PINT_PMSRC_SRC5_MASK)\r
-#define PINT_PMSRC_SRC6_MASK                     (0x1C000000U)\r
-#define PINT_PMSRC_SRC6_SHIFT                    (26U)\r
+#define PINT_PMSRC_SRC5(x) (((uint32_t)(((uint32_t)(x)) << PINT_PMSRC_SRC5_SHIFT)) & PINT_PMSRC_SRC5_MASK)\r
+#define PINT_PMSRC_SRC6_MASK (0x1C000000U)\r
+#define PINT_PMSRC_SRC6_SHIFT (26U)\r
 /*! SRC6 - Selects the input source for bit slice 6\r
  *  0b000..Input 0. Selects the pin selected in the PINTSEL0 register as the source to bit slice 6.\r
  *  0b001..Input 1. Selects the pin selected in the PINTSEL1 register as the source to bit slice 6.\r
@@ -11006,9 +14584,9 @@ typedef struct {
  *  0b110..Input 6. Selects the pin selected in the PINTSEL6 register as the source to bit slice 6.\r
  *  0b111..Input 7. Selects the pin selected in the PINTSEL7 register as the source to bit slice 6.\r
  */\r
-#define PINT_PMSRC_SRC6(x)                       (((uint32_t)(((uint32_t)(x)) << PINT_PMSRC_SRC6_SHIFT)) & PINT_PMSRC_SRC6_MASK)\r
-#define PINT_PMSRC_SRC7_MASK                     (0xE0000000U)\r
-#define PINT_PMSRC_SRC7_SHIFT                    (29U)\r
+#define PINT_PMSRC_SRC6(x) (((uint32_t)(((uint32_t)(x)) << PINT_PMSRC_SRC6_SHIFT)) & PINT_PMSRC_SRC6_MASK)\r
+#define PINT_PMSRC_SRC7_MASK (0xE0000000U)\r
+#define PINT_PMSRC_SRC7_SHIFT (29U)\r
 /*! SRC7 - Selects the input source for bit slice 7\r
  *  0b000..Input 0. Selects the pin selected in the PINTSEL0 register as the source to bit slice 7.\r
  *  0b001..Input 1. Selects the pin selected in the PINTSEL1 register as the source to bit slice 7.\r
@@ -11019,220 +14597,317 @@ typedef struct {
  *  0b110..Input 6. Selects the pin selected in the PINTSEL6 register as the source to bit slice 7.\r
  *  0b111..Input 7. Selects the pin selected in the PINTSEL7 register as the source to bit slice 7.\r
  */\r
-#define PINT_PMSRC_SRC7(x)                       (((uint32_t)(((uint32_t)(x)) << PINT_PMSRC_SRC7_SHIFT)) & PINT_PMSRC_SRC7_MASK)\r
+#define PINT_PMSRC_SRC7(x) (((uint32_t)(((uint32_t)(x)) << PINT_PMSRC_SRC7_SHIFT)) & PINT_PMSRC_SRC7_MASK)\r
 /*! @} */\r
 \r
 /*! @name PMCFG - Pattern match interrupt bit slice configuration register */\r
 /*! @{ */\r
-#define PINT_PMCFG_PROD_ENDPTS0_MASK             (0x1U)\r
-#define PINT_PMCFG_PROD_ENDPTS0_SHIFT            (0U)\r
+#define PINT_PMCFG_PROD_ENDPTS0_MASK (0x1U)\r
+#define PINT_PMCFG_PROD_ENDPTS0_SHIFT (0U)\r
 /*! PROD_ENDPTS0 - Determines whether slice 0 is an endpoint.\r
  *  0b0..No effect. Slice 0 is not an endpoint.\r
- *  0b1..endpoint. Slice 0 is the endpoint of a product term (minterm). Pin interrupt 0 in the NVIC is raised if the minterm evaluates as true.\r
+ *  0b1..endpoint. Slice 0 is the endpoint of a product term (minterm). Pin interrupt 0 in the NVIC is raised if the\r
+ * minterm evaluates as true.\r
  */\r
-#define PINT_PMCFG_PROD_ENDPTS0(x)               (((uint32_t)(((uint32_t)(x)) << PINT_PMCFG_PROD_ENDPTS0_SHIFT)) & PINT_PMCFG_PROD_ENDPTS0_MASK)\r
-#define PINT_PMCFG_PROD_ENDPTS1_MASK             (0x2U)\r
-#define PINT_PMCFG_PROD_ENDPTS1_SHIFT            (1U)\r
+#define PINT_PMCFG_PROD_ENDPTS0(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PINT_PMCFG_PROD_ENDPTS0_SHIFT)) & PINT_PMCFG_PROD_ENDPTS0_MASK)\r
+#define PINT_PMCFG_PROD_ENDPTS1_MASK (0x2U)\r
+#define PINT_PMCFG_PROD_ENDPTS1_SHIFT (1U)\r
 /*! PROD_ENDPTS1 - Determines whether slice 1 is an endpoint.\r
  *  0b0..No effect. Slice 1 is not an endpoint.\r
- *  0b1..endpoint. Slice 1 is the endpoint of a product term (minterm). Pin interrupt 1 in the NVIC is raised if the minterm evaluates as true.\r
+ *  0b1..endpoint. Slice 1 is the endpoint of a product term (minterm). Pin interrupt 1 in the NVIC is raised if the\r
+ * minterm evaluates as true.\r
  */\r
-#define PINT_PMCFG_PROD_ENDPTS1(x)               (((uint32_t)(((uint32_t)(x)) << PINT_PMCFG_PROD_ENDPTS1_SHIFT)) & PINT_PMCFG_PROD_ENDPTS1_MASK)\r
-#define PINT_PMCFG_PROD_ENDPTS2_MASK             (0x4U)\r
-#define PINT_PMCFG_PROD_ENDPTS2_SHIFT            (2U)\r
+#define PINT_PMCFG_PROD_ENDPTS1(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PINT_PMCFG_PROD_ENDPTS1_SHIFT)) & PINT_PMCFG_PROD_ENDPTS1_MASK)\r
+#define PINT_PMCFG_PROD_ENDPTS2_MASK (0x4U)\r
+#define PINT_PMCFG_PROD_ENDPTS2_SHIFT (2U)\r
 /*! PROD_ENDPTS2 - Determines whether slice 2 is an endpoint.\r
  *  0b0..No effect. Slice 2 is not an endpoint.\r
- *  0b1..endpoint. Slice 2 is the endpoint of a product term (minterm). Pin interrupt 2 in the NVIC is raised if the minterm evaluates as true.\r
+ *  0b1..endpoint. Slice 2 is the endpoint of a product term (minterm). Pin interrupt 2 in the NVIC is raised if the\r
+ * minterm evaluates as true.\r
  */\r
-#define PINT_PMCFG_PROD_ENDPTS2(x)               (((uint32_t)(((uint32_t)(x)) << PINT_PMCFG_PROD_ENDPTS2_SHIFT)) & PINT_PMCFG_PROD_ENDPTS2_MASK)\r
-#define PINT_PMCFG_PROD_ENDPTS3_MASK             (0x8U)\r
-#define PINT_PMCFG_PROD_ENDPTS3_SHIFT            (3U)\r
+#define PINT_PMCFG_PROD_ENDPTS2(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PINT_PMCFG_PROD_ENDPTS2_SHIFT)) & PINT_PMCFG_PROD_ENDPTS2_MASK)\r
+#define PINT_PMCFG_PROD_ENDPTS3_MASK (0x8U)\r
+#define PINT_PMCFG_PROD_ENDPTS3_SHIFT (3U)\r
 /*! PROD_ENDPTS3 - Determines whether slice 3 is an endpoint.\r
  *  0b0..No effect. Slice 3 is not an endpoint.\r
- *  0b1..endpoint. Slice 3 is the endpoint of a product term (minterm). Pin interrupt 3 in the NVIC is raised if the minterm evaluates as true.\r
+ *  0b1..endpoint. Slice 3 is the endpoint of a product term (minterm). Pin interrupt 3 in the NVIC is raised if the\r
+ * minterm evaluates as true.\r
  */\r
-#define PINT_PMCFG_PROD_ENDPTS3(x)               (((uint32_t)(((uint32_t)(x)) << PINT_PMCFG_PROD_ENDPTS3_SHIFT)) & PINT_PMCFG_PROD_ENDPTS3_MASK)\r
-#define PINT_PMCFG_PROD_ENDPTS4_MASK             (0x10U)\r
-#define PINT_PMCFG_PROD_ENDPTS4_SHIFT            (4U)\r
+#define PINT_PMCFG_PROD_ENDPTS3(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PINT_PMCFG_PROD_ENDPTS3_SHIFT)) & PINT_PMCFG_PROD_ENDPTS3_MASK)\r
+#define PINT_PMCFG_PROD_ENDPTS4_MASK (0x10U)\r
+#define PINT_PMCFG_PROD_ENDPTS4_SHIFT (4U)\r
 /*! PROD_ENDPTS4 - Determines whether slice 4 is an endpoint.\r
  *  0b0..No effect. Slice 4 is not an endpoint.\r
- *  0b1..endpoint. Slice 4 is the endpoint of a product term (minterm). Pin interrupt 4 in the NVIC is raised if the minterm evaluates as true.\r
+ *  0b1..endpoint. Slice 4 is the endpoint of a product term (minterm). Pin interrupt 4 in the NVIC is raised if the\r
+ * minterm evaluates as true.\r
  */\r
-#define PINT_PMCFG_PROD_ENDPTS4(x)               (((uint32_t)(((uint32_t)(x)) << PINT_PMCFG_PROD_ENDPTS4_SHIFT)) & PINT_PMCFG_PROD_ENDPTS4_MASK)\r
-#define PINT_PMCFG_PROD_ENDPTS5_MASK             (0x20U)\r
-#define PINT_PMCFG_PROD_ENDPTS5_SHIFT            (5U)\r
+#define PINT_PMCFG_PROD_ENDPTS4(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PINT_PMCFG_PROD_ENDPTS4_SHIFT)) & PINT_PMCFG_PROD_ENDPTS4_MASK)\r
+#define PINT_PMCFG_PROD_ENDPTS5_MASK (0x20U)\r
+#define PINT_PMCFG_PROD_ENDPTS5_SHIFT (5U)\r
 /*! PROD_ENDPTS5 - Determines whether slice 5 is an endpoint.\r
  *  0b0..No effect. Slice 5 is not an endpoint.\r
- *  0b1..endpoint. Slice 5 is the endpoint of a product term (minterm). Pin interrupt 5 in the NVIC is raised if the minterm evaluates as true.\r
+ *  0b1..endpoint. Slice 5 is the endpoint of a product term (minterm). Pin interrupt 5 in the NVIC is raised if the\r
+ * minterm evaluates as true.\r
  */\r
-#define PINT_PMCFG_PROD_ENDPTS5(x)               (((uint32_t)(((uint32_t)(x)) << PINT_PMCFG_PROD_ENDPTS5_SHIFT)) & PINT_PMCFG_PROD_ENDPTS5_MASK)\r
-#define PINT_PMCFG_PROD_ENDPTS6_MASK             (0x40U)\r
-#define PINT_PMCFG_PROD_ENDPTS6_SHIFT            (6U)\r
+#define PINT_PMCFG_PROD_ENDPTS5(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PINT_PMCFG_PROD_ENDPTS5_SHIFT)) & PINT_PMCFG_PROD_ENDPTS5_MASK)\r
+#define PINT_PMCFG_PROD_ENDPTS6_MASK (0x40U)\r
+#define PINT_PMCFG_PROD_ENDPTS6_SHIFT (6U)\r
 /*! PROD_ENDPTS6 - Determines whether slice 6 is an endpoint.\r
  *  0b0..No effect. Slice 6 is not an endpoint.\r
- *  0b1..endpoint. Slice 6 is the endpoint of a product term (minterm). Pin interrupt 6 in the NVIC is raised if the minterm evaluates as true.\r
+ *  0b1..endpoint. Slice 6 is the endpoint of a product term (minterm). Pin interrupt 6 in the NVIC is raised if the\r
+ * minterm evaluates as true.\r
  */\r
-#define PINT_PMCFG_PROD_ENDPTS6(x)               (((uint32_t)(((uint32_t)(x)) << PINT_PMCFG_PROD_ENDPTS6_SHIFT)) & PINT_PMCFG_PROD_ENDPTS6_MASK)\r
-#define PINT_PMCFG_CFG0_MASK                     (0x700U)\r
-#define PINT_PMCFG_CFG0_SHIFT                    (8U)\r
+#define PINT_PMCFG_PROD_ENDPTS6(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PINT_PMCFG_PROD_ENDPTS6_SHIFT)) & PINT_PMCFG_PROD_ENDPTS6_MASK)\r
+#define PINT_PMCFG_CFG0_MASK (0x700U)\r
+#define PINT_PMCFG_CFG0_SHIFT (8U)\r
 /*! CFG0 - Specifies the match contribution condition for bit slice 0.\r
  *  0b000..Constant HIGH. This bit slice always contributes to a product term match.\r
- *  0b001..Sticky rising edge. Match occurs if a rising edge on the specified input has occurred since the last time the edge detection for this bit slice was cleared. This bit is only cleared when the PMCFG or the PMSRC registers are written to.\r
- *  0b010..Sticky falling edge. Match occurs if a falling edge on the specified input has occurred since the last time the edge detection for this bit slice was cleared. This bit is only cleared when the PMCFG or the PMSRC registers are written to.\r
- *  0b011..Sticky rising or falling edge. Match occurs if either a rising or falling edge on the specified input has occurred since the last time the edge detection for this bit slice was cleared. This bit is only cleared when the PMCFG or the PMSRC registers are written to.\r
- *  0b100..High level. Match (for this bit slice) occurs when there is a high level on the input specified for this bit slice in the PMSRC register.\r
- *  0b101..Low level. Match occurs when there is a low level on the specified input.\r
+ *  0b001..Sticky rising edge. Match occurs if a rising edge on the specified input has occurred since the last\r
+ *         time the edge detection for this bit slice was cleared. This bit is only cleared when the PMCFG or the\r
+ *         PMSRC registers are written to.\r
+ *  0b010..Sticky falling edge. Match occurs if a falling edge on the specified input has occurred since the last\r
+ *         time the edge detection for this bit slice was cleared. This bit is only cleared when the PMCFG or the\r
+ *         PMSRC registers are written to.\r
+ *  0b011..Sticky rising or falling edge. Match occurs if either a rising or falling edge on the specified input\r
+ *         has occurred since the last time the edge detection for this bit slice was cleared. This bit is only\r
+ *         cleared when the PMCFG or the PMSRC registers are written to.\r
+ *  0b100..High level. Match (for this bit slice) occurs when there is a high level on the input specified for this bit\r
+ * slice in the PMSRC register. 0b101..Low level. Match occurs when there is a low level on the specified input.\r
  *  0b110..Constant 0. This bit slice never contributes to a match (should be used to disable any unused bit slices).\r
- *  0b111..Event. Non-sticky rising or falling edge. Match occurs on an event - i.e. when either a rising or falling edge is first detected on the specified input (this is a non-sticky version of value 0x3) . This bit is cleared after one clock cycle.\r
+ *  0b111..Event. Non-sticky rising or falling edge. Match occurs on an event - i.e. when either a rising or\r
+ *         falling edge is first detected on the specified input (this is a non-sticky version of value 0x3) . This bit\r
+ *         is cleared after one clock cycle.\r
  */\r
-#define PINT_PMCFG_CFG0(x)                       (((uint32_t)(((uint32_t)(x)) << PINT_PMCFG_CFG0_SHIFT)) & PINT_PMCFG_CFG0_MASK)\r
-#define PINT_PMCFG_CFG1_MASK                     (0x3800U)\r
-#define PINT_PMCFG_CFG1_SHIFT                    (11U)\r
+#define PINT_PMCFG_CFG0(x) (((uint32_t)(((uint32_t)(x)) << PINT_PMCFG_CFG0_SHIFT)) & PINT_PMCFG_CFG0_MASK)\r
+#define PINT_PMCFG_CFG1_MASK (0x3800U)\r
+#define PINT_PMCFG_CFG1_SHIFT (11U)\r
 /*! CFG1 - Specifies the match contribution condition for bit slice 1.\r
  *  0b000..Constant HIGH. This bit slice always contributes to a product term match.\r
- *  0b001..Sticky rising edge. Match occurs if a rising edge on the specified input has occurred since the last time the edge detection for this bit slice was cleared. This bit is only cleared when the PMCFG or the PMSRC registers are written to.\r
- *  0b010..Sticky falling edge. Match occurs if a falling edge on the specified input has occurred since the last time the edge detection for this bit slice was cleared. This bit is only cleared when the PMCFG or the PMSRC registers are written to.\r
- *  0b011..Sticky rising or falling edge. Match occurs if either a rising or falling edge on the specified input has occurred since the last time the edge detection for this bit slice was cleared. This bit is only cleared when the PMCFG or the PMSRC registers are written to.\r
- *  0b100..High level. Match (for this bit slice) occurs when there is a high level on the input specified for this bit slice in the PMSRC register.\r
- *  0b101..Low level. Match occurs when there is a low level on the specified input.\r
+ *  0b001..Sticky rising edge. Match occurs if a rising edge on the specified input has occurred since the last\r
+ *         time the edge detection for this bit slice was cleared. This bit is only cleared when the PMCFG or the\r
+ *         PMSRC registers are written to.\r
+ *  0b010..Sticky falling edge. Match occurs if a falling edge on the specified input has occurred since the last\r
+ *         time the edge detection for this bit slice was cleared. This bit is only cleared when the PMCFG or the\r
+ *         PMSRC registers are written to.\r
+ *  0b011..Sticky rising or falling edge. Match occurs if either a rising or falling edge on the specified input\r
+ *         has occurred since the last time the edge detection for this bit slice was cleared. This bit is only\r
+ *         cleared when the PMCFG or the PMSRC registers are written to.\r
+ *  0b100..High level. Match (for this bit slice) occurs when there is a high level on the input specified for this bit\r
+ * slice in the PMSRC register. 0b101..Low level. Match occurs when there is a low level on the specified input.\r
  *  0b110..Constant 0. This bit slice never contributes to a match (should be used to disable any unused bit slices).\r
- *  0b111..Event. Non-sticky rising or falling edge. Match occurs on an event - i.e. when either a rising or falling edge is first detected on the specified input (this is a non-sticky version of value 0x3) . This bit is cleared after one clock cycle.\r
+ *  0b111..Event. Non-sticky rising or falling edge. Match occurs on an event - i.e. when either a rising or\r
+ *         falling edge is first detected on the specified input (this is a non-sticky version of value 0x3) . This bit\r
+ *         is cleared after one clock cycle.\r
  */\r
-#define PINT_PMCFG_CFG1(x)                       (((uint32_t)(((uint32_t)(x)) << PINT_PMCFG_CFG1_SHIFT)) & PINT_PMCFG_CFG1_MASK)\r
-#define PINT_PMCFG_CFG2_MASK                     (0x1C000U)\r
-#define PINT_PMCFG_CFG2_SHIFT                    (14U)\r
+#define PINT_PMCFG_CFG1(x) (((uint32_t)(((uint32_t)(x)) << PINT_PMCFG_CFG1_SHIFT)) & PINT_PMCFG_CFG1_MASK)\r
+#define PINT_PMCFG_CFG2_MASK (0x1C000U)\r
+#define PINT_PMCFG_CFG2_SHIFT (14U)\r
 /*! CFG2 - Specifies the match contribution condition for bit slice 2.\r
  *  0b000..Constant HIGH. This bit slice always contributes to a product term match.\r
- *  0b001..Sticky rising edge. Match occurs if a rising edge on the specified input has occurred since the last time the edge detection for this bit slice was cleared. This bit is only cleared when the PMCFG or the PMSRC registers are written to.\r
- *  0b010..Sticky falling edge. Match occurs if a falling edge on the specified input has occurred since the last time the edge detection for this bit slice was cleared. This bit is only cleared when the PMCFG or the PMSRC registers are written to.\r
- *  0b011..Sticky rising or falling edge. Match occurs if either a rising or falling edge on the specified input has occurred since the last time the edge detection for this bit slice was cleared. This bit is only cleared when the PMCFG or the PMSRC registers are written to.\r
- *  0b100..High level. Match (for this bit slice) occurs when there is a high level on the input specified for this bit slice in the PMSRC register.\r
- *  0b101..Low level. Match occurs when there is a low level on the specified input.\r
+ *  0b001..Sticky rising edge. Match occurs if a rising edge on the specified input has occurred since the last\r
+ *         time the edge detection for this bit slice was cleared. This bit is only cleared when the PMCFG or the\r
+ *         PMSRC registers are written to.\r
+ *  0b010..Sticky falling edge. Match occurs if a falling edge on the specified input has occurred since the last\r
+ *         time the edge detection for this bit slice was cleared. This bit is only cleared when the PMCFG or the\r
+ *         PMSRC registers are written to.\r
+ *  0b011..Sticky rising or falling edge. Match occurs if either a rising or falling edge on the specified input\r
+ *         has occurred since the last time the edge detection for this bit slice was cleared. This bit is only\r
+ *         cleared when the PMCFG or the PMSRC registers are written to.\r
+ *  0b100..High level. Match (for this bit slice) occurs when there is a high level on the input specified for this bit\r
+ * slice in the PMSRC register. 0b101..Low level. Match occurs when there is a low level on the specified input.\r
  *  0b110..Constant 0. This bit slice never contributes to a match (should be used to disable any unused bit slices).\r
- *  0b111..Event. Non-sticky rising or falling edge. Match occurs on an event - i.e. when either a rising or falling edge is first detected on the specified input (this is a non-sticky version of value 0x3) . This bit is cleared after one clock cycle.\r
+ *  0b111..Event. Non-sticky rising or falling edge. Match occurs on an event - i.e. when either a rising or\r
+ *         falling edge is first detected on the specified input (this is a non-sticky version of value 0x3) . This bit\r
+ *         is cleared after one clock cycle.\r
  */\r
-#define PINT_PMCFG_CFG2(x)                       (((uint32_t)(((uint32_t)(x)) << PINT_PMCFG_CFG2_SHIFT)) & PINT_PMCFG_CFG2_MASK)\r
-#define PINT_PMCFG_CFG3_MASK                     (0xE0000U)\r
-#define PINT_PMCFG_CFG3_SHIFT                    (17U)\r
+#define PINT_PMCFG_CFG2(x) (((uint32_t)(((uint32_t)(x)) << PINT_PMCFG_CFG2_SHIFT)) & PINT_PMCFG_CFG2_MASK)\r
+#define PINT_PMCFG_CFG3_MASK (0xE0000U)\r
+#define PINT_PMCFG_CFG3_SHIFT (17U)\r
 /*! CFG3 - Specifies the match contribution condition for bit slice 3.\r
  *  0b000..Constant HIGH. This bit slice always contributes to a product term match.\r
- *  0b001..Sticky rising edge. Match occurs if a rising edge on the specified input has occurred since the last time the edge detection for this bit slice was cleared. This bit is only cleared when the PMCFG or the PMSRC registers are written to.\r
- *  0b010..Sticky falling edge. Match occurs if a falling edge on the specified input has occurred since the last time the edge detection for this bit slice was cleared. This bit is only cleared when the PMCFG or the PMSRC registers are written to.\r
- *  0b011..Sticky rising or falling edge. Match occurs if either a rising or falling edge on the specified input has occurred since the last time the edge detection for this bit slice was cleared. This bit is only cleared when the PMCFG or the PMSRC registers are written to.\r
- *  0b100..High level. Match (for this bit slice) occurs when there is a high level on the input specified for this bit slice in the PMSRC register.\r
- *  0b101..Low level. Match occurs when there is a low level on the specified input.\r
+ *  0b001..Sticky rising edge. Match occurs if a rising edge on the specified input has occurred since the last\r
+ *         time the edge detection for this bit slice was cleared. This bit is only cleared when the PMCFG or the\r
+ *         PMSRC registers are written to.\r
+ *  0b010..Sticky falling edge. Match occurs if a falling edge on the specified input has occurred since the last\r
+ *         time the edge detection for this bit slice was cleared. This bit is only cleared when the PMCFG or the\r
+ *         PMSRC registers are written to.\r
+ *  0b011..Sticky rising or falling edge. Match occurs if either a rising or falling edge on the specified input\r
+ *         has occurred since the last time the edge detection for this bit slice was cleared. This bit is only\r
+ *         cleared when the PMCFG or the PMSRC registers are written to.\r
+ *  0b100..High level. Match (for this bit slice) occurs when there is a high level on the input specified for this bit\r
+ * slice in the PMSRC register. 0b101..Low level. Match occurs when there is a low level on the specified input.\r
  *  0b110..Constant 0. This bit slice never contributes to a match (should be used to disable any unused bit slices).\r
- *  0b111..Event. Non-sticky rising or falling edge. Match occurs on an event - i.e. when either a rising or falling edge is first detected on the specified input (this is a non-sticky version of value 0x3) . This bit is cleared after one clock cycle.\r
+ *  0b111..Event. Non-sticky rising or falling edge. Match occurs on an event - i.e. when either a rising or\r
+ *         falling edge is first detected on the specified input (this is a non-sticky version of value 0x3) . This bit\r
+ *         is cleared after one clock cycle.\r
  */\r
-#define PINT_PMCFG_CFG3(x)                       (((uint32_t)(((uint32_t)(x)) << PINT_PMCFG_CFG3_SHIFT)) & PINT_PMCFG_CFG3_MASK)\r
-#define PINT_PMCFG_CFG4_MASK                     (0x700000U)\r
-#define PINT_PMCFG_CFG4_SHIFT                    (20U)\r
+#define PINT_PMCFG_CFG3(x) (((uint32_t)(((uint32_t)(x)) << PINT_PMCFG_CFG3_SHIFT)) & PINT_PMCFG_CFG3_MASK)\r
+#define PINT_PMCFG_CFG4_MASK (0x700000U)\r
+#define PINT_PMCFG_CFG4_SHIFT (20U)\r
 /*! CFG4 - Specifies the match contribution condition for bit slice 4.\r
  *  0b000..Constant HIGH. This bit slice always contributes to a product term match.\r
- *  0b001..Sticky rising edge. Match occurs if a rising edge on the specified input has occurred since the last time the edge detection for this bit slice was cleared. This bit is only cleared when the PMCFG or the PMSRC registers are written to.\r
- *  0b010..Sticky falling edge. Match occurs if a falling edge on the specified input has occurred since the last time the edge detection for this bit slice was cleared. This bit is only cleared when the PMCFG or the PMSRC registers are written to.\r
- *  0b011..Sticky rising or falling edge. Match occurs if either a rising or falling edge on the specified input has occurred since the last time the edge detection for this bit slice was cleared. This bit is only cleared when the PMCFG or the PMSRC registers are written to.\r
- *  0b100..High level. Match (for this bit slice) occurs when there is a high level on the input specified for this bit slice in the PMSRC register.\r
- *  0b101..Low level. Match occurs when there is a low level on the specified input.\r
+ *  0b001..Sticky rising edge. Match occurs if a rising edge on the specified input has occurred since the last\r
+ *         time the edge detection for this bit slice was cleared. This bit is only cleared when the PMCFG or the\r
+ *         PMSRC registers are written to.\r
+ *  0b010..Sticky falling edge. Match occurs if a falling edge on the specified input has occurred since the last\r
+ *         time the edge detection for this bit slice was cleared. This bit is only cleared when the PMCFG or the\r
+ *         PMSRC registers are written to.\r
+ *  0b011..Sticky rising or falling edge. Match occurs if either a rising or falling edge on the specified input\r
+ *         has occurred since the last time the edge detection for this bit slice was cleared. This bit is only\r
+ *         cleared when the PMCFG or the PMSRC registers are written to.\r
+ *  0b100..High level. Match (for this bit slice) occurs when there is a high level on the input specified for this bit\r
+ * slice in the PMSRC register. 0b101..Low level. Match occurs when there is a low level on the specified input.\r
  *  0b110..Constant 0. This bit slice never contributes to a match (should be used to disable any unused bit slices).\r
- *  0b111..Event. Non-sticky rising or falling edge. Match occurs on an event - i.e. when either a rising or falling edge is first detected on the specified input (this is a non-sticky version of value 0x3) . This bit is cleared after one clock cycle.\r
+ *  0b111..Event. Non-sticky rising or falling edge. Match occurs on an event - i.e. when either a rising or\r
+ *         falling edge is first detected on the specified input (this is a non-sticky version of value 0x3) . This bit\r
+ *         is cleared after one clock cycle.\r
  */\r
-#define PINT_PMCFG_CFG4(x)                       (((uint32_t)(((uint32_t)(x)) << PINT_PMCFG_CFG4_SHIFT)) & PINT_PMCFG_CFG4_MASK)\r
-#define PINT_PMCFG_CFG5_MASK                     (0x3800000U)\r
-#define PINT_PMCFG_CFG5_SHIFT                    (23U)\r
+#define PINT_PMCFG_CFG4(x) (((uint32_t)(((uint32_t)(x)) << PINT_PMCFG_CFG4_SHIFT)) & PINT_PMCFG_CFG4_MASK)\r
+#define PINT_PMCFG_CFG5_MASK (0x3800000U)\r
+#define PINT_PMCFG_CFG5_SHIFT (23U)\r
 /*! CFG5 - Specifies the match contribution condition for bit slice 5.\r
  *  0b000..Constant HIGH. This bit slice always contributes to a product term match.\r
- *  0b001..Sticky rising edge. Match occurs if a rising edge on the specified input has occurred since the last time the edge detection for this bit slice was cleared. This bit is only cleared when the PMCFG or the PMSRC registers are written to.\r
- *  0b010..Sticky falling edge. Match occurs if a falling edge on the specified input has occurred since the last time the edge detection for this bit slice was cleared. This bit is only cleared when the PMCFG or the PMSRC registers are written to.\r
- *  0b011..Sticky rising or falling edge. Match occurs if either a rising or falling edge on the specified input has occurred since the last time the edge detection for this bit slice was cleared. This bit is only cleared when the PMCFG or the PMSRC registers are written to.\r
- *  0b100..High level. Match (for this bit slice) occurs when there is a high level on the input specified for this bit slice in the PMSRC register.\r
- *  0b101..Low level. Match occurs when there is a low level on the specified input.\r
+ *  0b001..Sticky rising edge. Match occurs if a rising edge on the specified input has occurred since the last\r
+ *         time the edge detection for this bit slice was cleared. This bit is only cleared when the PMCFG or the\r
+ *         PMSRC registers are written to.\r
+ *  0b010..Sticky falling edge. Match occurs if a falling edge on the specified input has occurred since the last\r
+ *         time the edge detection for this bit slice was cleared. This bit is only cleared when the PMCFG or the\r
+ *         PMSRC registers are written to.\r
+ *  0b011..Sticky rising or falling edge. Match occurs if either a rising or falling edge on the specified input\r
+ *         has occurred since the last time the edge detection for this bit slice was cleared. This bit is only\r
+ *         cleared when the PMCFG or the PMSRC registers are written to.\r
+ *  0b100..High level. Match (for this bit slice) occurs when there is a high level on the input specified for this bit\r
+ * slice in the PMSRC register. 0b101..Low level. Match occurs when there is a low level on the specified input.\r
  *  0b110..Constant 0. This bit slice never contributes to a match (should be used to disable any unused bit slices).\r
- *  0b111..Event. Non-sticky rising or falling edge. Match occurs on an event - i.e. when either a rising or falling edge is first detected on the specified input (this is a non-sticky version of value 0x3) . This bit is cleared after one clock cycle.\r
+ *  0b111..Event. Non-sticky rising or falling edge. Match occurs on an event - i.e. when either a rising or\r
+ *         falling edge is first detected on the specified input (this is a non-sticky version of value 0x3) . This bit\r
+ *         is cleared after one clock cycle.\r
  */\r
-#define PINT_PMCFG_CFG5(x)                       (((uint32_t)(((uint32_t)(x)) << PINT_PMCFG_CFG5_SHIFT)) & PINT_PMCFG_CFG5_MASK)\r
-#define PINT_PMCFG_CFG6_MASK                     (0x1C000000U)\r
-#define PINT_PMCFG_CFG6_SHIFT                    (26U)\r
+#define PINT_PMCFG_CFG5(x) (((uint32_t)(((uint32_t)(x)) << PINT_PMCFG_CFG5_SHIFT)) & PINT_PMCFG_CFG5_MASK)\r
+#define PINT_PMCFG_CFG6_MASK (0x1C000000U)\r
+#define PINT_PMCFG_CFG6_SHIFT (26U)\r
 /*! CFG6 - Specifies the match contribution condition for bit slice 6.\r
  *  0b000..Constant HIGH. This bit slice always contributes to a product term match.\r
- *  0b001..Sticky rising edge. Match occurs if a rising edge on the specified input has occurred since the last time the edge detection for this bit slice was cleared. This bit is only cleared when the PMCFG or the PMSRC registers are written to.\r
- *  0b010..Sticky falling edge. Match occurs if a falling edge on the specified input has occurred since the last time the edge detection for this bit slice was cleared. This bit is only cleared when the PMCFG or the PMSRC registers are written to.\r
- *  0b011..Sticky rising or falling edge. Match occurs if either a rising or falling edge on the specified input has occurred since the last time the edge detection for this bit slice was cleared. This bit is only cleared when the PMCFG or the PMSRC registers are written to.\r
- *  0b100..High level. Match (for this bit slice) occurs when there is a high level on the input specified for this bit slice in the PMSRC register.\r
- *  0b101..Low level. Match occurs when there is a low level on the specified input.\r
+ *  0b001..Sticky rising edge. Match occurs if a rising edge on the specified input has occurred since the last\r
+ *         time the edge detection for this bit slice was cleared. This bit is only cleared when the PMCFG or the\r
+ *         PMSRC registers are written to.\r
+ *  0b010..Sticky falling edge. Match occurs if a falling edge on the specified input has occurred since the last\r
+ *         time the edge detection for this bit slice was cleared. This bit is only cleared when the PMCFG or the\r
+ *         PMSRC registers are written to.\r
+ *  0b011..Sticky rising or falling edge. Match occurs if either a rising or falling edge on the specified input\r
+ *         has occurred since the last time the edge detection for this bit slice was cleared. This bit is only\r
+ *         cleared when the PMCFG or the PMSRC registers are written to.\r
+ *  0b100..High level. Match (for this bit slice) occurs when there is a high level on the input specified for this bit\r
+ * slice in the PMSRC register. 0b101..Low level. Match occurs when there is a low level on the specified input.\r
  *  0b110..Constant 0. This bit slice never contributes to a match (should be used to disable any unused bit slices).\r
- *  0b111..Event. Non-sticky rising or falling edge. Match occurs on an event - i.e. when either a rising or falling edge is first detected on the specified input (this is a non-sticky version of value 0x3) . This bit is cleared after one clock cycle.\r
+ *  0b111..Event. Non-sticky rising or falling edge. Match occurs on an event - i.e. when either a rising or\r
+ *         falling edge is first detected on the specified input (this is a non-sticky version of value 0x3) . This bit\r
+ *         is cleared after one clock cycle.\r
  */\r
-#define PINT_PMCFG_CFG6(x)                       (((uint32_t)(((uint32_t)(x)) << PINT_PMCFG_CFG6_SHIFT)) & PINT_PMCFG_CFG6_MASK)\r
-#define PINT_PMCFG_CFG7_MASK                     (0xE0000000U)\r
-#define PINT_PMCFG_CFG7_SHIFT                    (29U)\r
+#define PINT_PMCFG_CFG6(x) (((uint32_t)(((uint32_t)(x)) << PINT_PMCFG_CFG6_SHIFT)) & PINT_PMCFG_CFG6_MASK)\r
+#define PINT_PMCFG_CFG7_MASK (0xE0000000U)\r
+#define PINT_PMCFG_CFG7_SHIFT (29U)\r
 /*! CFG7 - Specifies the match contribution condition for bit slice 7.\r
  *  0b000..Constant HIGH. This bit slice always contributes to a product term match.\r
- *  0b001..Sticky rising edge. Match occurs if a rising edge on the specified input has occurred since the last time the edge detection for this bit slice was cleared. This bit is only cleared when the PMCFG or the PMSRC registers are written to.\r
- *  0b010..Sticky falling edge. Match occurs if a falling edge on the specified input has occurred since the last time the edge detection for this bit slice was cleared. This bit is only cleared when the PMCFG or the PMSRC registers are written to.\r
- *  0b011..Sticky rising or falling edge. Match occurs if either a rising or falling edge on the specified input has occurred since the last time the edge detection for this bit slice was cleared. This bit is only cleared when the PMCFG or the PMSRC registers are written to.\r
- *  0b100..High level. Match (for this bit slice) occurs when there is a high level on the input specified for this bit slice in the PMSRC register.\r
- *  0b101..Low level. Match occurs when there is a low level on the specified input.\r
+ *  0b001..Sticky rising edge. Match occurs if a rising edge on the specified input has occurred since the last\r
+ *         time the edge detection for this bit slice was cleared. This bit is only cleared when the PMCFG or the\r
+ *         PMSRC registers are written to.\r
+ *  0b010..Sticky falling edge. Match occurs if a falling edge on the specified input has occurred since the last\r
+ *         time the edge detection for this bit slice was cleared. This bit is only cleared when the PMCFG or the\r
+ *         PMSRC registers are written to.\r
+ *  0b011..Sticky rising or falling edge. Match occurs if either a rising or falling edge on the specified input\r
+ *         has occurred since the last time the edge detection for this bit slice was cleared. This bit is only\r
+ *         cleared when the PMCFG or the PMSRC registers are written to.\r
+ *  0b100..High level. Match (for this bit slice) occurs when there is a high level on the input specified for this bit\r
+ * slice in the PMSRC register. 0b101..Low level. Match occurs when there is a low level on the specified input.\r
  *  0b110..Constant 0. This bit slice never contributes to a match (should be used to disable any unused bit slices).\r
- *  0b111..Event. Non-sticky rising or falling edge. Match occurs on an event - i.e. when either a rising or falling edge is first detected on the specified input (this is a non-sticky version of value 0x3) . This bit is cleared after one clock cycle.\r
+ *  0b111..Event. Non-sticky rising or falling edge. Match occurs on an event - i.e. when either a rising or\r
+ *         falling edge is first detected on the specified input (this is a non-sticky version of value 0x3) . This bit\r
+ *         is cleared after one clock cycle.\r
  */\r
-#define PINT_PMCFG_CFG7(x)                       (((uint32_t)(((uint32_t)(x)) << PINT_PMCFG_CFG7_SHIFT)) & PINT_PMCFG_CFG7_MASK)\r
+#define PINT_PMCFG_CFG7(x) (((uint32_t)(((uint32_t)(x)) << PINT_PMCFG_CFG7_SHIFT)) & PINT_PMCFG_CFG7_MASK)\r
 /*! @} */\r
 \r
-\r
 /*!\r
  * @}\r
  */ /* end of group PINT_Register_Masks */\r
 \r
-\r
 /* PINT - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral PINT base address */\r
-  #define PINT_BASE                                (0x50004000u)\r
-  /** Peripheral PINT base address */\r
-  #define PINT_BASE_NS                             (0x40004000u)\r
-  /** Peripheral PINT base pointer */\r
-  #define PINT                                     ((PINT_Type *)PINT_BASE)\r
-  /** Peripheral PINT base pointer */\r
-  #define PINT_NS                                  ((PINT_Type *)PINT_BASE_NS)\r
-  /** Peripheral SECPINT base address */\r
-  #define SECPINT_BASE                             (0x50005000u)\r
-  /** Peripheral SECPINT base address */\r
-  #define SECPINT_BASE_NS                          (0x40005000u)\r
-  /** Peripheral SECPINT base pointer */\r
-  #define SECPINT                                  ((PINT_Type *)SECPINT_BASE)\r
-  /** Peripheral SECPINT base pointer */\r
-  #define SECPINT_NS                               ((PINT_Type *)SECPINT_BASE_NS)\r
-  /** Array initializer of PINT peripheral base addresses */\r
-  #define PINT_BASE_ADDRS                          { PINT_BASE, SECPINT_BASE }\r
-  /** Array initializer of PINT peripheral base pointers */\r
-  #define PINT_BASE_PTRS                           { PINT, SECPINT }\r
-  /** Array initializer of PINT peripheral base addresses */\r
-  #define PINT_BASE_ADDRS_NS                       { PINT_BASE_NS, SECPINT_BASE_NS }\r
-  /** Array initializer of PINT peripheral base pointers */\r
-  #define PINT_BASE_PTRS_NS                        { PINT_NS, SECPINT_NS }\r
+/** Peripheral PINT base address */\r
+#define PINT_BASE (0x50004000u)\r
+/** Peripheral PINT base address */\r
+#define PINT_BASE_NS (0x40004000u)\r
+/** Peripheral PINT base pointer */\r
+#define PINT ((PINT_Type *)PINT_BASE)\r
+/** Peripheral PINT base pointer */\r
+#define PINT_NS ((PINT_Type *)PINT_BASE_NS)\r
+/** Peripheral SECPINT base address */\r
+#define SECPINT_BASE (0x50005000u)\r
+/** Peripheral SECPINT base address */\r
+#define SECPINT_BASE_NS (0x40005000u)\r
+/** Peripheral SECPINT base pointer */\r
+#define SECPINT ((PINT_Type *)SECPINT_BASE)\r
+/** Peripheral SECPINT base pointer */\r
+#define SECPINT_NS ((PINT_Type *)SECPINT_BASE_NS)\r
+/** Array initializer of PINT peripheral base addresses */\r
+#define PINT_BASE_ADDRS         \\r
+    {                           \\r
+        PINT_BASE, SECPINT_BASE \\r
+    }\r
+/** Array initializer of PINT peripheral base pointers */\r
+#define PINT_BASE_PTRS \\r
+    {                  \\r
+        PINT, SECPINT  \\r
+    }\r
+/** Array initializer of PINT peripheral base addresses */\r
+#define PINT_BASE_ADDRS_NS            \\r
+    {                                 \\r
+        PINT_BASE_NS, SECPINT_BASE_NS \\r
+    }\r
+/** Array initializer of PINT peripheral base pointers */\r
+#define PINT_BASE_PTRS_NS   \\r
+    {                       \\r
+        PINT_NS, SECPINT_NS \\r
+    }\r
 #else\r
-  /** Peripheral PINT base address */\r
-  #define PINT_BASE                                (0x40004000u)\r
-  /** Peripheral PINT base pointer */\r
-  #define PINT                                     ((PINT_Type *)PINT_BASE)\r
-  /** Peripheral SECPINT base address */\r
-  #define SECPINT_BASE                             (0x40005000u)\r
-  /** Peripheral SECPINT base pointer */\r
-  #define SECPINT                                  ((PINT_Type *)SECPINT_BASE)\r
-  /** Array initializer of PINT peripheral base addresses */\r
-  #define PINT_BASE_ADDRS                          { PINT_BASE, SECPINT_BASE }\r
-  /** Array initializer of PINT peripheral base pointers */\r
-  #define PINT_BASE_PTRS                           { PINT, SECPINT }\r
+/** Peripheral PINT base address */\r
+#define PINT_BASE (0x40004000u)\r
+/** Peripheral PINT base pointer */\r
+#define PINT ((PINT_Type *)PINT_BASE)\r
+/** Peripheral SECPINT base address */\r
+#define SECPINT_BASE (0x40005000u)\r
+/** Peripheral SECPINT base pointer */\r
+#define SECPINT ((PINT_Type *)SECPINT_BASE)\r
+/** Array initializer of PINT peripheral base addresses */\r
+#define PINT_BASE_ADDRS         \\r
+    {                           \\r
+        PINT_BASE, SECPINT_BASE \\r
+    }\r
+/** Array initializer of PINT peripheral base pointers */\r
+#define PINT_BASE_PTRS \\r
+    {                  \\r
+        PINT, SECPINT  \\r
+    }\r
 #endif\r
 /** Interrupt vectors for the PINT peripheral type */\r
-#define PINT_IRQS                                { PIN_INT0_IRQn, PIN_INT1_IRQn, PIN_INT2_IRQn, PIN_INT3_IRQn, PIN_INT4_IRQn, PIN_INT5_IRQn, PIN_INT6_IRQn, PIN_INT7_IRQn, SEC_GPIO_INT0_IRQ0_IRQn, SEC_GPIO_INT0_IRQ1_IRQn }\r
+#define PINT_IRQS                                                                                                \\r
+    {                                                                                                            \\r
+        PIN_INT0_IRQn, PIN_INT1_IRQn, PIN_INT2_IRQn, PIN_INT3_IRQn, PIN_INT4_IRQn, PIN_INT5_IRQn, PIN_INT6_IRQn, \\r
+            PIN_INT7_IRQn, SEC_GPIO_INT0_IRQ0_IRQn, SEC_GPIO_INT0_IRQ1_IRQn                                      \\r
+    }\r
 \r
 /*!\r
  * @}\r
  */ /* end of group PINT_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- PLU Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -11243,18 +14918,22 @@ typedef struct {
  */\r
 \r
 /** PLU - Register Layout Typedef */\r
-typedef struct {\r
-  struct {                                         /* offset: 0x0, array step: 0x20 */\r
-    __IO uint32_t INP[5];                            /**< LUT0 input 0 MUX..LUT25 input 4 MUX, array offset: 0x0, array step: index*0x20, index2*0x4 */\r
-         uint8_t RESERVED_0[12];\r
-  } LUT[26];\r
-       uint8_t RESERVED_0[1216];\r
-  __IO uint32_t LUT_TRUTH[26];                     /**< Specifies the Truth Table contents for LUT0..Specifies the Truth Table contents for LUT25, array offset: 0x800, array step: 0x4 */\r
-       uint8_t RESERVED_1[152];\r
-  __I  uint32_t OUTPUTS;                           /**< Provides the current state of the 8 designated PLU Outputs., offset: 0x900 */\r
-  __IO uint32_t WAKEINT;                           /**< Wakeup interrupt control for PLU, offset: 0x904 */\r
-       uint8_t RESERVED_2[760];\r
-  __IO uint32_t OUTPUT_MUX[8];                     /**< Selects the source to be connected to PLU Output 0..Selects the source to be connected to PLU Output 7, array offset: 0xC00, array step: 0x4 */\r
+typedef struct\r
+{\r
+    struct\r
+    {                             /* offset: 0x0, array step: 0x20 */\r
+        __IO uint32_t INP_MUX[5]; /**< LUTn input x MUX, array offset: 0x0, array step: index*0x20, index2*0x4 */\r
+        uint8_t RESERVED_0[12];\r
+    } LUT[26];\r
+    uint8_t RESERVED_0[1216];\r
+    __IO uint32_t LUT_TRUTH[26]; /**< Specifies the Truth Table contents for LUT0..Specifies the Truth Table contents\r
+                                    for LUT25, array offset: 0x800, array step: 0x4 */\r
+    uint8_t RESERVED_1[152];\r
+    __I uint32_t OUTPUTS;       /**< Provides the current state of the 8 designated PLU Outputs., offset: 0x900 */\r
+    __IO uint32_t WAKEINT_CTRL; /**< Wakeup interrupt control for PLU, offset: 0x904 */\r
+    uint8_t RESERVED_2[760];\r
+    __IO uint32_t OUTPUT_MUX[8]; /**< Selects the source to be connected to PLU Output 0..Selects the source to be\r
+                                    connected to PLU Output 7, array offset: 0xC00, array step: 0x4 */\r
 } PLU_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -11266,18 +14945,19 @@ typedef struct {
  * @{\r
  */\r
 \r
-/*! @name LUT_INP - LUT0 input 0 MUX..LUT25 input 4 MUX */\r
+/*! @name LUT_INP_MUX - LUTn input x MUX */\r
 /*! @{ */\r
-#define PLU_LUT_INP_LUT_INP_MASK                 (0x3FU)\r
-#define PLU_LUT_INP_LUT_INP_SHIFT                (0U)\r
-/*! LUT_INP - Selects the input source to be connected to LUT25 input4.\r
+#define PLU_LUT_INP_MUX_LUTn_INPx_MASK (0x3FU)\r
+#define PLU_LUT_INP_MUX_LUTn_INPx_SHIFT (0U)\r
+/*! LUTn_INPx - Selects the input source to be connected to LUT25 input4. For each LUT, the slot\r
+ *    associated with the output from LUTn itself is tied low.\r
  *  0b000000..The PLU primary inputs 0.\r
  *  0b000001..The PLU primary inputs 1.\r
  *  0b000010..The PLU primary inputs 2.\r
  *  0b000011..The PLU primary inputs 3.\r
  *  0b000100..The PLU primary inputs 4.\r
  *  0b000101..The PLU primary inputs 5.\r
- *  0b000110..Tie low.\r
+ *  0b000110..The output of LUT0.\r
  *  0b000111..The output of LUT1.\r
  *  0b001000..The output of LUT2.\r
  *  0b001001..The output of LUT3.\r
@@ -11308,61 +14988,86 @@ typedef struct {
  *  0b100010..state(2).\r
  *  0b100011..state(3).\r
  */\r
-#define PLU_LUT_INP_LUT_INP(x)                   (((uint32_t)(((uint32_t)(x)) << PLU_LUT_INP_LUT_INP_SHIFT)) & PLU_LUT_INP_LUT_INP_MASK)\r
+#define PLU_LUT_INP_MUX_LUTn_INPx(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PLU_LUT_INP_MUX_LUTn_INPx_SHIFT)) & PLU_LUT_INP_MUX_LUTn_INPx_MASK)\r
 /*! @} */\r
 \r
-/* The count of PLU_LUT_INP */\r
-#define PLU_LUT_INP_COUNT                        (26U)\r
+/* The count of PLU_LUT_INP_MUX */\r
+#define PLU_LUT_INP_MUX_COUNT (26U)\r
 \r
-/* The count of PLU_LUT_INP */\r
-#define PLU_LUT_INP_COUNT2                       (5U)\r
+/* The count of PLU_LUT_INP_MUX */\r
+#define PLU_LUT_INP_MUX_COUNT2 (5U)\r
 \r
-/*! @name LUT_T_LUT_TRUTH - Specifies the Truth Table contents for LUT0..Specifies the Truth Table contents for LUT25 */\r
+/*! @name LUT_TRUTH - Specifies the Truth Table contents for LUT0..Specifies the Truth Table contents for LUT25 */\r
 /*! @{ */\r
-#define PLU_LUT_T_LUT_TRUTH_TRUTH_TABLE_MASK     (0xFFFFFFFFU)\r
-#define PLU_LUT_T_LUT_TRUTH_TRUTH_TABLE_SHIFT    (0U)\r
-#define PLU_LUT_T_LUT_TRUTH_TRUTH_TABLE(x)       (((uint32_t)(((uint32_t)(x)) << PLU_LUT_T_LUT_TRUTH_TRUTH_TABLE_SHIFT)) & PLU_LUT_T_LUT_TRUTH_TRUTH_TABLE_MASK)\r
+#define PLU_LUT_TRUTH_LUTn_TRUTH_MASK (0xFFFFFFFFU)\r
+#define PLU_LUT_TRUTH_LUTn_TRUTH_SHIFT (0U)\r
+/*! LUTn_TRUTH - Specifies the Truth Table contents for LUT25..\r
+ */\r
+#define PLU_LUT_TRUTH_LUTn_TRUTH(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PLU_LUT_TRUTH_LUTn_TRUTH_SHIFT)) & PLU_LUT_TRUTH_LUTn_TRUTH_MASK)\r
 /*! @} */\r
 \r
-/* The count of PLU_LUT_T_LUT_TRUTH */\r
-#define PLU_LUT_T_LUT_TRUTH_COUNT                (26U)\r
+/* The count of PLU_LUT_TRUTH */\r
+#define PLU_LUT_TRUTH_COUNT (26U)\r
 \r
 /*! @name OUTPUTS - Provides the current state of the 8 designated PLU Outputs. */\r
 /*! @{ */\r
-#define PLU_OUTPUTS_OUTPUT_STATE_MASK            (0xFFU)\r
-#define PLU_OUTPUTS_OUTPUT_STATE_SHIFT           (0U)\r
-#define PLU_OUTPUTS_OUTPUT_STATE(x)              (((uint32_t)(((uint32_t)(x)) << PLU_OUTPUTS_OUTPUT_STATE_SHIFT)) & PLU_OUTPUTS_OUTPUT_STATE_MASK)\r
+#define PLU_OUTPUTS_OUTPUT_STATE_MASK (0xFFU)\r
+#define PLU_OUTPUTS_OUTPUT_STATE_SHIFT (0U)\r
+/*! OUTPUT_STATE - Provides the current state of the 8 designated PLU Outputs..\r
+ */\r
+#define PLU_OUTPUTS_OUTPUT_STATE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PLU_OUTPUTS_OUTPUT_STATE_SHIFT)) & PLU_OUTPUTS_OUTPUT_STATE_MASK)\r
 /*! @} */\r
 \r
-/*! @name WAKEINT - Wakeup interrupt control for PLU */\r
+/*! @name WAKEINT_CTRL - Wakeup interrupt control for PLU */\r
 /*! @{ */\r
-#define PLU_WAKEINT_MASK_MASK                    (0xFFU)\r
-#define PLU_WAKEINT_MASK_SHIFT                   (0U)\r
-#define PLU_WAKEINT_MASK(x)                      (((uint32_t)(((uint32_t)(x)) << PLU_WAKEINT_MASK_SHIFT)) & PLU_WAKEINT_MASK_MASK)\r
-#define PLU_WAKEINT_FILTER_MODE_MASK             (0x300U)\r
-#define PLU_WAKEINT_FILTER_MODE_SHIFT            (8U)\r
-/*! FILTER_MODE - control input of the PLU, add filtering for glitch\r
+#define PLU_WAKEINT_CTRL_MASK_MASK (0xFFU)\r
+#define PLU_WAKEINT_CTRL_MASK_SHIFT (0U)\r
+/*! MASK - Interrupt mask (which of the 8 PLU Outputs contribute to interrupt)\r
+ */\r
+#define PLU_WAKEINT_CTRL_MASK(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PLU_WAKEINT_CTRL_MASK_SHIFT)) & PLU_WAKEINT_CTRL_MASK_MASK)\r
+#define PLU_WAKEINT_CTRL_FILTER_MODE_MASK (0x300U)\r
+#define PLU_WAKEINT_CTRL_FILTER_MODE_SHIFT (8U)\r
+/*! FILTER_MODE - control input of the PLU, add filtering for glitch.\r
  *  0b00..Bypass mode.\r
  *  0b01..Filter 1 clock period.\r
  *  0b10..Filter 2 clock period.\r
  *  0b11..Filter 3 clock period.\r
  */\r
-#define PLU_WAKEINT_FILTER_MODE(x)               (((uint32_t)(((uint32_t)(x)) << PLU_WAKEINT_FILTER_MODE_SHIFT)) & PLU_WAKEINT_FILTER_MODE_MASK)\r
-#define PLU_WAKEINT_FILTER_CLKSEL_MASK           (0xC00U)\r
-#define PLU_WAKEINT_FILTER_CLKSEL_SHIFT          (10U)\r
-#define PLU_WAKEINT_FILTER_CLKSEL(x)             (((uint32_t)(((uint32_t)(x)) << PLU_WAKEINT_FILTER_CLKSEL_SHIFT)) & PLU_WAKEINT_FILTER_CLKSEL_MASK)\r
-#define PLU_WAKEINT_LATCH_ENABLE_MASK            (0x1000U)\r
-#define PLU_WAKEINT_LATCH_ENABLE_SHIFT           (12U)\r
-#define PLU_WAKEINT_LATCH_ENABLE(x)              (((uint32_t)(((uint32_t)(x)) << PLU_WAKEINT_LATCH_ENABLE_SHIFT)) & PLU_WAKEINT_LATCH_ENABLE_MASK)\r
-#define PLU_WAKEINT_INTR_CLEAR_MASK              (0x2000U)\r
-#define PLU_WAKEINT_INTR_CLEAR_SHIFT             (13U)\r
-#define PLU_WAKEINT_INTR_CLEAR(x)                (((uint32_t)(((uint32_t)(x)) << PLU_WAKEINT_INTR_CLEAR_SHIFT)) & PLU_WAKEINT_INTR_CLEAR_MASK)\r
+#define PLU_WAKEINT_CTRL_FILTER_MODE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PLU_WAKEINT_CTRL_FILTER_MODE_SHIFT)) & PLU_WAKEINT_CTRL_FILTER_MODE_MASK)\r
+#define PLU_WAKEINT_CTRL_FILTER_CLKSEL_MASK (0xC00U)\r
+#define PLU_WAKEINT_CTRL_FILTER_CLKSEL_SHIFT (10U)\r
+/*! FILTER_CLKSEL - hclk is divided by 2**filter_clksel.\r
+ *  0b00..Selects the 1 MHz low-power oscillator as the filter clock.\r
+ *  0b01..Selects the 12 Mhz FRO as the filter clock.\r
+ *  0b10..Selects a third filter clock source, if provided.\r
+ *  0b11..Reserved.\r
+ */\r
+#define PLU_WAKEINT_CTRL_FILTER_CLKSEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PLU_WAKEINT_CTRL_FILTER_CLKSEL_SHIFT)) & PLU_WAKEINT_CTRL_FILTER_CLKSEL_MASK)\r
+#define PLU_WAKEINT_CTRL_LATCH_ENABLE_MASK (0x1000U)\r
+#define PLU_WAKEINT_CTRL_LATCH_ENABLE_SHIFT (12U)\r
+/*! LATCH_ENABLE - latch the interrupt , then can be cleared with next bit INTR_CLEAR\r
+ */\r
+#define PLU_WAKEINT_CTRL_LATCH_ENABLE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PLU_WAKEINT_CTRL_LATCH_ENABLE_SHIFT)) & PLU_WAKEINT_CTRL_LATCH_ENABLE_MASK)\r
+#define PLU_WAKEINT_CTRL_INTR_CLEAR_MASK (0x2000U)\r
+#define PLU_WAKEINT_CTRL_INTR_CLEAR_SHIFT (13U)\r
+/*! INTR_CLEAR - Write to clear wakeint_latched\r
+ */\r
+#define PLU_WAKEINT_CTRL_INTR_CLEAR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PLU_WAKEINT_CTRL_INTR_CLEAR_SHIFT)) & PLU_WAKEINT_CTRL_INTR_CLEAR_MASK)\r
 /*! @} */\r
 \r
-/*! @name OUTPUT_MUX - Selects the source to be connected to PLU Output 0..Selects the source to be connected to PLU Output 7 */\r
+/*! @name OUTPUT_MUX - Selects the source to be connected to PLU Output 0..Selects the source to be connected to PLU\r
+ * Output 7 */\r
 /*! @{ */\r
-#define PLU_OUTPUT_MUX_OUTPUTn_MASK              (0x1FU)\r
-#define PLU_OUTPUT_MUX_OUTPUTn_SHIFT             (0U)\r
+#define PLU_OUTPUT_MUX_OUTPUTn_MASK (0x1FU)\r
+#define PLU_OUTPUT_MUX_OUTPUTn_SHIFT (0U)\r
 /*! OUTPUTn - Selects the source to be connected to PLU Output 7.\r
  *  0b00000..The PLU output 0.\r
  *  0b00001..The PLU output 1.\r
@@ -11395,52 +15100,68 @@ typedef struct {
  *  0b11100..state(2).\r
  *  0b11101..state(3).\r
  */\r
-#define PLU_OUTPUT_MUX_OUTPUTn(x)                (((uint32_t)(((uint32_t)(x)) << PLU_OUTPUT_MUX_OUTPUTn_SHIFT)) & PLU_OUTPUT_MUX_OUTPUTn_MASK)\r
+#define PLU_OUTPUT_MUX_OUTPUTn(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PLU_OUTPUT_MUX_OUTPUTn_SHIFT)) & PLU_OUTPUT_MUX_OUTPUTn_MASK)\r
 /*! @} */\r
 \r
 /* The count of PLU_OUTPUT_MUX */\r
-#define PLU_OUTPUT_MUX_COUNT                     (8U)\r
-\r
+#define PLU_OUTPUT_MUX_COUNT (8U)\r
 \r
 /*!\r
  * @}\r
  */ /* end of group PLU_Register_Masks */\r
 \r
-\r
 /* PLU - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral PLU base address */\r
-  #define PLU_BASE                                 (0x5003D000u)\r
-  /** Peripheral PLU base address */\r
-  #define PLU_BASE_NS                              (0x4003D000u)\r
-  /** Peripheral PLU base pointer */\r
-  #define PLU                                      ((PLU_Type *)PLU_BASE)\r
-  /** Peripheral PLU base pointer */\r
-  #define PLU_NS                                   ((PLU_Type *)PLU_BASE_NS)\r
-  /** Array initializer of PLU peripheral base addresses */\r
-  #define PLU_BASE_ADDRS                           { PLU_BASE }\r
-  /** Array initializer of PLU peripheral base pointers */\r
-  #define PLU_BASE_PTRS                            { PLU }\r
-  /** Array initializer of PLU peripheral base addresses */\r
-  #define PLU_BASE_ADDRS_NS                        { PLU_BASE_NS }\r
-  /** Array initializer of PLU peripheral base pointers */\r
-  #define PLU_BASE_PTRS_NS                         { PLU_NS }\r
+/** Peripheral PLU base address */\r
+#define PLU_BASE (0x5003D000u)\r
+/** Peripheral PLU base address */\r
+#define PLU_BASE_NS (0x4003D000u)\r
+/** Peripheral PLU base pointer */\r
+#define PLU ((PLU_Type *)PLU_BASE)\r
+/** Peripheral PLU base pointer */\r
+#define PLU_NS ((PLU_Type *)PLU_BASE_NS)\r
+/** Array initializer of PLU peripheral base addresses */\r
+#define PLU_BASE_ADDRS \\r
+    {                  \\r
+        PLU_BASE       \\r
+    }\r
+/** Array initializer of PLU peripheral base pointers */\r
+#define PLU_BASE_PTRS \\r
+    {                 \\r
+        PLU           \\r
+    }\r
+/** Array initializer of PLU peripheral base addresses */\r
+#define PLU_BASE_ADDRS_NS \\r
+    {                     \\r
+        PLU_BASE_NS       \\r
+    }\r
+/** Array initializer of PLU peripheral base pointers */\r
+#define PLU_BASE_PTRS_NS \\r
+    {                    \\r
+        PLU_NS           \\r
+    }\r
 #else\r
-  /** Peripheral PLU base address */\r
-  #define PLU_BASE                                 (0x4003D000u)\r
-  /** Peripheral PLU base pointer */\r
-  #define PLU                                      ((PLU_Type *)PLU_BASE)\r
-  /** Array initializer of PLU peripheral base addresses */\r
-  #define PLU_BASE_ADDRS                           { PLU_BASE }\r
-  /** Array initializer of PLU peripheral base pointers */\r
-  #define PLU_BASE_PTRS                            { PLU }\r
+/** Peripheral PLU base address */\r
+#define PLU_BASE (0x4003D000u)\r
+/** Peripheral PLU base pointer */\r
+#define PLU ((PLU_Type *)PLU_BASE)\r
+/** Array initializer of PLU peripheral base addresses */\r
+#define PLU_BASE_ADDRS \\r
+    {                  \\r
+        PLU_BASE       \\r
+    }\r
+/** Array initializer of PLU peripheral base pointers */\r
+#define PLU_BASE_PTRS \\r
+    {                 \\r
+        PLU           \\r
+    }\r
 #endif\r
 \r
 /*!\r
  * @}\r
  */ /* end of group PLU_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- PMC Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -11451,36 +15172,38 @@ typedef struct {
  */\r
 \r
 /** PMC - Register Layout Typedef */\r
-typedef struct {\r
-       uint8_t RESERVED_0[8];\r
-  __IO uint32_t RESETCTRL;                         /**< Reset Control [Reset by: PoR, Pin Reset, Brown Out Detectors Reset, Deep Power Down Reset, Software Reset], offset: 0x8 */\r
-  __IO uint32_t RESETCAUSE;                        /**< Reset Cause register [Reset by: PoR], offset: 0xC */\r
-       uint8_t RESERVED_1[32];\r
-  __IO uint32_t BODVBAT;                           /**< VBAT Brown Out Dectector (BoD) control register [Reset by: PoR, Pin Reset, Software Reset], offset: 0x30 */\r
-       uint8_t RESERVED_2[4];\r
-  __IO uint32_t BODCORE;                           /**< Digital Core logic Brown Out Dectector control register [Reset by: PoR, Pin Reset, Brown Out Detectors Reset, Deep Power Down Reset, Software Reset], offset: 0x38 */\r
-       uint8_t RESERVED_3[8];\r
-  __IO uint32_t FRO1M;                             /**< 1 MHz Free Running Oscillator control register [Reset by: PoR, Pin Reset, Brown Out Detectors Reset, Deep Power Down Reset, Software Reset], offset: 0x44 */\r
-  __IO uint32_t FRO32K;                            /**< 32 KHz Free Running Oscillator (FRO) control register [Reset by: PoR, Brown Out Detectors Reset], offset: 0x48 */\r
-  __IO uint32_t XTAL32K;                           /**< 32 KHz Crystal oscillator (XTAL) control register [Reset by: PoR, Brown Out Detectors Reset], offset: 0x4C */\r
-  __IO uint32_t COMP;                              /**< Analog Comparator control register [Reset by: PoR, Pin Reset, Brown Out Detectors Reset, Deep Power Down Reset, Software Reset], offset: 0x50 */\r
-       uint8_t RESERVED_4[20];\r
-  __IO uint32_t WAKEIOCAUSE;                       /**< Allows to identify the Wake-up I/O source from Deep Power Down mode, offset: 0x68 */\r
-       uint8_t RESERVED_5[8];\r
-  __IO uint32_t STATUSCLK;                         /**< FRO and XTAL status register [Reset by: PoR, Brown Out Detectors Reset], offset: 0x74 */\r
-       uint8_t RESERVED_6[12];\r
-  __IO uint32_t AOREG1;                            /**< General purpose always on domain data storage [Reset by: PoR, Brown Out Detectors Reset], offset: 0x84 */\r
-       uint8_t RESERVED_7[16];\r
-  __IO uint32_t RTCOSC32K;                         /**< RTC 1 KHZ and 1 Hz clocks source control register [Reset by: PoR, Brown Out Detectors Reset], offset: 0x98 */\r
-  __IO uint32_t OSTIMERr;                          /**< OS Timer control register [Reset by: PoR, Brown Out Detectors Reset], offset: 0x9C */\r
-       uint8_t RESERVED_8[16];\r
-  __IO uint32_t PDSLEEPCFG0;                       /**< Controls the power to various modules during Low Power modes - DEEP SLEEP, POWER DOWN and DEEP POWER DOWN [Reset by: PoR, Pin Reset, Brown Out Detectors Reset, Software Reset], offset: 0xB0 */\r
-       uint8_t RESERVED_9[4];\r
-  __IO uint32_t PDRUNCFG0;                         /**< Controls the power to various analog blocks [Reset by: PoR, Pin Reset, Brown Out Detectors Reset, Deep Power Down Reset, Software Reset], offset: 0xB8 */\r
-       uint8_t RESERVED_10[4];\r
-  __O  uint32_t PDRUNCFGSET0;                      /**< Controls the power to various analog blocks [Reset by: PoR, Pin Reset, Brown Out Detectors Reset, Deep Power Down Reset, Software Reset], offset: 0xC0 */\r
-       uint8_t RESERVED_11[4];\r
-  __O  uint32_t PDRUNCFGCLR0;                      /**< Controls the power to various analog blocks [Reset by: PoR, Pin Reset, Brown Out Detectors Reset, Deep Power Down Reset, Software Reset], offset: 0xC8 */\r
+typedef struct\r
+{\r
+    uint8_t RESERVED_0[8];\r
+    __IO uint32_t RESETCTRL; /**< Reset Control [Reset by: PoR, Pin Reset, Brown Out Detectors Reset, Deep Power Down\r
+                                Reset, Software Reset], offset: 0x8 */\r
+    uint8_t RESERVED_1[36];\r
+    __IO uint32_t BODVBAT; /**< VBAT Brown Out Dectector (BoD) control register [Reset by: PoR, Pin Reset, Software\r
+                              Reset], offset: 0x30 */\r
+    uint8_t RESERVED_2[28];\r
+    __IO uint32_t COMP; /**< Analog Comparator control register [Reset by: PoR, Pin Reset, Brown Out Detectors Reset,\r
+                           Deep Power Down Reset, Software Reset], offset: 0x50 */\r
+    uint8_t RESERVED_3[20];\r
+    __IO uint32_t WAKEIOCAUSE; /**< Allows to identify the Wake-up I/O source from Deep Power Down mode, offset: 0x68 */\r
+    uint8_t RESERVED_4[8];\r
+    __IO uint32_t\r
+        STATUSCLK; /**< FRO and XTAL status register [Reset by: PoR, Brown Out Detectors Reset], offset: 0x74 */\r
+    uint8_t RESERVED_5[12];\r
+    __IO uint32_t AOREG1; /**< General purpose always on domain data storage [Reset by: PoR, Brown Out Detectors Reset],\r
+                             offset: 0x84 */\r
+    uint8_t RESERVED_6[16];\r
+    __IO uint32_t RTCOSC32K; /**< RTC 1 KHZ and 1 Hz clocks source control register [Reset by: PoR, Brown Out Detectors\r
+                                Reset], offset: 0x98 */\r
+    __IO uint32_t OSTIMERr;  /**< OS Timer control register [Reset by: PoR, Brown Out Detectors Reset], offset: 0x9C */\r
+    uint8_t RESERVED_7[24];\r
+    __IO uint32_t PDRUNCFG0; /**< Controls the power to various analog blocks [Reset by: PoR, Pin Reset, Brown Out\r
+                                Detectors Reset, Deep Power Down Reset, Software Reset], offset: 0xB8 */\r
+    uint8_t RESERVED_8[4];\r
+    __O uint32_t PDRUNCFGSET0; /**< Controls the power to various analog blocks [Reset by: PoR, Pin Reset, Brown Out\r
+                                  Detectors Reset, Deep Power Down Reset, Software Reset], offset: 0xC0 */\r
+    uint8_t RESERVED_9[4];\r
+    __O uint32_t PDRUNCFGCLR0; /**< Controls the power to various analog blocks [Reset by: PoR, Pin Reset, Brown Out\r
+                                  Detectors Reset, Deep Power Down Reset, Software Reset], offset: 0xC8 */\r
 } PMC_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -11492,73 +15215,40 @@ typedef struct {
  * @{\r
  */\r
 \r
-/*! @name RESETCTRL - Reset Control [Reset by: PoR, Pin Reset, Brown Out Detectors Reset, Deep Power Down Reset, Software Reset] */\r
+/*! @name RESETCTRL - Reset Control [Reset by: PoR, Pin Reset, Brown Out Detectors Reset, Deep Power Down Reset,\r
+ * Software Reset] */\r
 /*! @{ */\r
-#define PMC_RESETCTRL_DPDWAKEUPRESETENABLE_MASK  (0x1U)\r
+#define PMC_RESETCTRL_DPDWAKEUPRESETENABLE_MASK (0x1U)\r
 #define PMC_RESETCTRL_DPDWAKEUPRESETENABLE_SHIFT (0U)\r
 /*! DPDWAKEUPRESETENABLE - Wake-up from DEEP POWER DOWN reset event (either from wake up I/O or RTC or OS Event Timer).\r
  *  0b0..Reset event from DEEP POWER DOWN mode is disable.\r
  *  0b1..Reset event from DEEP POWER DOWN mode is enable.\r
  */\r
-#define PMC_RESETCTRL_DPDWAKEUPRESETENABLE(x)    (((uint32_t)(((uint32_t)(x)) << PMC_RESETCTRL_DPDWAKEUPRESETENABLE_SHIFT)) & PMC_RESETCTRL_DPDWAKEUPRESETENABLE_MASK)\r
-#define PMC_RESETCTRL_BODVBATRESETENABLE_MASK    (0x2U)\r
-#define PMC_RESETCTRL_BODVBATRESETENABLE_SHIFT   (1U)\r
+#define PMC_RESETCTRL_DPDWAKEUPRESETENABLE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << PMC_RESETCTRL_DPDWAKEUPRESETENABLE_SHIFT)) & \\r
+     PMC_RESETCTRL_DPDWAKEUPRESETENABLE_MASK)\r
+#define PMC_RESETCTRL_BODVBATRESETENABLE_MASK (0x2U)\r
+#define PMC_RESETCTRL_BODVBATRESETENABLE_SHIFT (1U)\r
 /*! BODVBATRESETENABLE - BOD VBAT reset enable.\r
  *  0b0..BOD VBAT reset is disable.\r
  *  0b1..BOD VBAT reset is enable.\r
  */\r
-#define PMC_RESETCTRL_BODVBATRESETENABLE(x)      (((uint32_t)(((uint32_t)(x)) << PMC_RESETCTRL_BODVBATRESETENABLE_SHIFT)) & PMC_RESETCTRL_BODVBATRESETENABLE_MASK)\r
-#define PMC_RESETCTRL_BODCORERESETENABLE_MASK    (0x4U)\r
-#define PMC_RESETCTRL_BODCORERESETENABLE_SHIFT   (2U)\r
-/*! BODCORERESETENABLE - BOD CORE reset enable.\r
- *  0b0..BOD CORE reset is disable.\r
- *  0b1..BOD CORE reset is enable.\r
- */\r
-#define PMC_RESETCTRL_BODCORERESETENABLE(x)      (((uint32_t)(((uint32_t)(x)) << PMC_RESETCTRL_BODCORERESETENABLE_SHIFT)) & PMC_RESETCTRL_BODCORERESETENABLE_MASK)\r
-#define PMC_RESETCTRL_SWRRESETENABLE_MASK        (0x8U)\r
-#define PMC_RESETCTRL_SWRRESETENABLE_SHIFT       (3U)\r
+#define PMC_RESETCTRL_BODVBATRESETENABLE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PMC_RESETCTRL_BODVBATRESETENABLE_SHIFT)) & PMC_RESETCTRL_BODVBATRESETENABLE_MASK)\r
+#define PMC_RESETCTRL_SWRRESETENABLE_MASK (0x8U)\r
+#define PMC_RESETCTRL_SWRRESETENABLE_SHIFT (3U)\r
 /*! SWRRESETENABLE - Software reset enable.\r
  *  0b0..Software reset is disable.\r
  *  0b1..Software reset is enable.\r
  */\r
-#define PMC_RESETCTRL_SWRRESETENABLE(x)          (((uint32_t)(((uint32_t)(x)) << PMC_RESETCTRL_SWRRESETENABLE_SHIFT)) & PMC_RESETCTRL_SWRRESETENABLE_MASK)\r
-/*! @} */\r
-\r
-/*! @name RESETCAUSE - Reset Cause register [Reset by: PoR] */\r
-/*! @{ */\r
-#define PMC_RESETCAUSE_POR_MASK                  (0x1U)\r
-#define PMC_RESETCAUSE_POR_SHIFT                 (0U)\r
-#define PMC_RESETCAUSE_POR(x)                    (((uint32_t)(((uint32_t)(x)) << PMC_RESETCAUSE_POR_SHIFT)) & PMC_RESETCAUSE_POR_MASK)\r
-#define PMC_RESETCAUSE_PADRESET_MASK             (0x2U)\r
-#define PMC_RESETCAUSE_PADRESET_SHIFT            (1U)\r
-#define PMC_RESETCAUSE_PADRESET(x)               (((uint32_t)(((uint32_t)(x)) << PMC_RESETCAUSE_PADRESET_SHIFT)) & PMC_RESETCAUSE_PADRESET_MASK)\r
-#define PMC_RESETCAUSE_BODRESET_MASK             (0x4U)\r
-#define PMC_RESETCAUSE_BODRESET_SHIFT            (2U)\r
-#define PMC_RESETCAUSE_BODRESET(x)               (((uint32_t)(((uint32_t)(x)) << PMC_RESETCAUSE_BODRESET_SHIFT)) & PMC_RESETCAUSE_BODRESET_MASK)\r
-#define PMC_RESETCAUSE_SYSTEMRESET_MASK          (0x8U)\r
-#define PMC_RESETCAUSE_SYSTEMRESET_SHIFT         (3U)\r
-#define PMC_RESETCAUSE_SYSTEMRESET(x)            (((uint32_t)(((uint32_t)(x)) << PMC_RESETCAUSE_SYSTEMRESET_SHIFT)) & PMC_RESETCAUSE_SYSTEMRESET_MASK)\r
-#define PMC_RESETCAUSE_WDTRESET_MASK             (0x10U)\r
-#define PMC_RESETCAUSE_WDTRESET_SHIFT            (4U)\r
-#define PMC_RESETCAUSE_WDTRESET(x)               (((uint32_t)(((uint32_t)(x)) << PMC_RESETCAUSE_WDTRESET_SHIFT)) & PMC_RESETCAUSE_WDTRESET_MASK)\r
-#define PMC_RESETCAUSE_SWRRESET_MASK             (0x20U)\r
-#define PMC_RESETCAUSE_SWRRESET_SHIFT            (5U)\r
-#define PMC_RESETCAUSE_SWRRESET(x)               (((uint32_t)(((uint32_t)(x)) << PMC_RESETCAUSE_SWRRESET_SHIFT)) & PMC_RESETCAUSE_SWRRESET_MASK)\r
-#define PMC_RESETCAUSE_DPDRESET_WAKEUPIO_MASK    (0x40U)\r
-#define PMC_RESETCAUSE_DPDRESET_WAKEUPIO_SHIFT   (6U)\r
-#define PMC_RESETCAUSE_DPDRESET_WAKEUPIO(x)      (((uint32_t)(((uint32_t)(x)) << PMC_RESETCAUSE_DPDRESET_WAKEUPIO_SHIFT)) & PMC_RESETCAUSE_DPDRESET_WAKEUPIO_MASK)\r
-#define PMC_RESETCAUSE_DPDRESET_RTC_MASK         (0x80U)\r
-#define PMC_RESETCAUSE_DPDRESET_RTC_SHIFT        (7U)\r
-#define PMC_RESETCAUSE_DPDRESET_RTC(x)           (((uint32_t)(((uint32_t)(x)) << PMC_RESETCAUSE_DPDRESET_RTC_SHIFT)) & PMC_RESETCAUSE_DPDRESET_RTC_MASK)\r
-#define PMC_RESETCAUSE_DPDRESET_OSTIMER_MASK     (0x100U)\r
-#define PMC_RESETCAUSE_DPDRESET_OSTIMER_SHIFT    (8U)\r
-#define PMC_RESETCAUSE_DPDRESET_OSTIMER(x)       (((uint32_t)(((uint32_t)(x)) << PMC_RESETCAUSE_DPDRESET_OSTIMER_SHIFT)) & PMC_RESETCAUSE_DPDRESET_OSTIMER_MASK)\r
+#define PMC_RESETCTRL_SWRRESETENABLE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PMC_RESETCTRL_SWRRESETENABLE_SHIFT)) & PMC_RESETCTRL_SWRRESETENABLE_MASK)\r
 /*! @} */\r
 \r
 /*! @name BODVBAT - VBAT Brown Out Dectector (BoD) control register [Reset by: PoR, Pin Reset, Software Reset] */\r
 /*! @{ */\r
-#define PMC_BODVBAT_TRIGLVL_MASK                 (0x1FU)\r
-#define PMC_BODVBAT_TRIGLVL_SHIFT                (0U)\r
+#define PMC_BODVBAT_TRIGLVL_MASK (0x1FU)\r
+#define PMC_BODVBAT_TRIGLVL_SHIFT (0U)\r
 /*! TRIGLVL - BoD trigger level.\r
  *  0b00000..1.00 V.\r
  *  0b00001..1.10 V.\r
@@ -11593,174 +15283,44 @@ typedef struct {
  *  0b11110..3.30 V.\r
  *  0b11111..3.30 V.\r
  */\r
-#define PMC_BODVBAT_TRIGLVL(x)                   (((uint32_t)(((uint32_t)(x)) << PMC_BODVBAT_TRIGLVL_SHIFT)) & PMC_BODVBAT_TRIGLVL_MASK)\r
-#define PMC_BODVBAT_HYST_MASK                    (0x60U)\r
-#define PMC_BODVBAT_HYST_SHIFT                   (5U)\r
+#define PMC_BODVBAT_TRIGLVL(x) (((uint32_t)(((uint32_t)(x)) << PMC_BODVBAT_TRIGLVL_SHIFT)) & PMC_BODVBAT_TRIGLVL_MASK)\r
+#define PMC_BODVBAT_HYST_MASK (0x60U)\r
+#define PMC_BODVBAT_HYST_SHIFT (5U)\r
 /*! HYST - BoD Hysteresis control.\r
  *  0b00..25 mV.\r
  *  0b01..50 mV.\r
  *  0b10..75 mV.\r
  *  0b11..100 mV.\r
  */\r
-#define PMC_BODVBAT_HYST(x)                      (((uint32_t)(((uint32_t)(x)) << PMC_BODVBAT_HYST_SHIFT)) & PMC_BODVBAT_HYST_MASK)\r
+#define PMC_BODVBAT_HYST(x) (((uint32_t)(((uint32_t)(x)) << PMC_BODVBAT_HYST_SHIFT)) & PMC_BODVBAT_HYST_MASK)\r
 /*! @} */\r
 \r
-/*! @name BODCORE - Digital Core logic Brown Out Dectector control register [Reset by: PoR, Pin Reset, Brown Out Detectors Reset, Deep Power Down Reset, Software Reset] */\r
+/*! @name COMP - Analog Comparator control register [Reset by: PoR, Pin Reset, Brown Out Detectors Reset, Deep Power\r
+ * Down Reset, Software Reset] */\r
 /*! @{ */\r
-#define PMC_BODCORE_TRIGLVL_MASK                 (0x7U)\r
-#define PMC_BODCORE_TRIGLVL_SHIFT                (0U)\r
-/*! TRIGLVL - BoD trigger level.\r
- *  0b000..0.60 V.\r
- *  0b001..0.65 V.\r
- *  0b010..0.70 V.\r
- *  0b011..0.75 V.\r
- *  0b100..0.80 V.\r
- *  0b101..0.85 V.\r
- *  0b110..0.90 V.\r
- *  0b111..0.95 V.\r
- */\r
-#define PMC_BODCORE_TRIGLVL(x)                   (((uint32_t)(((uint32_t)(x)) << PMC_BODCORE_TRIGLVL_SHIFT)) & PMC_BODCORE_TRIGLVL_MASK)\r
-#define PMC_BODCORE_HYST_MASK                    (0x30U)\r
-#define PMC_BODCORE_HYST_SHIFT                   (4U)\r
-/*! HYST - BoD Core Hysteresis control.\r
- *  0b00..25 mV.\r
- *  0b01..50 mV.\r
- *  0b10..75 mV.\r
- *  0b11..100 mV.\r
- */\r
-#define PMC_BODCORE_HYST(x)                      (((uint32_t)(((uint32_t)(x)) << PMC_BODCORE_HYST_SHIFT)) & PMC_BODCORE_HYST_MASK)\r
-/*! @} */\r
-\r
-/*! @name FRO1M - 1 MHz Free Running Oscillator control register [Reset by: PoR, Pin Reset, Brown Out Detectors Reset, Deep Power Down Reset, Software Reset] */\r
-/*! @{ */\r
-#define PMC_FRO1M_FREQSEL_MASK                   (0x7FU)\r
-#define PMC_FRO1M_FREQSEL_SHIFT                  (0U)\r
-#define PMC_FRO1M_FREQSEL(x)                     (((uint32_t)(((uint32_t)(x)) << PMC_FRO1M_FREQSEL_SHIFT)) & PMC_FRO1M_FREQSEL_MASK)\r
-#define PMC_FRO1M_ATBCTRL_MASK                   (0x180U)\r
-#define PMC_FRO1M_ATBCTRL_SHIFT                  (7U)\r
-#define PMC_FRO1M_ATBCTRL(x)                     (((uint32_t)(((uint32_t)(x)) << PMC_FRO1M_ATBCTRL_SHIFT)) & PMC_FRO1M_ATBCTRL_MASK)\r
-#define PMC_FRO1M_DIVSEL_MASK                    (0x3E00U)\r
-#define PMC_FRO1M_DIVSEL_SHIFT                   (9U)\r
-/*! DIVSEL - Divider selection bits.\r
- *  0b00000..2.0.\r
- *  0b00001..4.0.\r
- *  0b00010..6.0.\r
- *  0b00011..8.0.\r
- *  0b00100..10.0.\r
- *  0b00101..12.0.\r
- *  0b00110..14.0.\r
- *  0b00111..16.0.\r
- *  0b01000..18.0.\r
- *  0b01001..20.0.\r
- *  0b01010..22.0.\r
- *  0b01011..24.0.\r
- *  0b01100..26.0.\r
- *  0b01101..28.0.\r
- *  0b01110..30.0.\r
- *  0b01111..32.0.\r
- *  0b10000..34.0.\r
- *  0b10001..36.0.\r
- *  0b10010..38.0.\r
- *  0b10011..40.0.\r
- *  0b10100..42.0.\r
- *  0b10101..44.0.\r
- *  0b10110..46.0.\r
- *  0b10111..48.0.\r
- *  0b11000..50.0.\r
- *  0b11001..52.0.\r
- *  0b11010..54.0.\r
- *  0b11011..56.0.\r
- *  0b11100..58.0.\r
- *  0b11101..60.0.\r
- *  0b11110..62.0.\r
- *  0b11111..1.0.\r
- */\r
-#define PMC_FRO1M_DIVSEL(x)                      (((uint32_t)(((uint32_t)(x)) << PMC_FRO1M_DIVSEL_SHIFT)) & PMC_FRO1M_DIVSEL_MASK)\r
-/*! @} */\r
-\r
-/*! @name FRO32K - 32 KHz Free Running Oscillator (FRO) control register [Reset by: PoR, Brown Out Detectors Reset] */\r
-/*! @{ */\r
-#define PMC_FRO32K_NTAT_MASK                     (0xEU)\r
-#define PMC_FRO32K_NTAT_SHIFT                    (1U)\r
-#define PMC_FRO32K_NTAT(x)                       (((uint32_t)(((uint32_t)(x)) << PMC_FRO32K_NTAT_SHIFT)) & PMC_FRO32K_NTAT_MASK)\r
-#define PMC_FRO32K_PTAT_MASK                     (0x70U)\r
-#define PMC_FRO32K_PTAT_SHIFT                    (4U)\r
-#define PMC_FRO32K_PTAT(x)                       (((uint32_t)(((uint32_t)(x)) << PMC_FRO32K_PTAT_SHIFT)) & PMC_FRO32K_PTAT_MASK)\r
-#define PMC_FRO32K_CAPCAL_MASK                   (0xFF80U)\r
-#define PMC_FRO32K_CAPCAL_SHIFT                  (7U)\r
-#define PMC_FRO32K_CAPCAL(x)                     (((uint32_t)(((uint32_t)(x)) << PMC_FRO32K_CAPCAL_SHIFT)) & PMC_FRO32K_CAPCAL_MASK)\r
-#define PMC_FRO32K_ATBCTRL_MASK                  (0x30000U)\r
-#define PMC_FRO32K_ATBCTRL_SHIFT                 (16U)\r
-#define PMC_FRO32K_ATBCTRL(x)                    (((uint32_t)(((uint32_t)(x)) << PMC_FRO32K_ATBCTRL_SHIFT)) & PMC_FRO32K_ATBCTRL_MASK)\r
-/*! @} */\r
-\r
-/*! @name XTAL32K - 32 KHz Crystal oscillator (XTAL) control register [Reset by: PoR, Brown Out Detectors Reset] */\r
-/*! @{ */\r
-#define PMC_XTAL32K_IREF_MASK                    (0x6U)\r
-#define PMC_XTAL32K_IREF_SHIFT                   (1U)\r
-#define PMC_XTAL32K_IREF(x)                      (((uint32_t)(((uint32_t)(x)) << PMC_XTAL32K_IREF_SHIFT)) & PMC_XTAL32K_IREF_MASK)\r
-#define PMC_XTAL32K_TEST_MASK                    (0x8U)\r
-#define PMC_XTAL32K_TEST_SHIFT                   (3U)\r
-#define PMC_XTAL32K_TEST(x)                      (((uint32_t)(((uint32_t)(x)) << PMC_XTAL32K_TEST_SHIFT)) & PMC_XTAL32K_TEST_MASK)\r
-#define PMC_XTAL32K_IBIAS_MASK                   (0x30U)\r
-#define PMC_XTAL32K_IBIAS_SHIFT                  (4U)\r
-#define PMC_XTAL32K_IBIAS(x)                     (((uint32_t)(((uint32_t)(x)) << PMC_XTAL32K_IBIAS_SHIFT)) & PMC_XTAL32K_IBIAS_MASK)\r
-#define PMC_XTAL32K_AMPL_MASK                    (0xC0U)\r
-#define PMC_XTAL32K_AMPL_SHIFT                   (6U)\r
-#define PMC_XTAL32K_AMPL(x)                      (((uint32_t)(((uint32_t)(x)) << PMC_XTAL32K_AMPL_SHIFT)) & PMC_XTAL32K_AMPL_MASK)\r
-#define PMC_XTAL32K_CAPBANKIN_MASK               (0x7F00U)\r
-#define PMC_XTAL32K_CAPBANKIN_SHIFT              (8U)\r
-#define PMC_XTAL32K_CAPBANKIN(x)                 (((uint32_t)(((uint32_t)(x)) << PMC_XTAL32K_CAPBANKIN_SHIFT)) & PMC_XTAL32K_CAPBANKIN_MASK)\r
-#define PMC_XTAL32K_CAPBANKOUT_MASK              (0x3F8000U)\r
-#define PMC_XTAL32K_CAPBANKOUT_SHIFT             (15U)\r
-#define PMC_XTAL32K_CAPBANKOUT(x)                (((uint32_t)(((uint32_t)(x)) << PMC_XTAL32K_CAPBANKOUT_SHIFT)) & PMC_XTAL32K_CAPBANKOUT_MASK)\r
-#define PMC_XTAL32K_CAPTESTSTARTSRCSEL_MASK      (0x400000U)\r
-#define PMC_XTAL32K_CAPTESTSTARTSRCSEL_SHIFT     (22U)\r
-/*! CAPTESTSTARTSRCSEL - Source selection for xo32k_captest_start_ao_set.\r
- *  0b0..Sourced from CAPTESTSTART.\r
- *  0b1..Sourced from calibration.\r
- */\r
-#define PMC_XTAL32K_CAPTESTSTARTSRCSEL(x)        (((uint32_t)(((uint32_t)(x)) << PMC_XTAL32K_CAPTESTSTARTSRCSEL_SHIFT)) & PMC_XTAL32K_CAPTESTSTARTSRCSEL_MASK)\r
-#define PMC_XTAL32K_CAPTESTSTART_MASK            (0x800000U)\r
-#define PMC_XTAL32K_CAPTESTSTART_SHIFT           (23U)\r
-#define PMC_XTAL32K_CAPTESTSTART(x)              (((uint32_t)(((uint32_t)(x)) << PMC_XTAL32K_CAPTESTSTART_SHIFT)) & PMC_XTAL32K_CAPTESTSTART_MASK)\r
-#define PMC_XTAL32K_CAPTESTENABLE_MASK           (0x1000000U)\r
-#define PMC_XTAL32K_CAPTESTENABLE_SHIFT          (24U)\r
-#define PMC_XTAL32K_CAPTESTENABLE(x)             (((uint32_t)(((uint32_t)(x)) << PMC_XTAL32K_CAPTESTENABLE_SHIFT)) & PMC_XTAL32K_CAPTESTENABLE_MASK)\r
-#define PMC_XTAL32K_CAPTESTOSCINSEL_MASK         (0x2000000U)\r
-#define PMC_XTAL32K_CAPTESTOSCINSEL_SHIFT        (25U)\r
-/*! CAPTESTOSCINSEL - Select the input for test.\r
- *  0b0..Oscillator output pin (osc_out).\r
- *  0b1..Oscillator input pin (osc_in).\r
- */\r
-#define PMC_XTAL32K_CAPTESTOSCINSEL(x)           (((uint32_t)(((uint32_t)(x)) << PMC_XTAL32K_CAPTESTOSCINSEL_SHIFT)) & PMC_XTAL32K_CAPTESTOSCINSEL_MASK)\r
-/*! @} */\r
-\r
-/*! @name COMP - Analog Comparator control register [Reset by: PoR, Pin Reset, Brown Out Detectors Reset, Deep Power Down Reset, Software Reset] */\r
-/*! @{ */\r
-#define PMC_COMP_HYST_MASK                       (0x2U)\r
-#define PMC_COMP_HYST_SHIFT                      (1U)\r
+#define PMC_COMP_HYST_MASK (0x2U)\r
+#define PMC_COMP_HYST_SHIFT (1U)\r
 /*! HYST - Hysteris when hyst = '1'.\r
  *  0b0..Hysteresis is disable.\r
  *  0b1..Hysteresis is enable.\r
  */\r
-#define PMC_COMP_HYST(x)                         (((uint32_t)(((uint32_t)(x)) << PMC_COMP_HYST_SHIFT)) & PMC_COMP_HYST_MASK)\r
-#define PMC_COMP_VREFINPUT_MASK                  (0x4U)\r
-#define PMC_COMP_VREFINPUT_SHIFT                 (2U)\r
+#define PMC_COMP_HYST(x) (((uint32_t)(((uint32_t)(x)) << PMC_COMP_HYST_SHIFT)) & PMC_COMP_HYST_MASK)\r
+#define PMC_COMP_VREFINPUT_MASK (0x4U)\r
+#define PMC_COMP_VREFINPUT_SHIFT (2U)\r
 /*! VREFINPUT - Dedicated control bit to select between internal VREF and VDDA (for the resistive ladder).\r
  *  0b0..Select internal VREF.\r
  *  0b1..Select VDDA.\r
  */\r
-#define PMC_COMP_VREFINPUT(x)                    (((uint32_t)(((uint32_t)(x)) << PMC_COMP_VREFINPUT_SHIFT)) & PMC_COMP_VREFINPUT_MASK)\r
-#define PMC_COMP_LOWPOWER_MASK                   (0x8U)\r
-#define PMC_COMP_LOWPOWER_SHIFT                  (3U)\r
+#define PMC_COMP_VREFINPUT(x) (((uint32_t)(((uint32_t)(x)) << PMC_COMP_VREFINPUT_SHIFT)) & PMC_COMP_VREFINPUT_MASK)\r
+#define PMC_COMP_LOWPOWER_MASK (0x8U)\r
+#define PMC_COMP_LOWPOWER_SHIFT (3U)\r
 /*! LOWPOWER - Low power mode.\r
  *  0b0..High speed mode.\r
  *  0b1..Low power mode (Low speed).\r
  */\r
-#define PMC_COMP_LOWPOWER(x)                     (((uint32_t)(((uint32_t)(x)) << PMC_COMP_LOWPOWER_SHIFT)) & PMC_COMP_LOWPOWER_MASK)\r
-#define PMC_COMP_PMUX_MASK                       (0x70U)\r
-#define PMC_COMP_PMUX_SHIFT                      (4U)\r
+#define PMC_COMP_LOWPOWER(x) (((uint32_t)(((uint32_t)(x)) << PMC_COMP_LOWPOWER_SHIFT)) & PMC_COMP_LOWPOWER_MASK)\r
+#define PMC_COMP_PMUX_MASK (0x70U)\r
+#define PMC_COMP_PMUX_SHIFT (4U)\r
 /*! PMUX - Control word for P multiplexer:.\r
  *  0b000..VREF (See fiedl VREFINPUT).\r
  *  0b001..Pin P0_0.\r
@@ -11769,9 +15329,9 @@ typedef struct {
  *  0b100..Pin P1_14.\r
  *  0b101..Pin P2_23.\r
  */\r
-#define PMC_COMP_PMUX(x)                         (((uint32_t)(((uint32_t)(x)) << PMC_COMP_PMUX_SHIFT)) & PMC_COMP_PMUX_MASK)\r
-#define PMC_COMP_NMUX_MASK                       (0x380U)\r
-#define PMC_COMP_NMUX_SHIFT                      (7U)\r
+#define PMC_COMP_PMUX(x) (((uint32_t)(((uint32_t)(x)) << PMC_COMP_PMUX_SHIFT)) & PMC_COMP_PMUX_MASK)\r
+#define PMC_COMP_NMUX_MASK (0x380U)\r
+#define PMC_COMP_NMUX_SHIFT (7U)\r
 /*! NMUX - Control word for N multiplexer:.\r
  *  0b000..VREF (See field VREFINPUT).\r
  *  0b001..Pin P0_0.\r
@@ -11780,517 +15340,417 @@ typedef struct {
  *  0b100..Pin P1_14.\r
  *  0b101..Pin P2_23.\r
  */\r
-#define PMC_COMP_NMUX(x)                         (((uint32_t)(((uint32_t)(x)) << PMC_COMP_NMUX_SHIFT)) & PMC_COMP_NMUX_MASK)\r
-#define PMC_COMP_VREF_MASK                       (0x7C00U)\r
-#define PMC_COMP_VREF_SHIFT                      (10U)\r
-#define PMC_COMP_VREF(x)                         (((uint32_t)(((uint32_t)(x)) << PMC_COMP_VREF_SHIFT)) & PMC_COMP_VREF_MASK)\r
-#define PMC_COMP_FILTERCGF_SAMPLEMODE_MASK       (0x30000U)\r
-#define PMC_COMP_FILTERCGF_SAMPLEMODE_SHIFT      (16U)\r
-#define PMC_COMP_FILTERCGF_SAMPLEMODE(x)         (((uint32_t)(((uint32_t)(x)) << PMC_COMP_FILTERCGF_SAMPLEMODE_SHIFT)) & PMC_COMP_FILTERCGF_SAMPLEMODE_MASK)\r
-#define PMC_COMP_FILTERCGF_CLKDIV_MASK           (0x1C0000U)\r
-#define PMC_COMP_FILTERCGF_CLKDIV_SHIFT          (18U)\r
-#define PMC_COMP_FILTERCGF_CLKDIV(x)             (((uint32_t)(((uint32_t)(x)) << PMC_COMP_FILTERCGF_CLKDIV_SHIFT)) & PMC_COMP_FILTERCGF_CLKDIV_MASK)\r
-#define PMC_COMP_PMUXCAPT_MASK                   (0xE00000U)\r
-#define PMC_COMP_PMUXCAPT_SHIFT                  (21U)\r
-#define PMC_COMP_PMUXCAPT(x)                     (((uint32_t)(((uint32_t)(x)) << PMC_COMP_PMUXCAPT_SHIFT)) & PMC_COMP_PMUXCAPT_MASK)\r
+#define PMC_COMP_NMUX(x) (((uint32_t)(((uint32_t)(x)) << PMC_COMP_NMUX_SHIFT)) & PMC_COMP_NMUX_MASK)\r
+#define PMC_COMP_VREF_MASK (0x7C00U)\r
+#define PMC_COMP_VREF_SHIFT (10U)\r
+/*! VREF - Control reference voltage step, per steps of (VREFINPUT/31).\r
+ */\r
+#define PMC_COMP_VREF(x) (((uint32_t)(((uint32_t)(x)) << PMC_COMP_VREF_SHIFT)) & PMC_COMP_VREF_MASK)\r
+#define PMC_COMP_FILTERCGF_SAMPLEMODE_MASK (0x30000U)\r
+#define PMC_COMP_FILTERCGF_SAMPLEMODE_SHIFT (16U)\r
+/*! FILTERCGF_SAMPLEMODE - Control the filtering of the Analog Comparator output.\r
+ *  0b00..Bypass mode.\r
+ *  0b01..Filter 1 clock period.\r
+ *  0b10..Filter 2 clock period.\r
+ *  0b11..Filter 3 clock period.\r
+ */\r
+#define PMC_COMP_FILTERCGF_SAMPLEMODE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PMC_COMP_FILTERCGF_SAMPLEMODE_SHIFT)) & PMC_COMP_FILTERCGF_SAMPLEMODE_MASK)\r
+#define PMC_COMP_FILTERCGF_CLKDIV_MASK (0x1C0000U)\r
+#define PMC_COMP_FILTERCGF_CLKDIV_SHIFT (18U)\r
+/*! FILTERCGF_CLKDIV - Filter Clock divider.\r
+ *  0b000..Filter clock period duration equals 1 Analog Comparator clock period.\r
+ *  0b001..Filter clock period duration equals 2 Analog Comparator clock period.\r
+ *  0b010..Filter clock period duration equals 4 Analog Comparator clock period.\r
+ *  0b011..Filter clock period duration equals 8 Analog Comparator clock period.\r
+ *  0b100..Filter clock period duration equals 16 Analog Comparator clock period.\r
+ *  0b101..Filter clock period duration equals 32 Analog Comparator clock period.\r
+ *  0b110..Filter clock period duration equals 64 Analog Comparator clock period.\r
+ *  0b111..Filter clock period duration equals 128 Analog Comparator clock period.\r
+ */\r
+#define PMC_COMP_FILTERCGF_CLKDIV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PMC_COMP_FILTERCGF_CLKDIV_SHIFT)) & PMC_COMP_FILTERCGF_CLKDIV_MASK)\r
 /*! @} */\r
 \r
 /*! @name WAKEIOCAUSE - Allows to identify the Wake-up I/O source from Deep Power Down mode */\r
 /*! @{ */\r
-#define PMC_WAKEIOCAUSE_WAKEUP0_MASK             (0x1U)\r
-#define PMC_WAKEIOCAUSE_WAKEUP0_SHIFT            (0U)\r
+#define PMC_WAKEIOCAUSE_WAKEUP0_MASK (0x1U)\r
+#define PMC_WAKEIOCAUSE_WAKEUP0_SHIFT (0U)\r
 /*! WAKEUP0 - Allows to identify Wake up I/O 0 as the wake-up source from Deep Power Down mode.\r
  *  0b0..Last wake up from Deep Power down mode was NOT triggred by wake up I/O 0.\r
  *  0b1..Last wake up from Deep Power down mode was triggred by wake up I/O 0.\r
  */\r
-#define PMC_WAKEIOCAUSE_WAKEUP0(x)               (((uint32_t)(((uint32_t)(x)) << PMC_WAKEIOCAUSE_WAKEUP0_SHIFT)) & PMC_WAKEIOCAUSE_WAKEUP0_MASK)\r
-#define PMC_WAKEIOCAUSE_WAKEUP1_MASK             (0x2U)\r
-#define PMC_WAKEIOCAUSE_WAKEUP1_SHIFT            (1U)\r
+#define PMC_WAKEIOCAUSE_WAKEUP0(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PMC_WAKEIOCAUSE_WAKEUP0_SHIFT)) & PMC_WAKEIOCAUSE_WAKEUP0_MASK)\r
+#define PMC_WAKEIOCAUSE_WAKEUP1_MASK (0x2U)\r
+#define PMC_WAKEIOCAUSE_WAKEUP1_SHIFT (1U)\r
 /*! WAKEUP1 - Allows to identify Wake up I/O 1 as the wake-up source from Deep Power Down mode.\r
  *  0b0..Last wake up from Deep Power down mode was NOT triggred by wake up I/O 1.\r
  *  0b1..Last wake up from Deep Power down mode was triggred by wake up I/O 1.\r
  */\r
-#define PMC_WAKEIOCAUSE_WAKEUP1(x)               (((uint32_t)(((uint32_t)(x)) << PMC_WAKEIOCAUSE_WAKEUP1_SHIFT)) & PMC_WAKEIOCAUSE_WAKEUP1_MASK)\r
-#define PMC_WAKEIOCAUSE_WAKEUP2_MASK             (0x4U)\r
-#define PMC_WAKEIOCAUSE_WAKEUP2_SHIFT            (2U)\r
+#define PMC_WAKEIOCAUSE_WAKEUP1(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PMC_WAKEIOCAUSE_WAKEUP1_SHIFT)) & PMC_WAKEIOCAUSE_WAKEUP1_MASK)\r
+#define PMC_WAKEIOCAUSE_WAKEUP2_MASK (0x4U)\r
+#define PMC_WAKEIOCAUSE_WAKEUP2_SHIFT (2U)\r
 /*! WAKEUP2 - Allows to identify Wake up I/O 2 as the wake-up source from Deep Power Down mode.\r
  *  0b0..Last wake up from Deep Power down mode was NOT triggred by wake up I/O 2.\r
  *  0b1..Last wake up from Deep Power down mode was triggred by wake up I/O 2.\r
  */\r
-#define PMC_WAKEIOCAUSE_WAKEUP2(x)               (((uint32_t)(((uint32_t)(x)) << PMC_WAKEIOCAUSE_WAKEUP2_SHIFT)) & PMC_WAKEIOCAUSE_WAKEUP2_MASK)\r
-#define PMC_WAKEIOCAUSE_WAKEUP3_MASK             (0x8U)\r
-#define PMC_WAKEIOCAUSE_WAKEUP3_SHIFT            (3U)\r
+#define PMC_WAKEIOCAUSE_WAKEUP2(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PMC_WAKEIOCAUSE_WAKEUP2_SHIFT)) & PMC_WAKEIOCAUSE_WAKEUP2_MASK)\r
+#define PMC_WAKEIOCAUSE_WAKEUP3_MASK (0x8U)\r
+#define PMC_WAKEIOCAUSE_WAKEUP3_SHIFT (3U)\r
 /*! WAKEUP3 - Allows to identify Wake up I/O 3 as the wake-up source from Deep Power Down mode.\r
  *  0b0..Last wake up from Deep Power down mode was NOT triggred by wake up I/O 3.\r
  *  0b1..Last wake up from Deep Power down mode was triggred by wake up I/O 3.\r
  */\r
-#define PMC_WAKEIOCAUSE_WAKEUP3(x)               (((uint32_t)(((uint32_t)(x)) << PMC_WAKEIOCAUSE_WAKEUP3_SHIFT)) & PMC_WAKEIOCAUSE_WAKEUP3_MASK)\r
+#define PMC_WAKEIOCAUSE_WAKEUP3(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PMC_WAKEIOCAUSE_WAKEUP3_SHIFT)) & PMC_WAKEIOCAUSE_WAKEUP3_MASK)\r
 /*! @} */\r
 \r
 /*! @name STATUSCLK - FRO and XTAL status register [Reset by: PoR, Brown Out Detectors Reset] */\r
 /*! @{ */\r
-#define PMC_STATUSCLK_XTAL32KOK_MASK             (0x1U)\r
-#define PMC_STATUSCLK_XTAL32KOK_SHIFT            (0U)\r
-#define PMC_STATUSCLK_XTAL32KOK(x)               (((uint32_t)(((uint32_t)(x)) << PMC_STATUSCLK_XTAL32KOK_SHIFT)) & PMC_STATUSCLK_XTAL32KOK_MASK)\r
-#define PMC_STATUSCLK_FRO1MCLKVALID_MASK         (0x2U)\r
-#define PMC_STATUSCLK_FRO1MCLKVALID_SHIFT        (1U)\r
-#define PMC_STATUSCLK_FRO1MCLKVALID(x)           (((uint32_t)(((uint32_t)(x)) << PMC_STATUSCLK_FRO1MCLKVALID_SHIFT)) & PMC_STATUSCLK_FRO1MCLKVALID_MASK)\r
-#define PMC_STATUSCLK_XTAL32KOSCFAILURE_MASK     (0x4U)\r
-#define PMC_STATUSCLK_XTAL32KOSCFAILURE_SHIFT    (2U)\r
+#define PMC_STATUSCLK_XTAL32KOK_MASK (0x1U)\r
+#define PMC_STATUSCLK_XTAL32KOK_SHIFT (0U)\r
+/*! XTAL32KOK - XTAL oscillator 32 K OK signal.\r
+ */\r
+#define PMC_STATUSCLK_XTAL32KOK(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PMC_STATUSCLK_XTAL32KOK_SHIFT)) & PMC_STATUSCLK_XTAL32KOK_MASK)\r
+#define PMC_STATUSCLK_XTAL32KOSCFAILURE_MASK (0x4U)\r
+#define PMC_STATUSCLK_XTAL32KOSCFAILURE_SHIFT (2U)\r
 /*! XTAL32KOSCFAILURE - XTAL32 KHZ oscillator oscillation failure detection indicator.\r
  *  0b0..No oscillation failure has been detetced since the last time this bit has been cleared..\r
  *  0b1..At least one oscillation failure has been detetced since the last time this bit has been cleared..\r
  */\r
-#define PMC_STATUSCLK_XTAL32KOSCFAILURE(x)       (((uint32_t)(((uint32_t)(x)) << PMC_STATUSCLK_XTAL32KOSCFAILURE_SHIFT)) & PMC_STATUSCLK_XTAL32KOSCFAILURE_MASK)\r
+#define PMC_STATUSCLK_XTAL32KOSCFAILURE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PMC_STATUSCLK_XTAL32KOSCFAILURE_SHIFT)) & PMC_STATUSCLK_XTAL32KOSCFAILURE_MASK)\r
 /*! @} */\r
 \r
 /*! @name AOREG1 - General purpose always on domain data storage [Reset by: PoR, Brown Out Detectors Reset] */\r
 /*! @{ */\r
-#define PMC_AOREG1_DATA31_0_MASK                 (0xFFFFFFFFU)\r
-#define PMC_AOREG1_DATA31_0_SHIFT                (0U)\r
-#define PMC_AOREG1_DATA31_0(x)                   (((uint32_t)(((uint32_t)(x)) << PMC_AOREG1_DATA31_0_SHIFT)) & PMC_AOREG1_DATA31_0_MASK)\r
+#define PMC_AOREG1_POR_MASK (0x10U)\r
+#define PMC_AOREG1_POR_SHIFT (4U)\r
+/*! POR - The last chip reset was caused by a Power On Reset.\r
+ */\r
+#define PMC_AOREG1_POR(x) (((uint32_t)(((uint32_t)(x)) << PMC_AOREG1_POR_SHIFT)) & PMC_AOREG1_POR_MASK)\r
+#define PMC_AOREG1_PADRESET_MASK (0x20U)\r
+#define PMC_AOREG1_PADRESET_SHIFT (5U)\r
+/*! PADRESET - The last chip reset was caused by a Pin Reset.\r
+ */\r
+#define PMC_AOREG1_PADRESET(x) (((uint32_t)(((uint32_t)(x)) << PMC_AOREG1_PADRESET_SHIFT)) & PMC_AOREG1_PADRESET_MASK)\r
+#define PMC_AOREG1_BODRESET_MASK (0x40U)\r
+#define PMC_AOREG1_BODRESET_SHIFT (6U)\r
+/*! BODRESET - The last chip reset was caused by a Brown Out Detector (BoD), either VBAT BoD or Core Logic BoD.\r
+ */\r
+#define PMC_AOREG1_BODRESET(x) (((uint32_t)(((uint32_t)(x)) << PMC_AOREG1_BODRESET_SHIFT)) & PMC_AOREG1_BODRESET_MASK)\r
+#define PMC_AOREG1_SYSTEMRESET_MASK (0x80U)\r
+#define PMC_AOREG1_SYSTEMRESET_SHIFT (7U)\r
+/*! SYSTEMRESET - The last chip reset was caused by a System Reset requested by the ARM CPU.\r
+ */\r
+#define PMC_AOREG1_SYSTEMRESET(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PMC_AOREG1_SYSTEMRESET_SHIFT)) & PMC_AOREG1_SYSTEMRESET_MASK)\r
+#define PMC_AOREG1_WDTRESET_MASK (0x100U)\r
+#define PMC_AOREG1_WDTRESET_SHIFT (8U)\r
+/*! WDTRESET - The last chip reset was caused by the Watchdog Timer.\r
+ */\r
+#define PMC_AOREG1_WDTRESET(x) (((uint32_t)(((uint32_t)(x)) << PMC_AOREG1_WDTRESET_SHIFT)) & PMC_AOREG1_WDTRESET_MASK)\r
+#define PMC_AOREG1_SWRRESET_MASK (0x200U)\r
+#define PMC_AOREG1_SWRRESET_SHIFT (9U)\r
+/*! SWRRESET - The last chip reset was caused by a Software event.\r
+ */\r
+#define PMC_AOREG1_SWRRESET(x) (((uint32_t)(((uint32_t)(x)) << PMC_AOREG1_SWRRESET_SHIFT)) & PMC_AOREG1_SWRRESET_MASK)\r
+#define PMC_AOREG1_DPDRESET_WAKEUPIO_MASK (0x400U)\r
+#define PMC_AOREG1_DPDRESET_WAKEUPIO_SHIFT (10U)\r
+/*! DPDRESET_WAKEUPIO - The last chip reset was caused by a Wake-up I/O reset event during a Deep Power-Down mode.\r
+ */\r
+#define PMC_AOREG1_DPDRESET_WAKEUPIO(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PMC_AOREG1_DPDRESET_WAKEUPIO_SHIFT)) & PMC_AOREG1_DPDRESET_WAKEUPIO_MASK)\r
+#define PMC_AOREG1_DPDRESET_RTC_MASK (0x800U)\r
+#define PMC_AOREG1_DPDRESET_RTC_SHIFT (11U)\r
+/*! DPDRESET_RTC - The last chip reset was caused by an RTC (either RTC Alarm or RTC wake up) reset event during a Deep\r
+ * Power-Down mode.\r
+ */\r
+#define PMC_AOREG1_DPDRESET_RTC(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PMC_AOREG1_DPDRESET_RTC_SHIFT)) & PMC_AOREG1_DPDRESET_RTC_MASK)\r
+#define PMC_AOREG1_DPDRESET_OSTIMER_MASK (0x1000U)\r
+#define PMC_AOREG1_DPDRESET_OSTIMER_SHIFT (12U)\r
+/*! DPDRESET_OSTIMER - The last chip reset was caused by an OS Event Timer reset event during a Deep Power-Down mode.\r
+ */\r
+#define PMC_AOREG1_DPDRESET_OSTIMER(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PMC_AOREG1_DPDRESET_OSTIMER_SHIFT)) & PMC_AOREG1_DPDRESET_OSTIMER_MASK)\r
+#define PMC_AOREG1_BOOTERRORCOUNTER_MASK (0xF0000U)\r
+#define PMC_AOREG1_BOOTERRORCOUNTER_SHIFT (16U)\r
+/*! BOOTERRORCOUNTER - ROM Boot Fatal Error Counter.\r
+ */\r
+#define PMC_AOREG1_BOOTERRORCOUNTER(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PMC_AOREG1_BOOTERRORCOUNTER_SHIFT)) & PMC_AOREG1_BOOTERRORCOUNTER_MASK)\r
 /*! @} */\r
 \r
 /*! @name RTCOSC32K - RTC 1 KHZ and 1 Hz clocks source control register [Reset by: PoR, Brown Out Detectors Reset] */\r
 /*! @{ */\r
-#define PMC_RTCOSC32K_SEL_MASK                   (0x1U)\r
-#define PMC_RTCOSC32K_SEL_SHIFT                  (0U)\r
+#define PMC_RTCOSC32K_SEL_MASK (0x1U)\r
+#define PMC_RTCOSC32K_SEL_SHIFT (0U)\r
 /*! SEL - Select the 32K oscillator to be used in Deep Power Down Mode for the RTC (either XTAL32KHz or FRO32KHz) .\r
  *  0b0..FRO 32 KHz.\r
  *  0b1..XTAL 32KHz.\r
  */\r
-#define PMC_RTCOSC32K_SEL(x)                     (((uint32_t)(((uint32_t)(x)) << PMC_RTCOSC32K_SEL_SHIFT)) & PMC_RTCOSC32K_SEL_MASK)\r
-#define PMC_RTCOSC32K_CLK1KHZDIV_MASK            (0xEU)\r
-#define PMC_RTCOSC32K_CLK1KHZDIV_SHIFT           (1U)\r
-#define PMC_RTCOSC32K_CLK1KHZDIV(x)              (((uint32_t)(((uint32_t)(x)) << PMC_RTCOSC32K_CLK1KHZDIV_SHIFT)) & PMC_RTCOSC32K_CLK1KHZDIV_MASK)\r
-#define PMC_RTCOSC32K_CLK1KHZDIVUPDATEREQ_MASK   (0x8000U)\r
-#define PMC_RTCOSC32K_CLK1KHZDIVUPDATEREQ_SHIFT  (15U)\r
-#define PMC_RTCOSC32K_CLK1KHZDIVUPDATEREQ(x)     (((uint32_t)(((uint32_t)(x)) << PMC_RTCOSC32K_CLK1KHZDIVUPDATEREQ_SHIFT)) & PMC_RTCOSC32K_CLK1KHZDIVUPDATEREQ_MASK)\r
-#define PMC_RTCOSC32K_CLK1HZDIV_MASK             (0x7FF0000U)\r
-#define PMC_RTCOSC32K_CLK1HZDIV_SHIFT            (16U)\r
-#define PMC_RTCOSC32K_CLK1HZDIV(x)               (((uint32_t)(((uint32_t)(x)) << PMC_RTCOSC32K_CLK1HZDIV_SHIFT)) & PMC_RTCOSC32K_CLK1HZDIV_MASK)\r
-#define PMC_RTCOSC32K_CLK1HZDIVHALT_MASK         (0x40000000U)\r
-#define PMC_RTCOSC32K_CLK1HZDIVHALT_SHIFT        (30U)\r
-#define PMC_RTCOSC32K_CLK1HZDIVHALT(x)           (((uint32_t)(((uint32_t)(x)) << PMC_RTCOSC32K_CLK1HZDIVHALT_SHIFT)) & PMC_RTCOSC32K_CLK1HZDIVHALT_MASK)\r
-#define PMC_RTCOSC32K_CLK1HZDIVUPDATEREQ_MASK    (0x80000000U)\r
-#define PMC_RTCOSC32K_CLK1HZDIVUPDATEREQ_SHIFT   (31U)\r
-#define PMC_RTCOSC32K_CLK1HZDIVUPDATEREQ(x)      (((uint32_t)(((uint32_t)(x)) << PMC_RTCOSC32K_CLK1HZDIVUPDATEREQ_SHIFT)) & PMC_RTCOSC32K_CLK1HZDIVUPDATEREQ_MASK)\r
+#define PMC_RTCOSC32K_SEL(x) (((uint32_t)(((uint32_t)(x)) << PMC_RTCOSC32K_SEL_SHIFT)) & PMC_RTCOSC32K_SEL_MASK)\r
+#define PMC_RTCOSC32K_CLK1KHZDIV_MASK (0xEU)\r
+#define PMC_RTCOSC32K_CLK1KHZDIV_SHIFT (1U)\r
+/*! CLK1KHZDIV - Actual division ratio is : 28 + CLK1KHZDIV.\r
+ */\r
+#define PMC_RTCOSC32K_CLK1KHZDIV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PMC_RTCOSC32K_CLK1KHZDIV_SHIFT)) & PMC_RTCOSC32K_CLK1KHZDIV_MASK)\r
+#define PMC_RTCOSC32K_CLK1KHZDIVUPDATEREQ_MASK (0x8000U)\r
+#define PMC_RTCOSC32K_CLK1KHZDIVUPDATEREQ_SHIFT (15U)\r
+/*! CLK1KHZDIVUPDATEREQ - RTC 1KHz clock Divider status flag.\r
+ */\r
+#define PMC_RTCOSC32K_CLK1KHZDIVUPDATEREQ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PMC_RTCOSC32K_CLK1KHZDIVUPDATEREQ_SHIFT)) & PMC_RTCOSC32K_CLK1KHZDIVUPDATEREQ_MASK)\r
+#define PMC_RTCOSC32K_CLK1HZDIV_MASK (0x7FF0000U)\r
+#define PMC_RTCOSC32K_CLK1HZDIV_SHIFT (16U)\r
+/*! CLK1HZDIV - Actual division ratio is : 31744 + CLK1HZDIV.\r
+ */\r
+#define PMC_RTCOSC32K_CLK1HZDIV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PMC_RTCOSC32K_CLK1HZDIV_SHIFT)) & PMC_RTCOSC32K_CLK1HZDIV_MASK)\r
+#define PMC_RTCOSC32K_CLK1HZDIVHALT_MASK (0x40000000U)\r
+#define PMC_RTCOSC32K_CLK1HZDIVHALT_SHIFT (30U)\r
+/*! CLK1HZDIVHALT - Halts the divider counter.\r
+ */\r
+#define PMC_RTCOSC32K_CLK1HZDIVHALT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PMC_RTCOSC32K_CLK1HZDIVHALT_SHIFT)) & PMC_RTCOSC32K_CLK1HZDIVHALT_MASK)\r
+#define PMC_RTCOSC32K_CLK1HZDIVUPDATEREQ_MASK (0x80000000U)\r
+#define PMC_RTCOSC32K_CLK1HZDIVUPDATEREQ_SHIFT (31U)\r
+/*! CLK1HZDIVUPDATEREQ - RTC 1Hz Divider status flag.\r
+ */\r
+#define PMC_RTCOSC32K_CLK1HZDIVUPDATEREQ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PMC_RTCOSC32K_CLK1HZDIVUPDATEREQ_SHIFT)) & PMC_RTCOSC32K_CLK1HZDIVUPDATEREQ_MASK)\r
 /*! @} */\r
 \r
 /*! @name OSTIMER - OS Timer control register [Reset by: PoR, Brown Out Detectors Reset] */\r
 /*! @{ */\r
-#define PMC_OSTIMER_SOFTRESET_MASK               (0x1U)\r
-#define PMC_OSTIMER_SOFTRESET_SHIFT              (0U)\r
-#define PMC_OSTIMER_SOFTRESET(x)                 (((uint32_t)(((uint32_t)(x)) << PMC_OSTIMER_SOFTRESET_SHIFT)) & PMC_OSTIMER_SOFTRESET_MASK)\r
-#define PMC_OSTIMER_CLOCKENABLE_MASK             (0x2U)\r
-#define PMC_OSTIMER_CLOCKENABLE_SHIFT            (1U)\r
-#define PMC_OSTIMER_CLOCKENABLE(x)               (((uint32_t)(((uint32_t)(x)) << PMC_OSTIMER_CLOCKENABLE_SHIFT)) & PMC_OSTIMER_CLOCKENABLE_MASK)\r
-#define PMC_OSTIMER_DPDWAKEUPENABLE_MASK         (0x4U)\r
-#define PMC_OSTIMER_DPDWAKEUPENABLE_SHIFT        (2U)\r
-#define PMC_OSTIMER_DPDWAKEUPENABLE(x)           (((uint32_t)(((uint32_t)(x)) << PMC_OSTIMER_DPDWAKEUPENABLE_SHIFT)) & PMC_OSTIMER_DPDWAKEUPENABLE_MASK)\r
-#define PMC_OSTIMER_OSC32KPD_MASK                (0x8U)\r
-#define PMC_OSTIMER_OSC32KPD_SHIFT               (3U)\r
-#define PMC_OSTIMER_OSC32KPD(x)                  (((uint32_t)(((uint32_t)(x)) << PMC_OSTIMER_OSC32KPD_SHIFT)) & PMC_OSTIMER_OSC32KPD_MASK)\r
-/*! @} */\r
-\r
-/*! @name PDSLEEPCFG0 - Controls the power to various modules during Low Power modes - DEEP SLEEP, POWER DOWN and DEEP POWER DOWN [Reset by: PoR, Pin Reset, Brown Out Detectors Reset, Software Reset] */\r
-/*! @{ */\r
-#define PMC_PDSLEEPCFG0_PDEN_DCDC_MASK           (0x1U)\r
-#define PMC_PDSLEEPCFG0_PDEN_DCDC_SHIFT          (0U)\r
-/*! PDEN_DCDC - Controls DCDC power during DEEP SLEEP (DCDC is always shut down during POWER DOWN and DEEP POWER DOWN).\r
- *  0b0..DCDC is powered on during low power mode..\r
- *  0b1..DCDC is powered off during low power mode..\r
- */\r
-#define PMC_PDSLEEPCFG0_PDEN_DCDC(x)             (((uint32_t)(((uint32_t)(x)) << PMC_PDSLEEPCFG0_PDEN_DCDC_SHIFT)) & PMC_PDSLEEPCFG0_PDEN_DCDC_MASK)\r
-#define PMC_PDSLEEPCFG0_PDEN_BIAS_MASK           (0x2U)\r
-#define PMC_PDSLEEPCFG0_PDEN_BIAS_SHIFT          (1U)\r
-/*! PDEN_BIAS - Controls Analog Bias power during DEEP SLEEP and POWER DOWN (always shut down during DEEP POWER DOWN).\r
- *  0b0..Analog Bias is powered on during low power mode..\r
- *  0b1..Analog Bias is powered off during low power mode..\r
- */\r
-#define PMC_PDSLEEPCFG0_PDEN_BIAS(x)             (((uint32_t)(((uint32_t)(x)) << PMC_PDSLEEPCFG0_PDEN_BIAS_SHIFT)) & PMC_PDSLEEPCFG0_PDEN_BIAS_MASK)\r
-#define PMC_PDSLEEPCFG0_PDEN_BODCORE_MASK        (0x4U)\r
-#define PMC_PDSLEEPCFG0_PDEN_BODCORE_SHIFT       (2U)\r
-/*! PDEN_BODCORE - Controls Core Logic BoD power during DEEP SLEEP and POWER DOWN (always shut down during DEEP POWER DOWN).\r
- *  0b0..BOD CORE is powered on during low power mode..\r
- *  0b1..BOD CORE is powered off during low power mode..\r
- */\r
-#define PMC_PDSLEEPCFG0_PDEN_BODCORE(x)          (((uint32_t)(((uint32_t)(x)) << PMC_PDSLEEPCFG0_PDEN_BODCORE_SHIFT)) & PMC_PDSLEEPCFG0_PDEN_BODCORE_MASK)\r
-#define PMC_PDSLEEPCFG0_PDEN_BODVBAT_MASK        (0x8U)\r
-#define PMC_PDSLEEPCFG0_PDEN_BODVBAT_SHIFT       (3U)\r
-/*! PDEN_BODVBAT - Controls VBAT BoD power during DEEP SLEEP and POWER DOWN (always shut down during DEEP POWER DOWN).\r
- *  0b0..BOD VBAT is powered on during low power mode..\r
- *  0b1..BOD VBAT is powered off during low power mode..\r
- */\r
-#define PMC_PDSLEEPCFG0_PDEN_BODVBAT(x)          (((uint32_t)(((uint32_t)(x)) << PMC_PDSLEEPCFG0_PDEN_BODVBAT_SHIFT)) & PMC_PDSLEEPCFG0_PDEN_BODVBAT_MASK)\r
-#define PMC_PDSLEEPCFG0_PDEN_FRO1M_MASK          (0x10U)\r
-#define PMC_PDSLEEPCFG0_PDEN_FRO1M_SHIFT         (4U)\r
-/*! PDEN_FRO1M - Controls 1 MHz Free Running Oscillator power during DEEP SLEEP, POWER DOWN and DEEP POWER DOWN.\r
- *  0b0..FRO 1MHz is powered on during low power mode..\r
- *  0b1..FRO 1MHz is powered off during low power mode..\r
- */\r
-#define PMC_PDSLEEPCFG0_PDEN_FRO1M(x)            (((uint32_t)(((uint32_t)(x)) << PMC_PDSLEEPCFG0_PDEN_FRO1M_SHIFT)) & PMC_PDSLEEPCFG0_PDEN_FRO1M_MASK)\r
-#define PMC_PDSLEEPCFG0_PDEN_FRO192M_MASK        (0x20U)\r
-#define PMC_PDSLEEPCFG0_PDEN_FRO192M_SHIFT       (5U)\r
-/*! PDEN_FRO192M - Controls 192MHz Free Running Oscillator power during DEEP SLEEP (always shut down during POWER DOWN and DEEP POWER DOWN).\r
- *  0b0..FRO 192 MHz is powered on during low power mode..\r
- *  0b1..FRO 192 MHz is powered off during low power mode..\r
- */\r
-#define PMC_PDSLEEPCFG0_PDEN_FRO192M(x)          (((uint32_t)(((uint32_t)(x)) << PMC_PDSLEEPCFG0_PDEN_FRO192M_SHIFT)) & PMC_PDSLEEPCFG0_PDEN_FRO192M_MASK)\r
-#define PMC_PDSLEEPCFG0_PDEN_FRO32K_MASK         (0x40U)\r
-#define PMC_PDSLEEPCFG0_PDEN_FRO32K_SHIFT        (6U)\r
-/*! PDEN_FRO32K - Controls power during DEEP SLEEP, POWER DOWN and DEEP POWER DOWN.\r
- *  0b0..FRO 32 KHz is powered on during low power mode..\r
- *  0b1..FRO 32 KHz is powered off during low power mode..\r
- */\r
-#define PMC_PDSLEEPCFG0_PDEN_FRO32K(x)           (((uint32_t)(((uint32_t)(x)) << PMC_PDSLEEPCFG0_PDEN_FRO32K_SHIFT)) & PMC_PDSLEEPCFG0_PDEN_FRO32K_MASK)\r
-#define PMC_PDSLEEPCFG0_PDEN_XTAL32K_MASK        (0x80U)\r
-#define PMC_PDSLEEPCFG0_PDEN_XTAL32K_SHIFT       (7U)\r
-/*! PDEN_XTAL32K - Controls crystal 32 KHz power during DEEP SLEEP, POWER DOWN and DEEP POWER DOWN.\r
- *  0b0..crystal 32 KHz is powered on during low power mode..\r
- *  0b1..crystal 32 KHz is powered off during low power mode..\r
- */\r
-#define PMC_PDSLEEPCFG0_PDEN_XTAL32K(x)          (((uint32_t)(((uint32_t)(x)) << PMC_PDSLEEPCFG0_PDEN_XTAL32K_SHIFT)) & PMC_PDSLEEPCFG0_PDEN_XTAL32K_MASK)\r
-#define PMC_PDSLEEPCFG0_PDEN_XTAL32M_MASK        (0x100U)\r
-#define PMC_PDSLEEPCFG0_PDEN_XTAL32M_SHIFT       (8U)\r
-/*! PDEN_XTAL32M - Controls crystal 32 MHz power during DEEP SLEEP (always shut down during POWER DOWN and DEEP POWER DOWN).\r
- *  0b0..crystal 32 MHz is powered on during low power mode..\r
- *  0b1..crystal 32 MHz is powered off during low power mode..\r
- */\r
-#define PMC_PDSLEEPCFG0_PDEN_XTAL32M(x)          (((uint32_t)(((uint32_t)(x)) << PMC_PDSLEEPCFG0_PDEN_XTAL32M_SHIFT)) & PMC_PDSLEEPCFG0_PDEN_XTAL32M_MASK)\r
-#define PMC_PDSLEEPCFG0_PDEN_PLL0_MASK           (0x200U)\r
-#define PMC_PDSLEEPCFG0_PDEN_PLL0_SHIFT          (9U)\r
-/*! PDEN_PLL0 - Controls System PLL (also refered as PLL0) power during DEEP SLEEP (always shut down during POWER DOWN and DEEP POWER DOWN).\r
- *  0b0..System PLL (also refered as PLL0) is powered on during low power mode..\r
- *  0b1..System PLL (also refered as PLL0) is powered off during low power mode..\r
- */\r
-#define PMC_PDSLEEPCFG0_PDEN_PLL0(x)             (((uint32_t)(((uint32_t)(x)) << PMC_PDSLEEPCFG0_PDEN_PLL0_SHIFT)) & PMC_PDSLEEPCFG0_PDEN_PLL0_MASK)\r
-#define PMC_PDSLEEPCFG0_PDEN_PLL1_MASK           (0x400U)\r
-#define PMC_PDSLEEPCFG0_PDEN_PLL1_SHIFT          (10U)\r
-/*! PDEN_PLL1 - Controls USB PLL (also refered as PLL1) power during DEEP SLEEP (always shut down during POWER DOWN and DEEP POWER DOWN).\r
- *  0b0..USB PLL (also refered as PLL1) is powered on during low power mode..\r
- *  0b1..USB PLL (also refered as PLL1) is powered off during low power mode..\r
- */\r
-#define PMC_PDSLEEPCFG0_PDEN_PLL1(x)             (((uint32_t)(((uint32_t)(x)) << PMC_PDSLEEPCFG0_PDEN_PLL1_SHIFT)) & PMC_PDSLEEPCFG0_PDEN_PLL1_MASK)\r
-#define PMC_PDSLEEPCFG0_PDEN_USBFSPHY_MASK       (0x800U)\r
-#define PMC_PDSLEEPCFG0_PDEN_USBFSPHY_SHIFT      (11U)\r
-/*! PDEN_USBFSPHY - Controls USB Full Speed phy power during DEEP SLEEP (always shut down during POWER DOWN and DEEP POWER DOWN).\r
- *  0b0..USB Full Speed phy is powered on during low power mode..\r
- *  0b1..USB Full Speed phy is powered off during low power mode..\r
- */\r
-#define PMC_PDSLEEPCFG0_PDEN_USBFSPHY(x)         (((uint32_t)(((uint32_t)(x)) << PMC_PDSLEEPCFG0_PDEN_USBFSPHY_SHIFT)) & PMC_PDSLEEPCFG0_PDEN_USBFSPHY_MASK)\r
-#define PMC_PDSLEEPCFG0_PDEN_USBHSPHY_MASK       (0x1000U)\r
-#define PMC_PDSLEEPCFG0_PDEN_USBHSPHY_SHIFT      (12U)\r
-/*! PDEN_USBHSPHY - Controls USB High Speed Phy power during DEEP SLEEP (always shut down during POWER DOWN and DEEP POWER DOWN).\r
- *  0b0..USB High Speed Phy is powered on during low power mode..\r
- *  0b1..USB High Speed Phy is powered off during low power mode..\r
- */\r
-#define PMC_PDSLEEPCFG0_PDEN_USBHSPHY(x)         (((uint32_t)(((uint32_t)(x)) << PMC_PDSLEEPCFG0_PDEN_USBHSPHY_SHIFT)) & PMC_PDSLEEPCFG0_PDEN_USBHSPHY_MASK)\r
-#define PMC_PDSLEEPCFG0_PDEN_COMP_MASK           (0x2000U)\r
-#define PMC_PDSLEEPCFG0_PDEN_COMP_SHIFT          (13U)\r
-/*! PDEN_COMP - Controls Analog Comparator power during DEEP SLEEP and POWER DOWN (always shut down during DEEP POWER DOWN).\r
- *  0b0..Analog Comparator is powered on during low power mode..\r
- *  0b1..Analog Comparator is powered off during low power mode..\r
- */\r
-#define PMC_PDSLEEPCFG0_PDEN_COMP(x)             (((uint32_t)(((uint32_t)(x)) << PMC_PDSLEEPCFG0_PDEN_COMP_SHIFT)) & PMC_PDSLEEPCFG0_PDEN_COMP_MASK)\r
-#define PMC_PDSLEEPCFG0_PDEN_TEMPSENS_MASK       (0x4000U)\r
-#define PMC_PDSLEEPCFG0_PDEN_TEMPSENS_SHIFT      (14U)\r
-/*! PDEN_TEMPSENS - Controls Temperature Sensor power during DEEP SLEEP (always shut down during POWER DOWN and DEEP POWER DOWN).\r
- *  0b0..Temperature Sensor is powered on during low power mode..\r
- *  0b1..Temperature Sensor is powered off during low power mode..\r
- */\r
-#define PMC_PDSLEEPCFG0_PDEN_TEMPSENS(x)         (((uint32_t)(((uint32_t)(x)) << PMC_PDSLEEPCFG0_PDEN_TEMPSENS_SHIFT)) & PMC_PDSLEEPCFG0_PDEN_TEMPSENS_MASK)\r
-#define PMC_PDSLEEPCFG0_PDEN_GPADC_MASK          (0x8000U)\r
-#define PMC_PDSLEEPCFG0_PDEN_GPADC_SHIFT         (15U)\r
-/*! PDEN_GPADC - Controls General Purpose ADC (GPADC) power during DEEP SLEEP (always shut down during POWER DOWN and DEEP POWER DOWN).\r
- *  0b0..General Purpose ADC (GPADC) is powered on during low power mode..\r
- *  0b1..General Purpose ADC (GPADC) is powered off during low power mode..\r
- */\r
-#define PMC_PDSLEEPCFG0_PDEN_GPADC(x)            (((uint32_t)(((uint32_t)(x)) << PMC_PDSLEEPCFG0_PDEN_GPADC_SHIFT)) & PMC_PDSLEEPCFG0_PDEN_GPADC_MASK)\r
-#define PMC_PDSLEEPCFG0_PDEN_LDOMEM_MASK         (0x10000U)\r
-#define PMC_PDSLEEPCFG0_PDEN_LDOMEM_SHIFT        (16U)\r
-/*! PDEN_LDOMEM - Controls Memories LDO power during DEEP SLEEP, POWER DOWN and DEEP POWER DOWN.\r
- *  0b0..Memories LDO is powered on during low power mode..\r
- *  0b1..Memories LDO is powered off during low power mode..\r
- */\r
-#define PMC_PDSLEEPCFG0_PDEN_LDOMEM(x)           (((uint32_t)(((uint32_t)(x)) << PMC_PDSLEEPCFG0_PDEN_LDOMEM_SHIFT)) & PMC_PDSLEEPCFG0_PDEN_LDOMEM_MASK)\r
-#define PMC_PDSLEEPCFG0_PDEN_LDODEEPSLEEP_MASK   (0x20000U)\r
-#define PMC_PDSLEEPCFG0_PDEN_LDODEEPSLEEP_SHIFT  (17U)\r
-/*! PDEN_LDODEEPSLEEP - Controls Deep Sleep LDO power during DEEP SLEEP and POWER DOWN (always shut down during DEEP POWER DOWN).\r
- *  0b0..Deep Sleep LDO is powered on during low power mode..\r
- *  0b1..Deep Sleep LDO is powered off during low power mode..\r
- */\r
-#define PMC_PDSLEEPCFG0_PDEN_LDODEEPSLEEP(x)     (((uint32_t)(((uint32_t)(x)) << PMC_PDSLEEPCFG0_PDEN_LDODEEPSLEEP_SHIFT)) & PMC_PDSLEEPCFG0_PDEN_LDODEEPSLEEP_MASK)\r
-#define PMC_PDSLEEPCFG0_PDEN_LDOUSBHS_MASK       (0x40000U)\r
-#define PMC_PDSLEEPCFG0_PDEN_LDOUSBHS_SHIFT      (18U)\r
-/*! PDEN_LDOUSBHS - Controls USB high speed LDO power during DEEP SLEEP (always shut down during POWER DOWN and DEEP POWER DOWN).\r
- *  0b0..USB high speed LDO is powered on during low power mode..\r
- *  0b1..USB high speed LDO is powered off during low power mode..\r
- */\r
-#define PMC_PDSLEEPCFG0_PDEN_LDOUSBHS(x)         (((uint32_t)(((uint32_t)(x)) << PMC_PDSLEEPCFG0_PDEN_LDOUSBHS_SHIFT)) & PMC_PDSLEEPCFG0_PDEN_LDOUSBHS_MASK)\r
-#define PMC_PDSLEEPCFG0_PDEN_AUXBIAS_MASK        (0x80000U)\r
-#define PMC_PDSLEEPCFG0_PDEN_AUXBIAS_SHIFT       (19U)\r
-/*! PDEN_AUXBIAS - during DEEP SLEEP and POWER DOWN (always shut down during DEEP POWER DOWN).\r
- *  0b0..is powered on during low power mode..\r
- *  0b1..is powered off during low power mode..\r
- */\r
-#define PMC_PDSLEEPCFG0_PDEN_AUXBIAS(x)          (((uint32_t)(((uint32_t)(x)) << PMC_PDSLEEPCFG0_PDEN_AUXBIAS_SHIFT)) & PMC_PDSLEEPCFG0_PDEN_AUXBIAS_MASK)\r
-#define PMC_PDSLEEPCFG0_PDEN_LDOXO32M_MASK       (0x100000U)\r
-#define PMC_PDSLEEPCFG0_PDEN_LDOXO32M_SHIFT      (20U)\r
-/*! PDEN_LDOXO32M - Controls crystal 32 MHz LDO power during DEEP SLEEP (always shut down during POWER DOWN and DEEP POWER DOWN).\r
- *  0b0..crystal 32 MHz LDO is powered on during low power mode..\r
- *  0b1..crystal 32 MHz LDO is powered off during low power mode..\r
- */\r
-#define PMC_PDSLEEPCFG0_PDEN_LDOXO32M(x)         (((uint32_t)(((uint32_t)(x)) << PMC_PDSLEEPCFG0_PDEN_LDOXO32M_SHIFT)) & PMC_PDSLEEPCFG0_PDEN_LDOXO32M_MASK)\r
-#define PMC_PDSLEEPCFG0_PDEN_LDOFLASHNV_MASK     (0x200000U)\r
-#define PMC_PDSLEEPCFG0_PDEN_LDOFLASHNV_SHIFT    (21U)\r
-/*! PDEN_LDOFLASHNV - Controls Flash NV (high voltage) LDO power during DEEP SLEEP (always shut down during POWER DOWN and DEEP POWER DOWN).\r
- *  0b0..Flash NV (high voltage) is powered on during low power mode..\r
- *  0b1..Flash NV (high voltage) is powered off during low power mode..\r
- */\r
-#define PMC_PDSLEEPCFG0_PDEN_LDOFLASHNV(x)       (((uint32_t)(((uint32_t)(x)) << PMC_PDSLEEPCFG0_PDEN_LDOFLASHNV_SHIFT)) & PMC_PDSLEEPCFG0_PDEN_LDOFLASHNV_MASK)\r
-#define PMC_PDSLEEPCFG0_PDEN_RNG_MASK            (0x400000U)\r
-#define PMC_PDSLEEPCFG0_PDEN_RNG_SHIFT           (22U)\r
-/*! PDEN_RNG - Controls True Random Number Genetaor (TRNG) clock sources power during DEEP SLEEP (always shut down during POWER DOWN and DEEP POWER DOWN).\r
- *  0b0..True Random Number Genetaor (TRNG) clock sources are powered on during low power mode..\r
- *  0b1..True Random Number Genetaor (TRNG) clock sources are powered off during low power mode..\r
- */\r
-#define PMC_PDSLEEPCFG0_PDEN_RNG(x)              (((uint32_t)(((uint32_t)(x)) << PMC_PDSLEEPCFG0_PDEN_RNG_SHIFT)) & PMC_PDSLEEPCFG0_PDEN_RNG_MASK)\r
-#define PMC_PDSLEEPCFG0_PDEN_PLL0_SSCG_MASK      (0x800000U)\r
-#define PMC_PDSLEEPCFG0_PDEN_PLL0_SSCG_SHIFT     (23U)\r
-/*! PDEN_PLL0_SSCG - Controls PLL0 Spread Sprectrum module power during DEEP SLEEP (PLL0 Spread Spectrum is always shut down during POWER DOWN and DEEP POWER DOWN).\r
- *  0b0..PLL0 Spread Sprectrum module is powered on during low power mode..\r
- *  0b1..PLL0 Spread Sprectrum module is powered off during low power mode..\r
- */\r
-#define PMC_PDSLEEPCFG0_PDEN_PLL0_SSCG(x)        (((uint32_t)(((uint32_t)(x)) << PMC_PDSLEEPCFG0_PDEN_PLL0_SSCG_SHIFT)) & PMC_PDSLEEPCFG0_PDEN_PLL0_SSCG_MASK)\r
-#define PMC_PDSLEEPCFG0_PDEN_ROM_MASK            (0x1000000U)\r
-#define PMC_PDSLEEPCFG0_PDEN_ROM_SHIFT           (24U)\r
-/*! PDEN_ROM - Controls ROM power during DEEP SLEEP (ROM is always shut down during POWER DOWN and DEEP POWER DOWN).\r
- *  0b0..ROM is powered on during low power mode..\r
- *  0b1..ROM is powered off during low power mode..\r
- */\r
-#define PMC_PDSLEEPCFG0_PDEN_ROM(x)              (((uint32_t)(((uint32_t)(x)) << PMC_PDSLEEPCFG0_PDEN_ROM_SHIFT)) & PMC_PDSLEEPCFG0_PDEN_ROM_MASK)\r
-/*! @} */\r
-\r
-/*! @name PDRUNCFG0 - Controls the power to various analog blocks [Reset by: PoR, Pin Reset, Brown Out Detectors Reset, Deep Power Down Reset, Software Reset] */\r
-/*! @{ */\r
-#define PMC_PDRUNCFG0_PDEN_DCDC_MASK             (0x1U)\r
-#define PMC_PDRUNCFG0_PDEN_DCDC_SHIFT            (0U)\r
-/*! PDEN_DCDC - Controls power to Bulk DCDC Converter.\r
- *  0b0..DCDC is powered.\r
- *  0b1..DCDC is powered down.\r
- */\r
-#define PMC_PDRUNCFG0_PDEN_DCDC(x)               (((uint32_t)(((uint32_t)(x)) << PMC_PDRUNCFG0_PDEN_DCDC_SHIFT)) & PMC_PDRUNCFG0_PDEN_DCDC_MASK)\r
-#define PMC_PDRUNCFG0_PDEN_BIAS_MASK             (0x2U)\r
-#define PMC_PDRUNCFG0_PDEN_BIAS_SHIFT            (1U)\r
-/*! PDEN_BIAS - Controls power to .\r
- *  0b0..Analog Bias is powered.\r
- *  0b1..Analog Bias is powered down.\r
- */\r
-#define PMC_PDRUNCFG0_PDEN_BIAS(x)               (((uint32_t)(((uint32_t)(x)) << PMC_PDRUNCFG0_PDEN_BIAS_SHIFT)) & PMC_PDRUNCFG0_PDEN_BIAS_MASK)\r
-#define PMC_PDRUNCFG0_PDEN_BODCORE_MASK          (0x4U)\r
-#define PMC_PDRUNCFG0_PDEN_BODCORE_SHIFT         (2U)\r
-/*! PDEN_BODCORE - Controls power to Core Brown Out Detector (BOD).\r
- *  0b0..BOD CORE is powered.\r
- *  0b1..BOD CORE is powered down.\r
- */\r
-#define PMC_PDRUNCFG0_PDEN_BODCORE(x)            (((uint32_t)(((uint32_t)(x)) << PMC_PDRUNCFG0_PDEN_BODCORE_SHIFT)) & PMC_PDRUNCFG0_PDEN_BODCORE_MASK)\r
-#define PMC_PDRUNCFG0_PDEN_BODVBAT_MASK          (0x8U)\r
-#define PMC_PDRUNCFG0_PDEN_BODVBAT_SHIFT         (3U)\r
+#define PMC_OSTIMER_SOFTRESET_MASK (0x1U)\r
+#define PMC_OSTIMER_SOFTRESET_SHIFT (0U)\r
+/*! SOFTRESET - Active high reset.\r
+ */\r
+#define PMC_OSTIMER_SOFTRESET(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PMC_OSTIMER_SOFTRESET_SHIFT)) & PMC_OSTIMER_SOFTRESET_MASK)\r
+#define PMC_OSTIMER_CLOCKENABLE_MASK (0x2U)\r
+#define PMC_OSTIMER_CLOCKENABLE_SHIFT (1U)\r
+/*! CLOCKENABLE - Enable OSTIMER 32 KHz clock.\r
+ */\r
+#define PMC_OSTIMER_CLOCKENABLE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PMC_OSTIMER_CLOCKENABLE_SHIFT)) & PMC_OSTIMER_CLOCKENABLE_MASK)\r
+#define PMC_OSTIMER_DPDWAKEUPENABLE_MASK (0x4U)\r
+#define PMC_OSTIMER_DPDWAKEUPENABLE_SHIFT (2U)\r
+/*! DPDWAKEUPENABLE - Wake up enable in Deep Power Down mode (To be used in Enable Deep Power Down mode).\r
+ */\r
+#define PMC_OSTIMER_DPDWAKEUPENABLE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PMC_OSTIMER_DPDWAKEUPENABLE_SHIFT)) & PMC_OSTIMER_DPDWAKEUPENABLE_MASK)\r
+#define PMC_OSTIMER_OSC32KPD_MASK (0x8U)\r
+#define PMC_OSTIMER_OSC32KPD_SHIFT (3U)\r
+/*! OSC32KPD - Oscilator 32KHz (either FRO32KHz or XTAL32KHz according to RTCOSC32K.\r
+ */\r
+#define PMC_OSTIMER_OSC32KPD(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PMC_OSTIMER_OSC32KPD_SHIFT)) & PMC_OSTIMER_OSC32KPD_MASK)\r
+/*! @} */\r
+\r
+/*! @name PDRUNCFG0 - Controls the power to various analog blocks [Reset by: PoR, Pin Reset, Brown Out Detectors Reset,\r
+ * Deep Power Down Reset, Software Reset] */\r
+/*! @{ */\r
+#define PMC_PDRUNCFG0_PDEN_BODVBAT_MASK (0x8U)\r
+#define PMC_PDRUNCFG0_PDEN_BODVBAT_SHIFT (3U)\r
 /*! PDEN_BODVBAT - Controls power to VBAT Brown Out Detector (BOD).\r
  *  0b0..BOD VBAT is powered.\r
  *  0b1..BOD VBAT is powered down.\r
  */\r
-#define PMC_PDRUNCFG0_PDEN_BODVBAT(x)            (((uint32_t)(((uint32_t)(x)) << PMC_PDRUNCFG0_PDEN_BODVBAT_SHIFT)) & PMC_PDRUNCFG0_PDEN_BODVBAT_MASK)\r
-#define PMC_PDRUNCFG0_PDEN_FRO192M_MASK          (0x20U)\r
-#define PMC_PDRUNCFG0_PDEN_FRO192M_SHIFT         (5U)\r
-/*! PDEN_FRO192M - Controls power to the Free Running Oscillator (FRO) 192 MHz; The 12MHz, 48 MHz and 96 MHz clocks are derived from this FRO.\r
- *  0b0..FRO 192MHz is powered.\r
- *  0b1..FRO 192MHz is powered down.\r
- */\r
-#define PMC_PDRUNCFG0_PDEN_FRO192M(x)            (((uint32_t)(((uint32_t)(x)) << PMC_PDRUNCFG0_PDEN_FRO192M_SHIFT)) & PMC_PDRUNCFG0_PDEN_FRO192M_MASK)\r
-#define PMC_PDRUNCFG0_PDEN_FRO32K_MASK           (0x40U)\r
-#define PMC_PDRUNCFG0_PDEN_FRO32K_SHIFT          (6U)\r
+#define PMC_PDRUNCFG0_PDEN_BODVBAT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PMC_PDRUNCFG0_PDEN_BODVBAT_SHIFT)) & PMC_PDRUNCFG0_PDEN_BODVBAT_MASK)\r
+#define PMC_PDRUNCFG0_PDEN_FRO32K_MASK (0x40U)\r
+#define PMC_PDRUNCFG0_PDEN_FRO32K_SHIFT (6U)\r
 /*! PDEN_FRO32K - Controls power to the Free Running Oscillator (FRO) 32 KHz.\r
  *  0b0..FRO32KHz is powered.\r
  *  0b1..FRO32KHz is powered down.\r
  */\r
-#define PMC_PDRUNCFG0_PDEN_FRO32K(x)             (((uint32_t)(((uint32_t)(x)) << PMC_PDRUNCFG0_PDEN_FRO32K_SHIFT)) & PMC_PDRUNCFG0_PDEN_FRO32K_MASK)\r
-#define PMC_PDRUNCFG0_PDEN_XTAL32K_MASK          (0x80U)\r
-#define PMC_PDRUNCFG0_PDEN_XTAL32K_SHIFT         (7U)\r
+#define PMC_PDRUNCFG0_PDEN_FRO32K(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PMC_PDRUNCFG0_PDEN_FRO32K_SHIFT)) & PMC_PDRUNCFG0_PDEN_FRO32K_MASK)\r
+#define PMC_PDRUNCFG0_PDEN_XTAL32K_MASK (0x80U)\r
+#define PMC_PDRUNCFG0_PDEN_XTAL32K_SHIFT (7U)\r
 /*! PDEN_XTAL32K - Controls power to crystal 32 KHz.\r
  *  0b0..Crystal 32KHz is powered.\r
  *  0b1..Crystal 32KHz is powered down.\r
  */\r
-#define PMC_PDRUNCFG0_PDEN_XTAL32K(x)            (((uint32_t)(((uint32_t)(x)) << PMC_PDRUNCFG0_PDEN_XTAL32K_SHIFT)) & PMC_PDRUNCFG0_PDEN_XTAL32K_MASK)\r
-#define PMC_PDRUNCFG0_PDEN_XTAL32M_MASK          (0x100U)\r
-#define PMC_PDRUNCFG0_PDEN_XTAL32M_SHIFT         (8U)\r
+#define PMC_PDRUNCFG0_PDEN_XTAL32K(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PMC_PDRUNCFG0_PDEN_XTAL32K_SHIFT)) & PMC_PDRUNCFG0_PDEN_XTAL32K_MASK)\r
+#define PMC_PDRUNCFG0_PDEN_XTAL32M_MASK (0x100U)\r
+#define PMC_PDRUNCFG0_PDEN_XTAL32M_SHIFT (8U)\r
 /*! PDEN_XTAL32M - Controls power to crystal 32 MHz.\r
  *  0b0..Crystal 32MHz is powered.\r
  *  0b1..Crystal 32MHz is powered down.\r
  */\r
-#define PMC_PDRUNCFG0_PDEN_XTAL32M(x)            (((uint32_t)(((uint32_t)(x)) << PMC_PDRUNCFG0_PDEN_XTAL32M_SHIFT)) & PMC_PDRUNCFG0_PDEN_XTAL32M_MASK)\r
-#define PMC_PDRUNCFG0_PDEN_PLL0_MASK             (0x200U)\r
-#define PMC_PDRUNCFG0_PDEN_PLL0_SHIFT            (9U)\r
+#define PMC_PDRUNCFG0_PDEN_XTAL32M(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PMC_PDRUNCFG0_PDEN_XTAL32M_SHIFT)) & PMC_PDRUNCFG0_PDEN_XTAL32M_MASK)\r
+#define PMC_PDRUNCFG0_PDEN_PLL0_MASK (0x200U)\r
+#define PMC_PDRUNCFG0_PDEN_PLL0_SHIFT (9U)\r
 /*! PDEN_PLL0 - Controls power to System PLL (also refered as PLL0).\r
  *  0b0..PLL0 is powered.\r
  *  0b1..PLL0 is powered down.\r
  */\r
-#define PMC_PDRUNCFG0_PDEN_PLL0(x)               (((uint32_t)(((uint32_t)(x)) << PMC_PDRUNCFG0_PDEN_PLL0_SHIFT)) & PMC_PDRUNCFG0_PDEN_PLL0_MASK)\r
-#define PMC_PDRUNCFG0_PDEN_PLL1_MASK             (0x400U)\r
-#define PMC_PDRUNCFG0_PDEN_PLL1_SHIFT            (10U)\r
+#define PMC_PDRUNCFG0_PDEN_PLL0(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PMC_PDRUNCFG0_PDEN_PLL0_SHIFT)) & PMC_PDRUNCFG0_PDEN_PLL0_MASK)\r
+#define PMC_PDRUNCFG0_PDEN_PLL1_MASK (0x400U)\r
+#define PMC_PDRUNCFG0_PDEN_PLL1_SHIFT (10U)\r
 /*! PDEN_PLL1 - Controls power to USB PLL (also refered as PLL1).\r
  *  0b0..PLL1 is powered.\r
  *  0b1..PLL1 is powered down.\r
  */\r
-#define PMC_PDRUNCFG0_PDEN_PLL1(x)               (((uint32_t)(((uint32_t)(x)) << PMC_PDRUNCFG0_PDEN_PLL1_SHIFT)) & PMC_PDRUNCFG0_PDEN_PLL1_MASK)\r
-#define PMC_PDRUNCFG0_PDEN_USBFSPHY_MASK         (0x800U)\r
-#define PMC_PDRUNCFG0_PDEN_USBFSPHY_SHIFT        (11U)\r
+#define PMC_PDRUNCFG0_PDEN_PLL1(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PMC_PDRUNCFG0_PDEN_PLL1_SHIFT)) & PMC_PDRUNCFG0_PDEN_PLL1_MASK)\r
+#define PMC_PDRUNCFG0_PDEN_USBFSPHY_MASK (0x800U)\r
+#define PMC_PDRUNCFG0_PDEN_USBFSPHY_SHIFT (11U)\r
 /*! PDEN_USBFSPHY - Controls power to USB Full Speed phy.\r
  *  0b0..USB Full Speed phy is powered.\r
  *  0b1..USB Full Speed phy is powered down.\r
  */\r
-#define PMC_PDRUNCFG0_PDEN_USBFSPHY(x)           (((uint32_t)(((uint32_t)(x)) << PMC_PDRUNCFG0_PDEN_USBFSPHY_SHIFT)) & PMC_PDRUNCFG0_PDEN_USBFSPHY_MASK)\r
-#define PMC_PDRUNCFG0_PDEN_USBHSPHY_MASK         (0x1000U)\r
-#define PMC_PDRUNCFG0_PDEN_USBHSPHY_SHIFT        (12U)\r
+#define PMC_PDRUNCFG0_PDEN_USBFSPHY(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PMC_PDRUNCFG0_PDEN_USBFSPHY_SHIFT)) & PMC_PDRUNCFG0_PDEN_USBFSPHY_MASK)\r
+#define PMC_PDRUNCFG0_PDEN_USBHSPHY_MASK (0x1000U)\r
+#define PMC_PDRUNCFG0_PDEN_USBHSPHY_SHIFT (12U)\r
 /*! PDEN_USBHSPHY - Controls power to USB High Speed Phy.\r
  *  0b0..USB HS phy is powered.\r
  *  0b1..USB HS phy is powered down.\r
  */\r
-#define PMC_PDRUNCFG0_PDEN_USBHSPHY(x)           (((uint32_t)(((uint32_t)(x)) << PMC_PDRUNCFG0_PDEN_USBHSPHY_SHIFT)) & PMC_PDRUNCFG0_PDEN_USBHSPHY_MASK)\r
-#define PMC_PDRUNCFG0_PDEN_COMP_MASK             (0x2000U)\r
-#define PMC_PDRUNCFG0_PDEN_COMP_SHIFT            (13U)\r
+#define PMC_PDRUNCFG0_PDEN_USBHSPHY(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PMC_PDRUNCFG0_PDEN_USBHSPHY_SHIFT)) & PMC_PDRUNCFG0_PDEN_USBHSPHY_MASK)\r
+#define PMC_PDRUNCFG0_PDEN_COMP_MASK (0x2000U)\r
+#define PMC_PDRUNCFG0_PDEN_COMP_SHIFT (13U)\r
 /*! PDEN_COMP - Controls power to Analog Comparator.\r
  *  0b0..Analog Comparator is powered.\r
  *  0b1..Analog Comparator is powered down.\r
  */\r
-#define PMC_PDRUNCFG0_PDEN_COMP(x)               (((uint32_t)(((uint32_t)(x)) << PMC_PDRUNCFG0_PDEN_COMP_SHIFT)) & PMC_PDRUNCFG0_PDEN_COMP_MASK)\r
-#define PMC_PDRUNCFG0_PDEN_TEMPSENS_MASK         (0x4000U)\r
-#define PMC_PDRUNCFG0_PDEN_TEMPSENS_SHIFT        (14U)\r
-/*! PDEN_TEMPSENS - Controls power to Temperature Sensor.\r
- *  0b0..Temperature Sensor is powered.\r
- *  0b1..Temperature Sensor is powered down.\r
- */\r
-#define PMC_PDRUNCFG0_PDEN_TEMPSENS(x)           (((uint32_t)(((uint32_t)(x)) << PMC_PDRUNCFG0_PDEN_TEMPSENS_SHIFT)) & PMC_PDRUNCFG0_PDEN_TEMPSENS_MASK)\r
-#define PMC_PDRUNCFG0_PDEN_GPADC_MASK            (0x8000U)\r
-#define PMC_PDRUNCFG0_PDEN_GPADC_SHIFT           (15U)\r
-/*! PDEN_GPADC - Controls power to General Purpose ADC (GPADC).\r
- *  0b0..GPADC is powered.\r
- *  0b1..GPADC is powered down.\r
- */\r
-#define PMC_PDRUNCFG0_PDEN_GPADC(x)              (((uint32_t)(((uint32_t)(x)) << PMC_PDRUNCFG0_PDEN_GPADC_SHIFT)) & PMC_PDRUNCFG0_PDEN_GPADC_MASK)\r
-#define PMC_PDRUNCFG0_PDEN_LDOMEM_MASK           (0x10000U)\r
-#define PMC_PDRUNCFG0_PDEN_LDOMEM_SHIFT          (16U)\r
-/*! PDEN_LDOMEM - Controls power to Memories LDO.\r
- *  0b0..Memories LDO is powered.\r
- *  0b1..Memories LDO is powered down.\r
- */\r
-#define PMC_PDRUNCFG0_PDEN_LDOMEM(x)             (((uint32_t)(((uint32_t)(x)) << PMC_PDRUNCFG0_PDEN_LDOMEM_SHIFT)) & PMC_PDRUNCFG0_PDEN_LDOMEM_MASK)\r
-#define PMC_PDRUNCFG0_PDEN_LDODEEPSLEEP_MASK     (0x20000U)\r
-#define PMC_PDRUNCFG0_PDEN_LDODEEPSLEEP_SHIFT    (17U)\r
-/*! PDEN_LDODEEPSLEEP - Controls power to Deep Sleep LDO.\r
- *  0b0..Deep Sleep LDO is powered.\r
- *  0b1..Deep Sleep LDO is powered down.\r
- */\r
-#define PMC_PDRUNCFG0_PDEN_LDODEEPSLEEP(x)       (((uint32_t)(((uint32_t)(x)) << PMC_PDRUNCFG0_PDEN_LDODEEPSLEEP_SHIFT)) & PMC_PDRUNCFG0_PDEN_LDODEEPSLEEP_MASK)\r
-#define PMC_PDRUNCFG0_PDEN_LDOUSBHS_MASK         (0x40000U)\r
-#define PMC_PDRUNCFG0_PDEN_LDOUSBHS_SHIFT        (18U)\r
+#define PMC_PDRUNCFG0_PDEN_COMP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PMC_PDRUNCFG0_PDEN_COMP_SHIFT)) & PMC_PDRUNCFG0_PDEN_COMP_MASK)\r
+#define PMC_PDRUNCFG0_PDEN_LDOUSBHS_MASK (0x40000U)\r
+#define PMC_PDRUNCFG0_PDEN_LDOUSBHS_SHIFT (18U)\r
 /*! PDEN_LDOUSBHS - Controls power to USB high speed LDO.\r
  *  0b0..USB high speed LDO is powered.\r
  *  0b1..USB high speed LDO is powered down.\r
  */\r
-#define PMC_PDRUNCFG0_PDEN_LDOUSBHS(x)           (((uint32_t)(((uint32_t)(x)) << PMC_PDRUNCFG0_PDEN_LDOUSBHS_SHIFT)) & PMC_PDRUNCFG0_PDEN_LDOUSBHS_MASK)\r
-#define PMC_PDRUNCFG0_PDEN_AUXBIAS_MASK          (0x80000U)\r
-#define PMC_PDRUNCFG0_PDEN_AUXBIAS_SHIFT         (19U)\r
+#define PMC_PDRUNCFG0_PDEN_LDOUSBHS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PMC_PDRUNCFG0_PDEN_LDOUSBHS_SHIFT)) & PMC_PDRUNCFG0_PDEN_LDOUSBHS_MASK)\r
+#define PMC_PDRUNCFG0_PDEN_AUXBIAS_MASK (0x80000U)\r
+#define PMC_PDRUNCFG0_PDEN_AUXBIAS_SHIFT (19U)\r
 /*! PDEN_AUXBIAS - Controls power to auxiliary biasing (AUXBIAS)\r
  *  0b0..auxiliary biasing is powered.\r
  *  0b1..auxiliary biasing is powered down.\r
  */\r
-#define PMC_PDRUNCFG0_PDEN_AUXBIAS(x)            (((uint32_t)(((uint32_t)(x)) << PMC_PDRUNCFG0_PDEN_AUXBIAS_SHIFT)) & PMC_PDRUNCFG0_PDEN_AUXBIAS_MASK)\r
-#define PMC_PDRUNCFG0_PDEN_LDOXO32M_MASK         (0x100000U)\r
-#define PMC_PDRUNCFG0_PDEN_LDOXO32M_SHIFT        (20U)\r
+#define PMC_PDRUNCFG0_PDEN_AUXBIAS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PMC_PDRUNCFG0_PDEN_AUXBIAS_SHIFT)) & PMC_PDRUNCFG0_PDEN_AUXBIAS_MASK)\r
+#define PMC_PDRUNCFG0_PDEN_LDOXO32M_MASK (0x100000U)\r
+#define PMC_PDRUNCFG0_PDEN_LDOXO32M_SHIFT (20U)\r
 /*! PDEN_LDOXO32M - Controls power to crystal 32 MHz LDO.\r
  *  0b0..crystal 32 MHz LDO is powered.\r
  *  0b1..crystal 32 MHz LDO is powered down.\r
  */\r
-#define PMC_PDRUNCFG0_PDEN_LDOXO32M(x)           (((uint32_t)(((uint32_t)(x)) << PMC_PDRUNCFG0_PDEN_LDOXO32M_SHIFT)) & PMC_PDRUNCFG0_PDEN_LDOXO32M_MASK)\r
-#define PMC_PDRUNCFG0_PDEN_LDOFLASHNV_MASK       (0x200000U)\r
-#define PMC_PDRUNCFG0_PDEN_LDOFLASHNV_SHIFT      (21U)\r
-/*! PDEN_LDOFLASHNV - Controls power to Flasn NV (high voltage) LDO.\r
- *  0b0..Flash NV LDO is powered.\r
- *  0b1..Flash NV LDO is powered down.\r
- */\r
-#define PMC_PDRUNCFG0_PDEN_LDOFLASHNV(x)         (((uint32_t)(((uint32_t)(x)) << PMC_PDRUNCFG0_PDEN_LDOFLASHNV_SHIFT)) & PMC_PDRUNCFG0_PDEN_LDOFLASHNV_MASK)\r
-#define PMC_PDRUNCFG0_PDEN_RNG_MASK              (0x400000U)\r
-#define PMC_PDRUNCFG0_PDEN_RNG_SHIFT             (22U)\r
+#define PMC_PDRUNCFG0_PDEN_LDOXO32M(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PMC_PDRUNCFG0_PDEN_LDOXO32M_SHIFT)) & PMC_PDRUNCFG0_PDEN_LDOXO32M_MASK)\r
+#define PMC_PDRUNCFG0_PDEN_RNG_MASK (0x400000U)\r
+#define PMC_PDRUNCFG0_PDEN_RNG_SHIFT (22U)\r
 /*! PDEN_RNG - Controls power to all True Random Number Genetaor (TRNG) clock sources.\r
  *  0b0..TRNG clocks are powered.\r
  *  0b1..TRNG clocks are powered down.\r
  */\r
-#define PMC_PDRUNCFG0_PDEN_RNG(x)                (((uint32_t)(((uint32_t)(x)) << PMC_PDRUNCFG0_PDEN_RNG_SHIFT)) & PMC_PDRUNCFG0_PDEN_RNG_MASK)\r
-#define PMC_PDRUNCFG0_PDEN_PLL0_SSCG_MASK        (0x800000U)\r
-#define PMC_PDRUNCFG0_PDEN_PLL0_SSCG_SHIFT       (23U)\r
+#define PMC_PDRUNCFG0_PDEN_RNG(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PMC_PDRUNCFG0_PDEN_RNG_SHIFT)) & PMC_PDRUNCFG0_PDEN_RNG_MASK)\r
+#define PMC_PDRUNCFG0_PDEN_PLL0_SSCG_MASK (0x800000U)\r
+#define PMC_PDRUNCFG0_PDEN_PLL0_SSCG_SHIFT (23U)\r
 /*! PDEN_PLL0_SSCG - Controls power to System PLL (PLL0) Spread Spectrum module.\r
  *  0b0..PLL0 Sread spectrum module is powered.\r
  *  0b1..PLL0 Sread spectrum module is powered down.\r
  */\r
-#define PMC_PDRUNCFG0_PDEN_PLL0_SSCG(x)          (((uint32_t)(((uint32_t)(x)) << PMC_PDRUNCFG0_PDEN_PLL0_SSCG_SHIFT)) & PMC_PDRUNCFG0_PDEN_PLL0_SSCG_MASK)\r
+#define PMC_PDRUNCFG0_PDEN_PLL0_SSCG(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PMC_PDRUNCFG0_PDEN_PLL0_SSCG_SHIFT)) & PMC_PDRUNCFG0_PDEN_PLL0_SSCG_MASK)\r
 /*! @} */\r
 \r
-/*! @name PDRUNCFGSET0 - Controls the power to various analog blocks [Reset by: PoR, Pin Reset, Brown Out Detectors Reset, Deep Power Down Reset, Software Reset] */\r
+/*! @name PDRUNCFGSET0 - Controls the power to various analog blocks [Reset by: PoR, Pin Reset, Brown Out Detectors\r
+ * Reset, Deep Power Down Reset, Software Reset] */\r
 /*! @{ */\r
-#define PMC_PDRUNCFGSET0_PDRUNCFGSET0_MASK       (0xFFFFFFFFU)\r
-#define PMC_PDRUNCFGSET0_PDRUNCFGSET0_SHIFT      (0U)\r
-#define PMC_PDRUNCFGSET0_PDRUNCFGSET0(x)         (((uint32_t)(((uint32_t)(x)) << PMC_PDRUNCFGSET0_PDRUNCFGSET0_SHIFT)) & PMC_PDRUNCFGSET0_PDRUNCFGSET0_MASK)\r
+#define PMC_PDRUNCFGSET0_PDRUNCFGSET0_MASK (0xFFFFFFFFU)\r
+#define PMC_PDRUNCFGSET0_PDRUNCFGSET0_SHIFT (0U)\r
+/*! PDRUNCFGSET0 - Writing ones to this register sets the corresponding bit or bits in the PDRUNCFG0 register, if they\r
+ * are implemented.\r
+ */\r
+#define PMC_PDRUNCFGSET0_PDRUNCFGSET0(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PMC_PDRUNCFGSET0_PDRUNCFGSET0_SHIFT)) & PMC_PDRUNCFGSET0_PDRUNCFGSET0_MASK)\r
 /*! @} */\r
 \r
-/*! @name PDRUNCFGCLR0 - Controls the power to various analog blocks [Reset by: PoR, Pin Reset, Brown Out Detectors Reset, Deep Power Down Reset, Software Reset] */\r
+/*! @name PDRUNCFGCLR0 - Controls the power to various analog blocks [Reset by: PoR, Pin Reset, Brown Out Detectors\r
+ * Reset, Deep Power Down Reset, Software Reset] */\r
 /*! @{ */\r
-#define PMC_PDRUNCFGCLR0_PDRUNCFGCLR0_MASK       (0xFFFFFFFFU)\r
-#define PMC_PDRUNCFGCLR0_PDRUNCFGCLR0_SHIFT      (0U)\r
-#define PMC_PDRUNCFGCLR0_PDRUNCFGCLR0(x)         (((uint32_t)(((uint32_t)(x)) << PMC_PDRUNCFGCLR0_PDRUNCFGCLR0_SHIFT)) & PMC_PDRUNCFGCLR0_PDRUNCFGCLR0_MASK)\r
+#define PMC_PDRUNCFGCLR0_PDRUNCFGCLR0_MASK (0xFFFFFFFFU)\r
+#define PMC_PDRUNCFGCLR0_PDRUNCFGCLR0_SHIFT (0U)\r
+/*! PDRUNCFGCLR0 - Writing ones to this register clears the corresponding bit or bits in the PDRUNCFG0 register, if they\r
+ * are implemented.\r
+ */\r
+#define PMC_PDRUNCFGCLR0_PDRUNCFGCLR0(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PMC_PDRUNCFGCLR0_PDRUNCFGCLR0_SHIFT)) & PMC_PDRUNCFGCLR0_PDRUNCFGCLR0_MASK)\r
 /*! @} */\r
 \r
-\r
 /*!\r
  * @}\r
  */ /* end of group PMC_Register_Masks */\r
 \r
-\r
 /* PMC - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral PMC base address */\r
-  #define PMC_BASE                                 (0x50020000u)\r
-  /** Peripheral PMC base address */\r
-  #define PMC_BASE_NS                              (0x40020000u)\r
-  /** Peripheral PMC base pointer */\r
-  #define PMC                                      ((PMC_Type *)PMC_BASE)\r
-  /** Peripheral PMC base pointer */\r
-  #define PMC_NS                                   ((PMC_Type *)PMC_BASE_NS)\r
-  /** Array initializer of PMC peripheral base addresses */\r
-  #define PMC_BASE_ADDRS                           { PMC_BASE }\r
-  /** Array initializer of PMC peripheral base pointers */\r
-  #define PMC_BASE_PTRS                            { PMC }\r
-  /** Array initializer of PMC peripheral base addresses */\r
-  #define PMC_BASE_ADDRS_NS                        { PMC_BASE_NS }\r
-  /** Array initializer of PMC peripheral base pointers */\r
-  #define PMC_BASE_PTRS_NS                         { PMC_NS }\r
+/** Peripheral PMC base address */\r
+#define PMC_BASE (0x50020000u)\r
+/** Peripheral PMC base address */\r
+#define PMC_BASE_NS (0x40020000u)\r
+/** Peripheral PMC base pointer */\r
+#define PMC ((PMC_Type *)PMC_BASE)\r
+/** Peripheral PMC base pointer */\r
+#define PMC_NS ((PMC_Type *)PMC_BASE_NS)\r
+/** Array initializer of PMC peripheral base addresses */\r
+#define PMC_BASE_ADDRS \\r
+    {                  \\r
+        PMC_BASE       \\r
+    }\r
+/** Array initializer of PMC peripheral base pointers */\r
+#define PMC_BASE_PTRS \\r
+    {                 \\r
+        PMC           \\r
+    }\r
+/** Array initializer of PMC peripheral base addresses */\r
+#define PMC_BASE_ADDRS_NS \\r
+    {                     \\r
+        PMC_BASE_NS       \\r
+    }\r
+/** Array initializer of PMC peripheral base pointers */\r
+#define PMC_BASE_PTRS_NS \\r
+    {                    \\r
+        PMC_NS           \\r
+    }\r
 #else\r
-  /** Peripheral PMC base address */\r
-  #define PMC_BASE                                 (0x40020000u)\r
-  /** Peripheral PMC base pointer */\r
-  #define PMC                                      ((PMC_Type *)PMC_BASE)\r
-  /** Array initializer of PMC peripheral base addresses */\r
-  #define PMC_BASE_ADDRS                           { PMC_BASE }\r
-  /** Array initializer of PMC peripheral base pointers */\r
-  #define PMC_BASE_PTRS                            { PMC }\r
+/** Peripheral PMC base address */\r
+#define PMC_BASE (0x40020000u)\r
+/** Peripheral PMC base pointer */\r
+#define PMC ((PMC_Type *)PMC_BASE)\r
+/** Array initializer of PMC peripheral base addresses */\r
+#define PMC_BASE_ADDRS \\r
+    {                  \\r
+        PMC_BASE       \\r
+    }\r
+/** Array initializer of PMC peripheral base pointers */\r
+#define PMC_BASE_PTRS \\r
+    {                 \\r
+        PMC           \\r
+    }\r
 #endif\r
 \r
 /*!\r
  * @}\r
  */ /* end of group PMC_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- POWERQUAD Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -12301,32 +15761,33 @@ typedef struct {
  */\r
 \r
 /** POWERQUAD - Register Layout Typedef */\r
-typedef struct {\r
-  __IO uint32_t OUTBASE;                           /**< Base address register for output region, offset: 0x0 */\r
-  __IO uint32_t OUTFORMAT;                         /**< Output format, offset: 0x4 */\r
-  __IO uint32_t TMPBASE;                           /**< Base address register for temp region, offset: 0x8 */\r
-  __IO uint32_t TMPFORMAT;                         /**< Temp format, offset: 0xC */\r
-  __IO uint32_t INABASE;                           /**< Base address register for input A region, offset: 0x10 */\r
-  __IO uint32_t INAFORMAT;                         /**< Input A format, offset: 0x14 */\r
-  __IO uint32_t INBBASE;                           /**< Base address register for input B region, offset: 0x18 */\r
-  __IO uint32_t INBFORMAT;                         /**< Input B format, offset: 0x1C */\r
-       uint8_t RESERVED_0[224];\r
-  __IO uint32_t CONTROL;                           /**< PowerQuad Control register, offset: 0x100 */\r
-  __IO uint32_t LENGTH;                            /**< Length register, offset: 0x104 */\r
-  __IO uint32_t CPPRE;                             /**< Pre-scale register, offset: 0x108 */\r
-  __IO uint32_t MISC;                              /**< Misc register, offset: 0x10C */\r
-  __IO uint32_t CURSORY;                           /**< Cursory register, offset: 0x110 */\r
-       uint8_t RESERVED_1[108];\r
-  __IO uint32_t CORDIC_X;                          /**< Cordic input X register, offset: 0x180 */\r
-  __IO uint32_t CORDIC_Y;                          /**< Cordic input Y register, offset: 0x184 */\r
-  __IO uint32_t CORDIC_Z;                          /**< Cordic input Z register, offset: 0x188 */\r
-  __IO uint32_t ERRSTAT;                           /**< Read/Write register where error statuses are captured (sticky), offset: 0x18C */\r
-  __IO uint32_t INTREN;                            /**< INTERRUPT enable register, offset: 0x190 */\r
-  __IO uint32_t EVENTEN;                           /**< Event Enable register, offset: 0x194 */\r
-  __IO uint32_t INTRSTAT;                          /**< INTERRUPT STATUS register, offset: 0x198 */\r
-       uint8_t RESERVED_2[100];\r
-  __IO uint32_t GPREG[16];                         /**< General purpose register bank N., array offset: 0x200, array step: 0x4 */\r
-  __IO uint32_t COMPREG[8];                        /**< Compute register bank, array offset: 0x240, array step: 0x4 */\r
+typedef struct\r
+{\r
+    __IO uint32_t OUTBASE;   /**< Base address register for output region, offset: 0x0 */\r
+    __IO uint32_t OUTFORMAT; /**< Output format, offset: 0x4 */\r
+    __IO uint32_t TMPBASE;   /**< Base address register for temp region, offset: 0x8 */\r
+    __IO uint32_t TMPFORMAT; /**< Temp format, offset: 0xC */\r
+    __IO uint32_t INABASE;   /**< Base address register for input A region, offset: 0x10 */\r
+    __IO uint32_t INAFORMAT; /**< Input A format, offset: 0x14 */\r
+    __IO uint32_t INBBASE;   /**< Base address register for input B region, offset: 0x18 */\r
+    __IO uint32_t INBFORMAT; /**< Input B format, offset: 0x1C */\r
+    uint8_t RESERVED_0[224];\r
+    __IO uint32_t CONTROL; /**< PowerQuad Control register, offset: 0x100 */\r
+    __IO uint32_t LENGTH;  /**< Length register, offset: 0x104 */\r
+    __IO uint32_t CPPRE;   /**< Pre-scale register, offset: 0x108 */\r
+    __IO uint32_t MISC;    /**< Misc register, offset: 0x10C */\r
+    __IO uint32_t CURSORY; /**< Cursory register, offset: 0x110 */\r
+    uint8_t RESERVED_1[108];\r
+    __IO uint32_t CORDIC_X; /**< Cordic input X register, offset: 0x180 */\r
+    __IO uint32_t CORDIC_Y; /**< Cordic input Y register, offset: 0x184 */\r
+    __IO uint32_t CORDIC_Z; /**< Cordic input Z register, offset: 0x188 */\r
+    __IO uint32_t ERRSTAT;  /**< Read/Write register where error statuses are captured (sticky), offset: 0x18C */\r
+    __IO uint32_t INTREN;   /**< INTERRUPT enable register, offset: 0x190 */\r
+    __IO uint32_t EVENTEN;  /**< Event Enable register, offset: 0x194 */\r
+    __IO uint32_t INTRSTAT; /**< INTERRUPT STATUS register, offset: 0x198 */\r
+    uint8_t RESERVED_2[100];\r
+    __IO uint32_t GPREG[16];  /**< General purpose register bank N., array offset: 0x200, array step: 0x4 */\r
+    __IO uint32_t COMPREG[8]; /**< Compute register bank, array offset: 0x240, array step: 0x4 */\r
 } POWERQUAD_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -12340,285 +15801,451 @@ typedef struct {
 \r
 /*! @name OUTBASE - Base address register for output region */\r
 /*! @{ */\r
-#define POWERQUAD_OUTBASE_OUTBASE_MASK           (0xFFFFFFFFU)\r
-#define POWERQUAD_OUTBASE_OUTBASE_SHIFT          (0U)\r
-#define POWERQUAD_OUTBASE_OUTBASE(x)             (((uint32_t)(((uint32_t)(x)) << POWERQUAD_OUTBASE_OUTBASE_SHIFT)) & POWERQUAD_OUTBASE_OUTBASE_MASK)\r
+#define POWERQUAD_OUTBASE_OUTBASE_MASK (0xFFFFFFFFU)\r
+#define POWERQUAD_OUTBASE_OUTBASE_SHIFT (0U)\r
+/*! outbase - Base address register for the output region\r
+ */\r
+#define POWERQUAD_OUTBASE_OUTBASE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_OUTBASE_OUTBASE_SHIFT)) & POWERQUAD_OUTBASE_OUTBASE_MASK)\r
 /*! @} */\r
 \r
 /*! @name OUTFORMAT - Output format */\r
 /*! @{ */\r
-#define POWERQUAD_OUTFORMAT_OUT_FORMATINT_MASK   (0x3U)\r
-#define POWERQUAD_OUTFORMAT_OUT_FORMATINT_SHIFT  (0U)\r
-#define POWERQUAD_OUTFORMAT_OUT_FORMATINT(x)     (((uint32_t)(((uint32_t)(x)) << POWERQUAD_OUTFORMAT_OUT_FORMATINT_SHIFT)) & POWERQUAD_OUTFORMAT_OUT_FORMATINT_MASK)\r
-#define POWERQUAD_OUTFORMAT_OUT_FORMATEXT_MASK   (0x30U)\r
-#define POWERQUAD_OUTFORMAT_OUT_FORMATEXT_SHIFT  (4U)\r
-#define POWERQUAD_OUTFORMAT_OUT_FORMATEXT(x)     (((uint32_t)(((uint32_t)(x)) << POWERQUAD_OUTFORMAT_OUT_FORMATEXT_SHIFT)) & POWERQUAD_OUTFORMAT_OUT_FORMATEXT_MASK)\r
-#define POWERQUAD_OUTFORMAT_OUT_SCALER_MASK      (0xFF00U)\r
-#define POWERQUAD_OUTFORMAT_OUT_SCALER_SHIFT     (8U)\r
-#define POWERQUAD_OUTFORMAT_OUT_SCALER(x)        (((uint32_t)(((uint32_t)(x)) << POWERQUAD_OUTFORMAT_OUT_SCALER_SHIFT)) & POWERQUAD_OUTFORMAT_OUT_SCALER_MASK)\r
+#define POWERQUAD_OUTFORMAT_OUT_FORMATINT_MASK (0x3U)\r
+#define POWERQUAD_OUTFORMAT_OUT_FORMATINT_SHIFT (0U)\r
+/*! out_formatint - Output Internal format (00: q15; 01:q31; 10:float)\r
+ */\r
+#define POWERQUAD_OUTFORMAT_OUT_FORMATINT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_OUTFORMAT_OUT_FORMATINT_SHIFT)) & POWERQUAD_OUTFORMAT_OUT_FORMATINT_MASK)\r
+#define POWERQUAD_OUTFORMAT_OUT_FORMATEXT_MASK (0x30U)\r
+#define POWERQUAD_OUTFORMAT_OUT_FORMATEXT_SHIFT (4U)\r
+/*! out_formatext - Output External format (00: q15; 01:q31; 10:float)\r
+ */\r
+#define POWERQUAD_OUTFORMAT_OUT_FORMATEXT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_OUTFORMAT_OUT_FORMATEXT_SHIFT)) & POWERQUAD_OUTFORMAT_OUT_FORMATEXT_MASK)\r
+#define POWERQUAD_OUTFORMAT_OUT_SCALER_MASK (0xFF00U)\r
+#define POWERQUAD_OUTFORMAT_OUT_SCALER_SHIFT (8U)\r
+/*! out_scaler - Output Scaler value (for scaled 'q31' formats)\r
+ */\r
+#define POWERQUAD_OUTFORMAT_OUT_SCALER(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_OUTFORMAT_OUT_SCALER_SHIFT)) & POWERQUAD_OUTFORMAT_OUT_SCALER_MASK)\r
 /*! @} */\r
 \r
 /*! @name TMPBASE - Base address register for temp region */\r
 /*! @{ */\r
-#define POWERQUAD_TMPBASE_TMPBASE_MASK           (0xFFFFFFFFU)\r
-#define POWERQUAD_TMPBASE_TMPBASE_SHIFT          (0U)\r
-#define POWERQUAD_TMPBASE_TMPBASE(x)             (((uint32_t)(((uint32_t)(x)) << POWERQUAD_TMPBASE_TMPBASE_SHIFT)) & POWERQUAD_TMPBASE_TMPBASE_MASK)\r
+#define POWERQUAD_TMPBASE_TMPBASE_MASK (0xFFFFFFFFU)\r
+#define POWERQUAD_TMPBASE_TMPBASE_SHIFT (0U)\r
+/*! tmpbase - Base address register for the temporary region\r
+ */\r
+#define POWERQUAD_TMPBASE_TMPBASE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_TMPBASE_TMPBASE_SHIFT)) & POWERQUAD_TMPBASE_TMPBASE_MASK)\r
 /*! @} */\r
 \r
 /*! @name TMPFORMAT - Temp format */\r
 /*! @{ */\r
-#define POWERQUAD_TMPFORMAT_TMP_FORMATINT_MASK   (0x3U)\r
-#define POWERQUAD_TMPFORMAT_TMP_FORMATINT_SHIFT  (0U)\r
-#define POWERQUAD_TMPFORMAT_TMP_FORMATINT(x)     (((uint32_t)(((uint32_t)(x)) << POWERQUAD_TMPFORMAT_TMP_FORMATINT_SHIFT)) & POWERQUAD_TMPFORMAT_TMP_FORMATINT_MASK)\r
-#define POWERQUAD_TMPFORMAT_TMP_FORMATEXT_MASK   (0x30U)\r
-#define POWERQUAD_TMPFORMAT_TMP_FORMATEXT_SHIFT  (4U)\r
-#define POWERQUAD_TMPFORMAT_TMP_FORMATEXT(x)     (((uint32_t)(((uint32_t)(x)) << POWERQUAD_TMPFORMAT_TMP_FORMATEXT_SHIFT)) & POWERQUAD_TMPFORMAT_TMP_FORMATEXT_MASK)\r
-#define POWERQUAD_TMPFORMAT_TMP_SCALER_MASK      (0xFF00U)\r
-#define POWERQUAD_TMPFORMAT_TMP_SCALER_SHIFT     (8U)\r
-#define POWERQUAD_TMPFORMAT_TMP_SCALER(x)        (((uint32_t)(((uint32_t)(x)) << POWERQUAD_TMPFORMAT_TMP_SCALER_SHIFT)) & POWERQUAD_TMPFORMAT_TMP_SCALER_MASK)\r
+#define POWERQUAD_TMPFORMAT_TMP_FORMATINT_MASK (0x3U)\r
+#define POWERQUAD_TMPFORMAT_TMP_FORMATINT_SHIFT (0U)\r
+/*! tmp_formatint - Temp Internal format (00: q15; 01:q31; 10:float)\r
+ */\r
+#define POWERQUAD_TMPFORMAT_TMP_FORMATINT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_TMPFORMAT_TMP_FORMATINT_SHIFT)) & POWERQUAD_TMPFORMAT_TMP_FORMATINT_MASK)\r
+#define POWERQUAD_TMPFORMAT_TMP_FORMATEXT_MASK (0x30U)\r
+#define POWERQUAD_TMPFORMAT_TMP_FORMATEXT_SHIFT (4U)\r
+/*! tmp_formatext - Temp External format (00: q15; 01:q31; 10:float)\r
+ */\r
+#define POWERQUAD_TMPFORMAT_TMP_FORMATEXT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_TMPFORMAT_TMP_FORMATEXT_SHIFT)) & POWERQUAD_TMPFORMAT_TMP_FORMATEXT_MASK)\r
+#define POWERQUAD_TMPFORMAT_TMP_SCALER_MASK (0xFF00U)\r
+#define POWERQUAD_TMPFORMAT_TMP_SCALER_SHIFT (8U)\r
+/*! tmp_scaler - Temp Scaler value (for scaled 'q31' formats)\r
+ */\r
+#define POWERQUAD_TMPFORMAT_TMP_SCALER(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_TMPFORMAT_TMP_SCALER_SHIFT)) & POWERQUAD_TMPFORMAT_TMP_SCALER_MASK)\r
 /*! @} */\r
 \r
 /*! @name INABASE - Base address register for input A region */\r
 /*! @{ */\r
-#define POWERQUAD_INABASE_INABASE_MASK           (0xFFFFFFFFU)\r
-#define POWERQUAD_INABASE_INABASE_SHIFT          (0U)\r
-#define POWERQUAD_INABASE_INABASE(x)             (((uint32_t)(((uint32_t)(x)) << POWERQUAD_INABASE_INABASE_SHIFT)) & POWERQUAD_INABASE_INABASE_MASK)\r
+#define POWERQUAD_INABASE_INABASE_MASK (0xFFFFFFFFU)\r
+#define POWERQUAD_INABASE_INABASE_SHIFT (0U)\r
+/*! inabase - Base address register for the input A region\r
+ */\r
+#define POWERQUAD_INABASE_INABASE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_INABASE_INABASE_SHIFT)) & POWERQUAD_INABASE_INABASE_MASK)\r
 /*! @} */\r
 \r
 /*! @name INAFORMAT - Input A format */\r
 /*! @{ */\r
-#define POWERQUAD_INAFORMAT_INA_FORMATINT_MASK   (0x3U)\r
-#define POWERQUAD_INAFORMAT_INA_FORMATINT_SHIFT  (0U)\r
-#define POWERQUAD_INAFORMAT_INA_FORMATINT(x)     (((uint32_t)(((uint32_t)(x)) << POWERQUAD_INAFORMAT_INA_FORMATINT_SHIFT)) & POWERQUAD_INAFORMAT_INA_FORMATINT_MASK)\r
-#define POWERQUAD_INAFORMAT_INA_FORMATEXT_MASK   (0x30U)\r
-#define POWERQUAD_INAFORMAT_INA_FORMATEXT_SHIFT  (4U)\r
-#define POWERQUAD_INAFORMAT_INA_FORMATEXT(x)     (((uint32_t)(((uint32_t)(x)) << POWERQUAD_INAFORMAT_INA_FORMATEXT_SHIFT)) & POWERQUAD_INAFORMAT_INA_FORMATEXT_MASK)\r
-#define POWERQUAD_INAFORMAT_INA_SCALER_MASK      (0xFF00U)\r
-#define POWERQUAD_INAFORMAT_INA_SCALER_SHIFT     (8U)\r
-#define POWERQUAD_INAFORMAT_INA_SCALER(x)        (((uint32_t)(((uint32_t)(x)) << POWERQUAD_INAFORMAT_INA_SCALER_SHIFT)) & POWERQUAD_INAFORMAT_INA_SCALER_MASK)\r
+#define POWERQUAD_INAFORMAT_INA_FORMATINT_MASK (0x3U)\r
+#define POWERQUAD_INAFORMAT_INA_FORMATINT_SHIFT (0U)\r
+/*! ina_formatint - Input A Internal format (00: q15; 01:q31; 10:float)\r
+ */\r
+#define POWERQUAD_INAFORMAT_INA_FORMATINT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_INAFORMAT_INA_FORMATINT_SHIFT)) & POWERQUAD_INAFORMAT_INA_FORMATINT_MASK)\r
+#define POWERQUAD_INAFORMAT_INA_FORMATEXT_MASK (0x30U)\r
+#define POWERQUAD_INAFORMAT_INA_FORMATEXT_SHIFT (4U)\r
+/*! ina_formatext - Input A External format (00: q15; 01:q31; 10:float)\r
+ */\r
+#define POWERQUAD_INAFORMAT_INA_FORMATEXT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_INAFORMAT_INA_FORMATEXT_SHIFT)) & POWERQUAD_INAFORMAT_INA_FORMATEXT_MASK)\r
+#define POWERQUAD_INAFORMAT_INA_SCALER_MASK (0xFF00U)\r
+#define POWERQUAD_INAFORMAT_INA_SCALER_SHIFT (8U)\r
+/*! ina_scaler - Input A Scaler value (for scaled 'q31' formats)\r
+ */\r
+#define POWERQUAD_INAFORMAT_INA_SCALER(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_INAFORMAT_INA_SCALER_SHIFT)) & POWERQUAD_INAFORMAT_INA_SCALER_MASK)\r
 /*! @} */\r
 \r
 /*! @name INBBASE - Base address register for input B region */\r
 /*! @{ */\r
-#define POWERQUAD_INBBASE_INBBASE_MASK           (0xFFFFFFFFU)\r
-#define POWERQUAD_INBBASE_INBBASE_SHIFT          (0U)\r
-#define POWERQUAD_INBBASE_INBBASE(x)             (((uint32_t)(((uint32_t)(x)) << POWERQUAD_INBBASE_INBBASE_SHIFT)) & POWERQUAD_INBBASE_INBBASE_MASK)\r
+#define POWERQUAD_INBBASE_INBBASE_MASK (0xFFFFFFFFU)\r
+#define POWERQUAD_INBBASE_INBBASE_SHIFT (0U)\r
+/*! inbbase - Base address register for the input B region\r
+ */\r
+#define POWERQUAD_INBBASE_INBBASE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_INBBASE_INBBASE_SHIFT)) & POWERQUAD_INBBASE_INBBASE_MASK)\r
 /*! @} */\r
 \r
 /*! @name INBFORMAT - Input B format */\r
 /*! @{ */\r
-#define POWERQUAD_INBFORMAT_INB_FORMATINT_MASK   (0x3U)\r
-#define POWERQUAD_INBFORMAT_INB_FORMATINT_SHIFT  (0U)\r
-#define POWERQUAD_INBFORMAT_INB_FORMATINT(x)     (((uint32_t)(((uint32_t)(x)) << POWERQUAD_INBFORMAT_INB_FORMATINT_SHIFT)) & POWERQUAD_INBFORMAT_INB_FORMATINT_MASK)\r
-#define POWERQUAD_INBFORMAT_INB_FORMATEXT_MASK   (0x30U)\r
-#define POWERQUAD_INBFORMAT_INB_FORMATEXT_SHIFT  (4U)\r
-#define POWERQUAD_INBFORMAT_INB_FORMATEXT(x)     (((uint32_t)(((uint32_t)(x)) << POWERQUAD_INBFORMAT_INB_FORMATEXT_SHIFT)) & POWERQUAD_INBFORMAT_INB_FORMATEXT_MASK)\r
-#define POWERQUAD_INBFORMAT_INB_SCALER_MASK      (0xFF00U)\r
-#define POWERQUAD_INBFORMAT_INB_SCALER_SHIFT     (8U)\r
-#define POWERQUAD_INBFORMAT_INB_SCALER(x)        (((uint32_t)(((uint32_t)(x)) << POWERQUAD_INBFORMAT_INB_SCALER_SHIFT)) & POWERQUAD_INBFORMAT_INB_SCALER_MASK)\r
+#define POWERQUAD_INBFORMAT_INB_FORMATINT_MASK (0x3U)\r
+#define POWERQUAD_INBFORMAT_INB_FORMATINT_SHIFT (0U)\r
+/*! inb_formatint - Input B Internal format (00: q15; 01:q31; 10:float)\r
+ */\r
+#define POWERQUAD_INBFORMAT_INB_FORMATINT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_INBFORMAT_INB_FORMATINT_SHIFT)) & POWERQUAD_INBFORMAT_INB_FORMATINT_MASK)\r
+#define POWERQUAD_INBFORMAT_INB_FORMATEXT_MASK (0x30U)\r
+#define POWERQUAD_INBFORMAT_INB_FORMATEXT_SHIFT (4U)\r
+/*! inb_formatext - Input B External format (00: q15; 01:q31; 10:float)\r
+ */\r
+#define POWERQUAD_INBFORMAT_INB_FORMATEXT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_INBFORMAT_INB_FORMATEXT_SHIFT)) & POWERQUAD_INBFORMAT_INB_FORMATEXT_MASK)\r
+#define POWERQUAD_INBFORMAT_INB_SCALER_MASK (0xFF00U)\r
+#define POWERQUAD_INBFORMAT_INB_SCALER_SHIFT (8U)\r
+/*! inb_scaler - Input B Scaler value (for scaled 'q31' formats)\r
+ */\r
+#define POWERQUAD_INBFORMAT_INB_SCALER(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_INBFORMAT_INB_SCALER_SHIFT)) & POWERQUAD_INBFORMAT_INB_SCALER_MASK)\r
 /*! @} */\r
 \r
 /*! @name CONTROL - PowerQuad Control register */\r
 /*! @{ */\r
-#define POWERQUAD_CONTROL_DECODE_OPCODE_MASK     (0xFU)\r
-#define POWERQUAD_CONTROL_DECODE_OPCODE_SHIFT    (0U)\r
-#define POWERQUAD_CONTROL_DECODE_OPCODE(x)       (((uint32_t)(((uint32_t)(x)) << POWERQUAD_CONTROL_DECODE_OPCODE_SHIFT)) & POWERQUAD_CONTROL_DECODE_OPCODE_MASK)\r
-#define POWERQUAD_CONTROL_DECODE_MACHINE_MASK    (0xF0U)\r
-#define POWERQUAD_CONTROL_DECODE_MACHINE_SHIFT   (4U)\r
-#define POWERQUAD_CONTROL_DECODE_MACHINE(x)      (((uint32_t)(((uint32_t)(x)) << POWERQUAD_CONTROL_DECODE_MACHINE_SHIFT)) & POWERQUAD_CONTROL_DECODE_MACHINE_MASK)\r
-#define POWERQUAD_CONTROL_INST_BUSY_MASK         (0x80000000U)\r
-#define POWERQUAD_CONTROL_INST_BUSY_SHIFT        (31U)\r
-#define POWERQUAD_CONTROL_INST_BUSY(x)           (((uint32_t)(((uint32_t)(x)) << POWERQUAD_CONTROL_INST_BUSY_SHIFT)) & POWERQUAD_CONTROL_INST_BUSY_MASK)\r
+#define POWERQUAD_CONTROL_DECODE_OPCODE_MASK (0xFU)\r
+#define POWERQUAD_CONTROL_DECODE_OPCODE_SHIFT (0U)\r
+/*! decode_opcode - opcode specific to decode_machine\r
+ */\r
+#define POWERQUAD_CONTROL_DECODE_OPCODE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_CONTROL_DECODE_OPCODE_SHIFT)) & POWERQUAD_CONTROL_DECODE_OPCODE_MASK)\r
+#define POWERQUAD_CONTROL_DECODE_MACHINE_MASK (0xF0U)\r
+#define POWERQUAD_CONTROL_DECODE_MACHINE_SHIFT (4U)\r
+/*! decode_machine - 0 : Coprocessor , 1 : matrix , 2 : fft , 3 : fir , 4 : stat , 5 : cordic , 6 -15 : NA\r
+ */\r
+#define POWERQUAD_CONTROL_DECODE_MACHINE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_CONTROL_DECODE_MACHINE_SHIFT)) & POWERQUAD_CONTROL_DECODE_MACHINE_MASK)\r
+#define POWERQUAD_CONTROL_INST_BUSY_MASK (0x80000000U)\r
+#define POWERQUAD_CONTROL_INST_BUSY_SHIFT (31U)\r
+/*! inst_busy - Instruction busy signal when high indicates processing is on\r
+ */\r
+#define POWERQUAD_CONTROL_INST_BUSY(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_CONTROL_INST_BUSY_SHIFT)) & POWERQUAD_CONTROL_INST_BUSY_MASK)\r
 /*! @} */\r
 \r
 /*! @name LENGTH - Length register */\r
 /*! @{ */\r
-#define POWERQUAD_LENGTH_INST_LENGTH_MASK        (0xFFFFFFFFU)\r
-#define POWERQUAD_LENGTH_INST_LENGTH_SHIFT       (0U)\r
-#define POWERQUAD_LENGTH_INST_LENGTH(x)          (((uint32_t)(((uint32_t)(x)) << POWERQUAD_LENGTH_INST_LENGTH_SHIFT)) & POWERQUAD_LENGTH_INST_LENGTH_MASK)\r
+#define POWERQUAD_LENGTH_INST_LENGTH_MASK (0xFFFFFFFFU)\r
+#define POWERQUAD_LENGTH_INST_LENGTH_SHIFT (0U)\r
+/*! inst_length - Length register. When FIR : fir_xlength = inst_length[15:0] , fir_tlength =\r
+ *    inst_len[31:16]. When MTX : rows_a = inst_length[4:0] , cols_a = inst_length[12:8] , cols_b =\r
+ *    inst_length[20:16]\r
+ */\r
+#define POWERQUAD_LENGTH_INST_LENGTH(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_LENGTH_INST_LENGTH_SHIFT)) & POWERQUAD_LENGTH_INST_LENGTH_MASK)\r
 /*! @} */\r
 \r
 /*! @name CPPRE - Pre-scale register */\r
 /*! @{ */\r
-#define POWERQUAD_CPPRE_CPPRE_IN_MASK            (0xFFU)\r
-#define POWERQUAD_CPPRE_CPPRE_IN_SHIFT           (0U)\r
-#define POWERQUAD_CPPRE_CPPRE_IN(x)              (((uint32_t)(((uint32_t)(x)) << POWERQUAD_CPPRE_CPPRE_IN_SHIFT)) & POWERQUAD_CPPRE_CPPRE_IN_MASK)\r
-#define POWERQUAD_CPPRE_CPPRE_OUT_MASK           (0xFF00U)\r
-#define POWERQUAD_CPPRE_CPPRE_OUT_SHIFT          (8U)\r
-#define POWERQUAD_CPPRE_CPPRE_OUT(x)             (((uint32_t)(((uint32_t)(x)) << POWERQUAD_CPPRE_CPPRE_OUT_SHIFT)) & POWERQUAD_CPPRE_CPPRE_OUT_MASK)\r
-#define POWERQUAD_CPPRE_CPPRE_SAT_MASK           (0x10000U)\r
-#define POWERQUAD_CPPRE_CPPRE_SAT_SHIFT          (16U)\r
-#define POWERQUAD_CPPRE_CPPRE_SAT(x)             (((uint32_t)(((uint32_t)(x)) << POWERQUAD_CPPRE_CPPRE_SAT_SHIFT)) & POWERQUAD_CPPRE_CPPRE_SAT_MASK)\r
-#define POWERQUAD_CPPRE_CPPRE_SAT8_MASK          (0x20000U)\r
-#define POWERQUAD_CPPRE_CPPRE_SAT8_SHIFT         (17U)\r
-#define POWERQUAD_CPPRE_CPPRE_SAT8(x)            (((uint32_t)(((uint32_t)(x)) << POWERQUAD_CPPRE_CPPRE_SAT8_SHIFT)) & POWERQUAD_CPPRE_CPPRE_SAT8_MASK)\r
+#define POWERQUAD_CPPRE_CPPRE_IN_MASK (0xFFU)\r
+#define POWERQUAD_CPPRE_CPPRE_IN_SHIFT (0U)\r
+/*! cppre_in - co-processor scaling of input\r
+ */\r
+#define POWERQUAD_CPPRE_CPPRE_IN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_CPPRE_CPPRE_IN_SHIFT)) & POWERQUAD_CPPRE_CPPRE_IN_MASK)\r
+#define POWERQUAD_CPPRE_CPPRE_OUT_MASK (0xFF00U)\r
+#define POWERQUAD_CPPRE_CPPRE_OUT_SHIFT (8U)\r
+/*! cppre_out - co-processor fixed point output\r
+ */\r
+#define POWERQUAD_CPPRE_CPPRE_OUT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_CPPRE_CPPRE_OUT_SHIFT)) & POWERQUAD_CPPRE_CPPRE_OUT_MASK)\r
+#define POWERQUAD_CPPRE_CPPRE_SAT_MASK (0x10000U)\r
+#define POWERQUAD_CPPRE_CPPRE_SAT_SHIFT (16U)\r
+/*! cppre_sat - 1 : forces sub-32 bit saturation\r
+ */\r
+#define POWERQUAD_CPPRE_CPPRE_SAT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_CPPRE_CPPRE_SAT_SHIFT)) & POWERQUAD_CPPRE_CPPRE_SAT_MASK)\r
+#define POWERQUAD_CPPRE_CPPRE_SAT8_MASK (0x20000U)\r
+#define POWERQUAD_CPPRE_CPPRE_SAT8_SHIFT (17U)\r
+/*! cppre_sat8 - 0 = 8bits, 1 = 16bits\r
+ */\r
+#define POWERQUAD_CPPRE_CPPRE_SAT8(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_CPPRE_CPPRE_SAT8_SHIFT)) & POWERQUAD_CPPRE_CPPRE_SAT8_MASK)\r
 /*! @} */\r
 \r
 /*! @name MISC - Misc register */\r
 /*! @{ */\r
-#define POWERQUAD_MISC_INST_MISC_MASK            (0xFFFFFFFFU)\r
-#define POWERQUAD_MISC_INST_MISC_SHIFT           (0U)\r
-#define POWERQUAD_MISC_INST_MISC(x)              (((uint32_t)(((uint32_t)(x)) << POWERQUAD_MISC_INST_MISC_SHIFT)) & POWERQUAD_MISC_INST_MISC_MASK)\r
+#define POWERQUAD_MISC_INST_MISC_MASK (0xFFFFFFFFU)\r
+#define POWERQUAD_MISC_INST_MISC_SHIFT (0U)\r
+/*! inst_misc - Misc register. For Matrix : Used for scale factor\r
+ */\r
+#define POWERQUAD_MISC_INST_MISC(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_MISC_INST_MISC_SHIFT)) & POWERQUAD_MISC_INST_MISC_MASK)\r
 /*! @} */\r
 \r
 /*! @name CURSORY - Cursory register */\r
 /*! @{ */\r
-#define POWERQUAD_CURSORY_CURSORY_MASK           (0x1U)\r
-#define POWERQUAD_CURSORY_CURSORY_SHIFT          (0U)\r
-#define POWERQUAD_CURSORY_CURSORY(x)             (((uint32_t)(((uint32_t)(x)) << POWERQUAD_CURSORY_CURSORY_SHIFT)) & POWERQUAD_CURSORY_CURSORY_MASK)\r
+#define POWERQUAD_CURSORY_CURSORY_MASK (0x1U)\r
+#define POWERQUAD_CURSORY_CURSORY_SHIFT (0U)\r
+/*! cursory - 1 : Enable cursory mode\r
+ */\r
+#define POWERQUAD_CURSORY_CURSORY(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_CURSORY_CURSORY_SHIFT)) & POWERQUAD_CURSORY_CURSORY_MASK)\r
 /*! @} */\r
 \r
 /*! @name CORDIC_X - Cordic input X register */\r
 /*! @{ */\r
-#define POWERQUAD_CORDIC_X_CORDIC_X_MASK         (0xFFFFFFFFU)\r
-#define POWERQUAD_CORDIC_X_CORDIC_X_SHIFT        (0U)\r
-#define POWERQUAD_CORDIC_X_CORDIC_X(x)           (((uint32_t)(((uint32_t)(x)) << POWERQUAD_CORDIC_X_CORDIC_X_SHIFT)) & POWERQUAD_CORDIC_X_CORDIC_X_MASK)\r
+#define POWERQUAD_CORDIC_X_CORDIC_X_MASK (0xFFFFFFFFU)\r
+#define POWERQUAD_CORDIC_X_CORDIC_X_SHIFT (0U)\r
+/*! cordic_x - Cordic input x\r
+ */\r
+#define POWERQUAD_CORDIC_X_CORDIC_X(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_CORDIC_X_CORDIC_X_SHIFT)) & POWERQUAD_CORDIC_X_CORDIC_X_MASK)\r
 /*! @} */\r
 \r
 /*! @name CORDIC_Y - Cordic input Y register */\r
 /*! @{ */\r
-#define POWERQUAD_CORDIC_Y_CORDIC_Y_MASK         (0xFFFFFFFFU)\r
-#define POWERQUAD_CORDIC_Y_CORDIC_Y_SHIFT        (0U)\r
-#define POWERQUAD_CORDIC_Y_CORDIC_Y(x)           (((uint32_t)(((uint32_t)(x)) << POWERQUAD_CORDIC_Y_CORDIC_Y_SHIFT)) & POWERQUAD_CORDIC_Y_CORDIC_Y_MASK)\r
+#define POWERQUAD_CORDIC_Y_CORDIC_Y_MASK (0xFFFFFFFFU)\r
+#define POWERQUAD_CORDIC_Y_CORDIC_Y_SHIFT (0U)\r
+/*! cordic_y - Cordic input y\r
+ */\r
+#define POWERQUAD_CORDIC_Y_CORDIC_Y(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_CORDIC_Y_CORDIC_Y_SHIFT)) & POWERQUAD_CORDIC_Y_CORDIC_Y_MASK)\r
 /*! @} */\r
 \r
 /*! @name CORDIC_Z - Cordic input Z register */\r
 /*! @{ */\r
-#define POWERQUAD_CORDIC_Z_CORDIC_Z_MASK         (0xFFFFFFFFU)\r
-#define POWERQUAD_CORDIC_Z_CORDIC_Z_SHIFT        (0U)\r
-#define POWERQUAD_CORDIC_Z_CORDIC_Z(x)           (((uint32_t)(((uint32_t)(x)) << POWERQUAD_CORDIC_Z_CORDIC_Z_SHIFT)) & POWERQUAD_CORDIC_Z_CORDIC_Z_MASK)\r
+#define POWERQUAD_CORDIC_Z_CORDIC_Z_MASK (0xFFFFFFFFU)\r
+#define POWERQUAD_CORDIC_Z_CORDIC_Z_SHIFT (0U)\r
+/*! cordic_z - Cordic input z\r
+ */\r
+#define POWERQUAD_CORDIC_Z_CORDIC_Z(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_CORDIC_Z_CORDIC_Z_SHIFT)) & POWERQUAD_CORDIC_Z_CORDIC_Z_MASK)\r
 /*! @} */\r
 \r
 /*! @name ERRSTAT - Read/Write register where error statuses are captured (sticky) */\r
 /*! @{ */\r
-#define POWERQUAD_ERRSTAT_OVERFLOW_MASK          (0x1U)\r
-#define POWERQUAD_ERRSTAT_OVERFLOW_SHIFT         (0U)\r
-#define POWERQUAD_ERRSTAT_OVERFLOW(x)            (((uint32_t)(((uint32_t)(x)) << POWERQUAD_ERRSTAT_OVERFLOW_SHIFT)) & POWERQUAD_ERRSTAT_OVERFLOW_MASK)\r
-#define POWERQUAD_ERRSTAT_NAN_MASK               (0x2U)\r
-#define POWERQUAD_ERRSTAT_NAN_SHIFT              (1U)\r
-#define POWERQUAD_ERRSTAT_NAN(x)                 (((uint32_t)(((uint32_t)(x)) << POWERQUAD_ERRSTAT_NAN_SHIFT)) & POWERQUAD_ERRSTAT_NAN_MASK)\r
-#define POWERQUAD_ERRSTAT_FIXEDOVERFLOW_MASK     (0x4U)\r
-#define POWERQUAD_ERRSTAT_FIXEDOVERFLOW_SHIFT    (2U)\r
-#define POWERQUAD_ERRSTAT_FIXEDOVERFLOW(x)       (((uint32_t)(((uint32_t)(x)) << POWERQUAD_ERRSTAT_FIXEDOVERFLOW_SHIFT)) & POWERQUAD_ERRSTAT_FIXEDOVERFLOW_MASK)\r
-#define POWERQUAD_ERRSTAT_UNDERFLOW_MASK         (0x8U)\r
-#define POWERQUAD_ERRSTAT_UNDERFLOW_SHIFT        (3U)\r
-#define POWERQUAD_ERRSTAT_UNDERFLOW(x)           (((uint32_t)(((uint32_t)(x)) << POWERQUAD_ERRSTAT_UNDERFLOW_SHIFT)) & POWERQUAD_ERRSTAT_UNDERFLOW_MASK)\r
-#define POWERQUAD_ERRSTAT_BUSERROR_MASK          (0x10U)\r
-#define POWERQUAD_ERRSTAT_BUSERROR_SHIFT         (4U)\r
-#define POWERQUAD_ERRSTAT_BUSERROR(x)            (((uint32_t)(((uint32_t)(x)) << POWERQUAD_ERRSTAT_BUSERROR_SHIFT)) & POWERQUAD_ERRSTAT_BUSERROR_MASK)\r
+#define POWERQUAD_ERRSTAT_OVERFLOW_MASK (0x1U)\r
+#define POWERQUAD_ERRSTAT_OVERFLOW_SHIFT (0U)\r
+/*! OVERFLOW - overflow\r
+ */\r
+#define POWERQUAD_ERRSTAT_OVERFLOW(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_ERRSTAT_OVERFLOW_SHIFT)) & POWERQUAD_ERRSTAT_OVERFLOW_MASK)\r
+#define POWERQUAD_ERRSTAT_NAN_MASK (0x2U)\r
+#define POWERQUAD_ERRSTAT_NAN_SHIFT (1U)\r
+/*! NAN - nan\r
+ */\r
+#define POWERQUAD_ERRSTAT_NAN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_ERRSTAT_NAN_SHIFT)) & POWERQUAD_ERRSTAT_NAN_MASK)\r
+#define POWERQUAD_ERRSTAT_FIXEDOVERFLOW_MASK (0x4U)\r
+#define POWERQUAD_ERRSTAT_FIXEDOVERFLOW_SHIFT (2U)\r
+/*! FIXEDOVERFLOW - fixed_pt_overflow\r
+ */\r
+#define POWERQUAD_ERRSTAT_FIXEDOVERFLOW(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_ERRSTAT_FIXEDOVERFLOW_SHIFT)) & POWERQUAD_ERRSTAT_FIXEDOVERFLOW_MASK)\r
+#define POWERQUAD_ERRSTAT_UNDERFLOW_MASK (0x8U)\r
+#define POWERQUAD_ERRSTAT_UNDERFLOW_SHIFT (3U)\r
+/*! UNDERFLOW - underflow\r
+ */\r
+#define POWERQUAD_ERRSTAT_UNDERFLOW(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_ERRSTAT_UNDERFLOW_SHIFT)) & POWERQUAD_ERRSTAT_UNDERFLOW_MASK)\r
+#define POWERQUAD_ERRSTAT_BUSERROR_MASK (0x10U)\r
+#define POWERQUAD_ERRSTAT_BUSERROR_SHIFT (4U)\r
+/*! BUSERROR - bus_error\r
+ */\r
+#define POWERQUAD_ERRSTAT_BUSERROR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_ERRSTAT_BUSERROR_SHIFT)) & POWERQUAD_ERRSTAT_BUSERROR_MASK)\r
 /*! @} */\r
 \r
 /*! @name INTREN - INTERRUPT enable register */\r
 /*! @{ */\r
-#define POWERQUAD_INTREN_INTR_OFLOW_MASK         (0x1U)\r
-#define POWERQUAD_INTREN_INTR_OFLOW_SHIFT        (0U)\r
-#define POWERQUAD_INTREN_INTR_OFLOW(x)           (((uint32_t)(((uint32_t)(x)) << POWERQUAD_INTREN_INTR_OFLOW_SHIFT)) & POWERQUAD_INTREN_INTR_OFLOW_MASK)\r
-#define POWERQUAD_INTREN_INTR_NAN_MASK           (0x2U)\r
-#define POWERQUAD_INTREN_INTR_NAN_SHIFT          (1U)\r
-#define POWERQUAD_INTREN_INTR_NAN(x)             (((uint32_t)(((uint32_t)(x)) << POWERQUAD_INTREN_INTR_NAN_SHIFT)) & POWERQUAD_INTREN_INTR_NAN_MASK)\r
-#define POWERQUAD_INTREN_INTR_FIXED_MASK         (0x4U)\r
-#define POWERQUAD_INTREN_INTR_FIXED_SHIFT        (2U)\r
-#define POWERQUAD_INTREN_INTR_FIXED(x)           (((uint32_t)(((uint32_t)(x)) << POWERQUAD_INTREN_INTR_FIXED_SHIFT)) & POWERQUAD_INTREN_INTR_FIXED_MASK)\r
-#define POWERQUAD_INTREN_INTR_UFLOW_MASK         (0x8U)\r
-#define POWERQUAD_INTREN_INTR_UFLOW_SHIFT        (3U)\r
-#define POWERQUAD_INTREN_INTR_UFLOW(x)           (((uint32_t)(((uint32_t)(x)) << POWERQUAD_INTREN_INTR_UFLOW_SHIFT)) & POWERQUAD_INTREN_INTR_UFLOW_MASK)\r
-#define POWERQUAD_INTREN_INTR_BERR_MASK          (0x10U)\r
-#define POWERQUAD_INTREN_INTR_BERR_SHIFT         (4U)\r
-#define POWERQUAD_INTREN_INTR_BERR(x)            (((uint32_t)(((uint32_t)(x)) << POWERQUAD_INTREN_INTR_BERR_SHIFT)) & POWERQUAD_INTREN_INTR_BERR_MASK)\r
-#define POWERQUAD_INTREN_INTR_COMP_MASK          (0x80U)\r
-#define POWERQUAD_INTREN_INTR_COMP_SHIFT         (7U)\r
-#define POWERQUAD_INTREN_INTR_COMP(x)            (((uint32_t)(((uint32_t)(x)) << POWERQUAD_INTREN_INTR_COMP_SHIFT)) & POWERQUAD_INTREN_INTR_COMP_MASK)\r
+#define POWERQUAD_INTREN_INTR_OFLOW_MASK (0x1U)\r
+#define POWERQUAD_INTREN_INTR_OFLOW_SHIFT (0U)\r
+/*! intr_oflow - 1 : Enable interrupt on Floating point overflow\r
+ */\r
+#define POWERQUAD_INTREN_INTR_OFLOW(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_INTREN_INTR_OFLOW_SHIFT)) & POWERQUAD_INTREN_INTR_OFLOW_MASK)\r
+#define POWERQUAD_INTREN_INTR_NAN_MASK (0x2U)\r
+#define POWERQUAD_INTREN_INTR_NAN_SHIFT (1U)\r
+/*! intr_nan - 1 : Enable interrupt on Floating point NaN\r
+ */\r
+#define POWERQUAD_INTREN_INTR_NAN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_INTREN_INTR_NAN_SHIFT)) & POWERQUAD_INTREN_INTR_NAN_MASK)\r
+#define POWERQUAD_INTREN_INTR_FIXED_MASK (0x4U)\r
+#define POWERQUAD_INTREN_INTR_FIXED_SHIFT (2U)\r
+/*! intr_fixed - 1: Enable interrupt on Fixed point Overflow\r
+ */\r
+#define POWERQUAD_INTREN_INTR_FIXED(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_INTREN_INTR_FIXED_SHIFT)) & POWERQUAD_INTREN_INTR_FIXED_MASK)\r
+#define POWERQUAD_INTREN_INTR_UFLOW_MASK (0x8U)\r
+#define POWERQUAD_INTREN_INTR_UFLOW_SHIFT (3U)\r
+/*! intr_uflow - 1 : Enable interrupt on Subnormal truncation\r
+ */\r
+#define POWERQUAD_INTREN_INTR_UFLOW(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_INTREN_INTR_UFLOW_SHIFT)) & POWERQUAD_INTREN_INTR_UFLOW_MASK)\r
+#define POWERQUAD_INTREN_INTR_BERR_MASK (0x10U)\r
+#define POWERQUAD_INTREN_INTR_BERR_SHIFT (4U)\r
+/*! intr_berr - 1: Enable interrupt on AHBM Buss Error\r
+ */\r
+#define POWERQUAD_INTREN_INTR_BERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_INTREN_INTR_BERR_SHIFT)) & POWERQUAD_INTREN_INTR_BERR_MASK)\r
+#define POWERQUAD_INTREN_INTR_COMP_MASK (0x80U)\r
+#define POWERQUAD_INTREN_INTR_COMP_SHIFT (7U)\r
+/*! intr_comp - 1: Enable interrupt on instruction completion\r
+ */\r
+#define POWERQUAD_INTREN_INTR_COMP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_INTREN_INTR_COMP_SHIFT)) & POWERQUAD_INTREN_INTR_COMP_MASK)\r
 /*! @} */\r
 \r
 /*! @name EVENTEN - Event Enable register */\r
 /*! @{ */\r
-#define POWERQUAD_EVENTEN_EVENT_OFLOW_MASK       (0x1U)\r
-#define POWERQUAD_EVENTEN_EVENT_OFLOW_SHIFT      (0U)\r
-#define POWERQUAD_EVENTEN_EVENT_OFLOW(x)         (((uint32_t)(((uint32_t)(x)) << POWERQUAD_EVENTEN_EVENT_OFLOW_SHIFT)) & POWERQUAD_EVENTEN_EVENT_OFLOW_MASK)\r
-#define POWERQUAD_EVENTEN_EVENT_NAN_MASK         (0x2U)\r
-#define POWERQUAD_EVENTEN_EVENT_NAN_SHIFT        (1U)\r
-#define POWERQUAD_EVENTEN_EVENT_NAN(x)           (((uint32_t)(((uint32_t)(x)) << POWERQUAD_EVENTEN_EVENT_NAN_SHIFT)) & POWERQUAD_EVENTEN_EVENT_NAN_MASK)\r
-#define POWERQUAD_EVENTEN_EVENT_FIXED_MASK       (0x4U)\r
-#define POWERQUAD_EVENTEN_EVENT_FIXED_SHIFT      (2U)\r
-#define POWERQUAD_EVENTEN_EVENT_FIXED(x)         (((uint32_t)(((uint32_t)(x)) << POWERQUAD_EVENTEN_EVENT_FIXED_SHIFT)) & POWERQUAD_EVENTEN_EVENT_FIXED_MASK)\r
-#define POWERQUAD_EVENTEN_EVENT_UFLOW_MASK       (0x8U)\r
-#define POWERQUAD_EVENTEN_EVENT_UFLOW_SHIFT      (3U)\r
-#define POWERQUAD_EVENTEN_EVENT_UFLOW(x)         (((uint32_t)(((uint32_t)(x)) << POWERQUAD_EVENTEN_EVENT_UFLOW_SHIFT)) & POWERQUAD_EVENTEN_EVENT_UFLOW_MASK)\r
-#define POWERQUAD_EVENTEN_EVENT_BERR_MASK        (0x10U)\r
-#define POWERQUAD_EVENTEN_EVENT_BERR_SHIFT       (4U)\r
-#define POWERQUAD_EVENTEN_EVENT_BERR(x)          (((uint32_t)(((uint32_t)(x)) << POWERQUAD_EVENTEN_EVENT_BERR_SHIFT)) & POWERQUAD_EVENTEN_EVENT_BERR_MASK)\r
-#define POWERQUAD_EVENTEN_EVENT_COMP_MASK        (0x80U)\r
-#define POWERQUAD_EVENTEN_EVENT_COMP_SHIFT       (7U)\r
-#define POWERQUAD_EVENTEN_EVENT_COMP(x)          (((uint32_t)(((uint32_t)(x)) << POWERQUAD_EVENTEN_EVENT_COMP_SHIFT)) & POWERQUAD_EVENTEN_EVENT_COMP_MASK)\r
+#define POWERQUAD_EVENTEN_EVENT_OFLOW_MASK (0x1U)\r
+#define POWERQUAD_EVENTEN_EVENT_OFLOW_SHIFT (0U)\r
+/*! event_oflow - 1 : Enable event trigger on Floating point overflow\r
+ */\r
+#define POWERQUAD_EVENTEN_EVENT_OFLOW(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_EVENTEN_EVENT_OFLOW_SHIFT)) & POWERQUAD_EVENTEN_EVENT_OFLOW_MASK)\r
+#define POWERQUAD_EVENTEN_EVENT_NAN_MASK (0x2U)\r
+#define POWERQUAD_EVENTEN_EVENT_NAN_SHIFT (1U)\r
+/*! event_nan - 1 : Enable event trigger on Floating point NaN\r
+ */\r
+#define POWERQUAD_EVENTEN_EVENT_NAN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_EVENTEN_EVENT_NAN_SHIFT)) & POWERQUAD_EVENTEN_EVENT_NAN_MASK)\r
+#define POWERQUAD_EVENTEN_EVENT_FIXED_MASK (0x4U)\r
+#define POWERQUAD_EVENTEN_EVENT_FIXED_SHIFT (2U)\r
+/*! event_fixed - 1: Enable event trigger on Fixed point Overflow\r
+ */\r
+#define POWERQUAD_EVENTEN_EVENT_FIXED(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_EVENTEN_EVENT_FIXED_SHIFT)) & POWERQUAD_EVENTEN_EVENT_FIXED_MASK)\r
+#define POWERQUAD_EVENTEN_EVENT_UFLOW_MASK (0x8U)\r
+#define POWERQUAD_EVENTEN_EVENT_UFLOW_SHIFT (3U)\r
+/*! event_uflow - 1 : Enable event trigger on Subnormal truncation\r
+ */\r
+#define POWERQUAD_EVENTEN_EVENT_UFLOW(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_EVENTEN_EVENT_UFLOW_SHIFT)) & POWERQUAD_EVENTEN_EVENT_UFLOW_MASK)\r
+#define POWERQUAD_EVENTEN_EVENT_BERR_MASK (0x10U)\r
+#define POWERQUAD_EVENTEN_EVENT_BERR_SHIFT (4U)\r
+/*! event_berr - 1: Enable event trigger on AHBM Buss Error\r
+ */\r
+#define POWERQUAD_EVENTEN_EVENT_BERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_EVENTEN_EVENT_BERR_SHIFT)) & POWERQUAD_EVENTEN_EVENT_BERR_MASK)\r
+#define POWERQUAD_EVENTEN_EVENT_COMP_MASK (0x80U)\r
+#define POWERQUAD_EVENTEN_EVENT_COMP_SHIFT (7U)\r
+/*! event_comp - 1: Enable event trigger on instruction completion\r
+ */\r
+#define POWERQUAD_EVENTEN_EVENT_COMP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_EVENTEN_EVENT_COMP_SHIFT)) & POWERQUAD_EVENTEN_EVENT_COMP_MASK)\r
 /*! @} */\r
 \r
 /*! @name INTRSTAT - INTERRUPT STATUS register */\r
 /*! @{ */\r
-#define POWERQUAD_INTRSTAT_INTR_STAT_MASK        (0x1U)\r
-#define POWERQUAD_INTRSTAT_INTR_STAT_SHIFT       (0U)\r
-#define POWERQUAD_INTRSTAT_INTR_STAT(x)          (((uint32_t)(((uint32_t)(x)) << POWERQUAD_INTRSTAT_INTR_STAT_SHIFT)) & POWERQUAD_INTRSTAT_INTR_STAT_MASK)\r
+#define POWERQUAD_INTRSTAT_INTR_STAT_MASK (0x1U)\r
+#define POWERQUAD_INTRSTAT_INTR_STAT_SHIFT (0U)\r
+/*! intr_stat - Intr status ( 1 bit to indicate interrupt captured, 0 means no new interrupt), write any value will\r
+ * clear this bit\r
+ */\r
+#define POWERQUAD_INTRSTAT_INTR_STAT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_INTRSTAT_INTR_STAT_SHIFT)) & POWERQUAD_INTRSTAT_INTR_STAT_MASK)\r
 /*! @} */\r
 \r
 /*! @name GPREG - General purpose register bank N. */\r
 /*! @{ */\r
-#define POWERQUAD_GPREG_GPREG_MASK               (0xFFFFFFFFU)\r
-#define POWERQUAD_GPREG_GPREG_SHIFT              (0U)\r
-#define POWERQUAD_GPREG_GPREG(x)                 (((uint32_t)(((uint32_t)(x)) << POWERQUAD_GPREG_GPREG_SHIFT)) & POWERQUAD_GPREG_GPREG_MASK)\r
+#define POWERQUAD_GPREG_GPREG_MASK (0xFFFFFFFFU)\r
+#define POWERQUAD_GPREG_GPREG_SHIFT (0U)\r
+/*! gpreg - General purpose register bank\r
+ */\r
+#define POWERQUAD_GPREG_GPREG(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_GPREG_GPREG_SHIFT)) & POWERQUAD_GPREG_GPREG_MASK)\r
 /*! @} */\r
 \r
 /* The count of POWERQUAD_GPREG */\r
-#define POWERQUAD_GPREG_COUNT                    (16U)\r
+#define POWERQUAD_GPREG_COUNT (16U)\r
 \r
 /*! @name COMPREGS_COMPREG - Compute register bank */\r
 /*! @{ */\r
-#define POWERQUAD_COMPREGS_COMPREG_COMPREG_MASK  (0xFFFFFFFFU)\r
+#define POWERQUAD_COMPREGS_COMPREG_COMPREG_MASK (0xFFFFFFFFU)\r
 #define POWERQUAD_COMPREGS_COMPREG_COMPREG_SHIFT (0U)\r
-#define POWERQUAD_COMPREGS_COMPREG_COMPREG(x)    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_COMPREGS_COMPREG_COMPREG_SHIFT)) & POWERQUAD_COMPREGS_COMPREG_COMPREG_MASK)\r
+/*! compreg - Compute register bank\r
+ */\r
+#define POWERQUAD_COMPREGS_COMPREG_COMPREG(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << POWERQUAD_COMPREGS_COMPREG_COMPREG_SHIFT)) & \\r
+     POWERQUAD_COMPREGS_COMPREG_COMPREG_MASK)\r
 /*! @} */\r
 \r
 /* The count of POWERQUAD_COMPREGS_COMPREG */\r
-#define POWERQUAD_COMPREGS_COMPREG_COUNT         (8U)\r
-\r
+#define POWERQUAD_COMPREGS_COMPREG_COUNT (8U)\r
 \r
 /*!\r
  * @}\r
  */ /* end of group POWERQUAD_Register_Masks */\r
 \r
-\r
 /* POWERQUAD - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral POWERQUAD base address */\r
-  #define POWERQUAD_BASE                           (0x500A6000u)\r
-  /** Peripheral POWERQUAD base address */\r
-  #define POWERQUAD_BASE_NS                        (0x400A6000u)\r
-  /** Peripheral POWERQUAD base pointer */\r
-  #define POWERQUAD                                ((POWERQUAD_Type *)POWERQUAD_BASE)\r
-  /** Peripheral POWERQUAD base pointer */\r
-  #define POWERQUAD_NS                             ((POWERQUAD_Type *)POWERQUAD_BASE_NS)\r
-  /** Array initializer of POWERQUAD peripheral base addresses */\r
-  #define POWERQUAD_BASE_ADDRS                     { POWERQUAD_BASE }\r
-  /** Array initializer of POWERQUAD peripheral base pointers */\r
-  #define POWERQUAD_BASE_PTRS                      { POWERQUAD }\r
-  /** Array initializer of POWERQUAD peripheral base addresses */\r
-  #define POWERQUAD_BASE_ADDRS_NS                  { POWERQUAD_BASE_NS }\r
-  /** Array initializer of POWERQUAD peripheral base pointers */\r
-  #define POWERQUAD_BASE_PTRS_NS                   { POWERQUAD_NS }\r
+/** Peripheral POWERQUAD base address */\r
+#define POWERQUAD_BASE (0x500A6000u)\r
+/** Peripheral POWERQUAD base address */\r
+#define POWERQUAD_BASE_NS (0x400A6000u)\r
+/** Peripheral POWERQUAD base pointer */\r
+#define POWERQUAD ((POWERQUAD_Type *)POWERQUAD_BASE)\r
+/** Peripheral POWERQUAD base pointer */\r
+#define POWERQUAD_NS ((POWERQUAD_Type *)POWERQUAD_BASE_NS)\r
+/** Array initializer of POWERQUAD peripheral base addresses */\r
+#define POWERQUAD_BASE_ADDRS \\r
+    {                        \\r
+        POWERQUAD_BASE       \\r
+    }\r
+/** Array initializer of POWERQUAD peripheral base pointers */\r
+#define POWERQUAD_BASE_PTRS \\r
+    {                       \\r
+        POWERQUAD           \\r
+    }\r
+/** Array initializer of POWERQUAD peripheral base addresses */\r
+#define POWERQUAD_BASE_ADDRS_NS \\r
+    {                           \\r
+        POWERQUAD_BASE_NS       \\r
+    }\r
+/** Array initializer of POWERQUAD peripheral base pointers */\r
+#define POWERQUAD_BASE_PTRS_NS \\r
+    {                          \\r
+        POWERQUAD_NS           \\r
+    }\r
 #else\r
-  /** Peripheral POWERQUAD base address */\r
-  #define POWERQUAD_BASE                           (0x400A6000u)\r
-  /** Peripheral POWERQUAD base pointer */\r
-  #define POWERQUAD                                ((POWERQUAD_Type *)POWERQUAD_BASE)\r
-  /** Array initializer of POWERQUAD peripheral base addresses */\r
-  #define POWERQUAD_BASE_ADDRS                     { POWERQUAD_BASE }\r
-  /** Array initializer of POWERQUAD peripheral base pointers */\r
-  #define POWERQUAD_BASE_PTRS                      { POWERQUAD }\r
+/** Peripheral POWERQUAD base address */\r
+#define POWERQUAD_BASE (0x400A6000u)\r
+/** Peripheral POWERQUAD base pointer */\r
+#define POWERQUAD ((POWERQUAD_Type *)POWERQUAD_BASE)\r
+/** Array initializer of POWERQUAD peripheral base addresses */\r
+#define POWERQUAD_BASE_ADDRS \\r
+    {                        \\r
+        POWERQUAD_BASE       \\r
+    }\r
+/** Array initializer of POWERQUAD peripheral base pointers */\r
+#define POWERQUAD_BASE_PTRS \\r
+    {                       \\r
+        POWERQUAD           \\r
+    }\r
 #endif\r
 \r
 /*!\r
  * @}\r
  */ /* end of group POWERQUAD_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- PRINCE Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -12629,23 +16256,24 @@ typedef struct {
  */\r
 \r
 /** PRINCE - Register Layout Typedef */\r
-typedef struct {\r
-  __IO uint32_t ENC_ENABLE;                        /**< Encryption Enable register, offset: 0x0 */\r
-  __O  uint32_t MASK_LSB;                          /**< Data Mask register, 32 Least Significant Bits, offset: 0x4 */\r
-  __O  uint32_t MASK_MSB;                          /**< Data Mask register, 32 Most Significant Bits, offset: 0x8 */\r
-  __IO uint32_t LOCK;                              /**< Lock register, offset: 0xC */\r
-  __O  uint32_t IV_LSB0;                           /**< Initial Vector register for region 0, Least Significant Bits, offset: 0x10 */\r
-  __O  uint32_t IV_MSB0;                           /**< Initial Vector register for region 0, Most Significant Bits, offset: 0x14 */\r
-  __IO uint32_t BASE_ADDR0;                        /**< Base Address for region 0 register, offset: 0x18 */\r
-  __IO uint32_t SR_ENABLE0;                        /**< Sub-Region Enable register for region 0, offset: 0x1C */\r
-  __O  uint32_t IV_LSB1;                           /**< Initial Vector register for region 1, Least Significant Bits, offset: 0x20 */\r
-  __O  uint32_t IV_MSB1;                           /**< Initial Vector register for region 1, Most Significant Bits, offset: 0x24 */\r
-  __IO uint32_t BASE_ADDR1;                        /**< Base Address for region 1 register, offset: 0x28 */\r
-  __IO uint32_t SR_ENABLE1;                        /**< Sub-Region Enable register for region 1, offset: 0x2C */\r
-  __O  uint32_t IV_LSB2;                           /**< Initial Vector register for region 2, Least Significant Bits, offset: 0x30 */\r
-  __O  uint32_t IV_MSB2;                           /**< Initial Vector register for region 2, Most Significant Bits, offset: 0x34 */\r
-  __IO uint32_t BASE_ADDR2;                        /**< Base Address for region 2 register, offset: 0x38 */\r
-  __IO uint32_t SR_ENABLE2;                        /**< Sub-Region Enable register for region 2, offset: 0x3C */\r
+typedef struct\r
+{\r
+    __IO uint32_t ENC_ENABLE; /**< Encryption Enable register, offset: 0x0 */\r
+    __O uint32_t MASK_LSB;    /**< Data Mask register, 32 Least Significant Bits, offset: 0x4 */\r
+    __O uint32_t MASK_MSB;    /**< Data Mask register, 32 Most Significant Bits, offset: 0x8 */\r
+    __IO uint32_t LOCK;       /**< Lock register, offset: 0xC */\r
+    __O uint32_t IV_LSB0;     /**< Initial Vector register for region 0, Least Significant Bits, offset: 0x10 */\r
+    __O uint32_t IV_MSB0;     /**< Initial Vector register for region 0, Most Significant Bits, offset: 0x14 */\r
+    __IO uint32_t BASE_ADDR0; /**< Base Address for region 0 register, offset: 0x18 */\r
+    __IO uint32_t SR_ENABLE0; /**< Sub-Region Enable register for region 0, offset: 0x1C */\r
+    __O uint32_t IV_LSB1;     /**< Initial Vector register for region 1, Least Significant Bits, offset: 0x20 */\r
+    __O uint32_t IV_MSB1;     /**< Initial Vector register for region 1, Most Significant Bits, offset: 0x24 */\r
+    __IO uint32_t BASE_ADDR1; /**< Base Address for region 1 register, offset: 0x28 */\r
+    __IO uint32_t SR_ENABLE1; /**< Sub-Region Enable register for region 1, offset: 0x2C */\r
+    __O uint32_t IV_LSB2;     /**< Initial Vector register for region 2, Least Significant Bits, offset: 0x30 */\r
+    __O uint32_t IV_MSB2;     /**< Initial Vector register for region 2, Most Significant Bits, offset: 0x34 */\r
+    __IO uint32_t BASE_ADDR2; /**< Base Address for region 2 register, offset: 0x38 */\r
+    __IO uint32_t SR_ENABLE2; /**< Sub-Region Enable register for region 2, offset: 0x3C */\r
 } PRINCE_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -12659,194 +16287,265 @@ typedef struct {
 \r
 /*! @name ENC_ENABLE - Encryption Enable register */\r
 /*! @{ */\r
-#define PRINCE_ENC_ENABLE_EN_MASK                (0x1U)\r
-#define PRINCE_ENC_ENABLE_EN_SHIFT               (0U)\r
+#define PRINCE_ENC_ENABLE_EN_MASK (0x1U)\r
+#define PRINCE_ENC_ENABLE_EN_SHIFT (0U)\r
 /*! EN - Encryption Enable.\r
- *  0b0..Encryption of writes to the flash controller DATAW* registers is disabled..\r
- *  0b1..Encryption of writes to the flash controller DATAW* registers is enabled..\r
+ *  0b0..Encryption of writes to the flash controller DATAW* registers is disabled.\r
+ *  0b1..Encryption of writes to the flash controller DATAW* registers is enabled.\r
  */\r
-#define PRINCE_ENC_ENABLE_EN(x)                  (((uint32_t)(((uint32_t)(x)) << PRINCE_ENC_ENABLE_EN_SHIFT)) & PRINCE_ENC_ENABLE_EN_MASK)\r
+#define PRINCE_ENC_ENABLE_EN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PRINCE_ENC_ENABLE_EN_SHIFT)) & PRINCE_ENC_ENABLE_EN_MASK)\r
 /*! @} */\r
 \r
 /*! @name MASK_LSB - Data Mask register, 32 Least Significant Bits */\r
 /*! @{ */\r
-#define PRINCE_MASK_LSB_MASKVAL_MASK             (0xFFFFFFFFU)\r
-#define PRINCE_MASK_LSB_MASKVAL_SHIFT            (0U)\r
-#define PRINCE_MASK_LSB_MASKVAL(x)               (((uint32_t)(((uint32_t)(x)) << PRINCE_MASK_LSB_MASKVAL_SHIFT)) & PRINCE_MASK_LSB_MASKVAL_MASK)\r
+#define PRINCE_MASK_LSB_MASKVAL_MASK (0xFFFFFFFFU)\r
+#define PRINCE_MASK_LSB_MASKVAL_SHIFT (0U)\r
+/*! MASKVAL - Value of the 32 Least Significant Bits of the 64-bit data mask.\r
+ */\r
+#define PRINCE_MASK_LSB_MASKVAL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PRINCE_MASK_LSB_MASKVAL_SHIFT)) & PRINCE_MASK_LSB_MASKVAL_MASK)\r
 /*! @} */\r
 \r
 /*! @name MASK_MSB - Data Mask register, 32 Most Significant Bits */\r
 /*! @{ */\r
-#define PRINCE_MASK_MSB_MASKVAL_MASK             (0xFFFFFFFFU)\r
-#define PRINCE_MASK_MSB_MASKVAL_SHIFT            (0U)\r
-#define PRINCE_MASK_MSB_MASKVAL(x)               (((uint32_t)(((uint32_t)(x)) << PRINCE_MASK_MSB_MASKVAL_SHIFT)) & PRINCE_MASK_MSB_MASKVAL_MASK)\r
+#define PRINCE_MASK_MSB_MASKVAL_MASK (0xFFFFFFFFU)\r
+#define PRINCE_MASK_MSB_MASKVAL_SHIFT (0U)\r
+/*! MASKVAL - Value of the 32 Most Significant Bits of the 64-bit data mask.\r
+ */\r
+#define PRINCE_MASK_MSB_MASKVAL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PRINCE_MASK_MSB_MASKVAL_SHIFT)) & PRINCE_MASK_MSB_MASKVAL_MASK)\r
 /*! @} */\r
 \r
 /*! @name LOCK - Lock register */\r
 /*! @{ */\r
-#define PRINCE_LOCK_LOCKREG0_MASK                (0x1U)\r
-#define PRINCE_LOCK_LOCKREG0_SHIFT               (0U)\r
+#define PRINCE_LOCK_LOCKREG0_MASK (0x1U)\r
+#define PRINCE_LOCK_LOCKREG0_SHIFT (0U)\r
 /*! LOCKREG0 - Lock Region 0 registers.\r
  *  0b0..Disabled. IV_LSB0, IV_MSB0, BASE_ADDR0, and SR_ENABLE0 are writable..\r
  *  0b1..Enabled. IV_LSB0, IV_MSB0, BASE_ADDR0, and SR_ENABLE0 are not writable..\r
  */\r
-#define PRINCE_LOCK_LOCKREG0(x)                  (((uint32_t)(((uint32_t)(x)) << PRINCE_LOCK_LOCKREG0_SHIFT)) & PRINCE_LOCK_LOCKREG0_MASK)\r
-#define PRINCE_LOCK_LOCKREG1_MASK                (0x2U)\r
-#define PRINCE_LOCK_LOCKREG1_SHIFT               (1U)\r
+#define PRINCE_LOCK_LOCKREG0(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PRINCE_LOCK_LOCKREG0_SHIFT)) & PRINCE_LOCK_LOCKREG0_MASK)\r
+#define PRINCE_LOCK_LOCKREG1_MASK (0x2U)\r
+#define PRINCE_LOCK_LOCKREG1_SHIFT (1U)\r
 /*! LOCKREG1 - Lock Region 1 registers.\r
  *  0b0..Disabled. IV_LSB1, IV_MSB1, BASE_ADDR1, and SR_ENABLE1 are writable..\r
  *  0b1..Enabled. IV_LSB1, IV_MSB1, BASE_ADDR1, and SR_ENABLE1 are not writable..\r
  */\r
-#define PRINCE_LOCK_LOCKREG1(x)                  (((uint32_t)(((uint32_t)(x)) << PRINCE_LOCK_LOCKREG1_SHIFT)) & PRINCE_LOCK_LOCKREG1_MASK)\r
-#define PRINCE_LOCK_LOCKREG2_MASK                (0x4U)\r
-#define PRINCE_LOCK_LOCKREG2_SHIFT               (2U)\r
+#define PRINCE_LOCK_LOCKREG1(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PRINCE_LOCK_LOCKREG1_SHIFT)) & PRINCE_LOCK_LOCKREG1_MASK)\r
+#define PRINCE_LOCK_LOCKREG2_MASK (0x4U)\r
+#define PRINCE_LOCK_LOCKREG2_SHIFT (2U)\r
 /*! LOCKREG2 - Lock Region 2 registers.\r
  *  0b0..Disabled. IV_LSB2, IV_MSB2, BASE_ADDR2, and SR_ENABLE2 are writable..\r
  *  0b1..Enabled. IV_LSB2, IV_MSB2, BASE_ADDR2, and SR_ENABLE2 are not writable..\r
  */\r
-#define PRINCE_LOCK_LOCKREG2(x)                  (((uint32_t)(((uint32_t)(x)) << PRINCE_LOCK_LOCKREG2_SHIFT)) & PRINCE_LOCK_LOCKREG2_MASK)\r
-#define PRINCE_LOCK_LOCKMASK_MASK                (0x100U)\r
-#define PRINCE_LOCK_LOCKMASK_SHIFT               (8U)\r
+#define PRINCE_LOCK_LOCKREG2(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PRINCE_LOCK_LOCKREG2_SHIFT)) & PRINCE_LOCK_LOCKREG2_MASK)\r
+#define PRINCE_LOCK_LOCKMASK_MASK (0x100U)\r
+#define PRINCE_LOCK_LOCKMASK_SHIFT (8U)\r
 /*! LOCKMASK - Lock the Mask registers.\r
  *  0b0..Disabled. MASK_LSB, and MASK_MSB are writable..\r
  *  0b1..Enabled. MASK_LSB, and MASK_MSB are not writable..\r
  */\r
-#define PRINCE_LOCK_LOCKMASK(x)                  (((uint32_t)(((uint32_t)(x)) << PRINCE_LOCK_LOCKMASK_SHIFT)) & PRINCE_LOCK_LOCKMASK_MASK)\r
+#define PRINCE_LOCK_LOCKMASK(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PRINCE_LOCK_LOCKMASK_SHIFT)) & PRINCE_LOCK_LOCKMASK_MASK)\r
 /*! @} */\r
 \r
 /*! @name IV_LSB0 - Initial Vector register for region 0, Least Significant Bits */\r
 /*! @{ */\r
-#define PRINCE_IV_LSB0_IVVAL_MASK                (0xFFFFFFFFU)\r
-#define PRINCE_IV_LSB0_IVVAL_SHIFT               (0U)\r
-#define PRINCE_IV_LSB0_IVVAL(x)                  (((uint32_t)(((uint32_t)(x)) << PRINCE_IV_LSB0_IVVAL_SHIFT)) & PRINCE_IV_LSB0_IVVAL_MASK)\r
+#define PRINCE_IV_LSB0_IVVAL_MASK (0xFFFFFFFFU)\r
+#define PRINCE_IV_LSB0_IVVAL_SHIFT (0U)\r
+/*! IVVAL - Initial Vector value for the 32 Least Significant Bits of the 64-bit Initial Vector.\r
+ */\r
+#define PRINCE_IV_LSB0_IVVAL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PRINCE_IV_LSB0_IVVAL_SHIFT)) & PRINCE_IV_LSB0_IVVAL_MASK)\r
 /*! @} */\r
 \r
 /*! @name IV_MSB0 - Initial Vector register for region 0, Most Significant Bits */\r
 /*! @{ */\r
-#define PRINCE_IV_MSB0_IVVAL_MASK                (0xFFFFFFFFU)\r
-#define PRINCE_IV_MSB0_IVVAL_SHIFT               (0U)\r
-#define PRINCE_IV_MSB0_IVVAL(x)                  (((uint32_t)(((uint32_t)(x)) << PRINCE_IV_MSB0_IVVAL_SHIFT)) & PRINCE_IV_MSB0_IVVAL_MASK)\r
+#define PRINCE_IV_MSB0_IVVAL_MASK (0xFFFFFFFFU)\r
+#define PRINCE_IV_MSB0_IVVAL_SHIFT (0U)\r
+/*! IVVAL - Initial Vector value for the 32 Most Significant Bits of the 64-bit Initial Vector.\r
+ */\r
+#define PRINCE_IV_MSB0_IVVAL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PRINCE_IV_MSB0_IVVAL_SHIFT)) & PRINCE_IV_MSB0_IVVAL_MASK)\r
 /*! @} */\r
 \r
 /*! @name BASE_ADDR0 - Base Address for region 0 register */\r
 /*! @{ */\r
-#define PRINCE_BASE_ADDR0_ADDR_FIXED_MASK        (0x3FFFFU)\r
-#define PRINCE_BASE_ADDR0_ADDR_FIXED_SHIFT       (0U)\r
-#define PRINCE_BASE_ADDR0_ADDR_FIXED(x)          (((uint32_t)(((uint32_t)(x)) << PRINCE_BASE_ADDR0_ADDR_FIXED_SHIFT)) & PRINCE_BASE_ADDR0_ADDR_FIXED_MASK)\r
-#define PRINCE_BASE_ADDR0_ADDR_PRG_MASK          (0xC0000U)\r
-#define PRINCE_BASE_ADDR0_ADDR_PRG_SHIFT         (18U)\r
-#define PRINCE_BASE_ADDR0_ADDR_PRG(x)            (((uint32_t)(((uint32_t)(x)) << PRINCE_BASE_ADDR0_ADDR_PRG_SHIFT)) & PRINCE_BASE_ADDR0_ADDR_PRG_MASK)\r
+#define PRINCE_BASE_ADDR0_ADDR_FIXED_MASK (0x3FFFFU)\r
+#define PRINCE_BASE_ADDR0_ADDR_FIXED_SHIFT (0U)\r
+/*! ADDR_FIXED - Fixed portion of the base address of region 0.\r
+ */\r
+#define PRINCE_BASE_ADDR0_ADDR_FIXED(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PRINCE_BASE_ADDR0_ADDR_FIXED_SHIFT)) & PRINCE_BASE_ADDR0_ADDR_FIXED_MASK)\r
+#define PRINCE_BASE_ADDR0_ADDR_PRG_MASK (0xC0000U)\r
+#define PRINCE_BASE_ADDR0_ADDR_PRG_SHIFT (18U)\r
+/*! ADDR_PRG - Programmable portion of the base address of region 0.\r
+ */\r
+#define PRINCE_BASE_ADDR0_ADDR_PRG(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PRINCE_BASE_ADDR0_ADDR_PRG_SHIFT)) & PRINCE_BASE_ADDR0_ADDR_PRG_MASK)\r
 /*! @} */\r
 \r
 /*! @name SR_ENABLE0 - Sub-Region Enable register for region 0 */\r
 /*! @{ */\r
-#define PRINCE_SR_ENABLE0_EN_MASK                (0xFFFFFFFFU)\r
-#define PRINCE_SR_ENABLE0_EN_SHIFT               (0U)\r
-#define PRINCE_SR_ENABLE0_EN(x)                  (((uint32_t)(((uint32_t)(x)) << PRINCE_SR_ENABLE0_EN_SHIFT)) & PRINCE_SR_ENABLE0_EN_MASK)\r
+#define PRINCE_SR_ENABLE0_EN_MASK (0xFFFFFFFFU)\r
+#define PRINCE_SR_ENABLE0_EN_SHIFT (0U)\r
+/*! EN - Each bit in this field enables an 8KB subregion for encryption at offset 8KB*bitnum of region 0.\r
+ */\r
+#define PRINCE_SR_ENABLE0_EN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PRINCE_SR_ENABLE0_EN_SHIFT)) & PRINCE_SR_ENABLE0_EN_MASK)\r
 /*! @} */\r
 \r
 /*! @name IV_LSB1 - Initial Vector register for region 1, Least Significant Bits */\r
 /*! @{ */\r
-#define PRINCE_IV_LSB1_IVVAL_MASK                (0xFFFFFFFFU)\r
-#define PRINCE_IV_LSB1_IVVAL_SHIFT               (0U)\r
-#define PRINCE_IV_LSB1_IVVAL(x)                  (((uint32_t)(((uint32_t)(x)) << PRINCE_IV_LSB1_IVVAL_SHIFT)) & PRINCE_IV_LSB1_IVVAL_MASK)\r
+#define PRINCE_IV_LSB1_IVVAL_MASK (0xFFFFFFFFU)\r
+#define PRINCE_IV_LSB1_IVVAL_SHIFT (0U)\r
+/*! IVVAL - Initial Vector value for the 32 Least Significant Bits of the 64-bit Initial Vector.\r
+ */\r
+#define PRINCE_IV_LSB1_IVVAL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PRINCE_IV_LSB1_IVVAL_SHIFT)) & PRINCE_IV_LSB1_IVVAL_MASK)\r
 /*! @} */\r
 \r
 /*! @name IV_MSB1 - Initial Vector register for region 1, Most Significant Bits */\r
 /*! @{ */\r
-#define PRINCE_IV_MSB1_IVVAL_MASK                (0xFFFFFFFFU)\r
-#define PRINCE_IV_MSB1_IVVAL_SHIFT               (0U)\r
-#define PRINCE_IV_MSB1_IVVAL(x)                  (((uint32_t)(((uint32_t)(x)) << PRINCE_IV_MSB1_IVVAL_SHIFT)) & PRINCE_IV_MSB1_IVVAL_MASK)\r
+#define PRINCE_IV_MSB1_IVVAL_MASK (0xFFFFFFFFU)\r
+#define PRINCE_IV_MSB1_IVVAL_SHIFT (0U)\r
+/*! IVVAL - Initial Vector value for the 32 Most Significant Bits of the 64-bit Initial Vector.\r
+ */\r
+#define PRINCE_IV_MSB1_IVVAL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PRINCE_IV_MSB1_IVVAL_SHIFT)) & PRINCE_IV_MSB1_IVVAL_MASK)\r
 /*! @} */\r
 \r
 /*! @name BASE_ADDR1 - Base Address for region 1 register */\r
 /*! @{ */\r
-#define PRINCE_BASE_ADDR1_ADDR_FIXED_MASK        (0x3FFFFU)\r
-#define PRINCE_BASE_ADDR1_ADDR_FIXED_SHIFT       (0U)\r
-#define PRINCE_BASE_ADDR1_ADDR_FIXED(x)          (((uint32_t)(((uint32_t)(x)) << PRINCE_BASE_ADDR1_ADDR_FIXED_SHIFT)) & PRINCE_BASE_ADDR1_ADDR_FIXED_MASK)\r
-#define PRINCE_BASE_ADDR1_ADDR_PRG_MASK          (0xC0000U)\r
-#define PRINCE_BASE_ADDR1_ADDR_PRG_SHIFT         (18U)\r
-#define PRINCE_BASE_ADDR1_ADDR_PRG(x)            (((uint32_t)(((uint32_t)(x)) << PRINCE_BASE_ADDR1_ADDR_PRG_SHIFT)) & PRINCE_BASE_ADDR1_ADDR_PRG_MASK)\r
+#define PRINCE_BASE_ADDR1_ADDR_FIXED_MASK (0x3FFFFU)\r
+#define PRINCE_BASE_ADDR1_ADDR_FIXED_SHIFT (0U)\r
+/*! ADDR_FIXED - Fixed portion of the base address of region 1.\r
+ */\r
+#define PRINCE_BASE_ADDR1_ADDR_FIXED(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PRINCE_BASE_ADDR1_ADDR_FIXED_SHIFT)) & PRINCE_BASE_ADDR1_ADDR_FIXED_MASK)\r
+#define PRINCE_BASE_ADDR1_ADDR_PRG_MASK (0xC0000U)\r
+#define PRINCE_BASE_ADDR1_ADDR_PRG_SHIFT (18U)\r
+/*! ADDR_PRG - Programmable portion of the base address of region 1.\r
+ */\r
+#define PRINCE_BASE_ADDR1_ADDR_PRG(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PRINCE_BASE_ADDR1_ADDR_PRG_SHIFT)) & PRINCE_BASE_ADDR1_ADDR_PRG_MASK)\r
 /*! @} */\r
 \r
 /*! @name SR_ENABLE1 - Sub-Region Enable register for region 1 */\r
 /*! @{ */\r
-#define PRINCE_SR_ENABLE1_EN_MASK                (0xFFFFFFFFU)\r
-#define PRINCE_SR_ENABLE1_EN_SHIFT               (0U)\r
-#define PRINCE_SR_ENABLE1_EN(x)                  (((uint32_t)(((uint32_t)(x)) << PRINCE_SR_ENABLE1_EN_SHIFT)) & PRINCE_SR_ENABLE1_EN_MASK)\r
+#define PRINCE_SR_ENABLE1_EN_MASK (0xFFFFFFFFU)\r
+#define PRINCE_SR_ENABLE1_EN_SHIFT (0U)\r
+/*! EN - Each bit in this field enables an 8KB subregion for encryption at offset 8KB*bitnum of region 1.\r
+ */\r
+#define PRINCE_SR_ENABLE1_EN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PRINCE_SR_ENABLE1_EN_SHIFT)) & PRINCE_SR_ENABLE1_EN_MASK)\r
 /*! @} */\r
 \r
 /*! @name IV_LSB2 - Initial Vector register for region 2, Least Significant Bits */\r
 /*! @{ */\r
-#define PRINCE_IV_LSB2_IVVAL_MASK                (0xFFFFFFFFU)\r
-#define PRINCE_IV_LSB2_IVVAL_SHIFT               (0U)\r
-#define PRINCE_IV_LSB2_IVVAL(x)                  (((uint32_t)(((uint32_t)(x)) << PRINCE_IV_LSB2_IVVAL_SHIFT)) & PRINCE_IV_LSB2_IVVAL_MASK)\r
+#define PRINCE_IV_LSB2_IVVAL_MASK (0xFFFFFFFFU)\r
+#define PRINCE_IV_LSB2_IVVAL_SHIFT (0U)\r
+/*! IVVAL - Initial Vector value for the 32 Least Significant Bits of the 64-bit Initial Vector.\r
+ */\r
+#define PRINCE_IV_LSB2_IVVAL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PRINCE_IV_LSB2_IVVAL_SHIFT)) & PRINCE_IV_LSB2_IVVAL_MASK)\r
 /*! @} */\r
 \r
 /*! @name IV_MSB2 - Initial Vector register for region 2, Most Significant Bits */\r
 /*! @{ */\r
-#define PRINCE_IV_MSB2_IVVAL_MASK                (0xFFFFFFFFU)\r
-#define PRINCE_IV_MSB2_IVVAL_SHIFT               (0U)\r
-#define PRINCE_IV_MSB2_IVVAL(x)                  (((uint32_t)(((uint32_t)(x)) << PRINCE_IV_MSB2_IVVAL_SHIFT)) & PRINCE_IV_MSB2_IVVAL_MASK)\r
+#define PRINCE_IV_MSB2_IVVAL_MASK (0xFFFFFFFFU)\r
+#define PRINCE_IV_MSB2_IVVAL_SHIFT (0U)\r
+/*! IVVAL - Initial Vector value for the 32 Most Significant Bits of the 64-bit Initial Vector.\r
+ */\r
+#define PRINCE_IV_MSB2_IVVAL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PRINCE_IV_MSB2_IVVAL_SHIFT)) & PRINCE_IV_MSB2_IVVAL_MASK)\r
 /*! @} */\r
 \r
 /*! @name BASE_ADDR2 - Base Address for region 2 register */\r
 /*! @{ */\r
-#define PRINCE_BASE_ADDR2_ADDR_FIXED_MASK        (0x3FFFFU)\r
-#define PRINCE_BASE_ADDR2_ADDR_FIXED_SHIFT       (0U)\r
-#define PRINCE_BASE_ADDR2_ADDR_FIXED(x)          (((uint32_t)(((uint32_t)(x)) << PRINCE_BASE_ADDR2_ADDR_FIXED_SHIFT)) & PRINCE_BASE_ADDR2_ADDR_FIXED_MASK)\r
-#define PRINCE_BASE_ADDR2_ADDR_PRG_MASK          (0xC0000U)\r
-#define PRINCE_BASE_ADDR2_ADDR_PRG_SHIFT         (18U)\r
-#define PRINCE_BASE_ADDR2_ADDR_PRG(x)            (((uint32_t)(((uint32_t)(x)) << PRINCE_BASE_ADDR2_ADDR_PRG_SHIFT)) & PRINCE_BASE_ADDR2_ADDR_PRG_MASK)\r
+#define PRINCE_BASE_ADDR2_ADDR_FIXED_MASK (0x3FFFFU)\r
+#define PRINCE_BASE_ADDR2_ADDR_FIXED_SHIFT (0U)\r
+/*! ADDR_FIXED - Fixed portion of the base address of region 2.\r
+ */\r
+#define PRINCE_BASE_ADDR2_ADDR_FIXED(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PRINCE_BASE_ADDR2_ADDR_FIXED_SHIFT)) & PRINCE_BASE_ADDR2_ADDR_FIXED_MASK)\r
+#define PRINCE_BASE_ADDR2_ADDR_PRG_MASK (0xC0000U)\r
+#define PRINCE_BASE_ADDR2_ADDR_PRG_SHIFT (18U)\r
+/*! ADDR_PRG - Programmable portion of the base address of region 2.\r
+ */\r
+#define PRINCE_BASE_ADDR2_ADDR_PRG(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PRINCE_BASE_ADDR2_ADDR_PRG_SHIFT)) & PRINCE_BASE_ADDR2_ADDR_PRG_MASK)\r
 /*! @} */\r
 \r
 /*! @name SR_ENABLE2 - Sub-Region Enable register for region 2 */\r
 /*! @{ */\r
-#define PRINCE_SR_ENABLE2_EN_MASK                (0xFFFFFFFFU)\r
-#define PRINCE_SR_ENABLE2_EN_SHIFT               (0U)\r
-#define PRINCE_SR_ENABLE2_EN(x)                  (((uint32_t)(((uint32_t)(x)) << PRINCE_SR_ENABLE2_EN_SHIFT)) & PRINCE_SR_ENABLE2_EN_MASK)\r
+#define PRINCE_SR_ENABLE2_EN_MASK (0xFFFFFFFFU)\r
+#define PRINCE_SR_ENABLE2_EN_SHIFT (0U)\r
+/*! EN - Each bit in this field enables an 8KB subregion for encryption at offset 8KB*bitnum of region 2.\r
+ */\r
+#define PRINCE_SR_ENABLE2_EN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PRINCE_SR_ENABLE2_EN_SHIFT)) & PRINCE_SR_ENABLE2_EN_MASK)\r
 /*! @} */\r
 \r
-\r
 /*!\r
  * @}\r
  */ /* end of group PRINCE_Register_Masks */\r
 \r
-\r
 /* PRINCE - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral PRINCE base address */\r
-  #define PRINCE_BASE                              (0x50035000u)\r
-  /** Peripheral PRINCE base address */\r
-  #define PRINCE_BASE_NS                           (0x40035000u)\r
-  /** Peripheral PRINCE base pointer */\r
-  #define PRINCE                                   ((PRINCE_Type *)PRINCE_BASE)\r
-  /** Peripheral PRINCE base pointer */\r
-  #define PRINCE_NS                                ((PRINCE_Type *)PRINCE_BASE_NS)\r
-  /** Array initializer of PRINCE peripheral base addresses */\r
-  #define PRINCE_BASE_ADDRS                        { PRINCE_BASE }\r
-  /** Array initializer of PRINCE peripheral base pointers */\r
-  #define PRINCE_BASE_PTRS                         { PRINCE }\r
-  /** Array initializer of PRINCE peripheral base addresses */\r
-  #define PRINCE_BASE_ADDRS_NS                     { PRINCE_BASE_NS }\r
-  /** Array initializer of PRINCE peripheral base pointers */\r
-  #define PRINCE_BASE_PTRS_NS                      { PRINCE_NS }\r
+/** Peripheral PRINCE base address */\r
+#define PRINCE_BASE (0x50035000u)\r
+/** Peripheral PRINCE base address */\r
+#define PRINCE_BASE_NS (0x40035000u)\r
+/** Peripheral PRINCE base pointer */\r
+#define PRINCE ((PRINCE_Type *)PRINCE_BASE)\r
+/** Peripheral PRINCE base pointer */\r
+#define PRINCE_NS ((PRINCE_Type *)PRINCE_BASE_NS)\r
+/** Array initializer of PRINCE peripheral base addresses */\r
+#define PRINCE_BASE_ADDRS \\r
+    {                     \\r
+        PRINCE_BASE       \\r
+    }\r
+/** Array initializer of PRINCE peripheral base pointers */\r
+#define PRINCE_BASE_PTRS \\r
+    {                    \\r
+        PRINCE           \\r
+    }\r
+/** Array initializer of PRINCE peripheral base addresses */\r
+#define PRINCE_BASE_ADDRS_NS \\r
+    {                        \\r
+        PRINCE_BASE_NS       \\r
+    }\r
+/** Array initializer of PRINCE peripheral base pointers */\r
+#define PRINCE_BASE_PTRS_NS \\r
+    {                       \\r
+        PRINCE_NS           \\r
+    }\r
 #else\r
-  /** Peripheral PRINCE base address */\r
-  #define PRINCE_BASE                              (0x40035000u)\r
-  /** Peripheral PRINCE base pointer */\r
-  #define PRINCE                                   ((PRINCE_Type *)PRINCE_BASE)\r
-  /** Array initializer of PRINCE peripheral base addresses */\r
-  #define PRINCE_BASE_ADDRS                        { PRINCE_BASE }\r
-  /** Array initializer of PRINCE peripheral base pointers */\r
-  #define PRINCE_BASE_PTRS                         { PRINCE }\r
+/** Peripheral PRINCE base address */\r
+#define PRINCE_BASE (0x40035000u)\r
+/** Peripheral PRINCE base pointer */\r
+#define PRINCE ((PRINCE_Type *)PRINCE_BASE)\r
+/** Array initializer of PRINCE peripheral base addresses */\r
+#define PRINCE_BASE_ADDRS \\r
+    {                     \\r
+        PRINCE_BASE       \\r
+    }\r
+/** Array initializer of PRINCE peripheral base pointers */\r
+#define PRINCE_BASE_PTRS \\r
+    {                    \\r
+        PRINCE           \\r
+    }\r
 #endif\r
 \r
 /*!\r
  * @}\r
  */ /* end of group PRINCE_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- PUF Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -12857,40 +16556,41 @@ typedef struct {
  */\r
 \r
 /** PUF - Register Layout Typedef */\r
-typedef struct {\r
-  __IO uint32_t CTRL;                              /**< PUF Control register, offset: 0x0 */\r
-  __IO uint32_t KEYINDEX;                          /**< PUF Key Index register, offset: 0x4 */\r
-  __IO uint32_t KEYSIZE;                           /**< PUF Key Size register, offset: 0x8 */\r
-       uint8_t RESERVED_0[20];\r
-  __I  uint32_t STAT;                              /**< PUF Status register, offset: 0x20 */\r
-       uint8_t RESERVED_1[4];\r
-  __I  uint32_t ALLOW;                             /**< PUF Allow register, offset: 0x28 */\r
-       uint8_t RESERVED_2[20];\r
-  __O  uint32_t KEYINPUT;                          /**< PUF Key Input register, offset: 0x40 */\r
-  __O  uint32_t CODEINPUT;                         /**< PUF Code Input register, offset: 0x44 */\r
-  __I  uint32_t CODEOUTPUT;                        /**< PUF Code Output register, offset: 0x48 */\r
-       uint8_t RESERVED_3[20];\r
-  __I  uint32_t KEYOUTINDEX;                       /**< PUF Key Output Index register, offset: 0x60 */\r
-  __I  uint32_t KEYOUTPUT;                         /**< PUF Key Output register, offset: 0x64 */\r
-       uint8_t RESERVED_4[116];\r
-  __IO uint32_t IFSTAT;                            /**< PUF Interface Status and clear register, offset: 0xDC */\r
-       uint8_t RESERVED_5[28];\r
-  __I  uint32_t VERSION;                           /**< PUF version register., offset: 0xFC */\r
-  __IO uint32_t INTEN;                             /**< PUF Interrupt Enable, offset: 0x100 */\r
-  __IO uint32_t INTSTAT;                           /**< PUF interrupt status, offset: 0x104 */\r
-  __IO uint32_t PWRCTRL;                           /**< PUF RAM Power Control, offset: 0x108 */\r
-  __IO uint32_t CFG;                               /**< PUF config register for block bits, offset: 0x10C */\r
-       uint8_t RESERVED_6[240];\r
-  __IO uint32_t KEYLOCK;                           /**< Only reset in case of full IC reset, offset: 0x200 */\r
-  __IO uint32_t KEYENABLE;                         /**< , offset: 0x204 */\r
-  __O  uint32_t KEYRESET;                          /**< Reinitialize Keys shift registers counters, offset: 0x208 */\r
-  __IO uint32_t IDXBLK_L;                          /**< , offset: 0x20C */\r
-  __IO uint32_t IDXBLK_H_DP;                       /**< , offset: 0x210 */\r
-  __O  uint32_t KEYMASK[4];                        /**< Only reset in case of full IC reset, array offset: 0x214, array step: 0x4 */\r
-       uint8_t RESERVED_7[48];\r
-  __IO uint32_t IDXBLK_H;                          /**< , offset: 0x254 */\r
-  __IO uint32_t IDXBLK_L_DP;                       /**< , offset: 0x258 */\r
-  __I  uint32_t SHIFT_STATUS;                      /**< , offset: 0x25C */\r
+typedef struct\r
+{\r
+    __IO uint32_t CTRL;     /**< PUF Control register, offset: 0x0 */\r
+    __IO uint32_t KEYINDEX; /**< PUF Key Index register, offset: 0x4 */\r
+    __IO uint32_t KEYSIZE;  /**< PUF Key Size register, offset: 0x8 */\r
+    uint8_t RESERVED_0[20];\r
+    __I uint32_t STAT; /**< PUF Status register, offset: 0x20 */\r
+    uint8_t RESERVED_1[4];\r
+    __I uint32_t ALLOW; /**< PUF Allow register, offset: 0x28 */\r
+    uint8_t RESERVED_2[20];\r
+    __O uint32_t KEYINPUT;   /**< PUF Key Input register, offset: 0x40 */\r
+    __O uint32_t CODEINPUT;  /**< PUF Code Input register, offset: 0x44 */\r
+    __I uint32_t CODEOUTPUT; /**< PUF Code Output register, offset: 0x48 */\r
+    uint8_t RESERVED_3[20];\r
+    __I uint32_t KEYOUTINDEX; /**< PUF Key Output Index register, offset: 0x60 */\r
+    __I uint32_t KEYOUTPUT;   /**< PUF Key Output register, offset: 0x64 */\r
+    uint8_t RESERVED_4[116];\r
+    __IO uint32_t IFSTAT; /**< PUF Interface Status and clear register, offset: 0xDC */\r
+    uint8_t RESERVED_5[28];\r
+    __I uint32_t VERSION;  /**< PUF version register., offset: 0xFC */\r
+    __IO uint32_t INTEN;   /**< PUF Interrupt Enable, offset: 0x100 */\r
+    __IO uint32_t INTSTAT; /**< PUF interrupt status, offset: 0x104 */\r
+    __IO uint32_t PWRCTRL; /**< PUF RAM Power Control, offset: 0x108 */\r
+    __IO uint32_t CFG;     /**< PUF config register for block bits, offset: 0x10C */\r
+    uint8_t RESERVED_6[240];\r
+    __IO uint32_t KEYLOCK;     /**< Only reset in case of full IC reset, offset: 0x200 */\r
+    __IO uint32_t KEYENABLE;   /**< , offset: 0x204 */\r
+    __O uint32_t KEYRESET;     /**< Reinitialize Keys shift registers counters, offset: 0x208 */\r
+    __IO uint32_t IDXBLK_L;    /**< , offset: 0x20C */\r
+    __IO uint32_t IDXBLK_H_DP; /**< , offset: 0x210 */\r
+    __O uint32_t KEYMASK[4];   /**< Only reset in case of full IC reset, array offset: 0x214, array step: 0x4 */\r
+    uint8_t RESERVED_7[48];\r
+    __IO uint32_t IDXBLK_H;    /**< , offset: 0x254 */\r
+    __IO uint32_t IDXBLK_L_DP; /**< , offset: 0x258 */\r
+    __I uint32_t SHIFT_STATUS; /**< , offset: 0x25C */\r
 } PUF_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -12904,434 +16604,695 @@ typedef struct {
 \r
 /*! @name CTRL - PUF Control register */\r
 /*! @{ */\r
-#define PUF_CTRL_ZEROIZE_MASK                    (0x1U)\r
-#define PUF_CTRL_ZEROIZE_SHIFT                   (0U)\r
-#define PUF_CTRL_ZEROIZE(x)                      (((uint32_t)(((uint32_t)(x)) << PUF_CTRL_ZEROIZE_SHIFT)) & PUF_CTRL_ZEROIZE_MASK)\r
-#define PUF_CTRL_ENROLL_MASK                     (0x2U)\r
-#define PUF_CTRL_ENROLL_SHIFT                    (1U)\r
-#define PUF_CTRL_ENROLL(x)                       (((uint32_t)(((uint32_t)(x)) << PUF_CTRL_ENROLL_SHIFT)) & PUF_CTRL_ENROLL_MASK)\r
-#define PUF_CTRL_START_MASK                      (0x4U)\r
-#define PUF_CTRL_START_SHIFT                     (2U)\r
-#define PUF_CTRL_START(x)                        (((uint32_t)(((uint32_t)(x)) << PUF_CTRL_START_SHIFT)) & PUF_CTRL_START_MASK)\r
-#define PUF_CTRL_GENERATEKEY_MASK                (0x8U)\r
-#define PUF_CTRL_GENERATEKEY_SHIFT               (3U)\r
-#define PUF_CTRL_GENERATEKEY(x)                  (((uint32_t)(((uint32_t)(x)) << PUF_CTRL_GENERATEKEY_SHIFT)) & PUF_CTRL_GENERATEKEY_MASK)\r
-#define PUF_CTRL_SETKEY_MASK                     (0x10U)\r
-#define PUF_CTRL_SETKEY_SHIFT                    (4U)\r
-#define PUF_CTRL_SETKEY(x)                       (((uint32_t)(((uint32_t)(x)) << PUF_CTRL_SETKEY_SHIFT)) & PUF_CTRL_SETKEY_MASK)\r
-#define PUF_CTRL_GETKEY_MASK                     (0x40U)\r
-#define PUF_CTRL_GETKEY_SHIFT                    (6U)\r
-#define PUF_CTRL_GETKEY(x)                       (((uint32_t)(((uint32_t)(x)) << PUF_CTRL_GETKEY_SHIFT)) & PUF_CTRL_GETKEY_MASK)\r
+#define PUF_CTRL_ZEROIZE_MASK (0x1U)\r
+#define PUF_CTRL_ZEROIZE_SHIFT (0U)\r
+/*! zeroize - Begin Zeroize operation for PUF and go to Error state\r
+ */\r
+#define PUF_CTRL_ZEROIZE(x) (((uint32_t)(((uint32_t)(x)) << PUF_CTRL_ZEROIZE_SHIFT)) & PUF_CTRL_ZEROIZE_MASK)\r
+#define PUF_CTRL_ENROLL_MASK (0x2U)\r
+#define PUF_CTRL_ENROLL_SHIFT (1U)\r
+/*! enroll - Begin Enroll operation\r
+ */\r
+#define PUF_CTRL_ENROLL(x) (((uint32_t)(((uint32_t)(x)) << PUF_CTRL_ENROLL_SHIFT)) & PUF_CTRL_ENROLL_MASK)\r
+#define PUF_CTRL_START_MASK (0x4U)\r
+#define PUF_CTRL_START_SHIFT (2U)\r
+/*! start - Begin Start operation\r
+ */\r
+#define PUF_CTRL_START(x) (((uint32_t)(((uint32_t)(x)) << PUF_CTRL_START_SHIFT)) & PUF_CTRL_START_MASK)\r
+#define PUF_CTRL_GENERATEKEY_MASK (0x8U)\r
+#define PUF_CTRL_GENERATEKEY_SHIFT (3U)\r
+/*! GENERATEKEY - Begin Set Intrinsic Key operation\r
+ */\r
+#define PUF_CTRL_GENERATEKEY(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_CTRL_GENERATEKEY_SHIFT)) & PUF_CTRL_GENERATEKEY_MASK)\r
+#define PUF_CTRL_SETKEY_MASK (0x10U)\r
+#define PUF_CTRL_SETKEY_SHIFT (4U)\r
+/*! SETKEY - Begin Set User Key operation\r
+ */\r
+#define PUF_CTRL_SETKEY(x) (((uint32_t)(((uint32_t)(x)) << PUF_CTRL_SETKEY_SHIFT)) & PUF_CTRL_SETKEY_MASK)\r
+#define PUF_CTRL_GETKEY_MASK (0x40U)\r
+#define PUF_CTRL_GETKEY_SHIFT (6U)\r
+/*! GETKEY - Begin Get Key operation\r
+ */\r
+#define PUF_CTRL_GETKEY(x) (((uint32_t)(((uint32_t)(x)) << PUF_CTRL_GETKEY_SHIFT)) & PUF_CTRL_GETKEY_MASK)\r
 /*! @} */\r
 \r
 /*! @name KEYINDEX - PUF Key Index register */\r
 /*! @{ */\r
-#define PUF_KEYINDEX_KEYIDX_MASK                 (0xFU)\r
-#define PUF_KEYINDEX_KEYIDX_SHIFT                (0U)\r
-#define PUF_KEYINDEX_KEYIDX(x)                   (((uint32_t)(((uint32_t)(x)) << PUF_KEYINDEX_KEYIDX_SHIFT)) & PUF_KEYINDEX_KEYIDX_MASK)\r
+#define PUF_KEYINDEX_KEYIDX_MASK (0xFU)\r
+#define PUF_KEYINDEX_KEYIDX_SHIFT (0U)\r
+/*! KEYIDX - Key index for Set Key operations\r
+ */\r
+#define PUF_KEYINDEX_KEYIDX(x) (((uint32_t)(((uint32_t)(x)) << PUF_KEYINDEX_KEYIDX_SHIFT)) & PUF_KEYINDEX_KEYIDX_MASK)\r
 /*! @} */\r
 \r
 /*! @name KEYSIZE - PUF Key Size register */\r
 /*! @{ */\r
-#define PUF_KEYSIZE_KEYSIZE_MASK                 (0x3FU)\r
-#define PUF_KEYSIZE_KEYSIZE_SHIFT                (0U)\r
-#define PUF_KEYSIZE_KEYSIZE(x)                   (((uint32_t)(((uint32_t)(x)) << PUF_KEYSIZE_KEYSIZE_SHIFT)) & PUF_KEYSIZE_KEYSIZE_MASK)\r
+#define PUF_KEYSIZE_KEYSIZE_MASK (0x3FU)\r
+#define PUF_KEYSIZE_KEYSIZE_SHIFT (0U)\r
+/*! KEYSIZE - Key size for Set Key operations\r
+ */\r
+#define PUF_KEYSIZE_KEYSIZE(x) (((uint32_t)(((uint32_t)(x)) << PUF_KEYSIZE_KEYSIZE_SHIFT)) & PUF_KEYSIZE_KEYSIZE_MASK)\r
 /*! @} */\r
 \r
 /*! @name STAT - PUF Status register */\r
 /*! @{ */\r
-#define PUF_STAT_BUSY_MASK                       (0x1U)\r
-#define PUF_STAT_BUSY_SHIFT                      (0U)\r
-#define PUF_STAT_BUSY(x)                         (((uint32_t)(((uint32_t)(x)) << PUF_STAT_BUSY_SHIFT)) & PUF_STAT_BUSY_MASK)\r
-#define PUF_STAT_SUCCESS_MASK                    (0x2U)\r
-#define PUF_STAT_SUCCESS_SHIFT                   (1U)\r
-#define PUF_STAT_SUCCESS(x)                      (((uint32_t)(((uint32_t)(x)) << PUF_STAT_SUCCESS_SHIFT)) & PUF_STAT_SUCCESS_MASK)\r
-#define PUF_STAT_ERROR_MASK                      (0x4U)\r
-#define PUF_STAT_ERROR_SHIFT                     (2U)\r
-#define PUF_STAT_ERROR(x)                        (((uint32_t)(((uint32_t)(x)) << PUF_STAT_ERROR_SHIFT)) & PUF_STAT_ERROR_MASK)\r
-#define PUF_STAT_KEYINREQ_MASK                   (0x10U)\r
-#define PUF_STAT_KEYINREQ_SHIFT                  (4U)\r
-#define PUF_STAT_KEYINREQ(x)                     (((uint32_t)(((uint32_t)(x)) << PUF_STAT_KEYINREQ_SHIFT)) & PUF_STAT_KEYINREQ_MASK)\r
-#define PUF_STAT_KEYOUTAVAIL_MASK                (0x20U)\r
-#define PUF_STAT_KEYOUTAVAIL_SHIFT               (5U)\r
-#define PUF_STAT_KEYOUTAVAIL(x)                  (((uint32_t)(((uint32_t)(x)) << PUF_STAT_KEYOUTAVAIL_SHIFT)) & PUF_STAT_KEYOUTAVAIL_MASK)\r
-#define PUF_STAT_CODEINREQ_MASK                  (0x40U)\r
-#define PUF_STAT_CODEINREQ_SHIFT                 (6U)\r
-#define PUF_STAT_CODEINREQ(x)                    (((uint32_t)(((uint32_t)(x)) << PUF_STAT_CODEINREQ_SHIFT)) & PUF_STAT_CODEINREQ_MASK)\r
-#define PUF_STAT_CODEOUTAVAIL_MASK               (0x80U)\r
-#define PUF_STAT_CODEOUTAVAIL_SHIFT              (7U)\r
-#define PUF_STAT_CODEOUTAVAIL(x)                 (((uint32_t)(((uint32_t)(x)) << PUF_STAT_CODEOUTAVAIL_SHIFT)) & PUF_STAT_CODEOUTAVAIL_MASK)\r
+#define PUF_STAT_BUSY_MASK (0x1U)\r
+#define PUF_STAT_BUSY_SHIFT (0U)\r
+/*! busy - Indicates that operation is in progress\r
+ */\r
+#define PUF_STAT_BUSY(x) (((uint32_t)(((uint32_t)(x)) << PUF_STAT_BUSY_SHIFT)) & PUF_STAT_BUSY_MASK)\r
+#define PUF_STAT_SUCCESS_MASK (0x2U)\r
+#define PUF_STAT_SUCCESS_SHIFT (1U)\r
+/*! SUCCESS - Last operation was successful\r
+ */\r
+#define PUF_STAT_SUCCESS(x) (((uint32_t)(((uint32_t)(x)) << PUF_STAT_SUCCESS_SHIFT)) & PUF_STAT_SUCCESS_MASK)\r
+#define PUF_STAT_ERROR_MASK (0x4U)\r
+#define PUF_STAT_ERROR_SHIFT (2U)\r
+/*! error - PUF is in the Error state and no operations can be performed\r
+ */\r
+#define PUF_STAT_ERROR(x) (((uint32_t)(((uint32_t)(x)) << PUF_STAT_ERROR_SHIFT)) & PUF_STAT_ERROR_MASK)\r
+#define PUF_STAT_KEYINREQ_MASK (0x10U)\r
+#define PUF_STAT_KEYINREQ_SHIFT (4U)\r
+/*! KEYINREQ - Request for next part of key\r
+ */\r
+#define PUF_STAT_KEYINREQ(x) (((uint32_t)(((uint32_t)(x)) << PUF_STAT_KEYINREQ_SHIFT)) & PUF_STAT_KEYINREQ_MASK)\r
+#define PUF_STAT_KEYOUTAVAIL_MASK (0x20U)\r
+#define PUF_STAT_KEYOUTAVAIL_SHIFT (5U)\r
+/*! KEYOUTAVAIL - Next part of key is available\r
+ */\r
+#define PUF_STAT_KEYOUTAVAIL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_STAT_KEYOUTAVAIL_SHIFT)) & PUF_STAT_KEYOUTAVAIL_MASK)\r
+#define PUF_STAT_CODEINREQ_MASK (0x40U)\r
+#define PUF_STAT_CODEINREQ_SHIFT (6U)\r
+/*! CODEINREQ - Request for next part of AC/KC\r
+ */\r
+#define PUF_STAT_CODEINREQ(x) (((uint32_t)(((uint32_t)(x)) << PUF_STAT_CODEINREQ_SHIFT)) & PUF_STAT_CODEINREQ_MASK)\r
+#define PUF_STAT_CODEOUTAVAIL_MASK (0x80U)\r
+#define PUF_STAT_CODEOUTAVAIL_SHIFT (7U)\r
+/*! CODEOUTAVAIL - Next part of AC/KC is available\r
+ */\r
+#define PUF_STAT_CODEOUTAVAIL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_STAT_CODEOUTAVAIL_SHIFT)) & PUF_STAT_CODEOUTAVAIL_MASK)\r
 /*! @} */\r
 \r
 /*! @name ALLOW - PUF Allow register */\r
 /*! @{ */\r
-#define PUF_ALLOW_ALLOWENROLL_MASK               (0x1U)\r
-#define PUF_ALLOW_ALLOWENROLL_SHIFT              (0U)\r
-#define PUF_ALLOW_ALLOWENROLL(x)                 (((uint32_t)(((uint32_t)(x)) << PUF_ALLOW_ALLOWENROLL_SHIFT)) & PUF_ALLOW_ALLOWENROLL_MASK)\r
-#define PUF_ALLOW_ALLOWSTART_MASK                (0x2U)\r
-#define PUF_ALLOW_ALLOWSTART_SHIFT               (1U)\r
-#define PUF_ALLOW_ALLOWSTART(x)                  (((uint32_t)(((uint32_t)(x)) << PUF_ALLOW_ALLOWSTART_SHIFT)) & PUF_ALLOW_ALLOWSTART_MASK)\r
-#define PUF_ALLOW_ALLOWSETKEY_MASK               (0x4U)\r
-#define PUF_ALLOW_ALLOWSETKEY_SHIFT              (2U)\r
-#define PUF_ALLOW_ALLOWSETKEY(x)                 (((uint32_t)(((uint32_t)(x)) << PUF_ALLOW_ALLOWSETKEY_SHIFT)) & PUF_ALLOW_ALLOWSETKEY_MASK)\r
-#define PUF_ALLOW_ALLOWGETKEY_MASK               (0x8U)\r
-#define PUF_ALLOW_ALLOWGETKEY_SHIFT              (3U)\r
-#define PUF_ALLOW_ALLOWGETKEY(x)                 (((uint32_t)(((uint32_t)(x)) << PUF_ALLOW_ALLOWGETKEY_SHIFT)) & PUF_ALLOW_ALLOWGETKEY_MASK)\r
+#define PUF_ALLOW_ALLOWENROLL_MASK (0x1U)\r
+#define PUF_ALLOW_ALLOWENROLL_SHIFT (0U)\r
+/*! ALLOWENROLL - Enroll operation is allowed\r
+ */\r
+#define PUF_ALLOW_ALLOWENROLL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_ALLOW_ALLOWENROLL_SHIFT)) & PUF_ALLOW_ALLOWENROLL_MASK)\r
+#define PUF_ALLOW_ALLOWSTART_MASK (0x2U)\r
+#define PUF_ALLOW_ALLOWSTART_SHIFT (1U)\r
+/*! ALLOWSTART - Start operation is allowed\r
+ */\r
+#define PUF_ALLOW_ALLOWSTART(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_ALLOW_ALLOWSTART_SHIFT)) & PUF_ALLOW_ALLOWSTART_MASK)\r
+#define PUF_ALLOW_ALLOWSETKEY_MASK (0x4U)\r
+#define PUF_ALLOW_ALLOWSETKEY_SHIFT (2U)\r
+/*! ALLOWSETKEY - Set Key operations are allowed\r
+ */\r
+#define PUF_ALLOW_ALLOWSETKEY(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_ALLOW_ALLOWSETKEY_SHIFT)) & PUF_ALLOW_ALLOWSETKEY_MASK)\r
+#define PUF_ALLOW_ALLOWGETKEY_MASK (0x8U)\r
+#define PUF_ALLOW_ALLOWGETKEY_SHIFT (3U)\r
+/*! ALLOWGETKEY - Get Key operation is allowed\r
+ */\r
+#define PUF_ALLOW_ALLOWGETKEY(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_ALLOW_ALLOWGETKEY_SHIFT)) & PUF_ALLOW_ALLOWGETKEY_MASK)\r
 /*! @} */\r
 \r
 /*! @name KEYINPUT - PUF Key Input register */\r
 /*! @{ */\r
-#define PUF_KEYINPUT_KEYIN_MASK                  (0xFFFFFFFFU)\r
-#define PUF_KEYINPUT_KEYIN_SHIFT                 (0U)\r
-#define PUF_KEYINPUT_KEYIN(x)                    (((uint32_t)(((uint32_t)(x)) << PUF_KEYINPUT_KEYIN_SHIFT)) & PUF_KEYINPUT_KEYIN_MASK)\r
+#define PUF_KEYINPUT_KEYIN_MASK (0xFFFFFFFFU)\r
+#define PUF_KEYINPUT_KEYIN_SHIFT (0U)\r
+/*! KEYIN - Key input data\r
+ */\r
+#define PUF_KEYINPUT_KEYIN(x) (((uint32_t)(((uint32_t)(x)) << PUF_KEYINPUT_KEYIN_SHIFT)) & PUF_KEYINPUT_KEYIN_MASK)\r
 /*! @} */\r
 \r
 /*! @name CODEINPUT - PUF Code Input register */\r
 /*! @{ */\r
-#define PUF_CODEINPUT_CODEIN_MASK                (0xFFFFFFFFU)\r
-#define PUF_CODEINPUT_CODEIN_SHIFT               (0U)\r
-#define PUF_CODEINPUT_CODEIN(x)                  (((uint32_t)(((uint32_t)(x)) << PUF_CODEINPUT_CODEIN_SHIFT)) & PUF_CODEINPUT_CODEIN_MASK)\r
+#define PUF_CODEINPUT_CODEIN_MASK (0xFFFFFFFFU)\r
+#define PUF_CODEINPUT_CODEIN_SHIFT (0U)\r
+/*! CODEIN - AC/KC input data\r
+ */\r
+#define PUF_CODEINPUT_CODEIN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_CODEINPUT_CODEIN_SHIFT)) & PUF_CODEINPUT_CODEIN_MASK)\r
 /*! @} */\r
 \r
 /*! @name CODEOUTPUT - PUF Code Output register */\r
 /*! @{ */\r
-#define PUF_CODEOUTPUT_CODEOUT_MASK              (0xFFFFFFFFU)\r
-#define PUF_CODEOUTPUT_CODEOUT_SHIFT             (0U)\r
-#define PUF_CODEOUTPUT_CODEOUT(x)                (((uint32_t)(((uint32_t)(x)) << PUF_CODEOUTPUT_CODEOUT_SHIFT)) & PUF_CODEOUTPUT_CODEOUT_MASK)\r
+#define PUF_CODEOUTPUT_CODEOUT_MASK (0xFFFFFFFFU)\r
+#define PUF_CODEOUTPUT_CODEOUT_SHIFT (0U)\r
+/*! CODEOUT - AC/KC output data\r
+ */\r
+#define PUF_CODEOUTPUT_CODEOUT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_CODEOUTPUT_CODEOUT_SHIFT)) & PUF_CODEOUTPUT_CODEOUT_MASK)\r
 /*! @} */\r
 \r
 /*! @name KEYOUTINDEX - PUF Key Output Index register */\r
 /*! @{ */\r
-#define PUF_KEYOUTINDEX_KEYOUTIDX_MASK           (0xFU)\r
-#define PUF_KEYOUTINDEX_KEYOUTIDX_SHIFT          (0U)\r
-#define PUF_KEYOUTINDEX_KEYOUTIDX(x)             (((uint32_t)(((uint32_t)(x)) << PUF_KEYOUTINDEX_KEYOUTIDX_SHIFT)) & PUF_KEYOUTINDEX_KEYOUTIDX_MASK)\r
+#define PUF_KEYOUTINDEX_KEYOUTIDX_MASK (0xFU)\r
+#define PUF_KEYOUTINDEX_KEYOUTIDX_SHIFT (0U)\r
+/*! KEYOUTIDX - Key index for the key that is currently output via the Key Output register\r
+ */\r
+#define PUF_KEYOUTINDEX_KEYOUTIDX(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_KEYOUTINDEX_KEYOUTIDX_SHIFT)) & PUF_KEYOUTINDEX_KEYOUTIDX_MASK)\r
 /*! @} */\r
 \r
 /*! @name KEYOUTPUT - PUF Key Output register */\r
 /*! @{ */\r
-#define PUF_KEYOUTPUT_KEYOUT_MASK                (0xFFFFFFFFU)\r
-#define PUF_KEYOUTPUT_KEYOUT_SHIFT               (0U)\r
-#define PUF_KEYOUTPUT_KEYOUT(x)                  (((uint32_t)(((uint32_t)(x)) << PUF_KEYOUTPUT_KEYOUT_SHIFT)) & PUF_KEYOUTPUT_KEYOUT_MASK)\r
+#define PUF_KEYOUTPUT_KEYOUT_MASK (0xFFFFFFFFU)\r
+#define PUF_KEYOUTPUT_KEYOUT_SHIFT (0U)\r
+/*! KEYOUT - Key output data\r
+ */\r
+#define PUF_KEYOUTPUT_KEYOUT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_KEYOUTPUT_KEYOUT_SHIFT)) & PUF_KEYOUTPUT_KEYOUT_MASK)\r
 /*! @} */\r
 \r
 /*! @name IFSTAT - PUF Interface Status and clear register */\r
 /*! @{ */\r
-#define PUF_IFSTAT_ERROR_MASK                    (0x1U)\r
-#define PUF_IFSTAT_ERROR_SHIFT                   (0U)\r
-#define PUF_IFSTAT_ERROR(x)                      (((uint32_t)(((uint32_t)(x)) << PUF_IFSTAT_ERROR_SHIFT)) & PUF_IFSTAT_ERROR_MASK)\r
+#define PUF_IFSTAT_ERROR_MASK (0x1U)\r
+#define PUF_IFSTAT_ERROR_SHIFT (0U)\r
+/*! ERROR - Indicates that an APB error has occurred,Writing logic1 clears the if_error bit\r
+ */\r
+#define PUF_IFSTAT_ERROR(x) (((uint32_t)(((uint32_t)(x)) << PUF_IFSTAT_ERROR_SHIFT)) & PUF_IFSTAT_ERROR_MASK)\r
 /*! @} */\r
 \r
 /*! @name VERSION - PUF version register. */\r
 /*! @{ */\r
-#define PUF_VERSION_KEYOUT_MASK                  (0xFFFFFFFFU)\r
-#define PUF_VERSION_KEYOUT_SHIFT                 (0U)\r
-#define PUF_VERSION_KEYOUT(x)                    (((uint32_t)(((uint32_t)(x)) << PUF_VERSION_KEYOUT_SHIFT)) & PUF_VERSION_KEYOUT_MASK)\r
+#define PUF_VERSION_VERSION_MASK (0xFFFFFFFFU)\r
+#define PUF_VERSION_VERSION_SHIFT (0U)\r
+/*! VERSION - Version of the PUF module.\r
+ */\r
+#define PUF_VERSION_VERSION(x) (((uint32_t)(((uint32_t)(x)) << PUF_VERSION_VERSION_SHIFT)) & PUF_VERSION_VERSION_MASK)\r
 /*! @} */\r
 \r
 /*! @name INTEN - PUF Interrupt Enable */\r
 /*! @{ */\r
-#define PUF_INTEN_READYEN_MASK                   (0x1U)\r
-#define PUF_INTEN_READYEN_SHIFT                  (0U)\r
-#define PUF_INTEN_READYEN(x)                     (((uint32_t)(((uint32_t)(x)) << PUF_INTEN_READYEN_SHIFT)) & PUF_INTEN_READYEN_MASK)\r
-#define PUF_INTEN_SUCCESEN_MASK                  (0x2U)\r
-#define PUF_INTEN_SUCCESEN_SHIFT                 (1U)\r
-#define PUF_INTEN_SUCCESEN(x)                    (((uint32_t)(((uint32_t)(x)) << PUF_INTEN_SUCCESEN_SHIFT)) & PUF_INTEN_SUCCESEN_MASK)\r
-#define PUF_INTEN_ERROREN_MASK                   (0x4U)\r
-#define PUF_INTEN_ERROREN_SHIFT                  (2U)\r
-#define PUF_INTEN_ERROREN(x)                     (((uint32_t)(((uint32_t)(x)) << PUF_INTEN_ERROREN_SHIFT)) & PUF_INTEN_ERROREN_MASK)\r
-#define PUF_INTEN_KEYINREQEN_MASK                (0x10U)\r
-#define PUF_INTEN_KEYINREQEN_SHIFT               (4U)\r
-#define PUF_INTEN_KEYINREQEN(x)                  (((uint32_t)(((uint32_t)(x)) << PUF_INTEN_KEYINREQEN_SHIFT)) & PUF_INTEN_KEYINREQEN_MASK)\r
-#define PUF_INTEN_KEYOUTAVAILEN_MASK             (0x20U)\r
-#define PUF_INTEN_KEYOUTAVAILEN_SHIFT            (5U)\r
-#define PUF_INTEN_KEYOUTAVAILEN(x)               (((uint32_t)(((uint32_t)(x)) << PUF_INTEN_KEYOUTAVAILEN_SHIFT)) & PUF_INTEN_KEYOUTAVAILEN_MASK)\r
-#define PUF_INTEN_CODEINREQEN_MASK               (0x40U)\r
-#define PUF_INTEN_CODEINREQEN_SHIFT              (6U)\r
-#define PUF_INTEN_CODEINREQEN(x)                 (((uint32_t)(((uint32_t)(x)) << PUF_INTEN_CODEINREQEN_SHIFT)) & PUF_INTEN_CODEINREQEN_MASK)\r
-#define PUF_INTEN_CODEOUTAVAILEN_MASK            (0x80U)\r
-#define PUF_INTEN_CODEOUTAVAILEN_SHIFT           (7U)\r
-#define PUF_INTEN_CODEOUTAVAILEN(x)              (((uint32_t)(((uint32_t)(x)) << PUF_INTEN_CODEOUTAVAILEN_SHIFT)) & PUF_INTEN_CODEOUTAVAILEN_MASK)\r
+#define PUF_INTEN_READYEN_MASK (0x1U)\r
+#define PUF_INTEN_READYEN_SHIFT (0U)\r
+/*! READYEN - Enable corresponding interrupt. Note that bit numbers match those assigned in QK_SR (Quiddikey Status\r
+ * Register)\r
+ */\r
+#define PUF_INTEN_READYEN(x) (((uint32_t)(((uint32_t)(x)) << PUF_INTEN_READYEN_SHIFT)) & PUF_INTEN_READYEN_MASK)\r
+#define PUF_INTEN_SUCCESEN_MASK (0x2U)\r
+#define PUF_INTEN_SUCCESEN_SHIFT (1U)\r
+/*! SUCCESEN - Enable corresponding interrupt. Note that bit numbers match those assigned in QK_SR (Quiddikey Status\r
+ * Register)\r
+ */\r
+#define PUF_INTEN_SUCCESEN(x) (((uint32_t)(((uint32_t)(x)) << PUF_INTEN_SUCCESEN_SHIFT)) & PUF_INTEN_SUCCESEN_MASK)\r
+#define PUF_INTEN_ERROREN_MASK (0x4U)\r
+#define PUF_INTEN_ERROREN_SHIFT (2U)\r
+/*! ERROREN - Enable corresponding interrupt. Note that bit numbers match those assigned in QK_SR (Quiddikey Status\r
+ * Register)\r
+ */\r
+#define PUF_INTEN_ERROREN(x) (((uint32_t)(((uint32_t)(x)) << PUF_INTEN_ERROREN_SHIFT)) & PUF_INTEN_ERROREN_MASK)\r
+#define PUF_INTEN_KEYINREQEN_MASK (0x10U)\r
+#define PUF_INTEN_KEYINREQEN_SHIFT (4U)\r
+/*! KEYINREQEN - Enable corresponding interrupt. Note that bit numbers match those assigned in QK_SR (Quiddikey Status\r
+ * Register)\r
+ */\r
+#define PUF_INTEN_KEYINREQEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_INTEN_KEYINREQEN_SHIFT)) & PUF_INTEN_KEYINREQEN_MASK)\r
+#define PUF_INTEN_KEYOUTAVAILEN_MASK (0x20U)\r
+#define PUF_INTEN_KEYOUTAVAILEN_SHIFT (5U)\r
+/*! KEYOUTAVAILEN - Enable corresponding interrupt. Note that bit numbers match those assigned in QK_SR (Quiddikey\r
+ * Status Register)\r
+ */\r
+#define PUF_INTEN_KEYOUTAVAILEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_INTEN_KEYOUTAVAILEN_SHIFT)) & PUF_INTEN_KEYOUTAVAILEN_MASK)\r
+#define PUF_INTEN_CODEINREQEN_MASK (0x40U)\r
+#define PUF_INTEN_CODEINREQEN_SHIFT (6U)\r
+/*! CODEINREQEN - Enable corresponding interrupt. Note that bit numbers match those assigned in QK_SR (Quiddikey Status\r
+ * Register)\r
+ */\r
+#define PUF_INTEN_CODEINREQEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_INTEN_CODEINREQEN_SHIFT)) & PUF_INTEN_CODEINREQEN_MASK)\r
+#define PUF_INTEN_CODEOUTAVAILEN_MASK (0x80U)\r
+#define PUF_INTEN_CODEOUTAVAILEN_SHIFT (7U)\r
+/*! CODEOUTAVAILEN - Enable corresponding interrupt. Note that bit numbers match those assigned in QK_SR (Quiddikey\r
+ * Status Register)\r
+ */\r
+#define PUF_INTEN_CODEOUTAVAILEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_INTEN_CODEOUTAVAILEN_SHIFT)) & PUF_INTEN_CODEOUTAVAILEN_MASK)\r
 /*! @} */\r
 \r
 /*! @name INTSTAT - PUF interrupt status */\r
 /*! @{ */\r
-#define PUF_INTSTAT_READY_MASK                   (0x1U)\r
-#define PUF_INTSTAT_READY_SHIFT                  (0U)\r
-#define PUF_INTSTAT_READY(x)                     (((uint32_t)(((uint32_t)(x)) << PUF_INTSTAT_READY_SHIFT)) & PUF_INTSTAT_READY_MASK)\r
-#define PUF_INTSTAT_SUCCESS_MASK                 (0x2U)\r
-#define PUF_INTSTAT_SUCCESS_SHIFT                (1U)\r
-#define PUF_INTSTAT_SUCCESS(x)                   (((uint32_t)(((uint32_t)(x)) << PUF_INTSTAT_SUCCESS_SHIFT)) & PUF_INTSTAT_SUCCESS_MASK)\r
-#define PUF_INTSTAT_ERROR_MASK                   (0x4U)\r
-#define PUF_INTSTAT_ERROR_SHIFT                  (2U)\r
-#define PUF_INTSTAT_ERROR(x)                     (((uint32_t)(((uint32_t)(x)) << PUF_INTSTAT_ERROR_SHIFT)) & PUF_INTSTAT_ERROR_MASK)\r
-#define PUF_INTSTAT_KEYINREQ_MASK                (0x10U)\r
-#define PUF_INTSTAT_KEYINREQ_SHIFT               (4U)\r
-#define PUF_INTSTAT_KEYINREQ(x)                  (((uint32_t)(((uint32_t)(x)) << PUF_INTSTAT_KEYINREQ_SHIFT)) & PUF_INTSTAT_KEYINREQ_MASK)\r
-#define PUF_INTSTAT_KEYOUTAVAIL_MASK             (0x20U)\r
-#define PUF_INTSTAT_KEYOUTAVAIL_SHIFT            (5U)\r
-#define PUF_INTSTAT_KEYOUTAVAIL(x)               (((uint32_t)(((uint32_t)(x)) << PUF_INTSTAT_KEYOUTAVAIL_SHIFT)) & PUF_INTSTAT_KEYOUTAVAIL_MASK)\r
-#define PUF_INTSTAT_CODEINREQ_MASK               (0x40U)\r
-#define PUF_INTSTAT_CODEINREQ_SHIFT              (6U)\r
-#define PUF_INTSTAT_CODEINREQ(x)                 (((uint32_t)(((uint32_t)(x)) << PUF_INTSTAT_CODEINREQ_SHIFT)) & PUF_INTSTAT_CODEINREQ_MASK)\r
-#define PUF_INTSTAT_CODEOUTAVAIL_MASK            (0x80U)\r
-#define PUF_INTSTAT_CODEOUTAVAIL_SHIFT           (7U)\r
-#define PUF_INTSTAT_CODEOUTAVAIL(x)              (((uint32_t)(((uint32_t)(x)) << PUF_INTSTAT_CODEOUTAVAIL_SHIFT)) & PUF_INTSTAT_CODEOUTAVAIL_MASK)\r
+#define PUF_INTSTAT_READY_MASK (0x1U)\r
+#define PUF_INTSTAT_READY_SHIFT (0U)\r
+/*! READY - Triggers on falling edge of busy, write 1 to clear\r
+ */\r
+#define PUF_INTSTAT_READY(x) (((uint32_t)(((uint32_t)(x)) << PUF_INTSTAT_READY_SHIFT)) & PUF_INTSTAT_READY_MASK)\r
+#define PUF_INTSTAT_SUCCESS_MASK (0x2U)\r
+#define PUF_INTSTAT_SUCCESS_SHIFT (1U)\r
+/*! SUCCESS - Level sensitive interrupt, cleared when interrupt source clears\r
+ */\r
+#define PUF_INTSTAT_SUCCESS(x) (((uint32_t)(((uint32_t)(x)) << PUF_INTSTAT_SUCCESS_SHIFT)) & PUF_INTSTAT_SUCCESS_MASK)\r
+#define PUF_INTSTAT_ERROR_MASK (0x4U)\r
+#define PUF_INTSTAT_ERROR_SHIFT (2U)\r
+/*! ERROR - Level sensitive interrupt, cleared when interrupt source clears\r
+ */\r
+#define PUF_INTSTAT_ERROR(x) (((uint32_t)(((uint32_t)(x)) << PUF_INTSTAT_ERROR_SHIFT)) & PUF_INTSTAT_ERROR_MASK)\r
+#define PUF_INTSTAT_KEYINREQ_MASK (0x10U)\r
+#define PUF_INTSTAT_KEYINREQ_SHIFT (4U)\r
+/*! KEYINREQ - Level sensitive interrupt, cleared when interrupt source clears\r
+ */\r
+#define PUF_INTSTAT_KEYINREQ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_INTSTAT_KEYINREQ_SHIFT)) & PUF_INTSTAT_KEYINREQ_MASK)\r
+#define PUF_INTSTAT_KEYOUTAVAIL_MASK (0x20U)\r
+#define PUF_INTSTAT_KEYOUTAVAIL_SHIFT (5U)\r
+/*! KEYOUTAVAIL - Level sensitive interrupt, cleared when interrupt source clears\r
+ */\r
+#define PUF_INTSTAT_KEYOUTAVAIL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_INTSTAT_KEYOUTAVAIL_SHIFT)) & PUF_INTSTAT_KEYOUTAVAIL_MASK)\r
+#define PUF_INTSTAT_CODEINREQ_MASK (0x40U)\r
+#define PUF_INTSTAT_CODEINREQ_SHIFT (6U)\r
+/*! CODEINREQ - Level sensitive interrupt, cleared when interrupt source clears\r
+ */\r
+#define PUF_INTSTAT_CODEINREQ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_INTSTAT_CODEINREQ_SHIFT)) & PUF_INTSTAT_CODEINREQ_MASK)\r
+#define PUF_INTSTAT_CODEOUTAVAIL_MASK (0x80U)\r
+#define PUF_INTSTAT_CODEOUTAVAIL_SHIFT (7U)\r
+/*! CODEOUTAVAIL - Level sensitive interrupt, cleared when interrupt source clears\r
+ */\r
+#define PUF_INTSTAT_CODEOUTAVAIL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_INTSTAT_CODEOUTAVAIL_SHIFT)) & PUF_INTSTAT_CODEOUTAVAIL_MASK)\r
 /*! @} */\r
 \r
 /*! @name PWRCTRL - PUF RAM Power Control */\r
 /*! @{ */\r
-#define PUF_PWRCTRL_RAMON_MASK                   (0x1U)\r
-#define PUF_PWRCTRL_RAMON_SHIFT                  (0U)\r
-#define PUF_PWRCTRL_RAMON(x)                     (((uint32_t)(((uint32_t)(x)) << PUF_PWRCTRL_RAMON_SHIFT)) & PUF_PWRCTRL_RAMON_MASK)\r
-#define PUF_PWRCTRL_RAMSTAT_MASK                 (0x2U)\r
-#define PUF_PWRCTRL_RAMSTAT_SHIFT                (1U)\r
-#define PUF_PWRCTRL_RAMSTAT(x)                   (((uint32_t)(((uint32_t)(x)) << PUF_PWRCTRL_RAMSTAT_SHIFT)) & PUF_PWRCTRL_RAMSTAT_MASK)\r
+#define PUF_PWRCTRL_RAMON_MASK (0x1U)\r
+#define PUF_PWRCTRL_RAMON_SHIFT (0U)\r
+/*! RAMON - Power on the PUF RAM.\r
+ */\r
+#define PUF_PWRCTRL_RAMON(x) (((uint32_t)(((uint32_t)(x)) << PUF_PWRCTRL_RAMON_SHIFT)) & PUF_PWRCTRL_RAMON_MASK)\r
+#define PUF_PWRCTRL_RAMSTAT_MASK (0x2U)\r
+#define PUF_PWRCTRL_RAMSTAT_SHIFT (1U)\r
+/*! RAMSTAT - PUF RAM status.\r
+ */\r
+#define PUF_PWRCTRL_RAMSTAT(x) (((uint32_t)(((uint32_t)(x)) << PUF_PWRCTRL_RAMSTAT_SHIFT)) & PUF_PWRCTRL_RAMSTAT_MASK)\r
 /*! @} */\r
 \r
 /*! @name CFG - PUF config register for block bits */\r
 /*! @{ */\r
-#define PUF_CFG_BLOCKENROLL_SETKEY_MASK          (0x1U)\r
-#define PUF_CFG_BLOCKENROLL_SETKEY_SHIFT         (0U)\r
-#define PUF_CFG_BLOCKENROLL_SETKEY(x)            (((uint32_t)(((uint32_t)(x)) << PUF_CFG_BLOCKENROLL_SETKEY_SHIFT)) & PUF_CFG_BLOCKENROLL_SETKEY_MASK)\r
-#define PUF_CFG_BLOCKKEYOUTPUT_MASK              (0x2U)\r
-#define PUF_CFG_BLOCKKEYOUTPUT_SHIFT             (1U)\r
-#define PUF_CFG_BLOCKKEYOUTPUT(x)                (((uint32_t)(((uint32_t)(x)) << PUF_CFG_BLOCKKEYOUTPUT_SHIFT)) & PUF_CFG_BLOCKKEYOUTPUT_MASK)\r
+#define PUF_CFG_BLOCKENROLL_SETKEY_MASK (0x1U)\r
+#define PUF_CFG_BLOCKENROLL_SETKEY_SHIFT (0U)\r
+/*! BLOCKENROLL_SETKEY - Block enroll operation. Write 1 to set, cleared on reset.\r
+ */\r
+#define PUF_CFG_BLOCKENROLL_SETKEY(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_CFG_BLOCKENROLL_SETKEY_SHIFT)) & PUF_CFG_BLOCKENROLL_SETKEY_MASK)\r
+#define PUF_CFG_BLOCKKEYOUTPUT_MASK (0x2U)\r
+#define PUF_CFG_BLOCKKEYOUTPUT_SHIFT (1U)\r
+/*! BLOCKKEYOUTPUT - Block set key operation. Write 1 to set, cleared on reset.\r
+ */\r
+#define PUF_CFG_BLOCKKEYOUTPUT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_CFG_BLOCKKEYOUTPUT_SHIFT)) & PUF_CFG_BLOCKKEYOUTPUT_MASK)\r
 /*! @} */\r
 \r
 /*! @name KEYLOCK - Only reset in case of full IC reset */\r
 /*! @{ */\r
-#define PUF_KEYLOCK_KEY0_MASK                    (0x3U)\r
-#define PUF_KEYLOCK_KEY0_SHIFT                   (0U)\r
-#define PUF_KEYLOCK_KEY0(x)                      (((uint32_t)(((uint32_t)(x)) << PUF_KEYLOCK_KEY0_SHIFT)) & PUF_KEYLOCK_KEY0_MASK)\r
-#define PUF_KEYLOCK_KEY1_MASK                    (0xCU)\r
-#define PUF_KEYLOCK_KEY1_SHIFT                   (2U)\r
-#define PUF_KEYLOCK_KEY1(x)                      (((uint32_t)(((uint32_t)(x)) << PUF_KEYLOCK_KEY1_SHIFT)) & PUF_KEYLOCK_KEY1_MASK)\r
-#define PUF_KEYLOCK_KEY2_MASK                    (0x30U)\r
-#define PUF_KEYLOCK_KEY2_SHIFT                   (4U)\r
-#define PUF_KEYLOCK_KEY2(x)                      (((uint32_t)(((uint32_t)(x)) << PUF_KEYLOCK_KEY2_SHIFT)) & PUF_KEYLOCK_KEY2_MASK)\r
-#define PUF_KEYLOCK_KEY3_MASK                    (0xC0U)\r
-#define PUF_KEYLOCK_KEY3_SHIFT                   (6U)\r
-#define PUF_KEYLOCK_KEY3(x)                      (((uint32_t)(((uint32_t)(x)) << PUF_KEYLOCK_KEY3_SHIFT)) & PUF_KEYLOCK_KEY3_MASK)\r
+#define PUF_KEYLOCK_KEY0_MASK (0x3U)\r
+#define PUF_KEYLOCK_KEY0_SHIFT (0U)\r
+/*! KEY0 - "10:Write access to KEY0MASK, KEYENABLE.KEY0 and KEYRESET.KEY0 is allowed. 00, 01,\r
+ *    11:Write access to KEY0MASK, KEYENABLE.KEY0 and KEYRESET.KEY0 is NOT allowed. Important Note : Once\r
+ *    this field is written with a value different from '10', its value can no longer be modified\r
+ *    until un Power On Reset occurs."\r
+ */\r
+#define PUF_KEYLOCK_KEY0(x) (((uint32_t)(((uint32_t)(x)) << PUF_KEYLOCK_KEY0_SHIFT)) & PUF_KEYLOCK_KEY0_MASK)\r
+#define PUF_KEYLOCK_KEY1_MASK (0xCU)\r
+#define PUF_KEYLOCK_KEY1_SHIFT (2U)\r
+/*! KEY1 - "10:Write access to KEY1MASK, KEYENABLE.KEY1 and KEYRESET.KEY1 is allowed. 00, 01,\r
+ *    11:Write access to KEY1MASK, KEYENABLE.KEY1 and KEYRESET.KEY1 is NOT allowed. Important Note : Once\r
+ *    this field is written with a value different from '10', its value can no longer be modified\r
+ *    until un Power On Reset occurs."\r
+ */\r
+#define PUF_KEYLOCK_KEY1(x) (((uint32_t)(((uint32_t)(x)) << PUF_KEYLOCK_KEY1_SHIFT)) & PUF_KEYLOCK_KEY1_MASK)\r
+#define PUF_KEYLOCK_KEY2_MASK (0x30U)\r
+#define PUF_KEYLOCK_KEY2_SHIFT (4U)\r
+/*! KEY2 - "10:Write access to KEY2MASK, KEYENABLE.KEY2 and KEYRESET.KEY2 is allowed. 00, 01,\r
+ *    11:Write access to KEY2MASK, KEYENABLE.KEY2 and KEYRESET.KEY2 is NOT allowed. Important Note : Once\r
+ *    this field is written with a value different from '10', its value can no longer be modified\r
+ *    until un Power On Reset occurs."\r
+ */\r
+#define PUF_KEYLOCK_KEY2(x) (((uint32_t)(((uint32_t)(x)) << PUF_KEYLOCK_KEY2_SHIFT)) & PUF_KEYLOCK_KEY2_MASK)\r
+#define PUF_KEYLOCK_KEY3_MASK (0xC0U)\r
+#define PUF_KEYLOCK_KEY3_SHIFT (6U)\r
+/*! KEY3 - "10:Write access to KEY3MASK, KEYENABLE.KEY3 and KEYRESET.KEY3 is allowed. 00, 01,\r
+ *    11:Write access to KEY3MASK, KEYENABLE.KEY3 and KEYRESET.KEY3 is NOT allowed. Important Note : Once\r
+ *    this field is written with a value different from '10', its value can no longer be modified\r
+ *    until un Power On Reset occurs."\r
+ */\r
+#define PUF_KEYLOCK_KEY3(x) (((uint32_t)(((uint32_t)(x)) << PUF_KEYLOCK_KEY3_SHIFT)) & PUF_KEYLOCK_KEY3_MASK)\r
 /*! @} */\r
 \r
 /*! @name KEYENABLE -  */\r
 /*! @{ */\r
-#define PUF_KEYENABLE_KEY0_MASK                  (0x3U)\r
-#define PUF_KEYENABLE_KEY0_SHIFT                 (0U)\r
-#define PUF_KEYENABLE_KEY0(x)                    (((uint32_t)(((uint32_t)(x)) << PUF_KEYENABLE_KEY0_SHIFT)) & PUF_KEYENABLE_KEY0_MASK)\r
-#define PUF_KEYENABLE_KEY1_MASK                  (0xCU)\r
-#define PUF_KEYENABLE_KEY1_SHIFT                 (2U)\r
-#define PUF_KEYENABLE_KEY1(x)                    (((uint32_t)(((uint32_t)(x)) << PUF_KEYENABLE_KEY1_SHIFT)) & PUF_KEYENABLE_KEY1_MASK)\r
-#define PUF_KEYENABLE_KEY2_MASK                  (0x30U)\r
-#define PUF_KEYENABLE_KEY2_SHIFT                 (4U)\r
-#define PUF_KEYENABLE_KEY2(x)                    (((uint32_t)(((uint32_t)(x)) << PUF_KEYENABLE_KEY2_SHIFT)) & PUF_KEYENABLE_KEY2_MASK)\r
-#define PUF_KEYENABLE_KEY3_MASK                  (0xC0U)\r
-#define PUF_KEYENABLE_KEY3_SHIFT                 (6U)\r
-#define PUF_KEYENABLE_KEY3(x)                    (((uint32_t)(((uint32_t)(x)) << PUF_KEYENABLE_KEY3_SHIFT)) & PUF_KEYENABLE_KEY3_MASK)\r
+#define PUF_KEYENABLE_KEY0_MASK (0x3U)\r
+#define PUF_KEYENABLE_KEY0_SHIFT (0U)\r
+/*! KEY0 - "10: Data coming out from PUF Index 0 interface are shifted in KEY0 register. 00, 01, 11\r
+ *    : Data coming out from PUF Index 0 interface are NOT shifted in KEY0 register."\r
+ */\r
+#define PUF_KEYENABLE_KEY0(x) (((uint32_t)(((uint32_t)(x)) << PUF_KEYENABLE_KEY0_SHIFT)) & PUF_KEYENABLE_KEY0_MASK)\r
+#define PUF_KEYENABLE_KEY1_MASK (0xCU)\r
+#define PUF_KEYENABLE_KEY1_SHIFT (2U)\r
+/*! KEY1 - "10: Data coming out from PUF Index 0 interface are shifted in KEY1 register. 00, 01, 11\r
+ *    : Data coming out from PUF Index 0 interface are NOT shifted in KEY1 register."\r
+ */\r
+#define PUF_KEYENABLE_KEY1(x) (((uint32_t)(((uint32_t)(x)) << PUF_KEYENABLE_KEY1_SHIFT)) & PUF_KEYENABLE_KEY1_MASK)\r
+#define PUF_KEYENABLE_KEY2_MASK (0x30U)\r
+#define PUF_KEYENABLE_KEY2_SHIFT (4U)\r
+/*! KEY2 - "10: Data coming out from PUF Index 0 interface are shifted in KEY2 register. 00, 01, 11\r
+ *    : Data coming out from PUF Index 0 interface are NOT shifted in KEY2 register."\r
+ */\r
+#define PUF_KEYENABLE_KEY2(x) (((uint32_t)(((uint32_t)(x)) << PUF_KEYENABLE_KEY2_SHIFT)) & PUF_KEYENABLE_KEY2_MASK)\r
+#define PUF_KEYENABLE_KEY3_MASK (0xC0U)\r
+#define PUF_KEYENABLE_KEY3_SHIFT (6U)\r
+/*! KEY3 - "10: Data coming out from PUF Index 0 interface are shifted in KEY3 register. 00, 01, 11\r
+ *    : Data coming out from PUF Index 0 interface are NOT shifted in KEY3 register."\r
+ */\r
+#define PUF_KEYENABLE_KEY3(x) (((uint32_t)(((uint32_t)(x)) << PUF_KEYENABLE_KEY3_SHIFT)) & PUF_KEYENABLE_KEY3_MASK)\r
 /*! @} */\r
 \r
 /*! @name KEYRESET - Reinitialize Keys shift registers counters */\r
 /*! @{ */\r
-#define PUF_KEYRESET_KEY0_MASK                   (0x3U)\r
-#define PUF_KEYRESET_KEY0_SHIFT                  (0U)\r
-#define PUF_KEYRESET_KEY0(x)                     (((uint32_t)(((uint32_t)(x)) << PUF_KEYRESET_KEY0_SHIFT)) & PUF_KEYRESET_KEY0_MASK)\r
-#define PUF_KEYRESET_KEY1_MASK                   (0xCU)\r
-#define PUF_KEYRESET_KEY1_SHIFT                  (2U)\r
-#define PUF_KEYRESET_KEY1(x)                     (((uint32_t)(((uint32_t)(x)) << PUF_KEYRESET_KEY1_SHIFT)) & PUF_KEYRESET_KEY1_MASK)\r
-#define PUF_KEYRESET_KEY2_MASK                   (0x30U)\r
-#define PUF_KEYRESET_KEY2_SHIFT                  (4U)\r
-#define PUF_KEYRESET_KEY2(x)                     (((uint32_t)(((uint32_t)(x)) << PUF_KEYRESET_KEY2_SHIFT)) & PUF_KEYRESET_KEY2_MASK)\r
-#define PUF_KEYRESET_KEY3_MASK                   (0xC0U)\r
-#define PUF_KEYRESET_KEY3_SHIFT                  (6U)\r
-#define PUF_KEYRESET_KEY3(x)                     (((uint32_t)(((uint32_t)(x)) << PUF_KEYRESET_KEY3_SHIFT)) & PUF_KEYRESET_KEY3_MASK)\r
+#define PUF_KEYRESET_KEY0_MASK (0x3U)\r
+#define PUF_KEYRESET_KEY0_SHIFT (0U)\r
+/*! KEY0 - 10: Reset KEY0 shift register. Self clearing. Must be done before loading any new key.\r
+ */\r
+#define PUF_KEYRESET_KEY0(x) (((uint32_t)(((uint32_t)(x)) << PUF_KEYRESET_KEY0_SHIFT)) & PUF_KEYRESET_KEY0_MASK)\r
+#define PUF_KEYRESET_KEY1_MASK (0xCU)\r
+#define PUF_KEYRESET_KEY1_SHIFT (2U)\r
+/*! KEY1 - 10: Reset KEY1 shift register. Self clearing. Must be done before loading any new key.\r
+ */\r
+#define PUF_KEYRESET_KEY1(x) (((uint32_t)(((uint32_t)(x)) << PUF_KEYRESET_KEY1_SHIFT)) & PUF_KEYRESET_KEY1_MASK)\r
+#define PUF_KEYRESET_KEY2_MASK (0x30U)\r
+#define PUF_KEYRESET_KEY2_SHIFT (4U)\r
+/*! KEY2 - 10: Reset KEY2 shift register. Self clearing. Must be done before loading any new key.\r
+ */\r
+#define PUF_KEYRESET_KEY2(x) (((uint32_t)(((uint32_t)(x)) << PUF_KEYRESET_KEY2_SHIFT)) & PUF_KEYRESET_KEY2_MASK)\r
+#define PUF_KEYRESET_KEY3_MASK (0xC0U)\r
+#define PUF_KEYRESET_KEY3_SHIFT (6U)\r
+/*! KEY3 - 10: Reset KEY3 shift register. Self clearing. Must be done before loading any new key.\r
+ */\r
+#define PUF_KEYRESET_KEY3(x) (((uint32_t)(((uint32_t)(x)) << PUF_KEYRESET_KEY3_SHIFT)) & PUF_KEYRESET_KEY3_MASK)\r
 /*! @} */\r
 \r
 /*! @name IDXBLK_L -  */\r
 /*! @{ */\r
-#define PUF_IDXBLK_L_IDX0_MASK                   (0x3U)\r
-#define PUF_IDXBLK_L_IDX0_SHIFT                  (0U)\r
-#define PUF_IDXBLK_L_IDX0(x)                     (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_L_IDX0_SHIFT)) & PUF_IDXBLK_L_IDX0_MASK)\r
-#define PUF_IDXBLK_L_IDX1_MASK                   (0xCU)\r
-#define PUF_IDXBLK_L_IDX1_SHIFT                  (2U)\r
-#define PUF_IDXBLK_L_IDX1(x)                     (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_L_IDX1_SHIFT)) & PUF_IDXBLK_L_IDX1_MASK)\r
-#define PUF_IDXBLK_L_IDX2_MASK                   (0x30U)\r
-#define PUF_IDXBLK_L_IDX2_SHIFT                  (4U)\r
-#define PUF_IDXBLK_L_IDX2(x)                     (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_L_IDX2_SHIFT)) & PUF_IDXBLK_L_IDX2_MASK)\r
-#define PUF_IDXBLK_L_IDX3_MASK                   (0xC0U)\r
-#define PUF_IDXBLK_L_IDX3_SHIFT                  (6U)\r
-#define PUF_IDXBLK_L_IDX3(x)                     (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_L_IDX3_SHIFT)) & PUF_IDXBLK_L_IDX3_MASK)\r
-#define PUF_IDXBLK_L_IDX4_MASK                   (0x300U)\r
-#define PUF_IDXBLK_L_IDX4_SHIFT                  (8U)\r
-#define PUF_IDXBLK_L_IDX4(x)                     (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_L_IDX4_SHIFT)) & PUF_IDXBLK_L_IDX4_MASK)\r
-#define PUF_IDXBLK_L_IDX5_MASK                   (0xC00U)\r
-#define PUF_IDXBLK_L_IDX5_SHIFT                  (10U)\r
-#define PUF_IDXBLK_L_IDX5(x)                     (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_L_IDX5_SHIFT)) & PUF_IDXBLK_L_IDX5_MASK)\r
-#define PUF_IDXBLK_L_IDX6_MASK                   (0x3000U)\r
-#define PUF_IDXBLK_L_IDX6_SHIFT                  (12U)\r
-#define PUF_IDXBLK_L_IDX6(x)                     (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_L_IDX6_SHIFT)) & PUF_IDXBLK_L_IDX6_MASK)\r
-#define PUF_IDXBLK_L_IDX7_MASK                   (0xC000U)\r
-#define PUF_IDXBLK_L_IDX7_SHIFT                  (14U)\r
-#define PUF_IDXBLK_L_IDX7(x)                     (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_L_IDX7_SHIFT)) & PUF_IDXBLK_L_IDX7_MASK)\r
-#define PUF_IDXBLK_L_LOCK_IDX_MASK               (0xC0000000U)\r
-#define PUF_IDXBLK_L_LOCK_IDX_SHIFT              (30U)\r
-#define PUF_IDXBLK_L_LOCK_IDX(x)                 (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_L_LOCK_IDX_SHIFT)) & PUF_IDXBLK_L_LOCK_IDX_MASK)\r
+#define PUF_IDXBLK_L_IDX1_MASK (0xCU)\r
+#define PUF_IDXBLK_L_IDX1_SHIFT (2U)\r
+/*! IDX1 - Use to block PUF index 1\r
+ */\r
+#define PUF_IDXBLK_L_IDX1(x) (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_L_IDX1_SHIFT)) & PUF_IDXBLK_L_IDX1_MASK)\r
+#define PUF_IDXBLK_L_IDX2_MASK (0x30U)\r
+#define PUF_IDXBLK_L_IDX2_SHIFT (4U)\r
+/*! IDX2 - Use to block PUF index 2\r
+ */\r
+#define PUF_IDXBLK_L_IDX2(x) (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_L_IDX2_SHIFT)) & PUF_IDXBLK_L_IDX2_MASK)\r
+#define PUF_IDXBLK_L_IDX3_MASK (0xC0U)\r
+#define PUF_IDXBLK_L_IDX3_SHIFT (6U)\r
+/*! IDX3 - Use to block PUF index 3\r
+ */\r
+#define PUF_IDXBLK_L_IDX3(x) (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_L_IDX3_SHIFT)) & PUF_IDXBLK_L_IDX3_MASK)\r
+#define PUF_IDXBLK_L_IDX4_MASK (0x300U)\r
+#define PUF_IDXBLK_L_IDX4_SHIFT (8U)\r
+/*! IDX4 - Use to block PUF index 4\r
+ */\r
+#define PUF_IDXBLK_L_IDX4(x) (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_L_IDX4_SHIFT)) & PUF_IDXBLK_L_IDX4_MASK)\r
+#define PUF_IDXBLK_L_IDX5_MASK (0xC00U)\r
+#define PUF_IDXBLK_L_IDX5_SHIFT (10U)\r
+/*! IDX5 - Use to block PUF index 5\r
+ */\r
+#define PUF_IDXBLK_L_IDX5(x) (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_L_IDX5_SHIFT)) & PUF_IDXBLK_L_IDX5_MASK)\r
+#define PUF_IDXBLK_L_IDX6_MASK (0x3000U)\r
+#define PUF_IDXBLK_L_IDX6_SHIFT (12U)\r
+/*! IDX6 - Use to block PUF index 6\r
+ */\r
+#define PUF_IDXBLK_L_IDX6(x) (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_L_IDX6_SHIFT)) & PUF_IDXBLK_L_IDX6_MASK)\r
+#define PUF_IDXBLK_L_IDX7_MASK (0xC000U)\r
+#define PUF_IDXBLK_L_IDX7_SHIFT (14U)\r
+/*! IDX7 - Use to block PUF index 7\r
+ */\r
+#define PUF_IDXBLK_L_IDX7(x) (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_L_IDX7_SHIFT)) & PUF_IDXBLK_L_IDX7_MASK)\r
+#define PUF_IDXBLK_L_LOCK_IDX_MASK (0xC0000000U)\r
+#define PUF_IDXBLK_L_LOCK_IDX_SHIFT (30U)\r
+/*! LOCK_IDX - Lock 0 to 7 PUF key indexes\r
+ */\r
+#define PUF_IDXBLK_L_LOCK_IDX(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_L_LOCK_IDX_SHIFT)) & PUF_IDXBLK_L_LOCK_IDX_MASK)\r
 /*! @} */\r
 \r
 /*! @name IDXBLK_H_DP -  */\r
 /*! @{ */\r
-#define PUF_IDXBLK_H_DP_IDX8_MASK                (0x3U)\r
-#define PUF_IDXBLK_H_DP_IDX8_SHIFT               (0U)\r
-#define PUF_IDXBLK_H_DP_IDX8(x)                  (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_H_DP_IDX8_SHIFT)) & PUF_IDXBLK_H_DP_IDX8_MASK)\r
-#define PUF_IDXBLK_H_DP_IDX9_MASK                (0xCU)\r
-#define PUF_IDXBLK_H_DP_IDX9_SHIFT               (2U)\r
-#define PUF_IDXBLK_H_DP_IDX9(x)                  (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_H_DP_IDX9_SHIFT)) & PUF_IDXBLK_H_DP_IDX9_MASK)\r
-#define PUF_IDXBLK_H_DP_IDX10_MASK               (0x30U)\r
-#define PUF_IDXBLK_H_DP_IDX10_SHIFT              (4U)\r
-#define PUF_IDXBLK_H_DP_IDX10(x)                 (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_H_DP_IDX10_SHIFT)) & PUF_IDXBLK_H_DP_IDX10_MASK)\r
-#define PUF_IDXBLK_H_DP_IDX11_MASK               (0xC0U)\r
-#define PUF_IDXBLK_H_DP_IDX11_SHIFT              (6U)\r
-#define PUF_IDXBLK_H_DP_IDX11(x)                 (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_H_DP_IDX11_SHIFT)) & PUF_IDXBLK_H_DP_IDX11_MASK)\r
-#define PUF_IDXBLK_H_DP_IDX12_MASK               (0x300U)\r
-#define PUF_IDXBLK_H_DP_IDX12_SHIFT              (8U)\r
-#define PUF_IDXBLK_H_DP_IDX12(x)                 (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_H_DP_IDX12_SHIFT)) & PUF_IDXBLK_H_DP_IDX12_MASK)\r
-#define PUF_IDXBLK_H_DP_IDX13_MASK               (0xC00U)\r
-#define PUF_IDXBLK_H_DP_IDX13_SHIFT              (10U)\r
-#define PUF_IDXBLK_H_DP_IDX13(x)                 (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_H_DP_IDX13_SHIFT)) & PUF_IDXBLK_H_DP_IDX13_MASK)\r
-#define PUF_IDXBLK_H_DP_IDX14_MASK               (0x3000U)\r
-#define PUF_IDXBLK_H_DP_IDX14_SHIFT              (12U)\r
-#define PUF_IDXBLK_H_DP_IDX14(x)                 (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_H_DP_IDX14_SHIFT)) & PUF_IDXBLK_H_DP_IDX14_MASK)\r
-#define PUF_IDXBLK_H_DP_IDX15_MASK               (0xC000U)\r
-#define PUF_IDXBLK_H_DP_IDX15_SHIFT              (14U)\r
-#define PUF_IDXBLK_H_DP_IDX15(x)                 (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_H_DP_IDX15_SHIFT)) & PUF_IDXBLK_H_DP_IDX15_MASK)\r
+#define PUF_IDXBLK_H_DP_IDX8_MASK (0x3U)\r
+#define PUF_IDXBLK_H_DP_IDX8_SHIFT (0U)\r
+/*! IDX8 - Use to block PUF index 8\r
+ */\r
+#define PUF_IDXBLK_H_DP_IDX8(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_H_DP_IDX8_SHIFT)) & PUF_IDXBLK_H_DP_IDX8_MASK)\r
+#define PUF_IDXBLK_H_DP_IDX9_MASK (0xCU)\r
+#define PUF_IDXBLK_H_DP_IDX9_SHIFT (2U)\r
+/*! IDX9 - Use to block PUF index 9\r
+ */\r
+#define PUF_IDXBLK_H_DP_IDX9(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_H_DP_IDX9_SHIFT)) & PUF_IDXBLK_H_DP_IDX9_MASK)\r
+#define PUF_IDXBLK_H_DP_IDX10_MASK (0x30U)\r
+#define PUF_IDXBLK_H_DP_IDX10_SHIFT (4U)\r
+/*! IDX10 - Use to block PUF index 10\r
+ */\r
+#define PUF_IDXBLK_H_DP_IDX10(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_H_DP_IDX10_SHIFT)) & PUF_IDXBLK_H_DP_IDX10_MASK)\r
+#define PUF_IDXBLK_H_DP_IDX11_MASK (0xC0U)\r
+#define PUF_IDXBLK_H_DP_IDX11_SHIFT (6U)\r
+/*! IDX11 - Use to block PUF index 11\r
+ */\r
+#define PUF_IDXBLK_H_DP_IDX11(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_H_DP_IDX11_SHIFT)) & PUF_IDXBLK_H_DP_IDX11_MASK)\r
+#define PUF_IDXBLK_H_DP_IDX12_MASK (0x300U)\r
+#define PUF_IDXBLK_H_DP_IDX12_SHIFT (8U)\r
+/*! IDX12 - Use to block PUF index 12\r
+ */\r
+#define PUF_IDXBLK_H_DP_IDX12(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_H_DP_IDX12_SHIFT)) & PUF_IDXBLK_H_DP_IDX12_MASK)\r
+#define PUF_IDXBLK_H_DP_IDX13_MASK (0xC00U)\r
+#define PUF_IDXBLK_H_DP_IDX13_SHIFT (10U)\r
+/*! IDX13 - Use to block PUF index 13\r
+ */\r
+#define PUF_IDXBLK_H_DP_IDX13(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_H_DP_IDX13_SHIFT)) & PUF_IDXBLK_H_DP_IDX13_MASK)\r
+#define PUF_IDXBLK_H_DP_IDX14_MASK (0x3000U)\r
+#define PUF_IDXBLK_H_DP_IDX14_SHIFT (12U)\r
+/*! IDX14 - Use to block PUF index 14\r
+ */\r
+#define PUF_IDXBLK_H_DP_IDX14(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_H_DP_IDX14_SHIFT)) & PUF_IDXBLK_H_DP_IDX14_MASK)\r
+#define PUF_IDXBLK_H_DP_IDX15_MASK (0xC000U)\r
+#define PUF_IDXBLK_H_DP_IDX15_SHIFT (14U)\r
+/*! IDX15 - Use to block PUF index 15\r
+ */\r
+#define PUF_IDXBLK_H_DP_IDX15(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_H_DP_IDX15_SHIFT)) & PUF_IDXBLK_H_DP_IDX15_MASK)\r
 /*! @} */\r
 \r
 /*! @name KEYMASK - Only reset in case of full IC reset */\r
 /*! @{ */\r
-#define PUF_KEYMASK_KEYMASK_MASK                 (0xFFFFFFFFU)\r
-#define PUF_KEYMASK_KEYMASK_SHIFT                (0U)\r
-#define PUF_KEYMASK_KEYMASK(x)                   (((uint32_t)(((uint32_t)(x)) << PUF_KEYMASK_KEYMASK_SHIFT)) & PUF_KEYMASK_KEYMASK_MASK)\r
+#define PUF_KEYMASK_KEYMASK_MASK (0xFFFFFFFFU)\r
+#define PUF_KEYMASK_KEYMASK_SHIFT (0U)\r
+#define PUF_KEYMASK_KEYMASK(x) (((uint32_t)(((uint32_t)(x)) << PUF_KEYMASK_KEYMASK_SHIFT)) & PUF_KEYMASK_KEYMASK_MASK)\r
 /*! @} */\r
 \r
 /* The count of PUF_KEYMASK */\r
-#define PUF_KEYMASK_COUNT                        (4U)\r
+#define PUF_KEYMASK_COUNT (4U)\r
 \r
 /*! @name IDXBLK_H -  */\r
 /*! @{ */\r
-#define PUF_IDXBLK_H_IDX8_MASK                   (0x3U)\r
-#define PUF_IDXBLK_H_IDX8_SHIFT                  (0U)\r
-#define PUF_IDXBLK_H_IDX8(x)                     (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_H_IDX8_SHIFT)) & PUF_IDXBLK_H_IDX8_MASK)\r
-#define PUF_IDXBLK_H_IDX9_MASK                   (0xCU)\r
-#define PUF_IDXBLK_H_IDX9_SHIFT                  (2U)\r
-#define PUF_IDXBLK_H_IDX9(x)                     (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_H_IDX9_SHIFT)) & PUF_IDXBLK_H_IDX9_MASK)\r
-#define PUF_IDXBLK_H_IDX10_MASK                  (0x30U)\r
-#define PUF_IDXBLK_H_IDX10_SHIFT                 (4U)\r
-#define PUF_IDXBLK_H_IDX10(x)                    (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_H_IDX10_SHIFT)) & PUF_IDXBLK_H_IDX10_MASK)\r
-#define PUF_IDXBLK_H_IDX11_MASK                  (0xC0U)\r
-#define PUF_IDXBLK_H_IDX11_SHIFT                 (6U)\r
-#define PUF_IDXBLK_H_IDX11(x)                    (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_H_IDX11_SHIFT)) & PUF_IDXBLK_H_IDX11_MASK)\r
-#define PUF_IDXBLK_H_IDX12_MASK                  (0x300U)\r
-#define PUF_IDXBLK_H_IDX12_SHIFT                 (8U)\r
-#define PUF_IDXBLK_H_IDX12(x)                    (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_H_IDX12_SHIFT)) & PUF_IDXBLK_H_IDX12_MASK)\r
-#define PUF_IDXBLK_H_IDX13_MASK                  (0xC00U)\r
-#define PUF_IDXBLK_H_IDX13_SHIFT                 (10U)\r
-#define PUF_IDXBLK_H_IDX13(x)                    (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_H_IDX13_SHIFT)) & PUF_IDXBLK_H_IDX13_MASK)\r
-#define PUF_IDXBLK_H_IDX14_MASK                  (0x3000U)\r
-#define PUF_IDXBLK_H_IDX14_SHIFT                 (12U)\r
-#define PUF_IDXBLK_H_IDX14(x)                    (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_H_IDX14_SHIFT)) & PUF_IDXBLK_H_IDX14_MASK)\r
-#define PUF_IDXBLK_H_IDX15_MASK                  (0xC000U)\r
-#define PUF_IDXBLK_H_IDX15_SHIFT                 (14U)\r
-#define PUF_IDXBLK_H_IDX15(x)                    (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_H_IDX15_SHIFT)) & PUF_IDXBLK_H_IDX15_MASK)\r
-#define PUF_IDXBLK_H_LOCK_IDX_MASK               (0xC0000000U)\r
-#define PUF_IDXBLK_H_LOCK_IDX_SHIFT              (30U)\r
-#define PUF_IDXBLK_H_LOCK_IDX(x)                 (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_H_LOCK_IDX_SHIFT)) & PUF_IDXBLK_H_LOCK_IDX_MASK)\r
+#define PUF_IDXBLK_H_IDX8_MASK (0x3U)\r
+#define PUF_IDXBLK_H_IDX8_SHIFT (0U)\r
+/*! IDX8 - Use to block PUF index 8\r
+ */\r
+#define PUF_IDXBLK_H_IDX8(x) (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_H_IDX8_SHIFT)) & PUF_IDXBLK_H_IDX8_MASK)\r
+#define PUF_IDXBLK_H_IDX9_MASK (0xCU)\r
+#define PUF_IDXBLK_H_IDX9_SHIFT (2U)\r
+/*! IDX9 - Use to block PUF index 9\r
+ */\r
+#define PUF_IDXBLK_H_IDX9(x) (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_H_IDX9_SHIFT)) & PUF_IDXBLK_H_IDX9_MASK)\r
+#define PUF_IDXBLK_H_IDX10_MASK (0x30U)\r
+#define PUF_IDXBLK_H_IDX10_SHIFT (4U)\r
+/*! IDX10 - Use to block PUF index 10\r
+ */\r
+#define PUF_IDXBLK_H_IDX10(x) (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_H_IDX10_SHIFT)) & PUF_IDXBLK_H_IDX10_MASK)\r
+#define PUF_IDXBLK_H_IDX11_MASK (0xC0U)\r
+#define PUF_IDXBLK_H_IDX11_SHIFT (6U)\r
+/*! IDX11 - Use to block PUF index 11\r
+ */\r
+#define PUF_IDXBLK_H_IDX11(x) (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_H_IDX11_SHIFT)) & PUF_IDXBLK_H_IDX11_MASK)\r
+#define PUF_IDXBLK_H_IDX12_MASK (0x300U)\r
+#define PUF_IDXBLK_H_IDX12_SHIFT (8U)\r
+/*! IDX12 - Use to block PUF index 12\r
+ */\r
+#define PUF_IDXBLK_H_IDX12(x) (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_H_IDX12_SHIFT)) & PUF_IDXBLK_H_IDX12_MASK)\r
+#define PUF_IDXBLK_H_IDX13_MASK (0xC00U)\r
+#define PUF_IDXBLK_H_IDX13_SHIFT (10U)\r
+/*! IDX13 - Use to block PUF index 13\r
+ */\r
+#define PUF_IDXBLK_H_IDX13(x) (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_H_IDX13_SHIFT)) & PUF_IDXBLK_H_IDX13_MASK)\r
+#define PUF_IDXBLK_H_IDX14_MASK (0x3000U)\r
+#define PUF_IDXBLK_H_IDX14_SHIFT (12U)\r
+/*! IDX14 - Use to block PUF index 14\r
+ */\r
+#define PUF_IDXBLK_H_IDX14(x) (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_H_IDX14_SHIFT)) & PUF_IDXBLK_H_IDX14_MASK)\r
+#define PUF_IDXBLK_H_IDX15_MASK (0xC000U)\r
+#define PUF_IDXBLK_H_IDX15_SHIFT (14U)\r
+/*! IDX15 - Use to block PUF index 15\r
+ */\r
+#define PUF_IDXBLK_H_IDX15(x) (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_H_IDX15_SHIFT)) & PUF_IDXBLK_H_IDX15_MASK)\r
+#define PUF_IDXBLK_H_LOCK_IDX_MASK (0xC0000000U)\r
+#define PUF_IDXBLK_H_LOCK_IDX_SHIFT (30U)\r
+/*! LOCK_IDX - Lock 8 to 15 PUF key indexes\r
+ */\r
+#define PUF_IDXBLK_H_LOCK_IDX(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_H_LOCK_IDX_SHIFT)) & PUF_IDXBLK_H_LOCK_IDX_MASK)\r
 /*! @} */\r
 \r
 /*! @name IDXBLK_L_DP -  */\r
 /*! @{ */\r
-#define PUF_IDXBLK_L_DP_IDX0_MASK                (0x3U)\r
-#define PUF_IDXBLK_L_DP_IDX0_SHIFT               (0U)\r
-#define PUF_IDXBLK_L_DP_IDX0(x)                  (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_L_DP_IDX0_SHIFT)) & PUF_IDXBLK_L_DP_IDX0_MASK)\r
-#define PUF_IDXBLK_L_DP_IDX1_MASK                (0xCU)\r
-#define PUF_IDXBLK_L_DP_IDX1_SHIFT               (2U)\r
-#define PUF_IDXBLK_L_DP_IDX1(x)                  (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_L_DP_IDX1_SHIFT)) & PUF_IDXBLK_L_DP_IDX1_MASK)\r
-#define PUF_IDXBLK_L_DP_IDX2_MASK                (0x30U)\r
-#define PUF_IDXBLK_L_DP_IDX2_SHIFT               (4U)\r
-#define PUF_IDXBLK_L_DP_IDX2(x)                  (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_L_DP_IDX2_SHIFT)) & PUF_IDXBLK_L_DP_IDX2_MASK)\r
-#define PUF_IDXBLK_L_DP_IDX3_MASK                (0xC0U)\r
-#define PUF_IDXBLK_L_DP_IDX3_SHIFT               (6U)\r
-#define PUF_IDXBLK_L_DP_IDX3(x)                  (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_L_DP_IDX3_SHIFT)) & PUF_IDXBLK_L_DP_IDX3_MASK)\r
-#define PUF_IDXBLK_L_DP_IDX4_MASK                (0x300U)\r
-#define PUF_IDXBLK_L_DP_IDX4_SHIFT               (8U)\r
-#define PUF_IDXBLK_L_DP_IDX4(x)                  (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_L_DP_IDX4_SHIFT)) & PUF_IDXBLK_L_DP_IDX4_MASK)\r
-#define PUF_IDXBLK_L_DP_IDX5_MASK                (0xC00U)\r
-#define PUF_IDXBLK_L_DP_IDX5_SHIFT               (10U)\r
-#define PUF_IDXBLK_L_DP_IDX5(x)                  (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_L_DP_IDX5_SHIFT)) & PUF_IDXBLK_L_DP_IDX5_MASK)\r
-#define PUF_IDXBLK_L_DP_IDX6_MASK                (0x3000U)\r
-#define PUF_IDXBLK_L_DP_IDX6_SHIFT               (12U)\r
-#define PUF_IDXBLK_L_DP_IDX6(x)                  (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_L_DP_IDX6_SHIFT)) & PUF_IDXBLK_L_DP_IDX6_MASK)\r
-#define PUF_IDXBLK_L_DP_IDX7_MASK                (0xC000U)\r
-#define PUF_IDXBLK_L_DP_IDX7_SHIFT               (14U)\r
-#define PUF_IDXBLK_L_DP_IDX7(x)                  (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_L_DP_IDX7_SHIFT)) & PUF_IDXBLK_L_DP_IDX7_MASK)\r
+#define PUF_IDXBLK_L_DP_IDX1_MASK (0xCU)\r
+#define PUF_IDXBLK_L_DP_IDX1_SHIFT (2U)\r
+/*! IDX1 - Use to block PUF index 1\r
+ */\r
+#define PUF_IDXBLK_L_DP_IDX1(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_L_DP_IDX1_SHIFT)) & PUF_IDXBLK_L_DP_IDX1_MASK)\r
+#define PUF_IDXBLK_L_DP_IDX2_MASK (0x30U)\r
+#define PUF_IDXBLK_L_DP_IDX2_SHIFT (4U)\r
+/*! IDX2 - Use to block PUF index 2\r
+ */\r
+#define PUF_IDXBLK_L_DP_IDX2(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_L_DP_IDX2_SHIFT)) & PUF_IDXBLK_L_DP_IDX2_MASK)\r
+#define PUF_IDXBLK_L_DP_IDX3_MASK (0xC0U)\r
+#define PUF_IDXBLK_L_DP_IDX3_SHIFT (6U)\r
+/*! IDX3 - Use to block PUF index 3\r
+ */\r
+#define PUF_IDXBLK_L_DP_IDX3(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_L_DP_IDX3_SHIFT)) & PUF_IDXBLK_L_DP_IDX3_MASK)\r
+#define PUF_IDXBLK_L_DP_IDX4_MASK (0x300U)\r
+#define PUF_IDXBLK_L_DP_IDX4_SHIFT (8U)\r
+/*! IDX4 - Use to block PUF index 4\r
+ */\r
+#define PUF_IDXBLK_L_DP_IDX4(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_L_DP_IDX4_SHIFT)) & PUF_IDXBLK_L_DP_IDX4_MASK)\r
+#define PUF_IDXBLK_L_DP_IDX5_MASK (0xC00U)\r
+#define PUF_IDXBLK_L_DP_IDX5_SHIFT (10U)\r
+/*! IDX5 - Use to block PUF index 5\r
+ */\r
+#define PUF_IDXBLK_L_DP_IDX5(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_L_DP_IDX5_SHIFT)) & PUF_IDXBLK_L_DP_IDX5_MASK)\r
+#define PUF_IDXBLK_L_DP_IDX6_MASK (0x3000U)\r
+#define PUF_IDXBLK_L_DP_IDX6_SHIFT (12U)\r
+/*! IDX6 - Use to block PUF index 6\r
+ */\r
+#define PUF_IDXBLK_L_DP_IDX6(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_L_DP_IDX6_SHIFT)) & PUF_IDXBLK_L_DP_IDX6_MASK)\r
+#define PUF_IDXBLK_L_DP_IDX7_MASK (0xC000U)\r
+#define PUF_IDXBLK_L_DP_IDX7_SHIFT (14U)\r
+/*! IDX7 - Use to block PUF index 7\r
+ */\r
+#define PUF_IDXBLK_L_DP_IDX7(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_IDXBLK_L_DP_IDX7_SHIFT)) & PUF_IDXBLK_L_DP_IDX7_MASK)\r
 /*! @} */\r
 \r
 /*! @name SHIFT_STATUS -  */\r
 /*! @{ */\r
-#define PUF_SHIFT_STATUS_KEY0_MASK               (0xFU)\r
-#define PUF_SHIFT_STATUS_KEY0_SHIFT              (0U)\r
-#define PUF_SHIFT_STATUS_KEY0(x)                 (((uint32_t)(((uint32_t)(x)) << PUF_SHIFT_STATUS_KEY0_SHIFT)) & PUF_SHIFT_STATUS_KEY0_MASK)\r
-#define PUF_SHIFT_STATUS_KEY1_MASK               (0xF0U)\r
-#define PUF_SHIFT_STATUS_KEY1_SHIFT              (4U)\r
-#define PUF_SHIFT_STATUS_KEY1(x)                 (((uint32_t)(((uint32_t)(x)) << PUF_SHIFT_STATUS_KEY1_SHIFT)) & PUF_SHIFT_STATUS_KEY1_MASK)\r
-#define PUF_SHIFT_STATUS_KEY2_MASK               (0xF00U)\r
-#define PUF_SHIFT_STATUS_KEY2_SHIFT              (8U)\r
-#define PUF_SHIFT_STATUS_KEY2(x)                 (((uint32_t)(((uint32_t)(x)) << PUF_SHIFT_STATUS_KEY2_SHIFT)) & PUF_SHIFT_STATUS_KEY2_MASK)\r
-#define PUF_SHIFT_STATUS_KEY3_MASK               (0xF000U)\r
-#define PUF_SHIFT_STATUS_KEY3_SHIFT              (12U)\r
-#define PUF_SHIFT_STATUS_KEY3(x)                 (((uint32_t)(((uint32_t)(x)) << PUF_SHIFT_STATUS_KEY3_SHIFT)) & PUF_SHIFT_STATUS_KEY3_MASK)\r
+#define PUF_SHIFT_STATUS_KEY0_MASK (0xFU)\r
+#define PUF_SHIFT_STATUS_KEY0_SHIFT (0U)\r
+/*! KEY0 - Index counter from key 0 shift register\r
+ */\r
+#define PUF_SHIFT_STATUS_KEY0(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_SHIFT_STATUS_KEY0_SHIFT)) & PUF_SHIFT_STATUS_KEY0_MASK)\r
+#define PUF_SHIFT_STATUS_KEY1_MASK (0xF0U)\r
+#define PUF_SHIFT_STATUS_KEY1_SHIFT (4U)\r
+/*! KEY1 - Index counter from key 1 shift register\r
+ */\r
+#define PUF_SHIFT_STATUS_KEY1(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_SHIFT_STATUS_KEY1_SHIFT)) & PUF_SHIFT_STATUS_KEY1_MASK)\r
+#define PUF_SHIFT_STATUS_KEY2_MASK (0xF00U)\r
+#define PUF_SHIFT_STATUS_KEY2_SHIFT (8U)\r
+/*! KEY2 - Index counter from key 2 shift register\r
+ */\r
+#define PUF_SHIFT_STATUS_KEY2(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_SHIFT_STATUS_KEY2_SHIFT)) & PUF_SHIFT_STATUS_KEY2_MASK)\r
+#define PUF_SHIFT_STATUS_KEY3_MASK (0xF000U)\r
+#define PUF_SHIFT_STATUS_KEY3_SHIFT (12U)\r
+/*! KEY3 - Index counter from key 3 shift register\r
+ */\r
+#define PUF_SHIFT_STATUS_KEY3(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << PUF_SHIFT_STATUS_KEY3_SHIFT)) & PUF_SHIFT_STATUS_KEY3_MASK)\r
 /*! @} */\r
 \r
-\r
 /*!\r
  * @}\r
  */ /* end of group PUF_Register_Masks */\r
 \r
-\r
 /* PUF - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral PUF base address */\r
-  #define PUF_BASE                                 (0x5003B000u)\r
-  /** Peripheral PUF base address */\r
-  #define PUF_BASE_NS                              (0x4003B000u)\r
-  /** Peripheral PUF base pointer */\r
-  #define PUF                                      ((PUF_Type *)PUF_BASE)\r
-  /** Peripheral PUF base pointer */\r
-  #define PUF_NS                                   ((PUF_Type *)PUF_BASE_NS)\r
-  /** Array initializer of PUF peripheral base addresses */\r
-  #define PUF_BASE_ADDRS                           { PUF_BASE }\r
-  /** Array initializer of PUF peripheral base pointers */\r
-  #define PUF_BASE_PTRS                            { PUF }\r
-  /** Array initializer of PUF peripheral base addresses */\r
-  #define PUF_BASE_ADDRS_NS                        { PUF_BASE_NS }\r
-  /** Array initializer of PUF peripheral base pointers */\r
-  #define PUF_BASE_PTRS_NS                         { PUF_NS }\r
+/** Peripheral PUF base address */\r
+#define PUF_BASE (0x5003B000u)\r
+/** Peripheral PUF base address */\r
+#define PUF_BASE_NS (0x4003B000u)\r
+/** Peripheral PUF base pointer */\r
+#define PUF ((PUF_Type *)PUF_BASE)\r
+/** Peripheral PUF base pointer */\r
+#define PUF_NS ((PUF_Type *)PUF_BASE_NS)\r
+/** Array initializer of PUF peripheral base addresses */\r
+#define PUF_BASE_ADDRS \\r
+    {                  \\r
+        PUF_BASE       \\r
+    }\r
+/** Array initializer of PUF peripheral base pointers */\r
+#define PUF_BASE_PTRS \\r
+    {                 \\r
+        PUF           \\r
+    }\r
+/** Array initializer of PUF peripheral base addresses */\r
+#define PUF_BASE_ADDRS_NS \\r
+    {                     \\r
+        PUF_BASE_NS       \\r
+    }\r
+/** Array initializer of PUF peripheral base pointers */\r
+#define PUF_BASE_PTRS_NS \\r
+    {                    \\r
+        PUF_NS           \\r
+    }\r
 #else\r
-  /** Peripheral PUF base address */\r
-  #define PUF_BASE                                 (0x4003B000u)\r
-  /** Peripheral PUF base pointer */\r
-  #define PUF                                      ((PUF_Type *)PUF_BASE)\r
-  /** Array initializer of PUF peripheral base addresses */\r
-  #define PUF_BASE_ADDRS                           { PUF_BASE }\r
-  /** Array initializer of PUF peripheral base pointers */\r
-  #define PUF_BASE_PTRS                            { PUF }\r
+/** Peripheral PUF base address */\r
+#define PUF_BASE (0x4003B000u)\r
+/** Peripheral PUF base pointer */\r
+#define PUF ((PUF_Type *)PUF_BASE)\r
+/** Array initializer of PUF peripheral base addresses */\r
+#define PUF_BASE_ADDRS \\r
+    {                  \\r
+        PUF_BASE       \\r
+    }\r
+/** Array initializer of PUF peripheral base pointers */\r
+#define PUF_BASE_PTRS \\r
+    {                 \\r
+        PUF           \\r
+    }\r
 #endif\r
 /** Interrupt vectors for the PUF peripheral type */\r
-#define PUF_IRQS                                 { PUF_IRQn }\r
+#define PUF_IRQS \\r
+    {            \\r
+        PUF_IRQn \\r
+    }\r
 \r
 /*!\r
  * @}\r
  */ /* end of group PUF_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- RNG Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -13342,18 +17303,17 @@ typedef struct {
  */\r
 \r
 /** RNG - Register Layout Typedef */\r
-typedef struct {\r
-  __I  uint32_t RANDOM_NUMBER;                     /**< This register contains a random 32 bit number which is computed on demand, at each time it is read, offset: 0x0 */\r
-  __I  uint32_t ENCRYPTED_NUMBER;                  /**< This register contains a random 32 bit number which is pre-computed, offset: 0x4 */\r
-  __I  uint32_t COUNTER_VAL;                       /**< , offset: 0x8 */\r
-  __IO uint32_t COUNTER_CFG;                       /**< , offset: 0xC */\r
-  __IO uint32_t ONLINE_TEST_CFG;                   /**< , offset: 0x10 */\r
-  __I  uint32_t ONLINE_TEST_VAL;                   /**< , offset: 0x14 */\r
-  __IO uint32_t MISC_CFG;                          /**< , offset: 0x18 */\r
-       uint8_t RESERVED_0[4056];\r
-  __IO uint32_t POWERDOWN;                         /**< Powerdown mode (standard but certainly useless here), offset: 0xFF4 */\r
-       uint8_t RESERVED_1[4];\r
-  __I  uint32_t MODULEID;                          /**< IP identifier, offset: 0xFFC */\r
+typedef struct\r
+{\r
+    __I uint32_t RANDOM_NUMBER; /**< This register contains a random 32 bit number which is computed on demand, at each\r
+                                   time it is read, offset: 0x0 */\r
+    uint8_t RESERVED_0[4];\r
+    __I uint32_t COUNTER_VAL;      /**< , offset: 0x8 */\r
+    __IO uint32_t COUNTER_CFG;     /**< , offset: 0xC */\r
+    __IO uint32_t ONLINE_TEST_CFG; /**< , offset: 0x10 */\r
+    __I uint32_t ONLINE_TEST_VAL;  /**< , offset: 0x14 */\r
+    uint8_t RESERVED_1[4068];\r
+    __I uint32_t MODULEID; /**< IP identifier, offset: 0xFFC */\r
 } RNG_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -13365,151 +17325,183 @@ typedef struct {
  * @{\r
  */\r
 \r
-/*! @name RANDOM_NUMBER - This register contains a random 32 bit number which is computed on demand, at each time it is read */\r
-/*! @{ */\r
-#define RNG_RANDOM_NUMBER_RANDOM_NUMBER_MASK     (0xFFFFFFFFU)\r
-#define RNG_RANDOM_NUMBER_RANDOM_NUMBER_SHIFT    (0U)\r
-#define RNG_RANDOM_NUMBER_RANDOM_NUMBER(x)       (((uint32_t)(((uint32_t)(x)) << RNG_RANDOM_NUMBER_RANDOM_NUMBER_SHIFT)) & RNG_RANDOM_NUMBER_RANDOM_NUMBER_MASK)\r
-/*! @} */\r
-\r
-/*! @name ENCRYPTED_NUMBER - This register contains a random 32 bit number which is pre-computed */\r
+/*! @name RANDOM_NUMBER - This register contains a random 32 bit number which is computed on demand, at each time it is\r
+ * read */\r
 /*! @{ */\r
-#define RNG_ENCRYPTED_NUMBER_ENCRYPTED_NUMBER_MASK (0xFFFFFFFFU)\r
-#define RNG_ENCRYPTED_NUMBER_ENCRYPTED_NUMBER_SHIFT (0U)\r
-#define RNG_ENCRYPTED_NUMBER_ENCRYPTED_NUMBER(x) (((uint32_t)(((uint32_t)(x)) << RNG_ENCRYPTED_NUMBER_ENCRYPTED_NUMBER_SHIFT)) & RNG_ENCRYPTED_NUMBER_ENCRYPTED_NUMBER_MASK)\r
+#define RNG_RANDOM_NUMBER_RANDOM_NUMBER_MASK (0xFFFFFFFFU)\r
+#define RNG_RANDOM_NUMBER_RANDOM_NUMBER_SHIFT (0U)\r
+/*! RANDOM_NUMBER - This register contains a random 32 bit number which is computed on demand, at each time it is read.\r
+ */\r
+#define RNG_RANDOM_NUMBER_RANDOM_NUMBER(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << RNG_RANDOM_NUMBER_RANDOM_NUMBER_SHIFT)) & RNG_RANDOM_NUMBER_RANDOM_NUMBER_MASK)\r
 /*! @} */\r
 \r
 /*! @name COUNTER_VAL -  */\r
 /*! @{ */\r
-#define RNG_COUNTER_VAL_CLK_RATIO_MASK           (0xFFU)\r
-#define RNG_COUNTER_VAL_CLK_RATIO_SHIFT          (0U)\r
-#define RNG_COUNTER_VAL_CLK_RATIO(x)             (((uint32_t)(((uint32_t)(x)) << RNG_COUNTER_VAL_CLK_RATIO_SHIFT)) & RNG_COUNTER_VAL_CLK_RATIO_MASK)\r
-#define RNG_COUNTER_VAL_REFRESH_CNT_MASK         (0x1F00U)\r
-#define RNG_COUNTER_VAL_REFRESH_CNT_SHIFT        (8U)\r
-#define RNG_COUNTER_VAL_REFRESH_CNT(x)           (((uint32_t)(((uint32_t)(x)) << RNG_COUNTER_VAL_REFRESH_CNT_SHIFT)) & RNG_COUNTER_VAL_REFRESH_CNT_MASK)\r
+#define RNG_COUNTER_VAL_CLK_RATIO_MASK (0xFFU)\r
+#define RNG_COUNTER_VAL_CLK_RATIO_SHIFT (0U)\r
+/*! CLK_RATIO - Gives the ratio between the internal clocks frequencies and the register clock\r
+ *    frequency for evaluation and certification purposes.\r
+ */\r
+#define RNG_COUNTER_VAL_CLK_RATIO(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << RNG_COUNTER_VAL_CLK_RATIO_SHIFT)) & RNG_COUNTER_VAL_CLK_RATIO_MASK)\r
+#define RNG_COUNTER_VAL_REFRESH_CNT_MASK (0x1F00U)\r
+#define RNG_COUNTER_VAL_REFRESH_CNT_SHIFT (8U)\r
+/*! REFRESH_CNT - Incremented (till max possible value) each time COUNTER was updated since last reading to any\r
+ * *_NUMBER.\r
+ */\r
+#define RNG_COUNTER_VAL_REFRESH_CNT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << RNG_COUNTER_VAL_REFRESH_CNT_SHIFT)) & RNG_COUNTER_VAL_REFRESH_CNT_MASK)\r
 /*! @} */\r
 \r
 /*! @name COUNTER_CFG -  */\r
 /*! @{ */\r
-#define RNG_COUNTER_CFG_MODE_MASK                (0x3U)\r
-#define RNG_COUNTER_CFG_MODE_SHIFT               (0U)\r
-#define RNG_COUNTER_CFG_MODE(x)                  (((uint32_t)(((uint32_t)(x)) << RNG_COUNTER_CFG_MODE_SHIFT)) & RNG_COUNTER_CFG_MODE_MASK)\r
-#define RNG_COUNTER_CFG_CLOCK_SEL_MASK           (0x1CU)\r
-#define RNG_COUNTER_CFG_CLOCK_SEL_SHIFT          (2U)\r
-#define RNG_COUNTER_CFG_CLOCK_SEL(x)             (((uint32_t)(((uint32_t)(x)) << RNG_COUNTER_CFG_CLOCK_SEL_SHIFT)) & RNG_COUNTER_CFG_CLOCK_SEL_MASK)\r
-#define RNG_COUNTER_CFG_SHIFT4X_MASK             (0xE0U)\r
-#define RNG_COUNTER_CFG_SHIFT4X_SHIFT            (5U)\r
-#define RNG_COUNTER_CFG_SHIFT4X(x)               (((uint32_t)(((uint32_t)(x)) << RNG_COUNTER_CFG_SHIFT4X_SHIFT)) & RNG_COUNTER_CFG_SHIFT4X_MASK)\r
-#define RNG_COUNTER_CFG_DIS_ENH_ENTR_REFILL_MASK (0x100U)\r
-#define RNG_COUNTER_CFG_DIS_ENH_ENTR_REFILL_SHIFT (8U)\r
-#define RNG_COUNTER_CFG_DIS_ENH_ENTR_REFILL(x)   (((uint32_t)(((uint32_t)(x)) << RNG_COUNTER_CFG_DIS_ENH_ENTR_REFILL_SHIFT)) & RNG_COUNTER_CFG_DIS_ENH_ENTR_REFILL_MASK)\r
-#define RNG_COUNTER_CFG_FORCE_ENTR_SPREADING_MASK (0x200U)\r
-#define RNG_COUNTER_CFG_FORCE_ENTR_SPREADING_SHIFT (9U)\r
-#define RNG_COUNTER_CFG_FORCE_ENTR_SPREADING(x)  (((uint32_t)(((uint32_t)(x)) << RNG_COUNTER_CFG_FORCE_ENTR_SPREADING_SHIFT)) & RNG_COUNTER_CFG_FORCE_ENTR_SPREADING_MASK)\r
+#define RNG_COUNTER_CFG_MODE_MASK (0x3U)\r
+#define RNG_COUNTER_CFG_MODE_SHIFT (0U)\r
+/*! MODE - 00: disabled 01: update once.\r
+ */\r
+#define RNG_COUNTER_CFG_MODE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << RNG_COUNTER_CFG_MODE_SHIFT)) & RNG_COUNTER_CFG_MODE_MASK)\r
+#define RNG_COUNTER_CFG_CLOCK_SEL_MASK (0x1CU)\r
+#define RNG_COUNTER_CFG_CLOCK_SEL_SHIFT (2U)\r
+/*! CLOCK_SEL - Selects the internal clock on which to compute statistics.\r
+ */\r
+#define RNG_COUNTER_CFG_CLOCK_SEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << RNG_COUNTER_CFG_CLOCK_SEL_SHIFT)) & RNG_COUNTER_CFG_CLOCK_SEL_MASK)\r
+#define RNG_COUNTER_CFG_SHIFT4X_MASK (0xE0U)\r
+#define RNG_COUNTER_CFG_SHIFT4X_SHIFT (5U)\r
+/*! SHIFT4X - To be used to add precision to clock_ratio and determine 'entropy refill'.\r
+ */\r
+#define RNG_COUNTER_CFG_SHIFT4X(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << RNG_COUNTER_CFG_SHIFT4X_SHIFT)) & RNG_COUNTER_CFG_SHIFT4X_MASK)\r
 /*! @} */\r
 \r
 /*! @name ONLINE_TEST_CFG -  */\r
 /*! @{ */\r
-#define RNG_ONLINE_TEST_CFG_ACTIVATE_MASK        (0x1U)\r
-#define RNG_ONLINE_TEST_CFG_ACTIVATE_SHIFT       (0U)\r
-#define RNG_ONLINE_TEST_CFG_ACTIVATE(x)          (((uint32_t)(((uint32_t)(x)) << RNG_ONLINE_TEST_CFG_ACTIVATE_SHIFT)) & RNG_ONLINE_TEST_CFG_ACTIVATE_MASK)\r
-#define RNG_ONLINE_TEST_CFG_DATA_SEL_MASK        (0x6U)\r
-#define RNG_ONLINE_TEST_CFG_DATA_SEL_SHIFT       (1U)\r
-#define RNG_ONLINE_TEST_CFG_DATA_SEL(x)          (((uint32_t)(((uint32_t)(x)) << RNG_ONLINE_TEST_CFG_DATA_SEL_SHIFT)) & RNG_ONLINE_TEST_CFG_DATA_SEL_MASK)\r
+#define RNG_ONLINE_TEST_CFG_ACTIVATE_MASK (0x1U)\r
+#define RNG_ONLINE_TEST_CFG_ACTIVATE_SHIFT (0U)\r
+/*! ACTIVATE - 0: disabled 1: activated Update rythm for VAL depends on COUNTER_CFG if data_sel is set to COUNTER.\r
+ */\r
+#define RNG_ONLINE_TEST_CFG_ACTIVATE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << RNG_ONLINE_TEST_CFG_ACTIVATE_SHIFT)) & RNG_ONLINE_TEST_CFG_ACTIVATE_MASK)\r
+#define RNG_ONLINE_TEST_CFG_DATA_SEL_MASK (0x6U)\r
+#define RNG_ONLINE_TEST_CFG_DATA_SEL_SHIFT (1U)\r
+/*! DATA_SEL - Selects source on which to apply online test: 00: LSB of COUNTER: raw data from one\r
+ *    or all sources of entropy 01: MSB of COUNTER: raw data from one or all sources of entropy 10:\r
+ *    RANDOM_NUMBER 11: ENCRYPTED_NUMBER 'activate' should be set to 'disabled' before changing this\r
+ *    field.\r
+ */\r
+#define RNG_ONLINE_TEST_CFG_DATA_SEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << RNG_ONLINE_TEST_CFG_DATA_SEL_SHIFT)) & RNG_ONLINE_TEST_CFG_DATA_SEL_MASK)\r
 /*! @} */\r
 \r
 /*! @name ONLINE_TEST_VAL -  */\r
 /*! @{ */\r
 #define RNG_ONLINE_TEST_VAL_LIVE_CHI_SQUARED_MASK (0xFU)\r
 #define RNG_ONLINE_TEST_VAL_LIVE_CHI_SQUARED_SHIFT (0U)\r
-#define RNG_ONLINE_TEST_VAL_LIVE_CHI_SQUARED(x)  (((uint32_t)(((uint32_t)(x)) << RNG_ONLINE_TEST_VAL_LIVE_CHI_SQUARED_SHIFT)) & RNG_ONLINE_TEST_VAL_LIVE_CHI_SQUARED_MASK)\r
+/*! LIVE_CHI_SQUARED - This value is updated as described in field 'activate'.\r
+ */\r
+#define RNG_ONLINE_TEST_VAL_LIVE_CHI_SQUARED(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << RNG_ONLINE_TEST_VAL_LIVE_CHI_SQUARED_SHIFT)) & \\r
+     RNG_ONLINE_TEST_VAL_LIVE_CHI_SQUARED_MASK)\r
 #define RNG_ONLINE_TEST_VAL_MIN_CHI_SQUARED_MASK (0xF0U)\r
 #define RNG_ONLINE_TEST_VAL_MIN_CHI_SQUARED_SHIFT (4U)\r
-#define RNG_ONLINE_TEST_VAL_MIN_CHI_SQUARED(x)   (((uint32_t)(((uint32_t)(x)) << RNG_ONLINE_TEST_VAL_MIN_CHI_SQUARED_SHIFT)) & RNG_ONLINE_TEST_VAL_MIN_CHI_SQUARED_MASK)\r
+/*! MIN_CHI_SQUARED - This field is reset when 'activate'==0.\r
+ */\r
+#define RNG_ONLINE_TEST_VAL_MIN_CHI_SQUARED(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << RNG_ONLINE_TEST_VAL_MIN_CHI_SQUARED_SHIFT)) & \\r
+     RNG_ONLINE_TEST_VAL_MIN_CHI_SQUARED_MASK)\r
 #define RNG_ONLINE_TEST_VAL_MAX_CHI_SQUARED_MASK (0xF00U)\r
 #define RNG_ONLINE_TEST_VAL_MAX_CHI_SQUARED_SHIFT (8U)\r
-#define RNG_ONLINE_TEST_VAL_MAX_CHI_SQUARED(x)   (((uint32_t)(((uint32_t)(x)) << RNG_ONLINE_TEST_VAL_MAX_CHI_SQUARED_SHIFT)) & RNG_ONLINE_TEST_VAL_MAX_CHI_SQUARED_MASK)\r
-/*! @} */\r
-\r
-/*! @name MISC_CFG -  */\r
-/*! @{ */\r
-#define RNG_MISC_CFG_AES_RESEED_MASK             (0x1U)\r
-#define RNG_MISC_CFG_AES_RESEED_SHIFT            (0U)\r
-#define RNG_MISC_CFG_AES_RESEED(x)               (((uint32_t)(((uint32_t)(x)) << RNG_MISC_CFG_AES_RESEED_SHIFT)) & RNG_MISC_CFG_AES_RESEED_MASK)\r
-#define RNG_MISC_CFG_AES_DT_CFG_MASK             (0x2U)\r
-#define RNG_MISC_CFG_AES_DT_CFG_SHIFT            (1U)\r
-#define RNG_MISC_CFG_AES_DT_CFG(x)               (((uint32_t)(((uint32_t)(x)) << RNG_MISC_CFG_AES_DT_CFG_SHIFT)) & RNG_MISC_CFG_AES_DT_CFG_MASK)\r
-/*! @} */\r
-\r
-/*! @name POWERDOWN - Powerdown mode (standard but certainly useless here) */\r
-/*! @{ */\r
-#define RNG_POWERDOWN_SOFT_RESET_MASK            (0x1U)\r
-#define RNG_POWERDOWN_SOFT_RESET_SHIFT           (0U)\r
-#define RNG_POWERDOWN_SOFT_RESET(x)              (((uint32_t)(((uint32_t)(x)) << RNG_POWERDOWN_SOFT_RESET_SHIFT)) & RNG_POWERDOWN_SOFT_RESET_MASK)\r
-#define RNG_POWERDOWN_FORCE_SOFT_RESET_MASK      (0x2U)\r
-#define RNG_POWERDOWN_FORCE_SOFT_RESET_SHIFT     (1U)\r
-#define RNG_POWERDOWN_FORCE_SOFT_RESET(x)        (((uint32_t)(((uint32_t)(x)) << RNG_POWERDOWN_FORCE_SOFT_RESET_SHIFT)) & RNG_POWERDOWN_FORCE_SOFT_RESET_MASK)\r
-#define RNG_POWERDOWN_POWERDOWN_MASK             (0x80000000U)\r
-#define RNG_POWERDOWN_POWERDOWN_SHIFT            (31U)\r
-#define RNG_POWERDOWN_POWERDOWN(x)               (((uint32_t)(((uint32_t)(x)) << RNG_POWERDOWN_POWERDOWN_SHIFT)) & RNG_POWERDOWN_POWERDOWN_MASK)\r
+/*! MAX_CHI_SQUARED - This field is reset when 'activate'==0.\r
+ */\r
+#define RNG_ONLINE_TEST_VAL_MAX_CHI_SQUARED(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << RNG_ONLINE_TEST_VAL_MAX_CHI_SQUARED_SHIFT)) & \\r
+     RNG_ONLINE_TEST_VAL_MAX_CHI_SQUARED_MASK)\r
 /*! @} */\r
 \r
 /*! @name MODULEID - IP identifier */\r
 /*! @{ */\r
-#define RNG_MODULEID_APERTURE_MASK               (0xFFU)\r
-#define RNG_MODULEID_APERTURE_SHIFT              (0U)\r
-#define RNG_MODULEID_APERTURE(x)                 (((uint32_t)(((uint32_t)(x)) << RNG_MODULEID_APERTURE_SHIFT)) & RNG_MODULEID_APERTURE_MASK)\r
-#define RNG_MODULEID_MIN_REV_MASK                (0xF00U)\r
-#define RNG_MODULEID_MIN_REV_SHIFT               (8U)\r
-#define RNG_MODULEID_MIN_REV(x)                  (((uint32_t)(((uint32_t)(x)) << RNG_MODULEID_MIN_REV_SHIFT)) & RNG_MODULEID_MIN_REV_MASK)\r
-#define RNG_MODULEID_MAJ_REV_MASK                (0xF000U)\r
-#define RNG_MODULEID_MAJ_REV_SHIFT               (12U)\r
-#define RNG_MODULEID_MAJ_REV(x)                  (((uint32_t)(((uint32_t)(x)) << RNG_MODULEID_MAJ_REV_SHIFT)) & RNG_MODULEID_MAJ_REV_MASK)\r
-#define RNG_MODULEID_ID_MASK                     (0xFFFF0000U)\r
-#define RNG_MODULEID_ID_SHIFT                    (16U)\r
-#define RNG_MODULEID_ID(x)                       (((uint32_t)(((uint32_t)(x)) << RNG_MODULEID_ID_SHIFT)) & RNG_MODULEID_ID_MASK)\r
+#define RNG_MODULEID_APERTURE_MASK (0xFFU)\r
+#define RNG_MODULEID_APERTURE_SHIFT (0U)\r
+/*! APERTURE - Aperture i.\r
+ */\r
+#define RNG_MODULEID_APERTURE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << RNG_MODULEID_APERTURE_SHIFT)) & RNG_MODULEID_APERTURE_MASK)\r
+#define RNG_MODULEID_MIN_REV_MASK (0xF00U)\r
+#define RNG_MODULEID_MIN_REV_SHIFT (8U)\r
+/*! MIN_REV - Minor revision i.\r
+ */\r
+#define RNG_MODULEID_MIN_REV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << RNG_MODULEID_MIN_REV_SHIFT)) & RNG_MODULEID_MIN_REV_MASK)\r
+#define RNG_MODULEID_MAJ_REV_MASK (0xF000U)\r
+#define RNG_MODULEID_MAJ_REV_SHIFT (12U)\r
+/*! MAJ_REV - Major revision i.\r
+ */\r
+#define RNG_MODULEID_MAJ_REV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << RNG_MODULEID_MAJ_REV_SHIFT)) & RNG_MODULEID_MAJ_REV_MASK)\r
+#define RNG_MODULEID_ID_MASK (0xFFFF0000U)\r
+#define RNG_MODULEID_ID_SHIFT (16U)\r
+/*! ID - Identifier.\r
+ */\r
+#define RNG_MODULEID_ID(x) (((uint32_t)(((uint32_t)(x)) << RNG_MODULEID_ID_SHIFT)) & RNG_MODULEID_ID_MASK)\r
 /*! @} */\r
 \r
-\r
 /*!\r
  * @}\r
  */ /* end of group RNG_Register_Masks */\r
 \r
-\r
 /* RNG - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral RNG base address */\r
-  #define RNG_BASE                                 (0x5003A000u)\r
-  /** Peripheral RNG base address */\r
-  #define RNG_BASE_NS                              (0x4003A000u)\r
-  /** Peripheral RNG base pointer */\r
-  #define RNG                                      ((RNG_Type *)RNG_BASE)\r
-  /** Peripheral RNG base pointer */\r
-  #define RNG_NS                                   ((RNG_Type *)RNG_BASE_NS)\r
-  /** Array initializer of RNG peripheral base addresses */\r
-  #define RNG_BASE_ADDRS                           { RNG_BASE }\r
-  /** Array initializer of RNG peripheral base pointers */\r
-  #define RNG_BASE_PTRS                            { RNG }\r
-  /** Array initializer of RNG peripheral base addresses */\r
-  #define RNG_BASE_ADDRS_NS                        { RNG_BASE_NS }\r
-  /** Array initializer of RNG peripheral base pointers */\r
-  #define RNG_BASE_PTRS_NS                         { RNG_NS }\r
+/** Peripheral RNG base address */\r
+#define RNG_BASE (0x5003A000u)\r
+/** Peripheral RNG base address */\r
+#define RNG_BASE_NS (0x4003A000u)\r
+/** Peripheral RNG base pointer */\r
+#define RNG ((RNG_Type *)RNG_BASE)\r
+/** Peripheral RNG base pointer */\r
+#define RNG_NS ((RNG_Type *)RNG_BASE_NS)\r
+/** Array initializer of RNG peripheral base addresses */\r
+#define RNG_BASE_ADDRS \\r
+    {                  \\r
+        RNG_BASE       \\r
+    }\r
+/** Array initializer of RNG peripheral base pointers */\r
+#define RNG_BASE_PTRS \\r
+    {                 \\r
+        RNG           \\r
+    }\r
+/** Array initializer of RNG peripheral base addresses */\r
+#define RNG_BASE_ADDRS_NS \\r
+    {                     \\r
+        RNG_BASE_NS       \\r
+    }\r
+/** Array initializer of RNG peripheral base pointers */\r
+#define RNG_BASE_PTRS_NS \\r
+    {                    \\r
+        RNG_NS           \\r
+    }\r
 #else\r
-  /** Peripheral RNG base address */\r
-  #define RNG_BASE                                 (0x4003A000u)\r
-  /** Peripheral RNG base pointer */\r
-  #define RNG                                      ((RNG_Type *)RNG_BASE)\r
-  /** Array initializer of RNG peripheral base addresses */\r
-  #define RNG_BASE_ADDRS                           { RNG_BASE }\r
-  /** Array initializer of RNG peripheral base pointers */\r
-  #define RNG_BASE_PTRS                            { RNG }\r
+/** Peripheral RNG base address */\r
+#define RNG_BASE (0x4003A000u)\r
+/** Peripheral RNG base pointer */\r
+#define RNG ((RNG_Type *)RNG_BASE)\r
+/** Array initializer of RNG peripheral base addresses */\r
+#define RNG_BASE_ADDRS \\r
+    {                  \\r
+        RNG_BASE       \\r
+    }\r
+/** Array initializer of RNG peripheral base pointers */\r
+#define RNG_BASE_PTRS \\r
+    {                 \\r
+        RNG           \\r
+    }\r
 #endif\r
 \r
 /*!\r
  * @}\r
  */ /* end of group RNG_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- RTC Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -13520,14 +17512,15 @@ typedef struct {
  */\r
 \r
 /** RTC - Register Layout Typedef */\r
-typedef struct {\r
-  __IO uint32_t CTRL;                              /**< RTC control register, offset: 0x0 */\r
-  __IO uint32_t MATCH;                             /**< RTC match register, offset: 0x4 */\r
-  __IO uint32_t COUNT;                             /**< RTC counter register, offset: 0x8 */\r
-  __IO uint32_t WAKE;                              /**< High-resolution/wake-up timer control register, offset: 0xC */\r
-  __I  uint32_t SUBSEC;                            /**< RTC Sub-second Counter register, offset: 0x10 */\r
-       uint8_t RESERVED_0[44];\r
-  __IO uint32_t GPREG[8];                          /**< General Purpose register, array offset: 0x40, array step: 0x4 */\r
+typedef struct\r
+{\r
+    __IO uint32_t CTRL;  /**< RTC control register, offset: 0x0 */\r
+    __IO uint32_t MATCH; /**< RTC match register, offset: 0x4 */\r
+    __IO uint32_t COUNT; /**< RTC counter register, offset: 0x8 */\r
+    __IO uint32_t WAKE;  /**< High-resolution/wake-up timer control register, offset: 0xC */\r
+    __I uint32_t SUBSEC; /**< Sub-second counter register, offset: 0x10 */\r
+    uint8_t RESERVED_0[44];\r
+    __IO uint32_t GPREG[8]; /**< General Purpose register, array offset: 0x40, array step: 0x4 */\r
 } RTC_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -13541,158 +17534,217 @@ typedef struct {
 \r
 /*! @name CTRL - RTC control register */\r
 /*! @{ */\r
-#define RTC_CTRL_SWRESET_MASK                    (0x1U)\r
-#define RTC_CTRL_SWRESET_SHIFT                   (0U)\r
+#define RTC_CTRL_SWRESET_MASK (0x1U)\r
+#define RTC_CTRL_SWRESET_SHIFT (0U)\r
 /*! SWRESET - Software reset control\r
- *  0b0..Not in reset. The RTC is not held in reset. This bit must be cleared prior to configuring or initiating any operation of the RTC.\r
- *  0b1..In reset. The RTC is held in reset. All register bits within the RTC will be forced to their reset value except the OFD bit. This bit must be cleared before writing to any register in the RTC - including writes to set any of the other bits within this register. Do not attempt to write to any bits of this register at the same time that the reset bit is being cleared.\r
- */\r
-#define RTC_CTRL_SWRESET(x)                      (((uint32_t)(((uint32_t)(x)) << RTC_CTRL_SWRESET_SHIFT)) & RTC_CTRL_SWRESET_MASK)\r
-#define RTC_CTRL_ALARM1HZ_MASK                   (0x4U)\r
-#define RTC_CTRL_ALARM1HZ_SHIFT                  (2U)\r
+ *  0b0..Not in reset. The RTC is not held in reset. This bit must be cleared prior to configuring or initiating any\r
+ * operation of the RTC. 0b1..In reset. The RTC is held in reset. All register bits within the RTC will be forced to\r
+ * their reset value except the OFD bit. This bit must be cleared before writing to any register in the RTC - including\r
+ * writes to set any of the other bits within this register. Do not attempt to write to any bits of this register at the\r
+ * same time that the reset bit is being cleared.\r
+ */\r
+#define RTC_CTRL_SWRESET(x) (((uint32_t)(((uint32_t)(x)) << RTC_CTRL_SWRESET_SHIFT)) & RTC_CTRL_SWRESET_MASK)\r
+#define RTC_CTRL_ALARM1HZ_MASK (0x4U)\r
+#define RTC_CTRL_ALARM1HZ_SHIFT (2U)\r
 /*! ALARM1HZ - RTC 1 Hz timer alarm flag status.\r
  *  0b0..No match. No match has occurred on the 1 Hz RTC timer. Writing a 0 has no effect.\r
- *  0b1..Match. A match condition has occurred on the 1 Hz RTC timer. This flag generates an RTC alarm interrupt request RTC_ALARM which can also wake up the part from any low power mode. Writing a 1 clears this bit.\r
+ *  0b1..Match. A match condition has occurred on the 1 Hz RTC timer. This flag generates an RTC alarm interrupt\r
+ *       request RTC_ALARM which can also wake up the part from any low power mode. Writing a 1 clears this bit.\r
  */\r
-#define RTC_CTRL_ALARM1HZ(x)                     (((uint32_t)(((uint32_t)(x)) << RTC_CTRL_ALARM1HZ_SHIFT)) & RTC_CTRL_ALARM1HZ_MASK)\r
-#define RTC_CTRL_WAKE1KHZ_MASK                   (0x8U)\r
-#define RTC_CTRL_WAKE1KHZ_SHIFT                  (3U)\r
+#define RTC_CTRL_ALARM1HZ(x) (((uint32_t)(((uint32_t)(x)) << RTC_CTRL_ALARM1HZ_SHIFT)) & RTC_CTRL_ALARM1HZ_MASK)\r
+#define RTC_CTRL_WAKE1KHZ_MASK (0x8U)\r
+#define RTC_CTRL_WAKE1KHZ_SHIFT (3U)\r
 /*! WAKE1KHZ - RTC 1 kHz timer wake-up flag status.\r
  *  0b0..Run. The RTC 1 kHz timer is running. Writing a 0 has no effect.\r
- *  0b1..Time-out. The 1 kHz high-resolution/wake-up timer has timed out. This flag generates an RTC wake-up interrupt request RTC-WAKE which can also wake up the part from any low power mode. Writing a 1 clears this bit.\r
+ *  0b1..Time-out. The 1 kHz high-resolution/wake-up timer has timed out. This flag generates an RTC wake-up\r
+ *       interrupt request RTC-WAKE which can also wake up the part from any low power mode. Writing a 1 clears this\r
+ * bit.\r
  */\r
-#define RTC_CTRL_WAKE1KHZ(x)                     (((uint32_t)(((uint32_t)(x)) << RTC_CTRL_WAKE1KHZ_SHIFT)) & RTC_CTRL_WAKE1KHZ_MASK)\r
-#define RTC_CTRL_ALARMDPD_EN_MASK                (0x10U)\r
-#define RTC_CTRL_ALARMDPD_EN_SHIFT               (4U)\r
+#define RTC_CTRL_WAKE1KHZ(x) (((uint32_t)(((uint32_t)(x)) << RTC_CTRL_WAKE1KHZ_SHIFT)) & RTC_CTRL_WAKE1KHZ_MASK)\r
+#define RTC_CTRL_ALARMDPD_EN_MASK (0x10U)\r
+#define RTC_CTRL_ALARMDPD_EN_SHIFT (4U)\r
 /*! ALARMDPD_EN - RTC 1 Hz timer alarm enable for Deep power-down.\r
  *  0b0..Disable. A match on the 1 Hz RTC timer will not bring the part out of Deep power-down mode.\r
  *  0b1..Enable. A match on the 1 Hz RTC timer bring the part out of Deep power-down mode.\r
  */\r
-#define RTC_CTRL_ALARMDPD_EN(x)                  (((uint32_t)(((uint32_t)(x)) << RTC_CTRL_ALARMDPD_EN_SHIFT)) & RTC_CTRL_ALARMDPD_EN_MASK)\r
-#define RTC_CTRL_WAKEDPD_EN_MASK                 (0x20U)\r
-#define RTC_CTRL_WAKEDPD_EN_SHIFT                (5U)\r
+#define RTC_CTRL_ALARMDPD_EN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << RTC_CTRL_ALARMDPD_EN_SHIFT)) & RTC_CTRL_ALARMDPD_EN_MASK)\r
+#define RTC_CTRL_WAKEDPD_EN_MASK (0x20U)\r
+#define RTC_CTRL_WAKEDPD_EN_SHIFT (5U)\r
 /*! WAKEDPD_EN - RTC 1 kHz timer wake-up enable for Deep power-down.\r
  *  0b0..Disable. A match on the 1 kHz RTC timer will not bring the part out of Deep power-down mode.\r
  *  0b1..Enable. A match on the 1 kHz RTC timer bring the part out of Deep power-down mode.\r
  */\r
-#define RTC_CTRL_WAKEDPD_EN(x)                   (((uint32_t)(((uint32_t)(x)) << RTC_CTRL_WAKEDPD_EN_SHIFT)) & RTC_CTRL_WAKEDPD_EN_MASK)\r
-#define RTC_CTRL_RTC1KHZ_EN_MASK                 (0x40U)\r
-#define RTC_CTRL_RTC1KHZ_EN_SHIFT                (6U)\r
-/*! RTC1KHZ_EN - RTC 1 kHz clock enable. This bit can be set to 0 to conserve power if the 1 kHz timer is not used. This bit has no effect when the RTC is disabled (bit 7 of this register is 0).\r
+#define RTC_CTRL_WAKEDPD_EN(x) (((uint32_t)(((uint32_t)(x)) << RTC_CTRL_WAKEDPD_EN_SHIFT)) & RTC_CTRL_WAKEDPD_EN_MASK)\r
+#define RTC_CTRL_RTC1KHZ_EN_MASK (0x40U)\r
+#define RTC_CTRL_RTC1KHZ_EN_SHIFT (6U)\r
+/*! RTC1KHZ_EN - RTC 1 kHz clock enable. This bit can be set to 0 to conserve power if the 1 kHz\r
+ *    timer is not used. This bit has no effect when the RTC is disabled (bit 7 of this register is 0).\r
  *  0b0..Disable. A match on the 1 kHz RTC timer will not bring the part out of Deep power-down mode.\r
  *  0b1..Enable. The 1 kHz RTC timer is enabled.\r
  */\r
-#define RTC_CTRL_RTC1KHZ_EN(x)                   (((uint32_t)(((uint32_t)(x)) << RTC_CTRL_RTC1KHZ_EN_SHIFT)) & RTC_CTRL_RTC1KHZ_EN_MASK)\r
-#define RTC_CTRL_RTC_EN_MASK                     (0x80U)\r
-#define RTC_CTRL_RTC_EN_SHIFT                    (7U)\r
+#define RTC_CTRL_RTC1KHZ_EN(x) (((uint32_t)(((uint32_t)(x)) << RTC_CTRL_RTC1KHZ_EN_SHIFT)) & RTC_CTRL_RTC1KHZ_EN_MASK)\r
+#define RTC_CTRL_RTC_EN_MASK (0x80U)\r
+#define RTC_CTRL_RTC_EN_SHIFT (7U)\r
 /*! RTC_EN - RTC enable.\r
- *  0b0..Disable. The RTC 1 Hz and 1 kHz clocks are shut down and the RTC operation is disabled. This bit should be 0 when writing to load a value in the RTC counter register.\r
- *  0b1..Enable. The 1 Hz RTC clock is running and RTC operation is enabled. This bit must be set to initiate operation of the RTC. The first clock to the RTC counter occurs 1 s after this bit is set. To also enable the high-resolution, 1 kHz clock, set bit 6 in this register.\r
- */\r
-#define RTC_CTRL_RTC_EN(x)                       (((uint32_t)(((uint32_t)(x)) << RTC_CTRL_RTC_EN_SHIFT)) & RTC_CTRL_RTC_EN_MASK)\r
-#define RTC_CTRL_RTC_OSC_PD_MASK                 (0x100U)\r
-#define RTC_CTRL_RTC_OSC_PD_SHIFT                (8U)\r
+ *  0b0..Disable. The RTC 1 Hz and 1 kHz clocks are shut down and the RTC operation is disabled. This bit should\r
+ *       be 0 when writing to load a value in the RTC counter register.\r
+ *  0b1..Enable. The 1 Hz RTC clock is running and RTC operation is enabled. This bit must be set to initiate\r
+ *       operation of the RTC. The first clock to the RTC counter occurs 1 s after this bit is set. To also enable the\r
+ *       high-resolution, 1 kHz clock, set bit 6 in this register.\r
+ */\r
+#define RTC_CTRL_RTC_EN(x) (((uint32_t)(((uint32_t)(x)) << RTC_CTRL_RTC_EN_SHIFT)) & RTC_CTRL_RTC_EN_MASK)\r
+#define RTC_CTRL_RTC_OSC_PD_MASK (0x100U)\r
+#define RTC_CTRL_RTC_OSC_PD_SHIFT (8U)\r
 /*! RTC_OSC_PD - RTC oscillator power-down control.\r
  *  0b0..See RTC_OSC_BYPASS\r
  *  0b1..RTC oscillator is powered-down.\r
  */\r
-#define RTC_CTRL_RTC_OSC_PD(x)                   (((uint32_t)(((uint32_t)(x)) << RTC_CTRL_RTC_OSC_PD_SHIFT)) & RTC_CTRL_RTC_OSC_PD_MASK)\r
-#define RTC_CTRL_RTC_OSC_BYPASS_MASK             (0x200U)\r
-#define RTC_CTRL_RTC_OSC_BYPASS_SHIFT            (9U)\r
+#define RTC_CTRL_RTC_OSC_PD(x) (((uint32_t)(((uint32_t)(x)) << RTC_CTRL_RTC_OSC_PD_SHIFT)) & RTC_CTRL_RTC_OSC_PD_MASK)\r
+#define RTC_CTRL_RTC_OSC_BYPASS_MASK (0x200U)\r
+#define RTC_CTRL_RTC_OSC_BYPASS_SHIFT (9U)\r
 /*! RTC_OSC_BYPASS - RTC oscillator bypass control.\r
- *  0b0..The RTC Oscillator operates normally as a crystal oscillator with the crystal connected between the RTC_XTALIN and RTC_XTALOUT pins.\r
- *  0b1..The RTC Oscillator is in bypass mode. In this mode a clock can be directly input into the RTC_XTALIN pin.\r
- */\r
-#define RTC_CTRL_RTC_OSC_BYPASS(x)               (((uint32_t)(((uint32_t)(x)) << RTC_CTRL_RTC_OSC_BYPASS_SHIFT)) & RTC_CTRL_RTC_OSC_BYPASS_MASK)\r
-#define RTC_CTRL_RTC_SUBSEC_ENA_MASK             (0x400U)\r
-#define RTC_CTRL_RTC_SUBSEC_ENA_SHIFT            (10U)\r
+ *  0b0..The RTC Oscillator operates normally as a crystal oscillator with the crystal connected between the RTC_XTALIN\r
+ * and RTC_XTALOUT pins. 0b1..The RTC Oscillator is in bypass mode. In this mode a clock can be directly input into the\r
+ * RTC_XTALIN pin.\r
+ */\r
+#define RTC_CTRL_RTC_OSC_BYPASS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << RTC_CTRL_RTC_OSC_BYPASS_SHIFT)) & RTC_CTRL_RTC_OSC_BYPASS_MASK)\r
+#define RTC_CTRL_RTC_SUBSEC_ENA_MASK (0x400U)\r
+#define RTC_CTRL_RTC_SUBSEC_ENA_SHIFT (10U)\r
 /*! RTC_SUBSEC_ENA - RTC Sub-second counter control.\r
- *  0b0..The sub-second counter (if implemented) is disabled. This bit is cleared by a system-level POR or BOD reset as well as a by the RTC_ENA bit (bit 7 in this register). On modules not equipped with a sub-second counter, this bit will always read-back as a '0'.\r
- *  0b1..The 32 KHz sub-second counter is enabled (if implemented). Counting commences on the start of the first one-second interval after this bit is set. Note: This bit can only be set after the RTC_ENA bit (bit 7) is set by a previous write operation. Note: The RTC sub-second counter must be re-enabled whenever the chip exits deep power-down mode.\r
+ *  0b0..The sub-second counter (if implemented) is disabled. This bit is cleared by a system-level POR or BOD\r
+ *       reset as well as a by the RTC_ENA bit (bit 7 in this register). On modules not equipped with a sub-second\r
+ *       counter, this bit will always read-back as a '0'.\r
+ *  0b1..The 32 KHz sub-second counter is enabled (if implemented). Counting commences on the start of the first\r
+ *       one-second interval after this bit is set. Note: This bit can only be set after the RTC_ENA bit (bit 7) is\r
+ *       set by a previous write operation. Note: The RTC sub-second counter must be re-enabled whenever the chip\r
+ *       exits deep power-down mode.\r
  */\r
-#define RTC_CTRL_RTC_SUBSEC_ENA(x)               (((uint32_t)(((uint32_t)(x)) << RTC_CTRL_RTC_SUBSEC_ENA_SHIFT)) & RTC_CTRL_RTC_SUBSEC_ENA_MASK)\r
+#define RTC_CTRL_RTC_SUBSEC_ENA(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << RTC_CTRL_RTC_SUBSEC_ENA_SHIFT)) & RTC_CTRL_RTC_SUBSEC_ENA_MASK)\r
 /*! @} */\r
 \r
 /*! @name MATCH - RTC match register */\r
 /*! @{ */\r
-#define RTC_MATCH_MATVAL_MASK                    (0xFFFFFFFFU)\r
-#define RTC_MATCH_MATVAL_SHIFT                   (0U)\r
-#define RTC_MATCH_MATVAL(x)                      (((uint32_t)(((uint32_t)(x)) << RTC_MATCH_MATVAL_SHIFT)) & RTC_MATCH_MATVAL_MASK)\r
+#define RTC_MATCH_MATVAL_MASK (0xFFFFFFFFU)\r
+#define RTC_MATCH_MATVAL_SHIFT (0U)\r
+/*! MATVAL - Contains the match value against which the 1 Hz RTC timer will be compared to set the\r
+ *    alarm flag RTC_ALARM and generate an alarm interrupt/wake-up if enabled.\r
+ */\r
+#define RTC_MATCH_MATVAL(x) (((uint32_t)(((uint32_t)(x)) << RTC_MATCH_MATVAL_SHIFT)) & RTC_MATCH_MATVAL_MASK)\r
 /*! @} */\r
 \r
 /*! @name COUNT - RTC counter register */\r
 /*! @{ */\r
-#define RTC_COUNT_VAL_MASK                       (0xFFFFFFFFU)\r
-#define RTC_COUNT_VAL_SHIFT                      (0U)\r
-#define RTC_COUNT_VAL(x)                         (((uint32_t)(((uint32_t)(x)) << RTC_COUNT_VAL_SHIFT)) & RTC_COUNT_VAL_MASK)\r
+#define RTC_COUNT_VAL_MASK (0xFFFFFFFFU)\r
+#define RTC_COUNT_VAL_SHIFT (0U)\r
+/*! VAL - A read reflects the current value of the main, 1 Hz RTC timer. A write loads a new initial\r
+ *    value into the timer. The RTC counter will count up continuously at a 1 Hz rate once the RTC\r
+ *    Software Reset is removed (by clearing bit 0 of the CTRL register). Only write to this\r
+ *    register when the RTC_EN bit in the RTC CTRL Register is 0. The counter increments one second after\r
+ *    the RTC_EN bit is set.\r
+ */\r
+#define RTC_COUNT_VAL(x) (((uint32_t)(((uint32_t)(x)) << RTC_COUNT_VAL_SHIFT)) & RTC_COUNT_VAL_MASK)\r
 /*! @} */\r
 \r
 /*! @name WAKE - High-resolution/wake-up timer control register */\r
 /*! @{ */\r
-#define RTC_WAKE_VAL_MASK                        (0xFFFFU)\r
-#define RTC_WAKE_VAL_SHIFT                       (0U)\r
-#define RTC_WAKE_VAL(x)                          (((uint32_t)(((uint32_t)(x)) << RTC_WAKE_VAL_SHIFT)) & RTC_WAKE_VAL_MASK)\r
+#define RTC_WAKE_VAL_MASK (0xFFFFU)\r
+#define RTC_WAKE_VAL_SHIFT (0U)\r
+/*! VAL - A read reflects the current value of the high-resolution/wake-up timer. A write pre-loads\r
+ *    a start count value into the wake-up timer and initializes a count-down sequence. Do not write\r
+ *    to this register while counting is in progress.\r
+ */\r
+#define RTC_WAKE_VAL(x) (((uint32_t)(((uint32_t)(x)) << RTC_WAKE_VAL_SHIFT)) & RTC_WAKE_VAL_MASK)\r
 /*! @} */\r
 \r
-/*! @name SUBSEC - RTC Sub-second Counter register */\r
+/*! @name SUBSEC - Sub-second counter register */\r
 /*! @{ */\r
-#define RTC_SUBSEC_SUBSEC_MASK                   (0x7FFFU)\r
-#define RTC_SUBSEC_SUBSEC_SHIFT                  (0U)\r
-#define RTC_SUBSEC_SUBSEC(x)                     (((uint32_t)(((uint32_t)(x)) << RTC_SUBSEC_SUBSEC_SHIFT)) & RTC_SUBSEC_SUBSEC_MASK)\r
+#define RTC_SUBSEC_SUBSEC_MASK (0x7FFFU)\r
+#define RTC_SUBSEC_SUBSEC_SHIFT (0U)\r
+/*! SUBSEC - A read reflects the current value of the 32KHz sub-second counter. This counter is\r
+ *    cleared whenever the SUBSEC_ENA bit in the RTC_CONTROL register is low. Up-counting at a 32KHz\r
+ *    rate commences at the start of the next one-second interval after the SUBSEC_ENA bit is set. This\r
+ *    counter must be re-enabled after exiting deep power-down mode or after the main RTC module is\r
+ *    disabled and re-enabled. On modules not equipped with a sub-second counter, this register\r
+ *    will read-back as all zeroes.\r
+ */\r
+#define RTC_SUBSEC_SUBSEC(x) (((uint32_t)(((uint32_t)(x)) << RTC_SUBSEC_SUBSEC_SHIFT)) & RTC_SUBSEC_SUBSEC_MASK)\r
 /*! @} */\r
 \r
 /*! @name GPREG - General Purpose register */\r
 /*! @{ */\r
-#define RTC_GPREG_GPDATA_MASK                    (0xFFFFFFFFU)\r
-#define RTC_GPREG_GPDATA_SHIFT                   (0U)\r
-#define RTC_GPREG_GPDATA(x)                      (((uint32_t)(((uint32_t)(x)) << RTC_GPREG_GPDATA_SHIFT)) & RTC_GPREG_GPDATA_MASK)\r
+#define RTC_GPREG_GPDATA_MASK (0xFFFFFFFFU)\r
+#define RTC_GPREG_GPDATA_SHIFT (0U)\r
+/*! GPDATA - Data retained during Deep power-down mode or loss of main power as long as VBAT is supplied.\r
+ */\r
+#define RTC_GPREG_GPDATA(x) (((uint32_t)(((uint32_t)(x)) << RTC_GPREG_GPDATA_SHIFT)) & RTC_GPREG_GPDATA_MASK)\r
 /*! @} */\r
 \r
 /* The count of RTC_GPREG */\r
-#define RTC_GPREG_COUNT                          (8U)\r
-\r
+#define RTC_GPREG_COUNT (8U)\r
 \r
 /*!\r
  * @}\r
  */ /* end of group RTC_Register_Masks */\r
 \r
-\r
 /* RTC - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral RTC base address */\r
-  #define RTC_BASE                                 (0x5002C000u)\r
-  /** Peripheral RTC base address */\r
-  #define RTC_BASE_NS                              (0x4002C000u)\r
-  /** Peripheral RTC base pointer */\r
-  #define RTC                                      ((RTC_Type *)RTC_BASE)\r
-  /** Peripheral RTC base pointer */\r
-  #define RTC_NS                                   ((RTC_Type *)RTC_BASE_NS)\r
-  /** Array initializer of RTC peripheral base addresses */\r
-  #define RTC_BASE_ADDRS                           { RTC_BASE }\r
-  /** Array initializer of RTC peripheral base pointers */\r
-  #define RTC_BASE_PTRS                            { RTC }\r
-  /** Array initializer of RTC peripheral base addresses */\r
-  #define RTC_BASE_ADDRS_NS                        { RTC_BASE_NS }\r
-  /** Array initializer of RTC peripheral base pointers */\r
-  #define RTC_BASE_PTRS_NS                         { RTC_NS }\r
+/** Peripheral RTC base address */\r
+#define RTC_BASE (0x5002C000u)\r
+/** Peripheral RTC base address */\r
+#define RTC_BASE_NS (0x4002C000u)\r
+/** Peripheral RTC base pointer */\r
+#define RTC ((RTC_Type *)RTC_BASE)\r
+/** Peripheral RTC base pointer */\r
+#define RTC_NS ((RTC_Type *)RTC_BASE_NS)\r
+/** Array initializer of RTC peripheral base addresses */\r
+#define RTC_BASE_ADDRS \\r
+    {                  \\r
+        RTC_BASE       \\r
+    }\r
+/** Array initializer of RTC peripheral base pointers */\r
+#define RTC_BASE_PTRS \\r
+    {                 \\r
+        RTC           \\r
+    }\r
+/** Array initializer of RTC peripheral base addresses */\r
+#define RTC_BASE_ADDRS_NS \\r
+    {                     \\r
+        RTC_BASE_NS       \\r
+    }\r
+/** Array initializer of RTC peripheral base pointers */\r
+#define RTC_BASE_PTRS_NS \\r
+    {                    \\r
+        RTC_NS           \\r
+    }\r
 #else\r
-  /** Peripheral RTC base address */\r
-  #define RTC_BASE                                 (0x4002C000u)\r
-  /** Peripheral RTC base pointer */\r
-  #define RTC                                      ((RTC_Type *)RTC_BASE)\r
-  /** Array initializer of RTC peripheral base addresses */\r
-  #define RTC_BASE_ADDRS                           { RTC_BASE }\r
-  /** Array initializer of RTC peripheral base pointers */\r
-  #define RTC_BASE_PTRS                            { RTC }\r
+/** Peripheral RTC base address */\r
+#define RTC_BASE (0x4002C000u)\r
+/** Peripheral RTC base pointer */\r
+#define RTC ((RTC_Type *)RTC_BASE)\r
+/** Array initializer of RTC peripheral base addresses */\r
+#define RTC_BASE_ADDRS \\r
+    {                  \\r
+        RTC_BASE       \\r
+    }\r
+/** Array initializer of RTC peripheral base pointers */\r
+#define RTC_BASE_PTRS \\r
+    {                 \\r
+        RTC           \\r
+    }\r
 #endif\r
 /** Interrupt vectors for the RTC peripheral type */\r
-#define RTC_IRQS                                 { RTC_IRQn }\r
+#define RTC_IRQS \\r
+    {            \\r
+        RTC_IRQn \\r
+    }\r
 \r
 /*!\r
  * @}\r
  */ /* end of group RTC_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- SCT Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -13703,47 +17755,53 @@ typedef struct {
  */\r
 \r
 /** SCT - Register Layout Typedef */\r
-typedef struct {\r
-  __IO uint32_t CONFIG;                            /**< SCT configuration register, offset: 0x0 */\r
-  __IO uint32_t CTRL;                              /**< SCT control register, offset: 0x4 */\r
-  __IO uint32_t LIMIT;                             /**< SCT limit event select register, offset: 0x8 */\r
-  __IO uint32_t HALT;                              /**< SCT halt event select register, offset: 0xC */\r
-  __IO uint32_t STOP;                              /**< SCT stop event select register, offset: 0x10 */\r
-  __IO uint32_t START;                             /**< SCT start event select register, offset: 0x14 */\r
-       uint8_t RESERVED_0[40];\r
-  __IO uint32_t COUNT;                             /**< SCT counter register, offset: 0x40 */\r
-  __IO uint32_t STATE;                             /**< SCT state register, offset: 0x44 */\r
-  __I  uint32_t INPUT;                             /**< SCT input register, offset: 0x48 */\r
-  __IO uint32_t REGMODE;                           /**< SCT match/capture mode register, offset: 0x4C */\r
-  __IO uint32_t OUTPUT;                            /**< SCT output register, offset: 0x50 */\r
-  __IO uint32_t OUTPUTDIRCTRL;                     /**< SCT output counter direction control register, offset: 0x54 */\r
-  __IO uint32_t RES;                               /**< SCT conflict resolution register, offset: 0x58 */\r
-  __IO uint32_t DMA0REQUEST;                       /**< SCT DMA request 0 register, offset: 0x5C */\r
-  __IO uint32_t DMA1REQUEST;                       /**< SCT DMA request 1 register, offset: 0x60 */\r
-       uint8_t RESERVED_1[140];\r
-  __IO uint32_t EVEN;                              /**< SCT event interrupt enable register, offset: 0xF0 */\r
-  __IO uint32_t EVFLAG;                            /**< SCT event flag register, offset: 0xF4 */\r
-  __IO uint32_t CONEN;                             /**< SCT conflict interrupt enable register, offset: 0xF8 */\r
-  __IO uint32_t CONFLAG;                           /**< SCT conflict flag register, offset: 0xFC */\r
-  union {                                          /* offset: 0x100 */\r
-    __IO uint32_t SCTCAP[10];                        /**< SCT capture register of capture channel, array offset: 0x100, array step: 0x4 */\r
-    __IO uint32_t SCTMATCH[10];                      /**< SCT match value register of match channels, array offset: 0x100, array step: 0x4 */\r
-  };\r
-       uint8_t RESERVED_2[216];\r
-  union {                                          /* offset: 0x200 */\r
-    __IO uint32_t SCTCAPCTRL[10];                    /**< SCT capture control register, array offset: 0x200, array step: 0x4 */\r
-    __IO uint32_t SCTMATCHREL[10];                   /**< SCT match reload value register, array offset: 0x200, array step: 0x4 */\r
-  };\r
-       uint8_t RESERVED_3[216];\r
-  struct {                                         /* offset: 0x300, array step: 0x8 */\r
-    __IO uint32_t STATE;                             /**< SCT event state register 0, array offset: 0x300, array step: 0x8 */\r
-    __IO uint32_t CTRL;                              /**< SCT event control register 0, array offset: 0x304, array step: 0x8 */\r
-  } EVENT[10];\r
-       uint8_t RESERVED_4[432];\r
-  struct {                                         /* offset: 0x500, array step: 0x8 */\r
-    __IO uint32_t SET;                               /**< SCT output 0 set register, array offset: 0x500, array step: 0x8 */\r
-    __IO uint32_t CLR;                               /**< SCT output 0 clear register, array offset: 0x504, array step: 0x8 */\r
-  } OUT[10];\r
+typedef struct\r
+{\r
+    __IO uint32_t CONFIG; /**< SCT configuration register, offset: 0x0 */\r
+    __IO uint32_t CTRL;   /**< SCT control register, offset: 0x4 */\r
+    __IO uint32_t LIMIT;  /**< SCT limit event select register, offset: 0x8 */\r
+    __IO uint32_t HALT;   /**< SCT halt event select register, offset: 0xC */\r
+    __IO uint32_t STOP;   /**< SCT stop event select register, offset: 0x10 */\r
+    __IO uint32_t START;  /**< SCT start event select register, offset: 0x14 */\r
+    uint8_t RESERVED_0[40];\r
+    __IO uint32_t COUNT;         /**< SCT counter register, offset: 0x40 */\r
+    __IO uint32_t STATE;         /**< SCT state register, offset: 0x44 */\r
+    __I uint32_t INPUT;          /**< SCT input register, offset: 0x48 */\r
+    __IO uint32_t REGMODE;       /**< SCT match/capture mode register, offset: 0x4C */\r
+    __IO uint32_t OUTPUT;        /**< SCT output register, offset: 0x50 */\r
+    __IO uint32_t OUTPUTDIRCTRL; /**< SCT output counter direction control register, offset: 0x54 */\r
+    __IO uint32_t RES;           /**< SCT conflict resolution register, offset: 0x58 */\r
+    __IO uint32_t DMAREQ0;       /**< SCT DMA request 0 register, offset: 0x5C */\r
+    __IO uint32_t DMAREQ1;       /**< SCT DMA request 1 register, offset: 0x60 */\r
+    uint8_t RESERVED_1[140];\r
+    __IO uint32_t EVEN;    /**< SCT event interrupt enable register, offset: 0xF0 */\r
+    __IO uint32_t EVFLAG;  /**< SCT event flag register, offset: 0xF4 */\r
+    __IO uint32_t CONEN;   /**< SCT conflict interrupt enable register, offset: 0xF8 */\r
+    __IO uint32_t CONFLAG; /**< SCT conflict flag register, offset: 0xFC */\r
+    union\r
+    {                          /* offset: 0x100 */\r
+        __IO uint32_t CAP[16]; /**< SCT capture register of capture channel, array offset: 0x100, array step: 0x4 */\r
+        __IO uint32_t\r
+            MATCH[16]; /**< SCT match value register of match channels, array offset: 0x100, array step: 0x4 */\r
+    };\r
+    uint8_t RESERVED_2[192];\r
+    union\r
+    {                               /* offset: 0x200 */\r
+        __IO uint32_t CAPCTRL[16];  /**< SCT capture control register, array offset: 0x200, array step: 0x4 */\r
+        __IO uint32_t MATCHREL[16]; /**< SCT match reload value register, array offset: 0x200, array step: 0x4 */\r
+    };\r
+    uint8_t RESERVED_3[192];\r
+    struct\r
+    {                        /* offset: 0x300, array step: 0x8 */\r
+        __IO uint32_t STATE; /**< SCT event state register 0, array offset: 0x300, array step: 0x8 */\r
+        __IO uint32_t CTRL;  /**< SCT event control register 0, array offset: 0x304, array step: 0x8 */\r
+    } EV[16];\r
+    uint8_t RESERVED_4[384];\r
+    struct\r
+    {                      /* offset: 0x500, array step: 0x8 */\r
+        __IO uint32_t SET; /**< SCT output 0 set register, array offset: 0x500, array step: 0x8 */\r
+        __IO uint32_t CLR; /**< SCT output 0 clear register, array offset: 0x504, array step: 0x8 */\r
+    } OUT[10];\r
 } SCT_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -13757,25 +17815,33 @@ typedef struct {
 \r
 /*! @name CONFIG - SCT configuration register */\r
 /*! @{ */\r
-#define SCT_CONFIG_UNIFY_MASK                    (0x1U)\r
-#define SCT_CONFIG_UNIFY_SHIFT                   (0U)\r
+#define SCT_CONFIG_UNIFY_MASK (0x1U)\r
+#define SCT_CONFIG_UNIFY_SHIFT (0U)\r
 /*! UNIFY - SCT operation\r
  *  0b0..The SCT operates as two 16-bit counters named COUNTER_L and COUNTER_H.\r
  *  0b1..The SCT operates as a unified 32-bit counter.\r
  */\r
-#define SCT_CONFIG_UNIFY(x)                      (((uint32_t)(((uint32_t)(x)) << SCT_CONFIG_UNIFY_SHIFT)) & SCT_CONFIG_UNIFY_MASK)\r
-#define SCT_CONFIG_CLKMODE_MASK                  (0x6U)\r
-#define SCT_CONFIG_CLKMODE_SHIFT                 (1U)\r
+#define SCT_CONFIG_UNIFY(x) (((uint32_t)(((uint32_t)(x)) << SCT_CONFIG_UNIFY_SHIFT)) & SCT_CONFIG_UNIFY_MASK)\r
+#define SCT_CONFIG_CLKMODE_MASK (0x6U)\r
+#define SCT_CONFIG_CLKMODE_SHIFT (1U)\r
 /*! CLKMODE - SCT clock mode\r
- *  0b00..System Clock Mode. The system clock clocks the entire SCT module including the counter(s) and counter prescalers.\r
- *  0b01..Sampled System Clock Mode. The system clock clocks the SCT module, but the counter and prescalers are only enabled to count when the designated edge is detected on the input selected by the CKSEL field. The minimum pulse width on the selected clock-gate input is 1 bus clock period. This mode is the high-performance, sampled-clock mode.\r
- *  0b10..SCT Input Clock Mode. The input/edge selected by the CKSEL field clocks the SCT module, including the counters and prescalers, after first being synchronized to the system clock. The minimum pulse width on the clock input is 1 bus clock period. This mode is the low-power, sampled-clock mode.\r
- *  0b11..Asynchronous Mode. The entire SCT module is clocked directly by the input/edge selected by the CKSEL field. In this mode, the SCT outputs are switched synchronously to the SCT input clock - not the system clock. The input clock rate must be at least half the system clock rate and can be the same or faster than the system clock.\r
- */\r
-#define SCT_CONFIG_CLKMODE(x)                    (((uint32_t)(((uint32_t)(x)) << SCT_CONFIG_CLKMODE_SHIFT)) & SCT_CONFIG_CLKMODE_MASK)\r
-#define SCT_CONFIG_CKSEL_MASK                    (0x78U)\r
-#define SCT_CONFIG_CKSEL_SHIFT                   (3U)\r
-/*! CKSEL - SCT clock select. The specific functionality of the designated input/edge is dependent on the CLKMODE bit selection in this register.\r
+ *  0b00..System Clock Mode. The system clock clocks the entire SCT module including the counter(s) and counter\r
+ * prescalers. 0b01..Sampled System Clock Mode. The system clock clocks the SCT module, but the counter and prescalers\r
+ * are only enabled to count when the designated edge is detected on the input selected by the CKSEL field. The minimum\r
+ * pulse width on the selected clock-gate input is 1 bus clock period. This mode is the high-performance, sampled-clock\r
+ * mode. 0b10..SCT Input Clock Mode. The input/edge selected by the CKSEL field clocks the SCT module, including the\r
+ *        counters and prescalers, after first being synchronized to the system clock. The minimum pulse width on the\r
+ *        clock input is 1 bus clock period. This mode is the low-power, sampled-clock mode.\r
+ *  0b11..Asynchronous Mode. The entire SCT module is clocked directly by the input/edge selected by the CKSEL\r
+ *        field. In this mode, the SCT outputs are switched synchronously to the SCT input clock - not the system\r
+ *        clock. The input clock rate must be at least half the system clock rate and can be the same or faster than\r
+ *        the system clock.\r
+ */\r
+#define SCT_CONFIG_CLKMODE(x) (((uint32_t)(((uint32_t)(x)) << SCT_CONFIG_CLKMODE_SHIFT)) & SCT_CONFIG_CLKMODE_MASK)\r
+#define SCT_CONFIG_CKSEL_MASK (0x78U)\r
+#define SCT_CONFIG_CKSEL_SHIFT (3U)\r
+/*! CKSEL - SCT clock select. The specific functionality of the designated input/edge is dependent\r
+ *    on the CLKMODE bit selection in this register.\r
  *  0b0000..Rising edges on input 0.\r
  *  0b0001..Falling edges on input 0.\r
  *  0b0010..Rising edges on input 1.\r
@@ -13784,780 +17850,1129 @@ typedef struct {
  *  0b0101..Falling edges on input 2.\r
  *  0b0110..Rising edges on input 3.\r
  *  0b0111..Falling edges on input 3.\r
- */\r
-#define SCT_CONFIG_CKSEL(x)                      (((uint32_t)(((uint32_t)(x)) << SCT_CONFIG_CKSEL_SHIFT)) & SCT_CONFIG_CKSEL_MASK)\r
-#define SCT_CONFIG_NORELAOD_L_MASK               (0x80U)\r
-#define SCT_CONFIG_NORELAOD_L_SHIFT              (7U)\r
-#define SCT_CONFIG_NORELAOD_L(x)                 (((uint32_t)(((uint32_t)(x)) << SCT_CONFIG_NORELAOD_L_SHIFT)) & SCT_CONFIG_NORELAOD_L_MASK)\r
-#define SCT_CONFIG_NORELOAD_H_MASK               (0x100U)\r
-#define SCT_CONFIG_NORELOAD_H_SHIFT              (8U)\r
-#define SCT_CONFIG_NORELOAD_H(x)                 (((uint32_t)(((uint32_t)(x)) << SCT_CONFIG_NORELOAD_H_SHIFT)) & SCT_CONFIG_NORELOAD_H_MASK)\r
-#define SCT_CONFIG_INSYNC_MASK                   (0x1E00U)\r
-#define SCT_CONFIG_INSYNC_SHIFT                  (9U)\r
-#define SCT_CONFIG_INSYNC(x)                     (((uint32_t)(((uint32_t)(x)) << SCT_CONFIG_INSYNC_SHIFT)) & SCT_CONFIG_INSYNC_MASK)\r
-#define SCT_CONFIG_AUTOLIMIT_L_MASK              (0x20000U)\r
-#define SCT_CONFIG_AUTOLIMIT_L_SHIFT             (17U)\r
-#define SCT_CONFIG_AUTOLIMIT_L(x)                (((uint32_t)(((uint32_t)(x)) << SCT_CONFIG_AUTOLIMIT_L_SHIFT)) & SCT_CONFIG_AUTOLIMIT_L_MASK)\r
-#define SCT_CONFIG_AUTOLIMIT_H_MASK              (0x40000U)\r
-#define SCT_CONFIG_AUTOLIMIT_H_SHIFT             (18U)\r
-#define SCT_CONFIG_AUTOLIMIT_H(x)                (((uint32_t)(((uint32_t)(x)) << SCT_CONFIG_AUTOLIMIT_H_SHIFT)) & SCT_CONFIG_AUTOLIMIT_H_MASK)\r
+ *  0b1000..Rising edges on input 4.\r
+ *  0b1001..Falling edges on input 4.\r
+ *  0b1010..Rising edges on input 5.\r
+ *  0b1011..Falling edges on input 5.\r
+ *  0b1100..Rising edges on input 6.\r
+ *  0b1101..Falling edges on input 6.\r
+ *  0b1110..Rising edges on input 7.\r
+ *  0b1111..Falling edges on input 7.\r
+ */\r
+#define SCT_CONFIG_CKSEL(x) (((uint32_t)(((uint32_t)(x)) << SCT_CONFIG_CKSEL_SHIFT)) & SCT_CONFIG_CKSEL_MASK)\r
+#define SCT_CONFIG_NORELOAD_L_MASK (0x80U)\r
+#define SCT_CONFIG_NORELOAD_L_SHIFT (7U)\r
+/*! NORELOAD_L - A 1 in this bit prevents the lower match registers from being reloaded from their\r
+ *    respective reload registers. Setting this bit eliminates the need to write to the reload\r
+ *    registers MATCHREL if the match values are fixed. Software can write to set or clear this bit at any\r
+ *    time. This bit applies to both the higher and lower registers when the UNIFY bit is set.\r
+ */\r
+#define SCT_CONFIG_NORELOAD_L(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SCT_CONFIG_NORELOAD_L_SHIFT)) & SCT_CONFIG_NORELOAD_L_MASK)\r
+#define SCT_CONFIG_NORELOAD_H_MASK (0x100U)\r
+#define SCT_CONFIG_NORELOAD_H_SHIFT (8U)\r
+/*! NORELOAD_H - A 1 in this bit prevents the higher match registers from being reloaded from their\r
+ *    respective reload registers. Setting this bit eliminates the need to write to the reload\r
+ *    registers MATCHREL if the match values are fixed. Software can write to set or clear this bit at\r
+ *    any time. This bit is not used when the UNIFY bit is set.\r
+ */\r
+#define SCT_CONFIG_NORELOAD_H(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SCT_CONFIG_NORELOAD_H_SHIFT)) & SCT_CONFIG_NORELOAD_H_MASK)\r
+#define SCT_CONFIG_INSYNC_MASK (0x1E00U)\r
+#define SCT_CONFIG_INSYNC_SHIFT (9U)\r
+/*! INSYNC - Synchronization for input N (bit 9 = input 0, bit 10 = input 1,, bit 12 = input 3); all\r
+ *    other bits are reserved. A 1 in one of these bits subjects the corresponding input to\r
+ *    synchronization to the SCT clock, before it is used to create an event. If an input is known to\r
+ *    already be synchronous to the SCT clock, this bit may be set to 0 for faster input response. (Note:\r
+ *    The SCT clock is the system clock for CKMODEs 0-2. It is the selected, asynchronous SCT input\r
+ *    clock for CKMODE3). Note that the INSYNC field only affects inputs used for event generation.\r
+ *    It does not apply to the clock input specified in the CKSEL field.\r
+ */\r
+#define SCT_CONFIG_INSYNC(x) (((uint32_t)(((uint32_t)(x)) << SCT_CONFIG_INSYNC_SHIFT)) & SCT_CONFIG_INSYNC_MASK)\r
+#define SCT_CONFIG_AUTOLIMIT_L_MASK (0x20000U)\r
+#define SCT_CONFIG_AUTOLIMIT_L_SHIFT (17U)\r
+/*! AUTOLIMIT_L - A one in this bit causes a match on match register 0 to be treated as a de-facto\r
+ *    LIMIT condition without the need to define an associated event. As with any LIMIT event, this\r
+ *    automatic limit causes the counter to be cleared to zero in unidirectional mode or to change\r
+ *    the direction of count in bi-directional mode. Software can write to set or clear this bit at\r
+ *    any time. This bit applies to both the higher and lower registers when the UNIFY bit is set.\r
+ */\r
+#define SCT_CONFIG_AUTOLIMIT_L(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SCT_CONFIG_AUTOLIMIT_L_SHIFT)) & SCT_CONFIG_AUTOLIMIT_L_MASK)\r
+#define SCT_CONFIG_AUTOLIMIT_H_MASK (0x40000U)\r
+#define SCT_CONFIG_AUTOLIMIT_H_SHIFT (18U)\r
+/*! AUTOLIMIT_H - A one in this bit will cause a match on match register 0 to be treated as a\r
+ *    de-facto LIMIT condition without the need to define an associated event. As with any LIMIT event,\r
+ *    this automatic limit causes the counter to be cleared to zero in unidirectional mode or to\r
+ *    change the direction of count in bi-directional mode. Software can write to set or clear this bit\r
+ *    at any time. This bit is not used when the UNIFY bit is set.\r
+ */\r
+#define SCT_CONFIG_AUTOLIMIT_H(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SCT_CONFIG_AUTOLIMIT_H_SHIFT)) & SCT_CONFIG_AUTOLIMIT_H_MASK)\r
 /*! @} */\r
 \r
 /*! @name CTRL - SCT control register */\r
 /*! @{ */\r
-#define SCT_CTRL_DOWN_L_MASK                     (0x1U)\r
-#define SCT_CTRL_DOWN_L_SHIFT                    (0U)\r
-#define SCT_CTRL_DOWN_L(x)                       (((uint32_t)(((uint32_t)(x)) << SCT_CTRL_DOWN_L_SHIFT)) & SCT_CTRL_DOWN_L_MASK)\r
-#define SCT_CTRL_STOP_L_MASK                     (0x2U)\r
-#define SCT_CTRL_STOP_L_SHIFT                    (1U)\r
-#define SCT_CTRL_STOP_L(x)                       (((uint32_t)(((uint32_t)(x)) << SCT_CTRL_STOP_L_SHIFT)) & SCT_CTRL_STOP_L_MASK)\r
-#define SCT_CTRL_HALT_L_MASK                     (0x4U)\r
-#define SCT_CTRL_HALT_L_SHIFT                    (2U)\r
-#define SCT_CTRL_HALT_L(x)                       (((uint32_t)(((uint32_t)(x)) << SCT_CTRL_HALT_L_SHIFT)) & SCT_CTRL_HALT_L_MASK)\r
-#define SCT_CTRL_CLRCTR_L_MASK                   (0x8U)\r
-#define SCT_CTRL_CLRCTR_L_SHIFT                  (3U)\r
-#define SCT_CTRL_CLRCTR_L(x)                     (((uint32_t)(((uint32_t)(x)) << SCT_CTRL_CLRCTR_L_SHIFT)) & SCT_CTRL_CLRCTR_L_MASK)\r
-#define SCT_CTRL_BIDIR_L_MASK                    (0x10U)\r
-#define SCT_CTRL_BIDIR_L_SHIFT                   (4U)\r
+#define SCT_CTRL_DOWN_L_MASK (0x1U)\r
+#define SCT_CTRL_DOWN_L_SHIFT (0U)\r
+/*! DOWN_L - This bit is 1 when the L or unified counter is counting down. Hardware sets this bit\r
+ *    when the counter is counting up, counter limit occurs, and BIDIR = 1.Hardware clears this bit\r
+ *    when the counter is counting down and a limit condition occurs or when the counter reaches 0.\r
+ */\r
+#define SCT_CTRL_DOWN_L(x) (((uint32_t)(((uint32_t)(x)) << SCT_CTRL_DOWN_L_SHIFT)) & SCT_CTRL_DOWN_L_MASK)\r
+#define SCT_CTRL_STOP_L_MASK (0x2U)\r
+#define SCT_CTRL_STOP_L_SHIFT (1U)\r
+/*! STOP_L - When this bit is 1 and HALT is 0, the L or unified counter does not run, but I/O events\r
+ *    related to the counter can occur. If a designated start event occurs, this bit is cleared and\r
+ *    counting resumes.\r
+ */\r
+#define SCT_CTRL_STOP_L(x) (((uint32_t)(((uint32_t)(x)) << SCT_CTRL_STOP_L_SHIFT)) & SCT_CTRL_STOP_L_MASK)\r
+#define SCT_CTRL_HALT_L_MASK (0x4U)\r
+#define SCT_CTRL_HALT_L_SHIFT (2U)\r
+/*! HALT_L - When this bit is 1, the L or unified counter does not run and no events can occur. A\r
+ *    reset sets this bit. When the HALT_L bit is one, the STOP_L bit is cleared. It is possible to\r
+ *    remove the halt condition while keeping the SCT in the stop condition (not running) with a\r
+ *    single write to this register to simultaneously clear the HALT bit and set the STOP bit. Once set,\r
+ *    only software can clear this bit to restore counter operation. This bit is set on reset.\r
+ */\r
+#define SCT_CTRL_HALT_L(x) (((uint32_t)(((uint32_t)(x)) << SCT_CTRL_HALT_L_SHIFT)) & SCT_CTRL_HALT_L_MASK)\r
+#define SCT_CTRL_CLRCTR_L_MASK (0x8U)\r
+#define SCT_CTRL_CLRCTR_L_SHIFT (3U)\r
+/*! CLRCTR_L - Writing a 1 to this bit clears the L or unified counter. This bit always reads as 0.\r
+ */\r
+#define SCT_CTRL_CLRCTR_L(x) (((uint32_t)(((uint32_t)(x)) << SCT_CTRL_CLRCTR_L_SHIFT)) & SCT_CTRL_CLRCTR_L_MASK)\r
+#define SCT_CTRL_BIDIR_L_MASK (0x10U)\r
+#define SCT_CTRL_BIDIR_L_SHIFT (4U)\r
 /*! BIDIR_L - L or unified counter direction select\r
  *  0b0..Up. The counter counts up to a limit condition, then is cleared to zero.\r
  *  0b1..Up-down. The counter counts up to a limit, then counts down to a limit condition or to 0.\r
  */\r
-#define SCT_CTRL_BIDIR_L(x)                      (((uint32_t)(((uint32_t)(x)) << SCT_CTRL_BIDIR_L_SHIFT)) & SCT_CTRL_BIDIR_L_MASK)\r
-#define SCT_CTRL_PRE_L_MASK                      (0x1FE0U)\r
-#define SCT_CTRL_PRE_L_SHIFT                     (5U)\r
-#define SCT_CTRL_PRE_L(x)                        (((uint32_t)(((uint32_t)(x)) << SCT_CTRL_PRE_L_SHIFT)) & SCT_CTRL_PRE_L_MASK)\r
-#define SCT_CTRL_DOWN_H_MASK                     (0x10000U)\r
-#define SCT_CTRL_DOWN_H_SHIFT                    (16U)\r
-#define SCT_CTRL_DOWN_H(x)                       (((uint32_t)(((uint32_t)(x)) << SCT_CTRL_DOWN_H_SHIFT)) & SCT_CTRL_DOWN_H_MASK)\r
-#define SCT_CTRL_STOP_H_MASK                     (0x20000U)\r
-#define SCT_CTRL_STOP_H_SHIFT                    (17U)\r
-#define SCT_CTRL_STOP_H(x)                       (((uint32_t)(((uint32_t)(x)) << SCT_CTRL_STOP_H_SHIFT)) & SCT_CTRL_STOP_H_MASK)\r
-#define SCT_CTRL_HALT_H_MASK                     (0x40000U)\r
-#define SCT_CTRL_HALT_H_SHIFT                    (18U)\r
-#define SCT_CTRL_HALT_H(x)                       (((uint32_t)(((uint32_t)(x)) << SCT_CTRL_HALT_H_SHIFT)) & SCT_CTRL_HALT_H_MASK)\r
-#define SCT_CTRL_CLRCTR_H_MASK                   (0x80000U)\r
-#define SCT_CTRL_CLRCTR_H_SHIFT                  (19U)\r
-#define SCT_CTRL_CLRCTR_H(x)                     (((uint32_t)(((uint32_t)(x)) << SCT_CTRL_CLRCTR_H_SHIFT)) & SCT_CTRL_CLRCTR_H_MASK)\r
-#define SCT_CTRL_BIDIR_H_MASK                    (0x100000U)\r
-#define SCT_CTRL_BIDIR_H_SHIFT                   (20U)\r
+#define SCT_CTRL_BIDIR_L(x) (((uint32_t)(((uint32_t)(x)) << SCT_CTRL_BIDIR_L_SHIFT)) & SCT_CTRL_BIDIR_L_MASK)\r
+#define SCT_CTRL_PRE_L_MASK (0x1FE0U)\r
+#define SCT_CTRL_PRE_L_SHIFT (5U)\r
+/*! PRE_L - Specifies the factor by which the SCT clock is prescaled to produce the L or unified\r
+ *    counter clock. The counter clock is clocked at the rate of the SCT clock divided by PRE_L+1.\r
+ *    Clear the counter (by writing a 1 to the CLRCTR bit) whenever changing the PRE value.\r
+ */\r
+#define SCT_CTRL_PRE_L(x) (((uint32_t)(((uint32_t)(x)) << SCT_CTRL_PRE_L_SHIFT)) & SCT_CTRL_PRE_L_MASK)\r
+#define SCT_CTRL_DOWN_H_MASK (0x10000U)\r
+#define SCT_CTRL_DOWN_H_SHIFT (16U)\r
+/*! DOWN_H - This bit is 1 when the H counter is counting down. Hardware sets this bit when the\r
+ *    counter is counting, a counter limit condition occurs, and BIDIR is 1. Hardware clears this bit\r
+ *    when the counter is counting down and a limit condition occurs or when the counter reaches 0.\r
+ */\r
+#define SCT_CTRL_DOWN_H(x) (((uint32_t)(((uint32_t)(x)) << SCT_CTRL_DOWN_H_SHIFT)) & SCT_CTRL_DOWN_H_MASK)\r
+#define SCT_CTRL_STOP_H_MASK (0x20000U)\r
+#define SCT_CTRL_STOP_H_SHIFT (17U)\r
+/*! STOP_H - When this bit is 1 and HALT is 0, the H counter does not, run but I/O events related to\r
+ *    the counter can occur. If such an event matches the mask in the Start register, this bit is\r
+ *    cleared and counting resumes.\r
+ */\r
+#define SCT_CTRL_STOP_H(x) (((uint32_t)(((uint32_t)(x)) << SCT_CTRL_STOP_H_SHIFT)) & SCT_CTRL_STOP_H_MASK)\r
+#define SCT_CTRL_HALT_H_MASK (0x40000U)\r
+#define SCT_CTRL_HALT_H_SHIFT (18U)\r
+/*! HALT_H - When this bit is 1, the H counter does not run and no events can occur. A reset sets\r
+ *    this bit. When the HALT_H bit is one, the STOP_H bit is cleared. It is possible to remove the\r
+ *    halt condition while keeping the SCT in the stop condition (not running) with a single write to\r
+ *    this register to simultaneously clear the HALT bit and set the STOP bit. Once set, this bit\r
+ *    can only be cleared by software to restore counter operation. This bit is set on reset.\r
+ */\r
+#define SCT_CTRL_HALT_H(x) (((uint32_t)(((uint32_t)(x)) << SCT_CTRL_HALT_H_SHIFT)) & SCT_CTRL_HALT_H_MASK)\r
+#define SCT_CTRL_CLRCTR_H_MASK (0x80000U)\r
+#define SCT_CTRL_CLRCTR_H_SHIFT (19U)\r
+/*! CLRCTR_H - Writing a 1 to this bit clears the H counter. This bit always reads as 0.\r
+ */\r
+#define SCT_CTRL_CLRCTR_H(x) (((uint32_t)(((uint32_t)(x)) << SCT_CTRL_CLRCTR_H_SHIFT)) & SCT_CTRL_CLRCTR_H_MASK)\r
+#define SCT_CTRL_BIDIR_H_MASK (0x100000U)\r
+#define SCT_CTRL_BIDIR_H_SHIFT (20U)\r
 /*! BIDIR_H - Direction select\r
  *  0b0..The H counter counts up to its limit condition, then is cleared to zero.\r
  *  0b1..The H counter counts up to its limit, then counts down to a limit condition or to 0.\r
  */\r
-#define SCT_CTRL_BIDIR_H(x)                      (((uint32_t)(((uint32_t)(x)) << SCT_CTRL_BIDIR_H_SHIFT)) & SCT_CTRL_BIDIR_H_MASK)\r
-#define SCT_CTRL_PRE_H_MASK                      (0x1FE00000U)\r
-#define SCT_CTRL_PRE_H_SHIFT                     (21U)\r
-#define SCT_CTRL_PRE_H(x)                        (((uint32_t)(((uint32_t)(x)) << SCT_CTRL_PRE_H_SHIFT)) & SCT_CTRL_PRE_H_MASK)\r
+#define SCT_CTRL_BIDIR_H(x) (((uint32_t)(((uint32_t)(x)) << SCT_CTRL_BIDIR_H_SHIFT)) & SCT_CTRL_BIDIR_H_MASK)\r
+#define SCT_CTRL_PRE_H_MASK (0x1FE00000U)\r
+#define SCT_CTRL_PRE_H_SHIFT (21U)\r
+/*! PRE_H - Specifies the factor by which the SCT clock is prescaled to produce the H counter clock.\r
+ *    The counter clock is clocked at the rate of the SCT clock divided by PRELH+1. Clear the\r
+ *    counter (by writing a 1 to the CLRCTR bit) whenever changing the PRE value.\r
+ */\r
+#define SCT_CTRL_PRE_H(x) (((uint32_t)(((uint32_t)(x)) << SCT_CTRL_PRE_H_SHIFT)) & SCT_CTRL_PRE_H_MASK)\r
 /*! @} */\r
 \r
 /*! @name LIMIT - SCT limit event select register */\r
 /*! @{ */\r
-#define SCT_LIMIT_LIMMSK_L_MASK                  (0xFFFFU)\r
-#define SCT_LIMIT_LIMMSK_L_SHIFT                 (0U)\r
-#define SCT_LIMIT_LIMMSK_L(x)                    (((uint32_t)(((uint32_t)(x)) << SCT_LIMIT_LIMMSK_L_SHIFT)) & SCT_LIMIT_LIMMSK_L_MASK)\r
-#define SCT_LIMIT_LIMMSK_H_MASK                  (0xFFFF0000U)\r
-#define SCT_LIMIT_LIMMSK_H_SHIFT                 (16U)\r
-#define SCT_LIMIT_LIMMSK_H(x)                    (((uint32_t)(((uint32_t)(x)) << SCT_LIMIT_LIMMSK_H_SHIFT)) & SCT_LIMIT_LIMMSK_H_MASK)\r
+#define SCT_LIMIT_LIMMSK_L_MASK (0xFFFFU)\r
+#define SCT_LIMIT_LIMMSK_L_SHIFT (0U)\r
+/*! LIMMSK_L - If bit n is one, event n is used as a counter limit for the L or unified counter\r
+ *    (event 0 = bit 0, event 1 = bit 1, etc.). The number of bits = number of events in this SCT.\r
+ */\r
+#define SCT_LIMIT_LIMMSK_L(x) (((uint32_t)(((uint32_t)(x)) << SCT_LIMIT_LIMMSK_L_SHIFT)) & SCT_LIMIT_LIMMSK_L_MASK)\r
+#define SCT_LIMIT_LIMMSK_H_MASK (0xFFFF0000U)\r
+#define SCT_LIMIT_LIMMSK_H_SHIFT (16U)\r
+/*! LIMMSK_H - If bit n is one, event n is used as a counter limit for the H counter (event 0 = bit\r
+ *    16, event 1 = bit 17, etc.). The number of bits = number of events in this SCT.\r
+ */\r
+#define SCT_LIMIT_LIMMSK_H(x) (((uint32_t)(((uint32_t)(x)) << SCT_LIMIT_LIMMSK_H_SHIFT)) & SCT_LIMIT_LIMMSK_H_MASK)\r
 /*! @} */\r
 \r
 /*! @name HALT - SCT halt event select register */\r
 /*! @{ */\r
-#define SCT_HALT_HALTMSK_L_MASK                  (0xFFFFU)\r
-#define SCT_HALT_HALTMSK_L_SHIFT                 (0U)\r
-#define SCT_HALT_HALTMSK_L(x)                    (((uint32_t)(((uint32_t)(x)) << SCT_HALT_HALTMSK_L_SHIFT)) & SCT_HALT_HALTMSK_L_MASK)\r
-#define SCT_HALT_HALTMSK_H_MASK                  (0xFFFF0000U)\r
-#define SCT_HALT_HALTMSK_H_SHIFT                 (16U)\r
-#define SCT_HALT_HALTMSK_H(x)                    (((uint32_t)(((uint32_t)(x)) << SCT_HALT_HALTMSK_H_SHIFT)) & SCT_HALT_HALTMSK_H_MASK)\r
+#define SCT_HALT_HALTMSK_L_MASK (0xFFFFU)\r
+#define SCT_HALT_HALTMSK_L_SHIFT (0U)\r
+/*! HALTMSK_L - If bit n is one, event n sets the HALT_L bit in the CTRL register (event 0 = bit 0,\r
+ *    event 1 = bit 1, etc.). The number of bits = number of events in this SCT.\r
+ */\r
+#define SCT_HALT_HALTMSK_L(x) (((uint32_t)(((uint32_t)(x)) << SCT_HALT_HALTMSK_L_SHIFT)) & SCT_HALT_HALTMSK_L_MASK)\r
+#define SCT_HALT_HALTMSK_H_MASK (0xFFFF0000U)\r
+#define SCT_HALT_HALTMSK_H_SHIFT (16U)\r
+/*! HALTMSK_H - If bit n is one, event n sets the HALT_H bit in the CTRL register (event 0 = bit 16,\r
+ *    event 1 = bit 17, etc.). The number of bits = number of events in this SCT.\r
+ */\r
+#define SCT_HALT_HALTMSK_H(x) (((uint32_t)(((uint32_t)(x)) << SCT_HALT_HALTMSK_H_SHIFT)) & SCT_HALT_HALTMSK_H_MASK)\r
 /*! @} */\r
 \r
 /*! @name STOP - SCT stop event select register */\r
 /*! @{ */\r
-#define SCT_STOP_STOPMSK_L_MASK                  (0xFFFFU)\r
-#define SCT_STOP_STOPMSK_L_SHIFT                 (0U)\r
-#define SCT_STOP_STOPMSK_L(x)                    (((uint32_t)(((uint32_t)(x)) << SCT_STOP_STOPMSK_L_SHIFT)) & SCT_STOP_STOPMSK_L_MASK)\r
-#define SCT_STOP_STOPMSK_H_MASK                  (0xFFFF0000U)\r
-#define SCT_STOP_STOPMSK_H_SHIFT                 (16U)\r
-#define SCT_STOP_STOPMSK_H(x)                    (((uint32_t)(((uint32_t)(x)) << SCT_STOP_STOPMSK_H_SHIFT)) & SCT_STOP_STOPMSK_H_MASK)\r
+#define SCT_STOP_STOPMSK_L_MASK (0xFFFFU)\r
+#define SCT_STOP_STOPMSK_L_SHIFT (0U)\r
+/*! STOPMSK_L - If bit n is one, event n sets the STOP_L bit in the CTRL register (event 0 = bit 0,\r
+ *    event 1 = bit 1, etc.). The number of bits = number of events in this SCT.\r
+ */\r
+#define SCT_STOP_STOPMSK_L(x) (((uint32_t)(((uint32_t)(x)) << SCT_STOP_STOPMSK_L_SHIFT)) & SCT_STOP_STOPMSK_L_MASK)\r
+#define SCT_STOP_STOPMSK_H_MASK (0xFFFF0000U)\r
+#define SCT_STOP_STOPMSK_H_SHIFT (16U)\r
+/*! STOPMSK_H - If bit n is one, event n sets the STOP_H bit in the CTRL register (event 0 = bit 16,\r
+ *    event 1 = bit 17, etc.). The number of bits = number of events in this SCT.\r
+ */\r
+#define SCT_STOP_STOPMSK_H(x) (((uint32_t)(((uint32_t)(x)) << SCT_STOP_STOPMSK_H_SHIFT)) & SCT_STOP_STOPMSK_H_MASK)\r
 /*! @} */\r
 \r
 /*! @name START - SCT start event select register */\r
 /*! @{ */\r
-#define SCT_START_STARTMSK_L_MASK                (0xFFFFU)\r
-#define SCT_START_STARTMSK_L_SHIFT               (0U)\r
-#define SCT_START_STARTMSK_L(x)                  (((uint32_t)(((uint32_t)(x)) << SCT_START_STARTMSK_L_SHIFT)) & SCT_START_STARTMSK_L_MASK)\r
-#define SCT_START_STARTMSK_H_MASK                (0xFFFF0000U)\r
-#define SCT_START_STARTMSK_H_SHIFT               (16U)\r
-#define SCT_START_STARTMSK_H(x)                  (((uint32_t)(((uint32_t)(x)) << SCT_START_STARTMSK_H_SHIFT)) & SCT_START_STARTMSK_H_MASK)\r
+#define SCT_START_STARTMSK_L_MASK (0xFFFFU)\r
+#define SCT_START_STARTMSK_L_SHIFT (0U)\r
+/*! STARTMSK_L - If bit n is one, event n clears the STOP_L bit in the CTRL register (event 0 = bit\r
+ *    0, event 1 = bit 1, etc.). The number of bits = number of events in this SCT.\r
+ */\r
+#define SCT_START_STARTMSK_L(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SCT_START_STARTMSK_L_SHIFT)) & SCT_START_STARTMSK_L_MASK)\r
+#define SCT_START_STARTMSK_H_MASK (0xFFFF0000U)\r
+#define SCT_START_STARTMSK_H_SHIFT (16U)\r
+/*! STARTMSK_H - If bit n is one, event n clears the STOP_H bit in the CTRL register (event 0 = bit\r
+ *    16, event 1 = bit 17, etc.). The number of bits = number of events in this SCT.\r
+ */\r
+#define SCT_START_STARTMSK_H(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SCT_START_STARTMSK_H_SHIFT)) & SCT_START_STARTMSK_H_MASK)\r
 /*! @} */\r
 \r
 /*! @name COUNT - SCT counter register */\r
 /*! @{ */\r
-#define SCT_COUNT_CTR_L_MASK                     (0xFFFFU)\r
-#define SCT_COUNT_CTR_L_SHIFT                    (0U)\r
-#define SCT_COUNT_CTR_L(x)                       (((uint32_t)(((uint32_t)(x)) << SCT_COUNT_CTR_L_SHIFT)) & SCT_COUNT_CTR_L_MASK)\r
-#define SCT_COUNT_CTR_H_MASK                     (0xFFFF0000U)\r
-#define SCT_COUNT_CTR_H_SHIFT                    (16U)\r
-#define SCT_COUNT_CTR_H(x)                       (((uint32_t)(((uint32_t)(x)) << SCT_COUNT_CTR_H_SHIFT)) & SCT_COUNT_CTR_H_MASK)\r
+#define SCT_COUNT_CTR_L_MASK (0xFFFFU)\r
+#define SCT_COUNT_CTR_L_SHIFT (0U)\r
+/*! CTR_L - When UNIFY = 0, read or write the 16-bit L counter value. When UNIFY = 1, read or write\r
+ *    the lower 16 bits of the 32-bit unified counter.\r
+ */\r
+#define SCT_COUNT_CTR_L(x) (((uint32_t)(((uint32_t)(x)) << SCT_COUNT_CTR_L_SHIFT)) & SCT_COUNT_CTR_L_MASK)\r
+#define SCT_COUNT_CTR_H_MASK (0xFFFF0000U)\r
+#define SCT_COUNT_CTR_H_SHIFT (16U)\r
+/*! CTR_H - When UNIFY = 0, read or write the 16-bit H counter value. When UNIFY = 1, read or write\r
+ *    the upper 16 bits of the 32-bit unified counter.\r
+ */\r
+#define SCT_COUNT_CTR_H(x) (((uint32_t)(((uint32_t)(x)) << SCT_COUNT_CTR_H_SHIFT)) & SCT_COUNT_CTR_H_MASK)\r
 /*! @} */\r
 \r
 /*! @name STATE - SCT state register */\r
 /*! @{ */\r
-#define SCT_STATE_STATE_L_MASK                   (0x1FU)\r
-#define SCT_STATE_STATE_L_SHIFT                  (0U)\r
-#define SCT_STATE_STATE_L(x)                     (((uint32_t)(((uint32_t)(x)) << SCT_STATE_STATE_L_SHIFT)) & SCT_STATE_STATE_L_MASK)\r
-#define SCT_STATE_STATE_H_MASK                   (0x1F0000U)\r
-#define SCT_STATE_STATE_H_SHIFT                  (16U)\r
-#define SCT_STATE_STATE_H(x)                     (((uint32_t)(((uint32_t)(x)) << SCT_STATE_STATE_H_SHIFT)) & SCT_STATE_STATE_H_MASK)\r
+#define SCT_STATE_STATE_L_MASK (0x1FU)\r
+#define SCT_STATE_STATE_L_SHIFT (0U)\r
+/*! STATE_L - State variable.\r
+ */\r
+#define SCT_STATE_STATE_L(x) (((uint32_t)(((uint32_t)(x)) << SCT_STATE_STATE_L_SHIFT)) & SCT_STATE_STATE_L_MASK)\r
+#define SCT_STATE_STATE_H_MASK (0x1F0000U)\r
+#define SCT_STATE_STATE_H_SHIFT (16U)\r
+/*! STATE_H - State variable.\r
+ */\r
+#define SCT_STATE_STATE_H(x) (((uint32_t)(((uint32_t)(x)) << SCT_STATE_STATE_H_SHIFT)) & SCT_STATE_STATE_H_MASK)\r
 /*! @} */\r
 \r
 /*! @name INPUT - SCT input register */\r
 /*! @{ */\r
-#define SCT_INPUT_AIN0_MASK                      (0x1U)\r
-#define SCT_INPUT_AIN0_SHIFT                     (0U)\r
-#define SCT_INPUT_AIN0(x)                        (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_AIN0_SHIFT)) & SCT_INPUT_AIN0_MASK)\r
-#define SCT_INPUT_AIN1_MASK                      (0x2U)\r
-#define SCT_INPUT_AIN1_SHIFT                     (1U)\r
-#define SCT_INPUT_AIN1(x)                        (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_AIN1_SHIFT)) & SCT_INPUT_AIN1_MASK)\r
-#define SCT_INPUT_AIN2_MASK                      (0x4U)\r
-#define SCT_INPUT_AIN2_SHIFT                     (2U)\r
-#define SCT_INPUT_AIN2(x)                        (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_AIN2_SHIFT)) & SCT_INPUT_AIN2_MASK)\r
-#define SCT_INPUT_AIN3_MASK                      (0x8U)\r
-#define SCT_INPUT_AIN3_SHIFT                     (3U)\r
-#define SCT_INPUT_AIN3(x)                        (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_AIN3_SHIFT)) & SCT_INPUT_AIN3_MASK)\r
-#define SCT_INPUT_AIN4_MASK                      (0x10U)\r
-#define SCT_INPUT_AIN4_SHIFT                     (4U)\r
-#define SCT_INPUT_AIN4(x)                        (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_AIN4_SHIFT)) & SCT_INPUT_AIN4_MASK)\r
-#define SCT_INPUT_AIN5_MASK                      (0x20U)\r
-#define SCT_INPUT_AIN5_SHIFT                     (5U)\r
-#define SCT_INPUT_AIN5(x)                        (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_AIN5_SHIFT)) & SCT_INPUT_AIN5_MASK)\r
-#define SCT_INPUT_AIN6_MASK                      (0x40U)\r
-#define SCT_INPUT_AIN6_SHIFT                     (6U)\r
-#define SCT_INPUT_AIN6(x)                        (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_AIN6_SHIFT)) & SCT_INPUT_AIN6_MASK)\r
-#define SCT_INPUT_AIN7_MASK                      (0x80U)\r
-#define SCT_INPUT_AIN7_SHIFT                     (7U)\r
-#define SCT_INPUT_AIN7(x)                        (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_AIN7_SHIFT)) & SCT_INPUT_AIN7_MASK)\r
-#define SCT_INPUT_AIN8_MASK                      (0x100U)\r
-#define SCT_INPUT_AIN8_SHIFT                     (8U)\r
-#define SCT_INPUT_AIN8(x)                        (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_AIN8_SHIFT)) & SCT_INPUT_AIN8_MASK)\r
-#define SCT_INPUT_AIN9_MASK                      (0x200U)\r
-#define SCT_INPUT_AIN9_SHIFT                     (9U)\r
-#define SCT_INPUT_AIN9(x)                        (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_AIN9_SHIFT)) & SCT_INPUT_AIN9_MASK)\r
-#define SCT_INPUT_AIN10_MASK                     (0x400U)\r
-#define SCT_INPUT_AIN10_SHIFT                    (10U)\r
-#define SCT_INPUT_AIN10(x)                       (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_AIN10_SHIFT)) & SCT_INPUT_AIN10_MASK)\r
-#define SCT_INPUT_AIN11_MASK                     (0x800U)\r
-#define SCT_INPUT_AIN11_SHIFT                    (11U)\r
-#define SCT_INPUT_AIN11(x)                       (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_AIN11_SHIFT)) & SCT_INPUT_AIN11_MASK)\r
-#define SCT_INPUT_AIN12_MASK                     (0x1000U)\r
-#define SCT_INPUT_AIN12_SHIFT                    (12U)\r
-#define SCT_INPUT_AIN12(x)                       (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_AIN12_SHIFT)) & SCT_INPUT_AIN12_MASK)\r
-#define SCT_INPUT_AIN13_MASK                     (0x2000U)\r
-#define SCT_INPUT_AIN13_SHIFT                    (13U)\r
-#define SCT_INPUT_AIN13(x)                       (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_AIN13_SHIFT)) & SCT_INPUT_AIN13_MASK)\r
-#define SCT_INPUT_AIN14_MASK                     (0x4000U)\r
-#define SCT_INPUT_AIN14_SHIFT                    (14U)\r
-#define SCT_INPUT_AIN14(x)                       (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_AIN14_SHIFT)) & SCT_INPUT_AIN14_MASK)\r
-#define SCT_INPUT_AIN15_MASK                     (0x8000U)\r
-#define SCT_INPUT_AIN15_SHIFT                    (15U)\r
-#define SCT_INPUT_AIN15(x)                       (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_AIN15_SHIFT)) & SCT_INPUT_AIN15_MASK)\r
-#define SCT_INPUT_SIN0_MASK                      (0x10000U)\r
-#define SCT_INPUT_SIN0_SHIFT                     (16U)\r
-#define SCT_INPUT_SIN0(x)                        (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_SIN0_SHIFT)) & SCT_INPUT_SIN0_MASK)\r
-#define SCT_INPUT_SIN1_MASK                      (0x20000U)\r
-#define SCT_INPUT_SIN1_SHIFT                     (17U)\r
-#define SCT_INPUT_SIN1(x)                        (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_SIN1_SHIFT)) & SCT_INPUT_SIN1_MASK)\r
-#define SCT_INPUT_SIN2_MASK                      (0x40000U)\r
-#define SCT_INPUT_SIN2_SHIFT                     (18U)\r
-#define SCT_INPUT_SIN2(x)                        (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_SIN2_SHIFT)) & SCT_INPUT_SIN2_MASK)\r
-#define SCT_INPUT_SIN3_MASK                      (0x80000U)\r
-#define SCT_INPUT_SIN3_SHIFT                     (19U)\r
-#define SCT_INPUT_SIN3(x)                        (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_SIN3_SHIFT)) & SCT_INPUT_SIN3_MASK)\r
-#define SCT_INPUT_SIN4_MASK                      (0x100000U)\r
-#define SCT_INPUT_SIN4_SHIFT                     (20U)\r
-#define SCT_INPUT_SIN4(x)                        (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_SIN4_SHIFT)) & SCT_INPUT_SIN4_MASK)\r
-#define SCT_INPUT_SIN5_MASK                      (0x200000U)\r
-#define SCT_INPUT_SIN5_SHIFT                     (21U)\r
-#define SCT_INPUT_SIN5(x)                        (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_SIN5_SHIFT)) & SCT_INPUT_SIN5_MASK)\r
-#define SCT_INPUT_SIN6_MASK                      (0x400000U)\r
-#define SCT_INPUT_SIN6_SHIFT                     (22U)\r
-#define SCT_INPUT_SIN6(x)                        (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_SIN6_SHIFT)) & SCT_INPUT_SIN6_MASK)\r
-#define SCT_INPUT_SIN7_MASK                      (0x800000U)\r
-#define SCT_INPUT_SIN7_SHIFT                     (23U)\r
-#define SCT_INPUT_SIN7(x)                        (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_SIN7_SHIFT)) & SCT_INPUT_SIN7_MASK)\r
-#define SCT_INPUT_SIN8_MASK                      (0x1000000U)\r
-#define SCT_INPUT_SIN8_SHIFT                     (24U)\r
-#define SCT_INPUT_SIN8(x)                        (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_SIN8_SHIFT)) & SCT_INPUT_SIN8_MASK)\r
-#define SCT_INPUT_SIN9_MASK                      (0x2000000U)\r
-#define SCT_INPUT_SIN9_SHIFT                     (25U)\r
-#define SCT_INPUT_SIN9(x)                        (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_SIN9_SHIFT)) & SCT_INPUT_SIN9_MASK)\r
-#define SCT_INPUT_SIN10_MASK                     (0x4000000U)\r
-#define SCT_INPUT_SIN10_SHIFT                    (26U)\r
-#define SCT_INPUT_SIN10(x)                       (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_SIN10_SHIFT)) & SCT_INPUT_SIN10_MASK)\r
-#define SCT_INPUT_SIN11_MASK                     (0x8000000U)\r
-#define SCT_INPUT_SIN11_SHIFT                    (27U)\r
-#define SCT_INPUT_SIN11(x)                       (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_SIN11_SHIFT)) & SCT_INPUT_SIN11_MASK)\r
-#define SCT_INPUT_SIN12_MASK                     (0x10000000U)\r
-#define SCT_INPUT_SIN12_SHIFT                    (28U)\r
-#define SCT_INPUT_SIN12(x)                       (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_SIN12_SHIFT)) & SCT_INPUT_SIN12_MASK)\r
-#define SCT_INPUT_SIN13_MASK                     (0x20000000U)\r
-#define SCT_INPUT_SIN13_SHIFT                    (29U)\r
-#define SCT_INPUT_SIN13(x)                       (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_SIN13_SHIFT)) & SCT_INPUT_SIN13_MASK)\r
-#define SCT_INPUT_SIN14_MASK                     (0x40000000U)\r
-#define SCT_INPUT_SIN14_SHIFT                    (30U)\r
-#define SCT_INPUT_SIN14(x)                       (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_SIN14_SHIFT)) & SCT_INPUT_SIN14_MASK)\r
-#define SCT_INPUT_SIN15_MASK                     (0x80000000U)\r
-#define SCT_INPUT_SIN15_SHIFT                    (31U)\r
-#define SCT_INPUT_SIN15(x)                       (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_SIN15_SHIFT)) & SCT_INPUT_SIN15_MASK)\r
+#define SCT_INPUT_AIN0_MASK (0x1U)\r
+#define SCT_INPUT_AIN0_SHIFT (0U)\r
+/*! AIN0 - Input 0 state. Input 0 state on the last SCT clock edge.\r
+ */\r
+#define SCT_INPUT_AIN0(x) (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_AIN0_SHIFT)) & SCT_INPUT_AIN0_MASK)\r
+#define SCT_INPUT_AIN1_MASK (0x2U)\r
+#define SCT_INPUT_AIN1_SHIFT (1U)\r
+/*! AIN1 - Input 1 state. Input 1 state on the last SCT clock edge.\r
+ */\r
+#define SCT_INPUT_AIN1(x) (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_AIN1_SHIFT)) & SCT_INPUT_AIN1_MASK)\r
+#define SCT_INPUT_AIN2_MASK (0x4U)\r
+#define SCT_INPUT_AIN2_SHIFT (2U)\r
+/*! AIN2 - Input 2 state. Input 2 state on the last SCT clock edge.\r
+ */\r
+#define SCT_INPUT_AIN2(x) (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_AIN2_SHIFT)) & SCT_INPUT_AIN2_MASK)\r
+#define SCT_INPUT_AIN3_MASK (0x8U)\r
+#define SCT_INPUT_AIN3_SHIFT (3U)\r
+/*! AIN3 - Input 3 state. Input 3 state on the last SCT clock edge.\r
+ */\r
+#define SCT_INPUT_AIN3(x) (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_AIN3_SHIFT)) & SCT_INPUT_AIN3_MASK)\r
+#define SCT_INPUT_AIN4_MASK (0x10U)\r
+#define SCT_INPUT_AIN4_SHIFT (4U)\r
+/*! AIN4 - Input 4 state. Input 4 state on the last SCT clock edge.\r
+ */\r
+#define SCT_INPUT_AIN4(x) (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_AIN4_SHIFT)) & SCT_INPUT_AIN4_MASK)\r
+#define SCT_INPUT_AIN5_MASK (0x20U)\r
+#define SCT_INPUT_AIN5_SHIFT (5U)\r
+/*! AIN5 - Input 5 state. Input 5 state on the last SCT clock edge.\r
+ */\r
+#define SCT_INPUT_AIN5(x) (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_AIN5_SHIFT)) & SCT_INPUT_AIN5_MASK)\r
+#define SCT_INPUT_AIN6_MASK (0x40U)\r
+#define SCT_INPUT_AIN6_SHIFT (6U)\r
+/*! AIN6 - Input 6 state. Input 6 state on the last SCT clock edge.\r
+ */\r
+#define SCT_INPUT_AIN6(x) (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_AIN6_SHIFT)) & SCT_INPUT_AIN6_MASK)\r
+#define SCT_INPUT_AIN7_MASK (0x80U)\r
+#define SCT_INPUT_AIN7_SHIFT (7U)\r
+/*! AIN7 - Input 7 state. Input 7 state on the last SCT clock edge.\r
+ */\r
+#define SCT_INPUT_AIN7(x) (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_AIN7_SHIFT)) & SCT_INPUT_AIN7_MASK)\r
+#define SCT_INPUT_AIN8_MASK (0x100U)\r
+#define SCT_INPUT_AIN8_SHIFT (8U)\r
+/*! AIN8 - Input 8 state. Input 8 state on the last SCT clock edge.\r
+ */\r
+#define SCT_INPUT_AIN8(x) (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_AIN8_SHIFT)) & SCT_INPUT_AIN8_MASK)\r
+#define SCT_INPUT_AIN9_MASK (0x200U)\r
+#define SCT_INPUT_AIN9_SHIFT (9U)\r
+/*! AIN9 - Input 9 state. Input 9 state on the last SCT clock edge.\r
+ */\r
+#define SCT_INPUT_AIN9(x) (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_AIN9_SHIFT)) & SCT_INPUT_AIN9_MASK)\r
+#define SCT_INPUT_AIN10_MASK (0x400U)\r
+#define SCT_INPUT_AIN10_SHIFT (10U)\r
+/*! AIN10 - Input 10 state. Input 10 state on the last SCT clock edge.\r
+ */\r
+#define SCT_INPUT_AIN10(x) (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_AIN10_SHIFT)) & SCT_INPUT_AIN10_MASK)\r
+#define SCT_INPUT_AIN11_MASK (0x800U)\r
+#define SCT_INPUT_AIN11_SHIFT (11U)\r
+/*! AIN11 - Input 11 state. Input 11 state on the last SCT clock edge.\r
+ */\r
+#define SCT_INPUT_AIN11(x) (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_AIN11_SHIFT)) & SCT_INPUT_AIN11_MASK)\r
+#define SCT_INPUT_AIN12_MASK (0x1000U)\r
+#define SCT_INPUT_AIN12_SHIFT (12U)\r
+/*! AIN12 - Input 12 state. Input 12 state on the last SCT clock edge.\r
+ */\r
+#define SCT_INPUT_AIN12(x) (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_AIN12_SHIFT)) & SCT_INPUT_AIN12_MASK)\r
+#define SCT_INPUT_AIN13_MASK (0x2000U)\r
+#define SCT_INPUT_AIN13_SHIFT (13U)\r
+/*! AIN13 - Input 13 state. Input 13 state on the last SCT clock edge.\r
+ */\r
+#define SCT_INPUT_AIN13(x) (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_AIN13_SHIFT)) & SCT_INPUT_AIN13_MASK)\r
+#define SCT_INPUT_AIN14_MASK (0x4000U)\r
+#define SCT_INPUT_AIN14_SHIFT (14U)\r
+/*! AIN14 - Input 14 state. Input 14 state on the last SCT clock edge.\r
+ */\r
+#define SCT_INPUT_AIN14(x) (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_AIN14_SHIFT)) & SCT_INPUT_AIN14_MASK)\r
+#define SCT_INPUT_AIN15_MASK (0x8000U)\r
+#define SCT_INPUT_AIN15_SHIFT (15U)\r
+/*! AIN15 - Input 15 state. Input 15 state on the last SCT clock edge.\r
+ */\r
+#define SCT_INPUT_AIN15(x) (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_AIN15_SHIFT)) & SCT_INPUT_AIN15_MASK)\r
+#define SCT_INPUT_SIN0_MASK (0x10000U)\r
+#define SCT_INPUT_SIN0_SHIFT (16U)\r
+/*! SIN0 - Input 0 state. Input 0 state following the synchronization specified by INSYNC.\r
+ */\r
+#define SCT_INPUT_SIN0(x) (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_SIN0_SHIFT)) & SCT_INPUT_SIN0_MASK)\r
+#define SCT_INPUT_SIN1_MASK (0x20000U)\r
+#define SCT_INPUT_SIN1_SHIFT (17U)\r
+/*! SIN1 - Input 1 state. Input 1 state following the synchronization specified by INSYNC.\r
+ */\r
+#define SCT_INPUT_SIN1(x) (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_SIN1_SHIFT)) & SCT_INPUT_SIN1_MASK)\r
+#define SCT_INPUT_SIN2_MASK (0x40000U)\r
+#define SCT_INPUT_SIN2_SHIFT (18U)\r
+/*! SIN2 - Input 2 state. Input 2 state following the synchronization specified by INSYNC.\r
+ */\r
+#define SCT_INPUT_SIN2(x) (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_SIN2_SHIFT)) & SCT_INPUT_SIN2_MASK)\r
+#define SCT_INPUT_SIN3_MASK (0x80000U)\r
+#define SCT_INPUT_SIN3_SHIFT (19U)\r
+/*! SIN3 - Input 3 state. Input 3 state following the synchronization specified by INSYNC.\r
+ */\r
+#define SCT_INPUT_SIN3(x) (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_SIN3_SHIFT)) & SCT_INPUT_SIN3_MASK)\r
+#define SCT_INPUT_SIN4_MASK (0x100000U)\r
+#define SCT_INPUT_SIN4_SHIFT (20U)\r
+/*! SIN4 - Input 4 state. Input 4 state following the synchronization specified by INSYNC.\r
+ */\r
+#define SCT_INPUT_SIN4(x) (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_SIN4_SHIFT)) & SCT_INPUT_SIN4_MASK)\r
+#define SCT_INPUT_SIN5_MASK (0x200000U)\r
+#define SCT_INPUT_SIN5_SHIFT (21U)\r
+/*! SIN5 - Input 5 state. Input 5 state following the synchronization specified by INSYNC.\r
+ */\r
+#define SCT_INPUT_SIN5(x) (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_SIN5_SHIFT)) & SCT_INPUT_SIN5_MASK)\r
+#define SCT_INPUT_SIN6_MASK (0x400000U)\r
+#define SCT_INPUT_SIN6_SHIFT (22U)\r
+/*! SIN6 - Input 6 state. Input 6 state following the synchronization specified by INSYNC.\r
+ */\r
+#define SCT_INPUT_SIN6(x) (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_SIN6_SHIFT)) & SCT_INPUT_SIN6_MASK)\r
+#define SCT_INPUT_SIN7_MASK (0x800000U)\r
+#define SCT_INPUT_SIN7_SHIFT (23U)\r
+/*! SIN7 - Input 7 state. Input 7 state following the synchronization specified by INSYNC.\r
+ */\r
+#define SCT_INPUT_SIN7(x) (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_SIN7_SHIFT)) & SCT_INPUT_SIN7_MASK)\r
+#define SCT_INPUT_SIN8_MASK (0x1000000U)\r
+#define SCT_INPUT_SIN8_SHIFT (24U)\r
+/*! SIN8 - Input 8 state. Input 8 state following the synchronization specified by INSYNC.\r
+ */\r
+#define SCT_INPUT_SIN8(x) (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_SIN8_SHIFT)) & SCT_INPUT_SIN8_MASK)\r
+#define SCT_INPUT_SIN9_MASK (0x2000000U)\r
+#define SCT_INPUT_SIN9_SHIFT (25U)\r
+/*! SIN9 - Input 9 state. Input 9 state following the synchronization specified by INSYNC.\r
+ */\r
+#define SCT_INPUT_SIN9(x) (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_SIN9_SHIFT)) & SCT_INPUT_SIN9_MASK)\r
+#define SCT_INPUT_SIN10_MASK (0x4000000U)\r
+#define SCT_INPUT_SIN10_SHIFT (26U)\r
+/*! SIN10 - Input 10 state. Input 10 state following the synchronization specified by INSYNC.\r
+ */\r
+#define SCT_INPUT_SIN10(x) (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_SIN10_SHIFT)) & SCT_INPUT_SIN10_MASK)\r
+#define SCT_INPUT_SIN11_MASK (0x8000000U)\r
+#define SCT_INPUT_SIN11_SHIFT (27U)\r
+/*! SIN11 - Input 11 state. Input 11 state following the synchronization specified by INSYNC.\r
+ */\r
+#define SCT_INPUT_SIN11(x) (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_SIN11_SHIFT)) & SCT_INPUT_SIN11_MASK)\r
+#define SCT_INPUT_SIN12_MASK (0x10000000U)\r
+#define SCT_INPUT_SIN12_SHIFT (28U)\r
+/*! SIN12 - Input 12 state. Input 12 state following the synchronization specified by INSYNC.\r
+ */\r
+#define SCT_INPUT_SIN12(x) (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_SIN12_SHIFT)) & SCT_INPUT_SIN12_MASK)\r
+#define SCT_INPUT_SIN13_MASK (0x20000000U)\r
+#define SCT_INPUT_SIN13_SHIFT (29U)\r
+/*! SIN13 - Input 13 state. Input 13 state following the synchronization specified by INSYNC.\r
+ */\r
+#define SCT_INPUT_SIN13(x) (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_SIN13_SHIFT)) & SCT_INPUT_SIN13_MASK)\r
+#define SCT_INPUT_SIN14_MASK (0x40000000U)\r
+#define SCT_INPUT_SIN14_SHIFT (30U)\r
+/*! SIN14 - Input 14 state. Input 14 state following the synchronization specified by INSYNC.\r
+ */\r
+#define SCT_INPUT_SIN14(x) (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_SIN14_SHIFT)) & SCT_INPUT_SIN14_MASK)\r
+#define SCT_INPUT_SIN15_MASK (0x80000000U)\r
+#define SCT_INPUT_SIN15_SHIFT (31U)\r
+/*! SIN15 - Input 15 state. Input 15 state following the synchronization specified by INSYNC.\r
+ */\r
+#define SCT_INPUT_SIN15(x) (((uint32_t)(((uint32_t)(x)) << SCT_INPUT_SIN15_SHIFT)) & SCT_INPUT_SIN15_MASK)\r
 /*! @} */\r
 \r
 /*! @name REGMODE - SCT match/capture mode register */\r
 /*! @{ */\r
-#define SCT_REGMODE_REGMOD_L_MASK                (0xFFFFU)\r
-#define SCT_REGMODE_REGMOD_L_SHIFT               (0U)\r
-#define SCT_REGMODE_REGMOD_L(x)                  (((uint32_t)(((uint32_t)(x)) << SCT_REGMODE_REGMOD_L_SHIFT)) & SCT_REGMODE_REGMOD_L_MASK)\r
-#define SCT_REGMODE_REGMOD_H_MASK                (0xFFFF0000U)\r
-#define SCT_REGMODE_REGMOD_H_SHIFT               (16U)\r
-#define SCT_REGMODE_REGMOD_H(x)                  (((uint32_t)(((uint32_t)(x)) << SCT_REGMODE_REGMOD_H_SHIFT)) & SCT_REGMODE_REGMOD_H_MASK)\r
+#define SCT_REGMODE_REGMOD_L_MASK (0xFFFFU)\r
+#define SCT_REGMODE_REGMOD_L_SHIFT (0U)\r
+/*! REGMOD_L - Each bit controls one match/capture register (register 0 = bit 0, register 1 = bit 1,\r
+ *    etc.). The number of bits = number of match/captures in this SCT. 0 = register operates as\r
+ *    match register. 1 = register operates as capture register.\r
+ */\r
+#define SCT_REGMODE_REGMOD_L(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SCT_REGMODE_REGMOD_L_SHIFT)) & SCT_REGMODE_REGMOD_L_MASK)\r
+#define SCT_REGMODE_REGMOD_H_MASK (0xFFFF0000U)\r
+#define SCT_REGMODE_REGMOD_H_SHIFT (16U)\r
+/*! REGMOD_H - Each bit controls one match/capture register (register 0 = bit 16, register 1 = bit\r
+ *    17, etc.). The number of bits = number of match/captures in this SCT. 0 = register operates as\r
+ *    match registers. 1 = register operates as capture registers.\r
+ */\r
+#define SCT_REGMODE_REGMOD_H(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SCT_REGMODE_REGMOD_H_SHIFT)) & SCT_REGMODE_REGMOD_H_MASK)\r
 /*! @} */\r
 \r
 /*! @name OUTPUT - SCT output register */\r
 /*! @{ */\r
-#define SCT_OUTPUT_OUT_MASK                      (0xFFFFU)\r
-#define SCT_OUTPUT_OUT_SHIFT                     (0U)\r
-#define SCT_OUTPUT_OUT(x)                        (((uint32_t)(((uint32_t)(x)) << SCT_OUTPUT_OUT_SHIFT)) & SCT_OUTPUT_OUT_MASK)\r
+#define SCT_OUTPUT_OUT_MASK (0xFFFFU)\r
+#define SCT_OUTPUT_OUT_SHIFT (0U)\r
+/*! OUT - Writing a 1 to bit n forces the corresponding output HIGH. Writing a 0 forces the\r
+ *    corresponding output LOW (output 0 = bit 0, output 1 = bit 1, etc.). The number of bits = number of\r
+ *    outputs in this SCT.\r
+ */\r
+#define SCT_OUTPUT_OUT(x) (((uint32_t)(((uint32_t)(x)) << SCT_OUTPUT_OUT_SHIFT)) & SCT_OUTPUT_OUT_MASK)\r
 /*! @} */\r
 \r
 /*! @name OUTPUTDIRCTRL - SCT output counter direction control register */\r
 /*! @{ */\r
-#define SCT_OUTPUTDIRCTRL_SETCLR0_MASK           (0x3U)\r
-#define SCT_OUTPUTDIRCTRL_SETCLR0_SHIFT          (0U)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR0_MASK (0x3U)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR0_SHIFT (0U)\r
 /*! SETCLR0 - Set/clear operation on output 0. Value 0x3 is reserved. Do not program this value.\r
  *  0b00..Set and clear do not depend on the direction of any counter.\r
  *  0b01..Set and clear are reversed when counter L or the unified counter is counting down.\r
  *  0b10..Set and clear are reversed when counter H is counting down. Do not use if UNIFY = 1.\r
  */\r
-#define SCT_OUTPUTDIRCTRL_SETCLR0(x)             (((uint32_t)(((uint32_t)(x)) << SCT_OUTPUTDIRCTRL_SETCLR0_SHIFT)) & SCT_OUTPUTDIRCTRL_SETCLR0_MASK)\r
-#define SCT_OUTPUTDIRCTRL_SETCLR1_MASK           (0xCU)\r
-#define SCT_OUTPUTDIRCTRL_SETCLR1_SHIFT          (2U)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR0(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SCT_OUTPUTDIRCTRL_SETCLR0_SHIFT)) & SCT_OUTPUTDIRCTRL_SETCLR0_MASK)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR1_MASK (0xCU)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR1_SHIFT (2U)\r
 /*! SETCLR1 - Set/clear operation on output 1. Value 0x3 is reserved. Do not program this value.\r
  *  0b00..Set and clear do not depend on the direction of any counter.\r
  *  0b01..Set and clear are reversed when counter L or the unified counter is counting down.\r
  *  0b10..Set and clear are reversed when counter H is counting down. Do not use if UNIFY = 1.\r
  */\r
-#define SCT_OUTPUTDIRCTRL_SETCLR1(x)             (((uint32_t)(((uint32_t)(x)) << SCT_OUTPUTDIRCTRL_SETCLR1_SHIFT)) & SCT_OUTPUTDIRCTRL_SETCLR1_MASK)\r
-#define SCT_OUTPUTDIRCTRL_SETCLR2_MASK           (0x30U)\r
-#define SCT_OUTPUTDIRCTRL_SETCLR2_SHIFT          (4U)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR1(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SCT_OUTPUTDIRCTRL_SETCLR1_SHIFT)) & SCT_OUTPUTDIRCTRL_SETCLR1_MASK)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR2_MASK (0x30U)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR2_SHIFT (4U)\r
 /*! SETCLR2 - Set/clear operation on output 2. Value 0x3 is reserved. Do not program this value.\r
  *  0b00..Set and clear do not depend on the direction of any counter.\r
  *  0b01..Set and clear are reversed when counter L or the unified counter is counting down.\r
  *  0b10..Set and clear are reversed when counter H is counting down. Do not use if UNIFY = 1.\r
  */\r
-#define SCT_OUTPUTDIRCTRL_SETCLR2(x)             (((uint32_t)(((uint32_t)(x)) << SCT_OUTPUTDIRCTRL_SETCLR2_SHIFT)) & SCT_OUTPUTDIRCTRL_SETCLR2_MASK)\r
-#define SCT_OUTPUTDIRCTRL_SETCLR3_MASK           (0xC0U)\r
-#define SCT_OUTPUTDIRCTRL_SETCLR3_SHIFT          (6U)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR2(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SCT_OUTPUTDIRCTRL_SETCLR2_SHIFT)) & SCT_OUTPUTDIRCTRL_SETCLR2_MASK)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR3_MASK (0xC0U)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR3_SHIFT (6U)\r
 /*! SETCLR3 - Set/clear operation on output 3. Value 0x3 is reserved. Do not program this value.\r
  *  0b00..Set and clear do not depend on the direction of any counter.\r
  *  0b01..Set and clear are reversed when counter L or the unified counter is counting down.\r
  *  0b10..Set and clear are reversed when counter H is counting down. Do not use if UNIFY = 1.\r
  */\r
-#define SCT_OUTPUTDIRCTRL_SETCLR3(x)             (((uint32_t)(((uint32_t)(x)) << SCT_OUTPUTDIRCTRL_SETCLR3_SHIFT)) & SCT_OUTPUTDIRCTRL_SETCLR3_MASK)\r
-#define SCT_OUTPUTDIRCTRL_SETCLR4_MASK           (0x300U)\r
-#define SCT_OUTPUTDIRCTRL_SETCLR4_SHIFT          (8U)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR3(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SCT_OUTPUTDIRCTRL_SETCLR3_SHIFT)) & SCT_OUTPUTDIRCTRL_SETCLR3_MASK)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR4_MASK (0x300U)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR4_SHIFT (8U)\r
 /*! SETCLR4 - Set/clear operation on output 4. Value 0x3 is reserved. Do not program this value.\r
  *  0b00..Set and clear do not depend on the direction of any counter.\r
  *  0b01..Set and clear are reversed when counter L or the unified counter is counting down.\r
  *  0b10..Set and clear are reversed when counter H is counting down. Do not use if UNIFY = 1.\r
  */\r
-#define SCT_OUTPUTDIRCTRL_SETCLR4(x)             (((uint32_t)(((uint32_t)(x)) << SCT_OUTPUTDIRCTRL_SETCLR4_SHIFT)) & SCT_OUTPUTDIRCTRL_SETCLR4_MASK)\r
-#define SCT_OUTPUTDIRCTRL_SETCLR5_MASK           (0xC00U)\r
-#define SCT_OUTPUTDIRCTRL_SETCLR5_SHIFT          (10U)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR4(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SCT_OUTPUTDIRCTRL_SETCLR4_SHIFT)) & SCT_OUTPUTDIRCTRL_SETCLR4_MASK)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR5_MASK (0xC00U)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR5_SHIFT (10U)\r
 /*! SETCLR5 - Set/clear operation on output 5. Value 0x3 is reserved. Do not program this value.\r
  *  0b00..Set and clear do not depend on the direction of any counter.\r
  *  0b01..Set and clear are reversed when counter L or the unified counter is counting down.\r
  *  0b10..Set and clear are reversed when counter H is counting down. Do not use if UNIFY = 1.\r
  */\r
-#define SCT_OUTPUTDIRCTRL_SETCLR5(x)             (((uint32_t)(((uint32_t)(x)) << SCT_OUTPUTDIRCTRL_SETCLR5_SHIFT)) & SCT_OUTPUTDIRCTRL_SETCLR5_MASK)\r
-#define SCT_OUTPUTDIRCTRL_SETCLR6_MASK           (0x3000U)\r
-#define SCT_OUTPUTDIRCTRL_SETCLR6_SHIFT          (12U)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR5(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SCT_OUTPUTDIRCTRL_SETCLR5_SHIFT)) & SCT_OUTPUTDIRCTRL_SETCLR5_MASK)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR6_MASK (0x3000U)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR6_SHIFT (12U)\r
 /*! SETCLR6 - Set/clear operation on output 6. Value 0x3 is reserved. Do not program this value.\r
  *  0b00..Set and clear do not depend on the direction of any counter.\r
  *  0b01..Set and clear are reversed when counter L or the unified counter is counting down.\r
  *  0b10..Set and clear are reversed when counter H is counting down. Do not use if UNIFY = 1.\r
  */\r
-#define SCT_OUTPUTDIRCTRL_SETCLR6(x)             (((uint32_t)(((uint32_t)(x)) << SCT_OUTPUTDIRCTRL_SETCLR6_SHIFT)) & SCT_OUTPUTDIRCTRL_SETCLR6_MASK)\r
-#define SCT_OUTPUTDIRCTRL_SETCLR7_MASK           (0xC000U)\r
-#define SCT_OUTPUTDIRCTRL_SETCLR7_SHIFT          (14U)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR6(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SCT_OUTPUTDIRCTRL_SETCLR6_SHIFT)) & SCT_OUTPUTDIRCTRL_SETCLR6_MASK)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR7_MASK (0xC000U)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR7_SHIFT (14U)\r
 /*! SETCLR7 - Set/clear operation on output 7. Value 0x3 is reserved. Do not program this value.\r
  *  0b00..Set and clear do not depend on the direction of any counter.\r
  *  0b01..Set and clear are reversed when counter L or the unified counter is counting down.\r
  *  0b10..Set and clear are reversed when counter H is counting down. Do not use if UNIFY = 1.\r
  */\r
-#define SCT_OUTPUTDIRCTRL_SETCLR7(x)             (((uint32_t)(((uint32_t)(x)) << SCT_OUTPUTDIRCTRL_SETCLR7_SHIFT)) & SCT_OUTPUTDIRCTRL_SETCLR7_MASK)\r
-#define SCT_OUTPUTDIRCTRL_SETCLR8_MASK           (0x30000U)\r
-#define SCT_OUTPUTDIRCTRL_SETCLR8_SHIFT          (16U)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR7(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SCT_OUTPUTDIRCTRL_SETCLR7_SHIFT)) & SCT_OUTPUTDIRCTRL_SETCLR7_MASK)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR8_MASK (0x30000U)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR8_SHIFT (16U)\r
 /*! SETCLR8 - Set/clear operation on output 8. Value 0x3 is reserved. Do not program this value.\r
  *  0b00..Set and clear do not depend on the direction of any counter.\r
  *  0b01..Set and clear are reversed when counter L or the unified counter is counting down.\r
  *  0b10..Set and clear are reversed when counter H is counting down. Do not use if UNIFY = 1.\r
  */\r
-#define SCT_OUTPUTDIRCTRL_SETCLR8(x)             (((uint32_t)(((uint32_t)(x)) << SCT_OUTPUTDIRCTRL_SETCLR8_SHIFT)) & SCT_OUTPUTDIRCTRL_SETCLR8_MASK)\r
-#define SCT_OUTPUTDIRCTRL_SETCLR9_MASK           (0xC0000U)\r
-#define SCT_OUTPUTDIRCTRL_SETCLR9_SHIFT          (18U)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR8(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SCT_OUTPUTDIRCTRL_SETCLR8_SHIFT)) & SCT_OUTPUTDIRCTRL_SETCLR8_MASK)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR9_MASK (0xC0000U)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR9_SHIFT (18U)\r
 /*! SETCLR9 - Set/clear operation on output 9. Value 0x3 is reserved. Do not program this value.\r
  *  0b00..Set and clear do not depend on the direction of any counter.\r
  *  0b01..Set and clear are reversed when counter L or the unified counter is counting down.\r
  *  0b10..Set and clear are reversed when counter H is counting down. Do not use if UNIFY = 1.\r
  */\r
-#define SCT_OUTPUTDIRCTRL_SETCLR9(x)             (((uint32_t)(((uint32_t)(x)) << SCT_OUTPUTDIRCTRL_SETCLR9_SHIFT)) & SCT_OUTPUTDIRCTRL_SETCLR9_MASK)\r
-#define SCT_OUTPUTDIRCTRL_SETCLR10_MASK          (0x300000U)\r
-#define SCT_OUTPUTDIRCTRL_SETCLR10_SHIFT         (20U)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR9(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SCT_OUTPUTDIRCTRL_SETCLR9_SHIFT)) & SCT_OUTPUTDIRCTRL_SETCLR9_MASK)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR10_MASK (0x300000U)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR10_SHIFT (20U)\r
 /*! SETCLR10 - Set/clear operation on output 10. Value 0x3 is reserved. Do not program this value.\r
  *  0b00..Set and clear do not depend on the direction of any counter.\r
  *  0b01..Set and clear are reversed when counter L or the unified counter is counting down.\r
  *  0b10..Set and clear are reversed when counter H is counting down. Do not use if UNIFY = 1.\r
  */\r
-#define SCT_OUTPUTDIRCTRL_SETCLR10(x)            (((uint32_t)(((uint32_t)(x)) << SCT_OUTPUTDIRCTRL_SETCLR10_SHIFT)) & SCT_OUTPUTDIRCTRL_SETCLR10_MASK)\r
-#define SCT_OUTPUTDIRCTRL_SETCLR11_MASK          (0xC00000U)\r
-#define SCT_OUTPUTDIRCTRL_SETCLR11_SHIFT         (22U)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR10(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SCT_OUTPUTDIRCTRL_SETCLR10_SHIFT)) & SCT_OUTPUTDIRCTRL_SETCLR10_MASK)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR11_MASK (0xC00000U)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR11_SHIFT (22U)\r
 /*! SETCLR11 - Set/clear operation on output 11. Value 0x3 is reserved. Do not program this value.\r
  *  0b00..Set and clear do not depend on the direction of any counter.\r
  *  0b01..Set and clear are reversed when counter L or the unified counter is counting down.\r
  *  0b10..Set and clear are reversed when counter H is counting down. Do not use if UNIFY = 1.\r
  */\r
-#define SCT_OUTPUTDIRCTRL_SETCLR11(x)            (((uint32_t)(((uint32_t)(x)) << SCT_OUTPUTDIRCTRL_SETCLR11_SHIFT)) & SCT_OUTPUTDIRCTRL_SETCLR11_MASK)\r
-#define SCT_OUTPUTDIRCTRL_SETCLR12_MASK          (0x3000000U)\r
-#define SCT_OUTPUTDIRCTRL_SETCLR12_SHIFT         (24U)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR11(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SCT_OUTPUTDIRCTRL_SETCLR11_SHIFT)) & SCT_OUTPUTDIRCTRL_SETCLR11_MASK)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR12_MASK (0x3000000U)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR12_SHIFT (24U)\r
 /*! SETCLR12 - Set/clear operation on output 12. Value 0x3 is reserved. Do not program this value.\r
  *  0b00..Set and clear do not depend on the direction of any counter.\r
  *  0b01..Set and clear are reversed when counter L or the unified counter is counting down.\r
  *  0b10..Set and clear are reversed when counter H is counting down. Do not use if UNIFY = 1.\r
  */\r
-#define SCT_OUTPUTDIRCTRL_SETCLR12(x)            (((uint32_t)(((uint32_t)(x)) << SCT_OUTPUTDIRCTRL_SETCLR12_SHIFT)) & SCT_OUTPUTDIRCTRL_SETCLR12_MASK)\r
-#define SCT_OUTPUTDIRCTRL_SETCLR13_MASK          (0xC000000U)\r
-#define SCT_OUTPUTDIRCTRL_SETCLR13_SHIFT         (26U)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR12(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SCT_OUTPUTDIRCTRL_SETCLR12_SHIFT)) & SCT_OUTPUTDIRCTRL_SETCLR12_MASK)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR13_MASK (0xC000000U)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR13_SHIFT (26U)\r
 /*! SETCLR13 - Set/clear operation on output 13. Value 0x3 is reserved. Do not program this value.\r
  *  0b00..Set and clear do not depend on the direction of any counter.\r
  *  0b01..Set and clear are reversed when counter L or the unified counter is counting down.\r
  *  0b10..Set and clear are reversed when counter H is counting down. Do not use if UNIFY = 1.\r
  */\r
-#define SCT_OUTPUTDIRCTRL_SETCLR13(x)            (((uint32_t)(((uint32_t)(x)) << SCT_OUTPUTDIRCTRL_SETCLR13_SHIFT)) & SCT_OUTPUTDIRCTRL_SETCLR13_MASK)\r
-#define SCT_OUTPUTDIRCTRL_SETCLR14_MASK          (0x30000000U)\r
-#define SCT_OUTPUTDIRCTRL_SETCLR14_SHIFT         (28U)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR13(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SCT_OUTPUTDIRCTRL_SETCLR13_SHIFT)) & SCT_OUTPUTDIRCTRL_SETCLR13_MASK)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR14_MASK (0x30000000U)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR14_SHIFT (28U)\r
 /*! SETCLR14 - Set/clear operation on output 14. Value 0x3 is reserved. Do not program this value.\r
  *  0b00..Set and clear do not depend on the direction of any counter.\r
  *  0b01..Set and clear are reversed when counter L or the unified counter is counting down.\r
  *  0b10..Set and clear are reversed when counter H is counting down. Do not use if UNIFY = 1.\r
  */\r
-#define SCT_OUTPUTDIRCTRL_SETCLR14(x)            (((uint32_t)(((uint32_t)(x)) << SCT_OUTPUTDIRCTRL_SETCLR14_SHIFT)) & SCT_OUTPUTDIRCTRL_SETCLR14_MASK)\r
-#define SCT_OUTPUTDIRCTRL_SETCLR15_MASK          (0xC0000000U)\r
-#define SCT_OUTPUTDIRCTRL_SETCLR15_SHIFT         (30U)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR14(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SCT_OUTPUTDIRCTRL_SETCLR14_SHIFT)) & SCT_OUTPUTDIRCTRL_SETCLR14_MASK)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR15_MASK (0xC0000000U)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR15_SHIFT (30U)\r
 /*! SETCLR15 - Set/clear operation on output 15. Value 0x3 is reserved. Do not program this value.\r
  *  0b00..Set and clear do not depend on the direction of any counter.\r
  *  0b01..Set and clear are reversed when counter L or the unified counter is counting down.\r
  *  0b10..Set and clear are reversed when counter H is counting down. Do not use if UNIFY = 1.\r
  */\r
-#define SCT_OUTPUTDIRCTRL_SETCLR15(x)            (((uint32_t)(((uint32_t)(x)) << SCT_OUTPUTDIRCTRL_SETCLR15_SHIFT)) & SCT_OUTPUTDIRCTRL_SETCLR15_MASK)\r
+#define SCT_OUTPUTDIRCTRL_SETCLR15(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SCT_OUTPUTDIRCTRL_SETCLR15_SHIFT)) & SCT_OUTPUTDIRCTRL_SETCLR15_MASK)\r
 /*! @} */\r
 \r
 /*! @name RES - SCT conflict resolution register */\r
 /*! @{ */\r
-#define SCT_RES_O0RES_MASK                       (0x3U)\r
-#define SCT_RES_O0RES_SHIFT                      (0U)\r
+#define SCT_RES_O0RES_MASK (0x3U)\r
+#define SCT_RES_O0RES_SHIFT (0U)\r
 /*! O0RES - Effect of simultaneous set and clear on output 0.\r
  *  0b00..No change.\r
  *  0b01..Set output (or clear based on the SETCLR0 field in the OUTPUTDIRCTRL register).\r
  *  0b10..Clear output (or set based on the SETCLR0 field).\r
  *  0b11..Toggle output.\r
  */\r
-#define SCT_RES_O0RES(x)                         (((uint32_t)(((uint32_t)(x)) << SCT_RES_O0RES_SHIFT)) & SCT_RES_O0RES_MASK)\r
-#define SCT_RES_O1RES_MASK                       (0xCU)\r
-#define SCT_RES_O1RES_SHIFT                      (2U)\r
+#define SCT_RES_O0RES(x) (((uint32_t)(((uint32_t)(x)) << SCT_RES_O0RES_SHIFT)) & SCT_RES_O0RES_MASK)\r
+#define SCT_RES_O1RES_MASK (0xCU)\r
+#define SCT_RES_O1RES_SHIFT (2U)\r
 /*! O1RES - Effect of simultaneous set and clear on output 1.\r
  *  0b00..No change.\r
  *  0b01..Set output (or clear based on the SETCLR1 field in the OUTPUTDIRCTRL register).\r
  *  0b10..Clear output (or set based on the SETCLR1 field).\r
  *  0b11..Toggle output.\r
  */\r
-#define SCT_RES_O1RES(x)                         (((uint32_t)(((uint32_t)(x)) << SCT_RES_O1RES_SHIFT)) & SCT_RES_O1RES_MASK)\r
-#define SCT_RES_O2RES_MASK                       (0x30U)\r
-#define SCT_RES_O2RES_SHIFT                      (4U)\r
+#define SCT_RES_O1RES(x) (((uint32_t)(((uint32_t)(x)) << SCT_RES_O1RES_SHIFT)) & SCT_RES_O1RES_MASK)\r
+#define SCT_RES_O2RES_MASK (0x30U)\r
+#define SCT_RES_O2RES_SHIFT (4U)\r
 /*! O2RES - Effect of simultaneous set and clear on output 2.\r
  *  0b00..No change.\r
  *  0b01..Set output (or clear based on the SETCLR2 field in the OUTPUTDIRCTRL register).\r
  *  0b10..Clear output n (or set based on the SETCLR2 field).\r
  *  0b11..Toggle output.\r
  */\r
-#define SCT_RES_O2RES(x)                         (((uint32_t)(((uint32_t)(x)) << SCT_RES_O2RES_SHIFT)) & SCT_RES_O2RES_MASK)\r
-#define SCT_RES_O3RES_MASK                       (0xC0U)\r
-#define SCT_RES_O3RES_SHIFT                      (6U)\r
+#define SCT_RES_O2RES(x) (((uint32_t)(((uint32_t)(x)) << SCT_RES_O2RES_SHIFT)) & SCT_RES_O2RES_MASK)\r
+#define SCT_RES_O3RES_MASK (0xC0U)\r
+#define SCT_RES_O3RES_SHIFT (6U)\r
 /*! O3RES - Effect of simultaneous set and clear on output 3.\r
  *  0b00..No change.\r
  *  0b01..Set output (or clear based on the SETCLR3 field in the OUTPUTDIRCTRL register).\r
  *  0b10..Clear output (or set based on the SETCLR3 field).\r
  *  0b11..Toggle output.\r
  */\r
-#define SCT_RES_O3RES(x)                         (((uint32_t)(((uint32_t)(x)) << SCT_RES_O3RES_SHIFT)) & SCT_RES_O3RES_MASK)\r
-#define SCT_RES_O4RES_MASK                       (0x300U)\r
-#define SCT_RES_O4RES_SHIFT                      (8U)\r
+#define SCT_RES_O3RES(x) (((uint32_t)(((uint32_t)(x)) << SCT_RES_O3RES_SHIFT)) & SCT_RES_O3RES_MASK)\r
+#define SCT_RES_O4RES_MASK (0x300U)\r
+#define SCT_RES_O4RES_SHIFT (8U)\r
 /*! O4RES - Effect of simultaneous set and clear on output 4.\r
  *  0b00..No change.\r
  *  0b01..Set output (or clear based on the SETCLR4 field in the OUTPUTDIRCTRL register).\r
  *  0b10..Clear output (or set based on the SETCLR4 field).\r
  *  0b11..Toggle output.\r
  */\r
-#define SCT_RES_O4RES(x)                         (((uint32_t)(((uint32_t)(x)) << SCT_RES_O4RES_SHIFT)) & SCT_RES_O4RES_MASK)\r
-#define SCT_RES_O5RES_MASK                       (0xC00U)\r
-#define SCT_RES_O5RES_SHIFT                      (10U)\r
+#define SCT_RES_O4RES(x) (((uint32_t)(((uint32_t)(x)) << SCT_RES_O4RES_SHIFT)) & SCT_RES_O4RES_MASK)\r
+#define SCT_RES_O5RES_MASK (0xC00U)\r
+#define SCT_RES_O5RES_SHIFT (10U)\r
 /*! O5RES - Effect of simultaneous set and clear on output 5.\r
  *  0b00..No change.\r
  *  0b01..Set output (or clear based on the SETCLR5 field in the OUTPUTDIRCTRL register).\r
  *  0b10..Clear output (or set based on the SETCLR5 field).\r
  *  0b11..Toggle output.\r
  */\r
-#define SCT_RES_O5RES(x)                         (((uint32_t)(((uint32_t)(x)) << SCT_RES_O5RES_SHIFT)) & SCT_RES_O5RES_MASK)\r
-#define SCT_RES_O6RES_MASK                       (0x3000U)\r
-#define SCT_RES_O6RES_SHIFT                      (12U)\r
+#define SCT_RES_O5RES(x) (((uint32_t)(((uint32_t)(x)) << SCT_RES_O5RES_SHIFT)) & SCT_RES_O5RES_MASK)\r
+#define SCT_RES_O6RES_MASK (0x3000U)\r
+#define SCT_RES_O6RES_SHIFT (12U)\r
 /*! O6RES - Effect of simultaneous set and clear on output 6.\r
  *  0b00..No change.\r
  *  0b01..Set output (or clear based on the SETCLR6 field in the OUTPUTDIRCTRL register).\r
  *  0b10..Clear output (or set based on the SETCLR6 field).\r
  *  0b11..Toggle output.\r
  */\r
-#define SCT_RES_O6RES(x)                         (((uint32_t)(((uint32_t)(x)) << SCT_RES_O6RES_SHIFT)) & SCT_RES_O6RES_MASK)\r
-#define SCT_RES_O7RES_MASK                       (0xC000U)\r
-#define SCT_RES_O7RES_SHIFT                      (14U)\r
+#define SCT_RES_O6RES(x) (((uint32_t)(((uint32_t)(x)) << SCT_RES_O6RES_SHIFT)) & SCT_RES_O6RES_MASK)\r
+#define SCT_RES_O7RES_MASK (0xC000U)\r
+#define SCT_RES_O7RES_SHIFT (14U)\r
 /*! O7RES - Effect of simultaneous set and clear on output 7.\r
  *  0b00..No change.\r
  *  0b01..Set output (or clear based on the SETCLR7 field in the OUTPUTDIRCTRL register).\r
  *  0b10..Clear output n (or set based on the SETCLR7 field).\r
  *  0b11..Toggle output.\r
  */\r
-#define SCT_RES_O7RES(x)                         (((uint32_t)(((uint32_t)(x)) << SCT_RES_O7RES_SHIFT)) & SCT_RES_O7RES_MASK)\r
-#define SCT_RES_O8RES_MASK                       (0x30000U)\r
-#define SCT_RES_O8RES_SHIFT                      (16U)\r
+#define SCT_RES_O7RES(x) (((uint32_t)(((uint32_t)(x)) << SCT_RES_O7RES_SHIFT)) & SCT_RES_O7RES_MASK)\r
+#define SCT_RES_O8RES_MASK (0x30000U)\r
+#define SCT_RES_O8RES_SHIFT (16U)\r
 /*! O8RES - Effect of simultaneous set and clear on output 8.\r
  *  0b00..No change.\r
  *  0b01..Set output (or clear based on the SETCLR8 field in the OUTPUTDIRCTRL register).\r
  *  0b10..Clear output (or set based on the SETCLR8 field).\r
  *  0b11..Toggle output.\r
  */\r
-#define SCT_RES_O8RES(x)                         (((uint32_t)(((uint32_t)(x)) << SCT_RES_O8RES_SHIFT)) & SCT_RES_O8RES_MASK)\r
-#define SCT_RES_O9RES_MASK                       (0xC0000U)\r
-#define SCT_RES_O9RES_SHIFT                      (18U)\r
+#define SCT_RES_O8RES(x) (((uint32_t)(((uint32_t)(x)) << SCT_RES_O8RES_SHIFT)) & SCT_RES_O8RES_MASK)\r
+#define SCT_RES_O9RES_MASK (0xC0000U)\r
+#define SCT_RES_O9RES_SHIFT (18U)\r
 /*! O9RES - Effect of simultaneous set and clear on output 9.\r
  *  0b00..No change.\r
  *  0b01..Set output (or clear based on the SETCLR9 field in the OUTPUTDIRCTRL register).\r
  *  0b10..Clear output (or set based on the SETCLR9 field).\r
  *  0b11..Toggle output.\r
  */\r
-#define SCT_RES_O9RES(x)                         (((uint32_t)(((uint32_t)(x)) << SCT_RES_O9RES_SHIFT)) & SCT_RES_O9RES_MASK)\r
-#define SCT_RES_O10RES_MASK                      (0x300000U)\r
-#define SCT_RES_O10RES_SHIFT                     (20U)\r
+#define SCT_RES_O9RES(x) (((uint32_t)(((uint32_t)(x)) << SCT_RES_O9RES_SHIFT)) & SCT_RES_O9RES_MASK)\r
+#define SCT_RES_O10RES_MASK (0x300000U)\r
+#define SCT_RES_O10RES_SHIFT (20U)\r
 /*! O10RES - Effect of simultaneous set and clear on output 10.\r
  *  0b00..No change.\r
  *  0b01..Set output (or clear based on the SETCLR10 field in the OUTPUTDIRCTRL register).\r
  *  0b10..Clear output (or set based on the SETCLR10 field).\r
  *  0b11..Toggle output.\r
  */\r
-#define SCT_RES_O10RES(x)                        (((uint32_t)(((uint32_t)(x)) << SCT_RES_O10RES_SHIFT)) & SCT_RES_O10RES_MASK)\r
-#define SCT_RES_O11RES_MASK                      (0xC00000U)\r
-#define SCT_RES_O11RES_SHIFT                     (22U)\r
+#define SCT_RES_O10RES(x) (((uint32_t)(((uint32_t)(x)) << SCT_RES_O10RES_SHIFT)) & SCT_RES_O10RES_MASK)\r
+#define SCT_RES_O11RES_MASK (0xC00000U)\r
+#define SCT_RES_O11RES_SHIFT (22U)\r
 /*! O11RES - Effect of simultaneous set and clear on output 11.\r
  *  0b00..No change.\r
  *  0b01..Set output (or clear based on the SETCLR11 field in the OUTPUTDIRCTRL register).\r
  *  0b10..Clear output (or set based on the SETCLR11 field).\r
  *  0b11..Toggle output.\r
  */\r
-#define SCT_RES_O11RES(x)                        (((uint32_t)(((uint32_t)(x)) << SCT_RES_O11RES_SHIFT)) & SCT_RES_O11RES_MASK)\r
-#define SCT_RES_O12RES_MASK                      (0x3000000U)\r
-#define SCT_RES_O12RES_SHIFT                     (24U)\r
+#define SCT_RES_O11RES(x) (((uint32_t)(((uint32_t)(x)) << SCT_RES_O11RES_SHIFT)) & SCT_RES_O11RES_MASK)\r
+#define SCT_RES_O12RES_MASK (0x3000000U)\r
+#define SCT_RES_O12RES_SHIFT (24U)\r
 /*! O12RES - Effect of simultaneous set and clear on output 12.\r
  *  0b00..No change.\r
  *  0b01..Set output (or clear based on the SETCLR12 field in the OUTPUTDIRCTRL register).\r
  *  0b10..Clear output (or set based on the SETCLR12 field).\r
  *  0b11..Toggle output.\r
  */\r
-#define SCT_RES_O12RES(x)                        (((uint32_t)(((uint32_t)(x)) << SCT_RES_O12RES_SHIFT)) & SCT_RES_O12RES_MASK)\r
-#define SCT_RES_O13RES_MASK                      (0xC000000U)\r
-#define SCT_RES_O13RES_SHIFT                     (26U)\r
+#define SCT_RES_O12RES(x) (((uint32_t)(((uint32_t)(x)) << SCT_RES_O12RES_SHIFT)) & SCT_RES_O12RES_MASK)\r
+#define SCT_RES_O13RES_MASK (0xC000000U)\r
+#define SCT_RES_O13RES_SHIFT (26U)\r
 /*! O13RES - Effect of simultaneous set and clear on output 13.\r
  *  0b00..No change.\r
  *  0b01..Set output (or clear based on the SETCLR13 field in the OUTPUTDIRCTRL register).\r
  *  0b10..Clear output (or set based on the SETCLR13 field).\r
  *  0b11..Toggle output.\r
  */\r
-#define SCT_RES_O13RES(x)                        (((uint32_t)(((uint32_t)(x)) << SCT_RES_O13RES_SHIFT)) & SCT_RES_O13RES_MASK)\r
-#define SCT_RES_O14RES_MASK                      (0x30000000U)\r
-#define SCT_RES_O14RES_SHIFT                     (28U)\r
+#define SCT_RES_O13RES(x) (((uint32_t)(((uint32_t)(x)) << SCT_RES_O13RES_SHIFT)) & SCT_RES_O13RES_MASK)\r
+#define SCT_RES_O14RES_MASK (0x30000000U)\r
+#define SCT_RES_O14RES_SHIFT (28U)\r
 /*! O14RES - Effect of simultaneous set and clear on output 14.\r
  *  0b00..No change.\r
  *  0b01..Set output (or clear based on the SETCLR14 field in the OUTPUTDIRCTRL register).\r
  *  0b10..Clear output (or set based on the SETCLR14 field).\r
  *  0b11..Toggle output.\r
  */\r
-#define SCT_RES_O14RES(x)                        (((uint32_t)(((uint32_t)(x)) << SCT_RES_O14RES_SHIFT)) & SCT_RES_O14RES_MASK)\r
-#define SCT_RES_O15RES_MASK                      (0xC0000000U)\r
-#define SCT_RES_O15RES_SHIFT                     (30U)\r
+#define SCT_RES_O14RES(x) (((uint32_t)(((uint32_t)(x)) << SCT_RES_O14RES_SHIFT)) & SCT_RES_O14RES_MASK)\r
+#define SCT_RES_O15RES_MASK (0xC0000000U)\r
+#define SCT_RES_O15RES_SHIFT (30U)\r
 /*! O15RES - Effect of simultaneous set and clear on output 15.\r
  *  0b00..No change.\r
  *  0b01..Set output (or clear based on the SETCLR15 field in the OUTPUTDIRCTRL register).\r
  *  0b10..Clear output (or set based on the SETCLR15 field).\r
  *  0b11..Toggle output.\r
  */\r
-#define SCT_RES_O15RES(x)                        (((uint32_t)(((uint32_t)(x)) << SCT_RES_O15RES_SHIFT)) & SCT_RES_O15RES_MASK)\r
+#define SCT_RES_O15RES(x) (((uint32_t)(((uint32_t)(x)) << SCT_RES_O15RES_SHIFT)) & SCT_RES_O15RES_MASK)\r
 /*! @} */\r
 \r
-/*! @name DMA0REQUEST - SCT DMA request 0 register */\r
+/*! @name DMAREQ0 - SCT DMA request 0 register */\r
 /*! @{ */\r
-#define SCT_DMA0REQUEST_DEV_0_MASK               (0xFFFFU)\r
-#define SCT_DMA0REQUEST_DEV_0_SHIFT              (0U)\r
-#define SCT_DMA0REQUEST_DEV_0(x)                 (((uint32_t)(((uint32_t)(x)) << SCT_DMA0REQUEST_DEV_0_SHIFT)) & SCT_DMA0REQUEST_DEV_0_MASK)\r
-#define SCT_DMA0REQUEST_DRL0_MASK                (0x40000000U)\r
-#define SCT_DMA0REQUEST_DRL0_SHIFT               (30U)\r
-#define SCT_DMA0REQUEST_DRL0(x)                  (((uint32_t)(((uint32_t)(x)) << SCT_DMA0REQUEST_DRL0_SHIFT)) & SCT_DMA0REQUEST_DRL0_MASK)\r
-#define SCT_DMA0REQUEST_DRQ0_MASK                (0x80000000U)\r
-#define SCT_DMA0REQUEST_DRQ0_SHIFT               (31U)\r
-#define SCT_DMA0REQUEST_DRQ0(x)                  (((uint32_t)(((uint32_t)(x)) << SCT_DMA0REQUEST_DRQ0_SHIFT)) & SCT_DMA0REQUEST_DRQ0_MASK)\r
+#define SCT_DMAREQ0_DEV_0_MASK (0xFFFFU)\r
+#define SCT_DMAREQ0_DEV_0_SHIFT (0U)\r
+/*! DEV_0 - If bit n is one, event n triggers DMA request 0 (event 0 = bit 0, event 1 = bit 1,\r
+ *    etc.). The number of bits = number of events in this SCT.\r
+ */\r
+#define SCT_DMAREQ0_DEV_0(x) (((uint32_t)(((uint32_t)(x)) << SCT_DMAREQ0_DEV_0_SHIFT)) & SCT_DMAREQ0_DEV_0_MASK)\r
+#define SCT_DMAREQ0_DRL0_MASK (0x40000000U)\r
+#define SCT_DMAREQ0_DRL0_SHIFT (30U)\r
+/*! DRL0 - A 1 in this bit triggers DMA request 0 when it loads the MATCH_L/Unified registers from the RELOAD_L/Unified\r
+ * registers.\r
+ */\r
+#define SCT_DMAREQ0_DRL0(x) (((uint32_t)(((uint32_t)(x)) << SCT_DMAREQ0_DRL0_SHIFT)) & SCT_DMAREQ0_DRL0_MASK)\r
+#define SCT_DMAREQ0_DRQ0_MASK (0x80000000U)\r
+#define SCT_DMAREQ0_DRQ0_SHIFT (31U)\r
+/*! DRQ0 - This read-only bit indicates the state of DMA Request 0. Note that if the related DMA\r
+ *    channel is enabled and properly set up, it is unlikely that software will see this flag, it will\r
+ *    be cleared rapidly by the DMA service. The flag remaining set could point to an issue with DMA\r
+ *    setup.\r
+ */\r
+#define SCT_DMAREQ0_DRQ0(x) (((uint32_t)(((uint32_t)(x)) << SCT_DMAREQ0_DRQ0_SHIFT)) & SCT_DMAREQ0_DRQ0_MASK)\r
 /*! @} */\r
 \r
-/*! @name DMA1REQUEST - SCT DMA request 1 register */\r
+/*! @name DMAREQ1 - SCT DMA request 1 register */\r
 /*! @{ */\r
-#define SCT_DMA1REQUEST_DEV_1_MASK               (0xFFFFU)\r
-#define SCT_DMA1REQUEST_DEV_1_SHIFT              (0U)\r
-#define SCT_DMA1REQUEST_DEV_1(x)                 (((uint32_t)(((uint32_t)(x)) << SCT_DMA1REQUEST_DEV_1_SHIFT)) & SCT_DMA1REQUEST_DEV_1_MASK)\r
-#define SCT_DMA1REQUEST_DRL1_MASK                (0x40000000U)\r
-#define SCT_DMA1REQUEST_DRL1_SHIFT               (30U)\r
-#define SCT_DMA1REQUEST_DRL1(x)                  (((uint32_t)(((uint32_t)(x)) << SCT_DMA1REQUEST_DRL1_SHIFT)) & SCT_DMA1REQUEST_DRL1_MASK)\r
-#define SCT_DMA1REQUEST_DRQ1_MASK                (0x80000000U)\r
-#define SCT_DMA1REQUEST_DRQ1_SHIFT               (31U)\r
-#define SCT_DMA1REQUEST_DRQ1(x)                  (((uint32_t)(((uint32_t)(x)) << SCT_DMA1REQUEST_DRQ1_SHIFT)) & SCT_DMA1REQUEST_DRQ1_MASK)\r
+#define SCT_DMAREQ1_DEV_1_MASK (0xFFFFU)\r
+#define SCT_DMAREQ1_DEV_1_SHIFT (0U)\r
+/*! DEV_1 - If bit n is one, event n triggers DMA request 1 (event 0 = bit 0, event 1 = bit 1,\r
+ *    etc.). The number of bits = number of events in this SCT.\r
+ */\r
+#define SCT_DMAREQ1_DEV_1(x) (((uint32_t)(((uint32_t)(x)) << SCT_DMAREQ1_DEV_1_SHIFT)) & SCT_DMAREQ1_DEV_1_MASK)\r
+#define SCT_DMAREQ1_DRL1_MASK (0x40000000U)\r
+#define SCT_DMAREQ1_DRL1_SHIFT (30U)\r
+/*! DRL1 - A 1 in this bit triggers DMA request 1 when it loads the Match L/Unified registers from the Reload L/Unified\r
+ * registers.\r
+ */\r
+#define SCT_DMAREQ1_DRL1(x) (((uint32_t)(((uint32_t)(x)) << SCT_DMAREQ1_DRL1_SHIFT)) & SCT_DMAREQ1_DRL1_MASK)\r
+#define SCT_DMAREQ1_DRQ1_MASK (0x80000000U)\r
+#define SCT_DMAREQ1_DRQ1_SHIFT (31U)\r
+/*! DRQ1 - This read-only bit indicates the state of DMA Request 1. Note that if the related DMA\r
+ *    channel is enabled and properly set up, it is unlikely that software will see this flag, it will\r
+ *    be cleared rapidly by the DMA service. The flag remaining set could point to an issue with DMA\r
+ *    setup.\r
+ */\r
+#define SCT_DMAREQ1_DRQ1(x) (((uint32_t)(((uint32_t)(x)) << SCT_DMAREQ1_DRQ1_SHIFT)) & SCT_DMAREQ1_DRQ1_MASK)\r
 /*! @} */\r
 \r
 /*! @name EVEN - SCT event interrupt enable register */\r
 /*! @{ */\r
-#define SCT_EVEN_IEN_MASK                        (0xFFFFU)\r
-#define SCT_EVEN_IEN_SHIFT                       (0U)\r
-#define SCT_EVEN_IEN(x)                          (((uint32_t)(((uint32_t)(x)) << SCT_EVEN_IEN_SHIFT)) & SCT_EVEN_IEN_MASK)\r
+#define SCT_EVEN_IEN_MASK (0xFFFFU)\r
+#define SCT_EVEN_IEN_SHIFT (0U)\r
+/*! IEN - The SCT requests an interrupt when bit n of this register and the event flag register are\r
+ *    both one (event 0 = bit 0, event 1 = bit 1, etc.). The number of bits = number of events in\r
+ *    this SCT.\r
+ */\r
+#define SCT_EVEN_IEN(x) (((uint32_t)(((uint32_t)(x)) << SCT_EVEN_IEN_SHIFT)) & SCT_EVEN_IEN_MASK)\r
 /*! @} */\r
 \r
 /*! @name EVFLAG - SCT event flag register */\r
 /*! @{ */\r
-#define SCT_EVFLAG_FLAG_MASK                     (0xFFFFU)\r
-#define SCT_EVFLAG_FLAG_SHIFT                    (0U)\r
-#define SCT_EVFLAG_FLAG(x)                       (((uint32_t)(((uint32_t)(x)) << SCT_EVFLAG_FLAG_SHIFT)) & SCT_EVFLAG_FLAG_MASK)\r
+#define SCT_EVFLAG_FLAG_MASK (0xFFFFU)\r
+#define SCT_EVFLAG_FLAG_SHIFT (0U)\r
+/*! FLAG - Bit n is one if event n has occurred since reset or a 1 was last written to this bit\r
+ *    (event 0 = bit 0, event 1 = bit 1, etc.). The number of bits = number of events in this SCT.\r
+ */\r
+#define SCT_EVFLAG_FLAG(x) (((uint32_t)(((uint32_t)(x)) << SCT_EVFLAG_FLAG_SHIFT)) & SCT_EVFLAG_FLAG_MASK)\r
 /*! @} */\r
 \r
 /*! @name CONEN - SCT conflict interrupt enable register */\r
 /*! @{ */\r
-#define SCT_CONEN_NCEN_MASK                      (0xFFFFU)\r
-#define SCT_CONEN_NCEN_SHIFT                     (0U)\r
-#define SCT_CONEN_NCEN(x)                        (((uint32_t)(((uint32_t)(x)) << SCT_CONEN_NCEN_SHIFT)) & SCT_CONEN_NCEN_MASK)\r
+#define SCT_CONEN_NCEN_MASK (0xFFFFU)\r
+#define SCT_CONEN_NCEN_SHIFT (0U)\r
+/*! NCEN - The SCT requests an interrupt when bit n of this register and the SCT conflict flag\r
+ *    register are both one (output 0 = bit 0, output 1 = bit 1, etc.). The number of bits = number of\r
+ *    outputs in this SCT.\r
+ */\r
+#define SCT_CONEN_NCEN(x) (((uint32_t)(((uint32_t)(x)) << SCT_CONEN_NCEN_SHIFT)) & SCT_CONEN_NCEN_MASK)\r
 /*! @} */\r
 \r
 /*! @name CONFLAG - SCT conflict flag register */\r
 /*! @{ */\r
-#define SCT_CONFLAG_NCFLAG_MASK                  (0xFFFFU)\r
-#define SCT_CONFLAG_NCFLAG_SHIFT                 (0U)\r
-#define SCT_CONFLAG_NCFLAG(x)                    (((uint32_t)(((uint32_t)(x)) << SCT_CONFLAG_NCFLAG_SHIFT)) & SCT_CONFLAG_NCFLAG_MASK)\r
-#define SCT_CONFLAG_BUSERRL_MASK                 (0x40000000U)\r
-#define SCT_CONFLAG_BUSERRL_SHIFT                (30U)\r
-#define SCT_CONFLAG_BUSERRL(x)                   (((uint32_t)(((uint32_t)(x)) << SCT_CONFLAG_BUSERRL_SHIFT)) & SCT_CONFLAG_BUSERRL_MASK)\r
-#define SCT_CONFLAG_BUSERRH_MASK                 (0x80000000U)\r
-#define SCT_CONFLAG_BUSERRH_SHIFT                (31U)\r
-#define SCT_CONFLAG_BUSERRH(x)                   (((uint32_t)(((uint32_t)(x)) << SCT_CONFLAG_BUSERRH_SHIFT)) & SCT_CONFLAG_BUSERRH_MASK)\r
+#define SCT_CONFLAG_NCFLAG_MASK (0xFFFFU)\r
+#define SCT_CONFLAG_NCFLAG_SHIFT (0U)\r
+/*! NCFLAG - Bit n is one if a no-change conflict event occurred on output n since reset or a 1 was\r
+ *    last written to this bit (output 0 = bit 0, output 1 = bit 1, etc.). The number of bits =\r
+ *    number of outputs in this SCT.\r
+ */\r
+#define SCT_CONFLAG_NCFLAG(x) (((uint32_t)(((uint32_t)(x)) << SCT_CONFLAG_NCFLAG_SHIFT)) & SCT_CONFLAG_NCFLAG_MASK)\r
+#define SCT_CONFLAG_BUSERRL_MASK (0x40000000U)\r
+#define SCT_CONFLAG_BUSERRL_SHIFT (30U)\r
+/*! BUSERRL - The most recent bus error from this SCT involved writing CTR L/Unified, STATE\r
+ *    L/Unified, MATCH L/Unified, or the Output register when the L/U counter was not halted. A word write\r
+ *    to certain L and H registers can be half successful and half unsuccessful.\r
+ */\r
+#define SCT_CONFLAG_BUSERRL(x) (((uint32_t)(((uint32_t)(x)) << SCT_CONFLAG_BUSERRL_SHIFT)) & SCT_CONFLAG_BUSERRL_MASK)\r
+#define SCT_CONFLAG_BUSERRH_MASK (0x80000000U)\r
+#define SCT_CONFLAG_BUSERRH_SHIFT (31U)\r
+/*! BUSERRH - The most recent bus error from this SCT involved writing CTR H, STATE H, MATCH H, or\r
+ *    the Output register when the H counter was not halted.\r
+ */\r
+#define SCT_CONFLAG_BUSERRH(x) (((uint32_t)(((uint32_t)(x)) << SCT_CONFLAG_BUSERRH_SHIFT)) & SCT_CONFLAG_BUSERRH_MASK)\r
 /*! @} */\r
 \r
-/*! @name SCTCAP - SCT capture register of capture channel */\r
+/*! @name CAP - SCT capture register of capture channel */\r
 /*! @{ */\r
-#define SCT_SCTCAP_CAPn_L_MASK                   (0xFFFFU)\r
-#define SCT_SCTCAP_CAPn_L_SHIFT                  (0U)\r
-#define SCT_SCTCAP_CAPn_L(x)                     (((uint32_t)(((uint32_t)(x)) << SCT_SCTCAP_CAPn_L_SHIFT)) & SCT_SCTCAP_CAPn_L_MASK)\r
-#define SCT_SCTCAP_CAPn_H_MASK                   (0xFFFF0000U)\r
-#define SCT_SCTCAP_CAPn_H_SHIFT                  (16U)\r
-#define SCT_SCTCAP_CAPn_H(x)                     (((uint32_t)(((uint32_t)(x)) << SCT_SCTCAP_CAPn_H_SHIFT)) & SCT_SCTCAP_CAPn_H_MASK)\r
+#define SCT_CAP_CAPn_L_MASK (0xFFFFU)\r
+#define SCT_CAP_CAPn_L_SHIFT (0U)\r
+/*! CAPn_L - When UNIFY = 0, read the 16-bit counter value at which this register was last captured.\r
+ *    When UNIFY = 1, read the lower 16 bits of the 32-bit value at which this register was last\r
+ *    captured.\r
+ */\r
+#define SCT_CAP_CAPn_L(x) (((uint32_t)(((uint32_t)(x)) << SCT_CAP_CAPn_L_SHIFT)) & SCT_CAP_CAPn_L_MASK)\r
+#define SCT_CAP_CAPn_H_MASK (0xFFFF0000U)\r
+#define SCT_CAP_CAPn_H_SHIFT (16U)\r
+/*! CAPn_H - When UNIFY = 0, read the 16-bit counter value at which this register was last captured.\r
+ *    When UNIFY = 1, read the upper 16 bits of the 32-bit value at which this register was last\r
+ *    captured.\r
+ */\r
+#define SCT_CAP_CAPn_H(x) (((uint32_t)(((uint32_t)(x)) << SCT_CAP_CAPn_H_SHIFT)) & SCT_CAP_CAPn_H_MASK)\r
 /*! @} */\r
 \r
-/* The count of SCT_SCTCAP */\r
-#define SCT_SCTCAP_COUNT                         (10U)\r
+/* The count of SCT_CAP */\r
+#define SCT_CAP_COUNT (16U)\r
 \r
-/*! @name SCTMATCH - SCT match value register of match channels */\r
+/*! @name MATCH - SCT match value register of match channels */\r
 /*! @{ */\r
-#define SCT_SCTMATCH_MATCHn_L_MASK               (0xFFFFU)\r
-#define SCT_SCTMATCH_MATCHn_L_SHIFT              (0U)\r
-#define SCT_SCTMATCH_MATCHn_L(x)                 (((uint32_t)(((uint32_t)(x)) << SCT_SCTMATCH_MATCHn_L_SHIFT)) & SCT_SCTMATCH_MATCHn_L_MASK)\r
-#define SCT_SCTMATCH_MATCHn_H_MASK               (0xFFFF0000U)\r
-#define SCT_SCTMATCH_MATCHn_H_SHIFT              (16U)\r
-#define SCT_SCTMATCH_MATCHn_H(x)                 (((uint32_t)(((uint32_t)(x)) << SCT_SCTMATCH_MATCHn_H_SHIFT)) & SCT_SCTMATCH_MATCHn_H_MASK)\r
+#define SCT_MATCH_MATCHn_L_MASK (0xFFFFU)\r
+#define SCT_MATCH_MATCHn_L_SHIFT (0U)\r
+/*! MATCHn_L - When UNIFY = 0, read or write the 16-bit value to be compared to the L counter. When\r
+ *    UNIFY = 1, read or write the lower 16 bits of the 32-bit value to be compared to the unified\r
+ *    counter.\r
+ */\r
+#define SCT_MATCH_MATCHn_L(x) (((uint32_t)(((uint32_t)(x)) << SCT_MATCH_MATCHn_L_SHIFT)) & SCT_MATCH_MATCHn_L_MASK)\r
+#define SCT_MATCH_MATCHn_H_MASK (0xFFFF0000U)\r
+#define SCT_MATCH_MATCHn_H_SHIFT (16U)\r
+/*! MATCHn_H - When UNIFY = 0, read or write the 16-bit value to be compared to the H counter. When\r
+ *    UNIFY = 1, read or write the upper 16 bits of the 32-bit value to be compared to the unified\r
+ *    counter.\r
+ */\r
+#define SCT_MATCH_MATCHn_H(x) (((uint32_t)(((uint32_t)(x)) << SCT_MATCH_MATCHn_H_SHIFT)) & SCT_MATCH_MATCHn_H_MASK)\r
 /*! @} */\r
 \r
-/* The count of SCT_SCTMATCH */\r
-#define SCT_SCTMATCH_COUNT                       (10U)\r
+/* The count of SCT_MATCH */\r
+#define SCT_MATCH_COUNT (16U)\r
 \r
-/*! @name SCTCAPCTRL - SCT capture control register */\r
+/*! @name CAPCTRL - SCT capture control register */\r
 /*! @{ */\r
-#define SCT_SCTCAPCTRL_CAPCONn_L_MASK            (0xFFFFU)\r
-#define SCT_SCTCAPCTRL_CAPCONn_L_SHIFT           (0U)\r
-#define SCT_SCTCAPCTRL_CAPCONn_L(x)              (((uint32_t)(((uint32_t)(x)) << SCT_SCTCAPCTRL_CAPCONn_L_SHIFT)) & SCT_SCTCAPCTRL_CAPCONn_L_MASK)\r
-#define SCT_SCTCAPCTRL_CAPCONn_H_MASK            (0xFFFF0000U)\r
-#define SCT_SCTCAPCTRL_CAPCONn_H_SHIFT           (16U)\r
-#define SCT_SCTCAPCTRL_CAPCONn_H(x)              (((uint32_t)(((uint32_t)(x)) << SCT_SCTCAPCTRL_CAPCONn_H_SHIFT)) & SCT_SCTCAPCTRL_CAPCONn_H_MASK)\r
+#define SCT_CAPCTRL_CAPCONn_L_MASK (0xFFFFU)\r
+#define SCT_CAPCTRL_CAPCONn_L_SHIFT (0U)\r
+/*! CAPCONn_L - If bit m is one, event m causes the CAPn_L (UNIFY = 0) or the CAPn (UNIFY = 1)\r
+ *    register to be loaded (event 0 = bit 0, event 1 = bit 1, etc.). The number of bits = number of\r
+ *    match/captures in this SCT.\r
+ */\r
+#define SCT_CAPCTRL_CAPCONn_L(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SCT_CAPCTRL_CAPCONn_L_SHIFT)) & SCT_CAPCTRL_CAPCONn_L_MASK)\r
+#define SCT_CAPCTRL_CAPCONn_H_MASK (0xFFFF0000U)\r
+#define SCT_CAPCTRL_CAPCONn_H_SHIFT (16U)\r
+/*! CAPCONn_H - If bit m is one, event m causes the CAPn_H (UNIFY = 0) register to be loaded (event\r
+ *    0 = bit 16, event 1 = bit 17, etc.). The number of bits = number of match/captures in this SCT.\r
+ */\r
+#define SCT_CAPCTRL_CAPCONn_H(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SCT_CAPCTRL_CAPCONn_H_SHIFT)) & SCT_CAPCTRL_CAPCONn_H_MASK)\r
 /*! @} */\r
 \r
-/* The count of SCT_SCTCAPCTRL */\r
-#define SCT_SCTCAPCTRL_COUNT                     (10U)\r
+/* The count of SCT_CAPCTRL */\r
+#define SCT_CAPCTRL_COUNT (16U)\r
 \r
-/*! @name SCTMATCHREL - SCT match reload value register */\r
+/*! @name MATCHREL - SCT match reload value register */\r
 /*! @{ */\r
-#define SCT_SCTMATCHREL_RELOADn_L_MASK           (0xFFFFU)\r
-#define SCT_SCTMATCHREL_RELOADn_L_SHIFT          (0U)\r
-#define SCT_SCTMATCHREL_RELOADn_L(x)             (((uint32_t)(((uint32_t)(x)) << SCT_SCTMATCHREL_RELOADn_L_SHIFT)) & SCT_SCTMATCHREL_RELOADn_L_MASK)\r
-#define SCT_SCTMATCHREL_RELOADn_H_MASK           (0xFFFF0000U)\r
-#define SCT_SCTMATCHREL_RELOADn_H_SHIFT          (16U)\r
-#define SCT_SCTMATCHREL_RELOADn_H(x)             (((uint32_t)(((uint32_t)(x)) << SCT_SCTMATCHREL_RELOADn_H_SHIFT)) & SCT_SCTMATCHREL_RELOADn_H_MASK)\r
+#define SCT_MATCHREL_RELOADn_L_MASK (0xFFFFU)\r
+#define SCT_MATCHREL_RELOADn_L_SHIFT (0U)\r
+/*! RELOADn_L - When UNIFY = 0, specifies the 16-bit value to be loaded into the MATCHn_L register.\r
+ *    When UNIFY = 1, specifies the lower 16 bits of the 32-bit value to be loaded into the MATCHn\r
+ *    register.\r
+ */\r
+#define SCT_MATCHREL_RELOADn_L(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SCT_MATCHREL_RELOADn_L_SHIFT)) & SCT_MATCHREL_RELOADn_L_MASK)\r
+#define SCT_MATCHREL_RELOADn_H_MASK (0xFFFF0000U)\r
+#define SCT_MATCHREL_RELOADn_H_SHIFT (16U)\r
+/*! RELOADn_H - When UNIFY = 0, specifies the 16-bit to be loaded into the MATCHn_H register. When\r
+ *    UNIFY = 1, specifies the upper 16 bits of the 32-bit value to be loaded into the MATCHn\r
+ *    register.\r
+ */\r
+#define SCT_MATCHREL_RELOADn_H(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SCT_MATCHREL_RELOADn_H_SHIFT)) & SCT_MATCHREL_RELOADn_H_MASK)\r
 /*! @} */\r
 \r
-/* The count of SCT_SCTMATCHREL */\r
-#define SCT_SCTMATCHREL_COUNT                    (10U)\r
+/* The count of SCT_MATCHREL */\r
+#define SCT_MATCHREL_COUNT (16U)\r
 \r
-/*! @name EVENT_STATE - SCT event state register 0 */\r
+/*! @name EV_STATE - SCT event state register 0 */\r
 /*! @{ */\r
-#define SCT_EVENT_STATE_STATEMSKn_MASK           (0xFFFFU)\r
-#define SCT_EVENT_STATE_STATEMSKn_SHIFT          (0U)\r
-#define SCT_EVENT_STATE_STATEMSKn(x)             (((uint32_t)(((uint32_t)(x)) << SCT_EVENT_STATE_STATEMSKn_SHIFT)) & SCT_EVENT_STATE_STATEMSKn_MASK)\r
+#define SCT_EV_STATE_STATEMSKn_MASK (0xFFFFU)\r
+#define SCT_EV_STATE_STATEMSKn_SHIFT (0U)\r
+/*! STATEMSKn - If bit m is one, event n happens in state m of the counter selected by the HEVENT\r
+ *    bit (n = event number, m = state number; state 0 = bit 0, state 1= bit 1, etc.). The number of\r
+ *    bits = number of states in this SCT.\r
+ */\r
+#define SCT_EV_STATE_STATEMSKn(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SCT_EV_STATE_STATEMSKn_SHIFT)) & SCT_EV_STATE_STATEMSKn_MASK)\r
 /*! @} */\r
 \r
-/* The count of SCT_EVENT_STATE */\r
-#define SCT_EVENT_STATE_COUNT                    (10U)\r
+/* The count of SCT_EV_STATE */\r
+#define SCT_EV_STATE_COUNT (16U)\r
 \r
-/*! @name EVENT_CTRL - SCT event control register 0 */\r
+/*! @name EV_CTRL - SCT event control register 0 */\r
 /*! @{ */\r
-#define SCT_EVENT_CTRL_MATCHSEL_MASK             (0xFU)\r
-#define SCT_EVENT_CTRL_MATCHSEL_SHIFT            (0U)\r
-#define SCT_EVENT_CTRL_MATCHSEL(x)               (((uint32_t)(((uint32_t)(x)) << SCT_EVENT_CTRL_MATCHSEL_SHIFT)) & SCT_EVENT_CTRL_MATCHSEL_MASK)\r
-#define SCT_EVENT_CTRL_HEVENT_MASK               (0x10U)\r
-#define SCT_EVENT_CTRL_HEVENT_SHIFT              (4U)\r
+#define SCT_EV_CTRL_MATCHSEL_MASK (0xFU)\r
+#define SCT_EV_CTRL_MATCHSEL_SHIFT (0U)\r
+/*! MATCHSEL - Selects the Match register associated with this event (if any). A match can occur\r
+ *    only when the counter selected by the HEVENT bit is running.\r
+ */\r
+#define SCT_EV_CTRL_MATCHSEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SCT_EV_CTRL_MATCHSEL_SHIFT)) & SCT_EV_CTRL_MATCHSEL_MASK)\r
+#define SCT_EV_CTRL_HEVENT_MASK (0x10U)\r
+#define SCT_EV_CTRL_HEVENT_SHIFT (4U)\r
 /*! HEVENT - Select L/H counter. Do not set this bit if UNIFY = 1.\r
  *  0b0..Selects the L state and the L match register selected by MATCHSEL.\r
  *  0b1..Selects the H state and the H match register selected by MATCHSEL.\r
  */\r
-#define SCT_EVENT_CTRL_HEVENT(x)                 (((uint32_t)(((uint32_t)(x)) << SCT_EVENT_CTRL_HEVENT_SHIFT)) & SCT_EVENT_CTRL_HEVENT_MASK)\r
-#define SCT_EVENT_CTRL_OUTSEL_MASK               (0x20U)\r
-#define SCT_EVENT_CTRL_OUTSEL_SHIFT              (5U)\r
+#define SCT_EV_CTRL_HEVENT(x) (((uint32_t)(((uint32_t)(x)) << SCT_EV_CTRL_HEVENT_SHIFT)) & SCT_EV_CTRL_HEVENT_MASK)\r
+#define SCT_EV_CTRL_OUTSEL_MASK (0x20U)\r
+#define SCT_EV_CTRL_OUTSEL_SHIFT (5U)\r
 /*! OUTSEL - Input/output select\r
  *  0b0..Selects the inputs selected by IOSEL.\r
  *  0b1..Selects the outputs selected by IOSEL.\r
  */\r
-#define SCT_EVENT_CTRL_OUTSEL(x)                 (((uint32_t)(((uint32_t)(x)) << SCT_EVENT_CTRL_OUTSEL_SHIFT)) & SCT_EVENT_CTRL_OUTSEL_MASK)\r
-#define SCT_EVENT_CTRL_IOSEL_MASK                (0x3C0U)\r
-#define SCT_EVENT_CTRL_IOSEL_SHIFT               (6U)\r
-#define SCT_EVENT_CTRL_IOSEL(x)                  (((uint32_t)(((uint32_t)(x)) << SCT_EVENT_CTRL_IOSEL_SHIFT)) & SCT_EVENT_CTRL_IOSEL_MASK)\r
-#define SCT_EVENT_CTRL_IOCOND_MASK               (0xC00U)\r
-#define SCT_EVENT_CTRL_IOCOND_SHIFT              (10U)\r
-/*! IOCOND - Selects the I/O condition for event n. (The detection of edges on outputs lag the conditions that switch the outputs by one SCT clock). In order to guarantee proper edge/state detection, an input must have a minimum pulse width of at least one SCT clock period .\r
+#define SCT_EV_CTRL_OUTSEL(x) (((uint32_t)(((uint32_t)(x)) << SCT_EV_CTRL_OUTSEL_SHIFT)) & SCT_EV_CTRL_OUTSEL_MASK)\r
+#define SCT_EV_CTRL_IOSEL_MASK (0x3C0U)\r
+#define SCT_EV_CTRL_IOSEL_SHIFT (6U)\r
+/*! IOSEL - Selects the input or output signal number associated with this event (if any). Do not\r
+ *    select an input in this register if CKMODE is 1x. In this case the clock input is an implicit\r
+ *    ingredient of every event.\r
+ */\r
+#define SCT_EV_CTRL_IOSEL(x) (((uint32_t)(((uint32_t)(x)) << SCT_EV_CTRL_IOSEL_SHIFT)) & SCT_EV_CTRL_IOSEL_MASK)\r
+#define SCT_EV_CTRL_IOCOND_MASK (0xC00U)\r
+#define SCT_EV_CTRL_IOCOND_SHIFT (10U)\r
+/*! IOCOND - Selects the I/O condition for event n. (The detection of edges on outputs lag the\r
+ *    conditions that switch the outputs by one SCT clock). In order to guarantee proper edge/state\r
+ *    detection, an input must have a minimum pulse width of at least one SCT clock period .\r
  *  0b00..LOW\r
  *  0b01..Rise\r
  *  0b10..Fall\r
  *  0b11..HIGH\r
  */\r
-#define SCT_EVENT_CTRL_IOCOND(x)                 (((uint32_t)(((uint32_t)(x)) << SCT_EVENT_CTRL_IOCOND_SHIFT)) & SCT_EVENT_CTRL_IOCOND_MASK)\r
-#define SCT_EVENT_CTRL_COMBMODE_MASK             (0x3000U)\r
-#define SCT_EVENT_CTRL_COMBMODE_SHIFT            (12U)\r
+#define SCT_EV_CTRL_IOCOND(x) (((uint32_t)(((uint32_t)(x)) << SCT_EV_CTRL_IOCOND_SHIFT)) & SCT_EV_CTRL_IOCOND_MASK)\r
+#define SCT_EV_CTRL_COMBMODE_MASK (0x3000U)\r
+#define SCT_EV_CTRL_COMBMODE_SHIFT (12U)\r
 /*! COMBMODE - Selects how the specified match and I/O condition are used and combined.\r
  *  0b00..OR. The event occurs when either the specified match or I/O condition occurs.\r
  *  0b01..MATCH. Uses the specified match only.\r
  *  0b10..IO. Uses the specified I/O condition only.\r
  *  0b11..AND. The event occurs when the specified match and I/O condition occur simultaneously.\r
  */\r
-#define SCT_EVENT_CTRL_COMBMODE(x)               (((uint32_t)(((uint32_t)(x)) << SCT_EVENT_CTRL_COMBMODE_SHIFT)) & SCT_EVENT_CTRL_COMBMODE_MASK)\r
-#define SCT_EVENT_CTRL_STATELD_MASK              (0x4000U)\r
-#define SCT_EVENT_CTRL_STATELD_SHIFT             (14U)\r
-/*! STATELD - This bit controls how the STATEV value modifies the state selected by HEVENT when this event is the highest-numbered event occurring for that state.\r
+#define SCT_EV_CTRL_COMBMODE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SCT_EV_CTRL_COMBMODE_SHIFT)) & SCT_EV_CTRL_COMBMODE_MASK)\r
+#define SCT_EV_CTRL_STATELD_MASK (0x4000U)\r
+#define SCT_EV_CTRL_STATELD_SHIFT (14U)\r
+/*! STATELD - This bit controls how the STATEV value modifies the state selected by HEVENT when this\r
+ *    event is the highest-numbered event occurring for that state.\r
  *  0b0..STATEV value is added into STATE (the carry-out is ignored).\r
  *  0b1..STATEV value is loaded into STATE.\r
  */\r
-#define SCT_EVENT_CTRL_STATELD(x)                (((uint32_t)(((uint32_t)(x)) << SCT_EVENT_CTRL_STATELD_SHIFT)) & SCT_EVENT_CTRL_STATELD_MASK)\r
-#define SCT_EVENT_CTRL_STATEV_MASK               (0xF8000U)\r
-#define SCT_EVENT_CTRL_STATEV_SHIFT              (15U)\r
-#define SCT_EVENT_CTRL_STATEV(x)                 (((uint32_t)(((uint32_t)(x)) << SCT_EVENT_CTRL_STATEV_SHIFT)) & SCT_EVENT_CTRL_STATEV_MASK)\r
-#define SCT_EVENT_CTRL_MATCHMEM_MASK             (0x100000U)\r
-#define SCT_EVENT_CTRL_MATCHMEM_SHIFT            (20U)\r
-#define SCT_EVENT_CTRL_MATCHMEM(x)               (((uint32_t)(((uint32_t)(x)) << SCT_EVENT_CTRL_MATCHMEM_SHIFT)) & SCT_EVENT_CTRL_MATCHMEM_MASK)\r
-#define SCT_EVENT_CTRL_DIRECTION_MASK            (0x600000U)\r
-#define SCT_EVENT_CTRL_DIRECTION_SHIFT           (21U)\r
-/*! DIRECTION - Direction qualifier for event generation. This field only applies when the counters are operating in BIDIR mode. If BIDIR = 0, the SCT ignores this field. Value 0x3 is reserved.\r
+#define SCT_EV_CTRL_STATELD(x) (((uint32_t)(((uint32_t)(x)) << SCT_EV_CTRL_STATELD_SHIFT)) & SCT_EV_CTRL_STATELD_MASK)\r
+#define SCT_EV_CTRL_STATEV_MASK (0xF8000U)\r
+#define SCT_EV_CTRL_STATEV_SHIFT (15U)\r
+/*! STATEV - This value is loaded into or added to the state selected by HEVENT, depending on\r
+ *    STATELD, when this event is the highest-numbered event occurring for that state. If STATELD and\r
+ *    STATEV are both zero, there is no change to the STATE value.\r
+ */\r
+#define SCT_EV_CTRL_STATEV(x) (((uint32_t)(((uint32_t)(x)) << SCT_EV_CTRL_STATEV_SHIFT)) & SCT_EV_CTRL_STATEV_MASK)\r
+#define SCT_EV_CTRL_MATCHMEM_MASK (0x100000U)\r
+#define SCT_EV_CTRL_MATCHMEM_SHIFT (20U)\r
+/*! MATCHMEM - If this bit is one and the COMBMODE field specifies a match component to the\r
+ *    triggering of this event, then a match is considered to be active whenever the counter value is\r
+ *    GREATER THAN OR EQUAL TO the value specified in the match register when counting up, LESS THEN OR\r
+ *    EQUAL TO the match value when counting down. If this bit is zero, a match is only be active\r
+ *    during the cycle when the counter is equal to the match value.\r
+ */\r
+#define SCT_EV_CTRL_MATCHMEM(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SCT_EV_CTRL_MATCHMEM_SHIFT)) & SCT_EV_CTRL_MATCHMEM_MASK)\r
+#define SCT_EV_CTRL_DIRECTION_MASK (0x600000U)\r
+#define SCT_EV_CTRL_DIRECTION_SHIFT (21U)\r
+/*! DIRECTION - Direction qualifier for event generation. This field only applies when the counters\r
+ *    are operating in BIDIR mode. If BIDIR = 0, the SCT ignores this field. Value 0x3 is reserved.\r
  *  0b00..Direction independent. This event is triggered regardless of the count direction.\r
  *  0b01..Counting up. This event is triggered only during up-counting when BIDIR = 1.\r
  *  0b10..Counting down. This event is triggered only during down-counting when BIDIR = 1.\r
  */\r
-#define SCT_EVENT_CTRL_DIRECTION(x)              (((uint32_t)(((uint32_t)(x)) << SCT_EVENT_CTRL_DIRECTION_SHIFT)) & SCT_EVENT_CTRL_DIRECTION_MASK)\r
+#define SCT_EV_CTRL_DIRECTION(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SCT_EV_CTRL_DIRECTION_SHIFT)) & SCT_EV_CTRL_DIRECTION_MASK)\r
 /*! @} */\r
 \r
-/* The count of SCT_EVENT_CTRL */\r
-#define SCT_EVENT_CTRL_COUNT                     (10U)\r
+/* The count of SCT_EV_CTRL */\r
+#define SCT_EV_CTRL_COUNT (16U)\r
 \r
 /*! @name OUT_SET - SCT output 0 set register */\r
 /*! @{ */\r
-#define SCT_OUT_SET_SET_MASK                     (0xFFFFU)\r
-#define SCT_OUT_SET_SET_SHIFT                    (0U)\r
-#define SCT_OUT_SET_SET(x)                       (((uint32_t)(((uint32_t)(x)) << SCT_OUT_SET_SET_SHIFT)) & SCT_OUT_SET_SET_MASK)\r
+#define SCT_OUT_SET_SET_MASK (0xFFFFU)\r
+#define SCT_OUT_SET_SET_SHIFT (0U)\r
+/*! SET - A 1 in bit m selects event m to set output n (or clear it if SETCLRn = 0x1 or 0x2) output\r
+ *    0 = bit 0, output 1 = bit 1, etc. The number of bits = number of events in this SCT. When the\r
+ *    counter is used in bi-directional mode, it is possible to reverse the action specified by the\r
+ *    output set and clear registers when counting down, See the OUTPUTCTRL register.\r
+ */\r
+#define SCT_OUT_SET_SET(x) (((uint32_t)(((uint32_t)(x)) << SCT_OUT_SET_SET_SHIFT)) & SCT_OUT_SET_SET_MASK)\r
 /*! @} */\r
 \r
 /* The count of SCT_OUT_SET */\r
-#define SCT_OUT_SET_COUNT                        (10U)\r
+#define SCT_OUT_SET_COUNT (10U)\r
 \r
 /*! @name OUT_CLR - SCT output 0 clear register */\r
 /*! @{ */\r
-#define SCT_OUT_CLR_CLR_MASK                     (0xFFFFU)\r
-#define SCT_OUT_CLR_CLR_SHIFT                    (0U)\r
-#define SCT_OUT_CLR_CLR(x)                       (((uint32_t)(((uint32_t)(x)) << SCT_OUT_CLR_CLR_SHIFT)) & SCT_OUT_CLR_CLR_MASK)\r
+#define SCT_OUT_CLR_CLR_MASK (0xFFFFU)\r
+#define SCT_OUT_CLR_CLR_SHIFT (0U)\r
+/*! CLR - A 1 in bit m selects event m to clear output n (or set it if SETCLRn = 0x1 or 0x2) event 0\r
+ *    = bit 0, event 1 = bit 1, etc. The number of bits = number of events in this SCT. When the\r
+ *    counter is used in bi-directional mode, it is possible to reverse the action specified by the\r
+ *    output set and clear registers when counting down, See the OUTPUTCTRL register.\r
+ */\r
+#define SCT_OUT_CLR_CLR(x) (((uint32_t)(((uint32_t)(x)) << SCT_OUT_CLR_CLR_SHIFT)) & SCT_OUT_CLR_CLR_MASK)\r
 /*! @} */\r
 \r
 /* The count of SCT_OUT_CLR */\r
-#define SCT_OUT_CLR_COUNT                        (10U)\r
-\r
+#define SCT_OUT_CLR_COUNT (10U)\r
 \r
 /*!\r
  * @}\r
  */ /* end of group SCT_Register_Masks */\r
 \r
-\r
 /* SCT - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral SCT0 base address */\r
-  #define SCT0_BASE                                (0x50085000u)\r
-  /** Peripheral SCT0 base address */\r
-  #define SCT0_BASE_NS                             (0x40085000u)\r
-  /** Peripheral SCT0 base pointer */\r
-  #define SCT0                                     ((SCT_Type *)SCT0_BASE)\r
-  /** Peripheral SCT0 base pointer */\r
-  #define SCT0_NS                                  ((SCT_Type *)SCT0_BASE_NS)\r
-  /** Array initializer of SCT peripheral base addresses */\r
-  #define SCT_BASE_ADDRS                           { SCT0_BASE }\r
-  /** Array initializer of SCT peripheral base pointers */\r
-  #define SCT_BASE_PTRS                            { SCT0 }\r
-  /** Array initializer of SCT peripheral base addresses */\r
-  #define SCT_BASE_ADDRS_NS                        { SCT0_BASE_NS }\r
-  /** Array initializer of SCT peripheral base pointers */\r
-  #define SCT_BASE_PTRS_NS                         { SCT0_NS }\r
+/** Peripheral SCT0 base address */\r
+#define SCT0_BASE (0x50085000u)\r
+/** Peripheral SCT0 base address */\r
+#define SCT0_BASE_NS (0x40085000u)\r
+/** Peripheral SCT0 base pointer */\r
+#define SCT0 ((SCT_Type *)SCT0_BASE)\r
+/** Peripheral SCT0 base pointer */\r
+#define SCT0_NS ((SCT_Type *)SCT0_BASE_NS)\r
+/** Array initializer of SCT peripheral base addresses */\r
+#define SCT_BASE_ADDRS \\r
+    {                  \\r
+        SCT0_BASE      \\r
+    }\r
+/** Array initializer of SCT peripheral base pointers */\r
+#define SCT_BASE_PTRS \\r
+    {                 \\r
+        SCT0          \\r
+    }\r
+/** Array initializer of SCT peripheral base addresses */\r
+#define SCT_BASE_ADDRS_NS \\r
+    {                     \\r
+        SCT0_BASE_NS      \\r
+    }\r
+/** Array initializer of SCT peripheral base pointers */\r
+#define SCT_BASE_PTRS_NS \\r
+    {                    \\r
+        SCT0_NS          \\r
+    }\r
 #else\r
-  /** Peripheral SCT0 base address */\r
-  #define SCT0_BASE                                (0x40085000u)\r
-  /** Peripheral SCT0 base pointer */\r
-  #define SCT0                                     ((SCT_Type *)SCT0_BASE)\r
-  /** Array initializer of SCT peripheral base addresses */\r
-  #define SCT_BASE_ADDRS                           { SCT0_BASE }\r
-  /** Array initializer of SCT peripheral base pointers */\r
-  #define SCT_BASE_PTRS                            { SCT0 }\r
+/** Peripheral SCT0 base address */\r
+#define SCT0_BASE (0x40085000u)\r
+/** Peripheral SCT0 base pointer */\r
+#define SCT0 ((SCT_Type *)SCT0_BASE)\r
+/** Array initializer of SCT peripheral base addresses */\r
+#define SCT_BASE_ADDRS \\r
+    {                  \\r
+        SCT0_BASE      \\r
+    }\r
+/** Array initializer of SCT peripheral base pointers */\r
+#define SCT_BASE_PTRS \\r
+    {                 \\r
+        SCT0          \\r
+    }\r
 #endif\r
 /** Interrupt vectors for the SCT peripheral type */\r
-#define SCT_IRQS                                 { SCT0_IRQn }\r
+#define SCT_IRQS  \\r
+    {             \\r
+        SCT0_IRQn \\r
+    }\r
 \r
 /*!\r
  * @}\r
  */ /* end of group SCT_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- SDIF Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -14568,45 +18983,46 @@ typedef struct {
  */\r
 \r
 /** SDIF - Register Layout Typedef */\r
-typedef struct {\r
-  __IO uint32_t CTRL;                              /**< Control register, offset: 0x0 */\r
-  __IO uint32_t PWREN;                             /**< Power Enable register, offset: 0x4 */\r
-  __IO uint32_t CLKDIV;                            /**< Clock Divider register, offset: 0x8 */\r
-       uint8_t RESERVED_0[4];\r
-  __IO uint32_t CLKENA;                            /**< Clock Enable register, offset: 0x10 */\r
-  __IO uint32_t TMOUT;                             /**< Time-out register, offset: 0x14 */\r
-  __IO uint32_t CTYPE;                             /**< Card Type register, offset: 0x18 */\r
-  __IO uint32_t BLKSIZ;                            /**< Block Size register, offset: 0x1C */\r
-  __IO uint32_t BYTCNT;                            /**< Byte Count register, offset: 0x20 */\r
-  __IO uint32_t INTMASK;                           /**< Interrupt Mask register, offset: 0x24 */\r
-  __IO uint32_t CMDARG;                            /**< Command Argument register, offset: 0x28 */\r
-  __IO uint32_t CMD;                               /**< Command register, offset: 0x2C */\r
-  __IO uint32_t RESP[4];                           /**< Response register, array offset: 0x30, array step: 0x4 */\r
-  __IO uint32_t MINTSTS;                           /**< Masked Interrupt Status register, offset: 0x40 */\r
-  __IO uint32_t RINTSTS;                           /**< Raw Interrupt Status register, offset: 0x44 */\r
-  __IO uint32_t STATUS;                            /**< Status register, offset: 0x48 */\r
-  __IO uint32_t FIFOTH;                            /**< FIFO Threshold Watermark register, offset: 0x4C */\r
-  __IO uint32_t CDETECT;                           /**< Card Detect register, offset: 0x50 */\r
-  __IO uint32_t WRTPRT;                            /**< Write Protect register, offset: 0x54 */\r
-       uint8_t RESERVED_1[4];\r
-  __IO uint32_t TCBCNT;                            /**< Transferred CIU Card Byte Count register, offset: 0x5C */\r
-  __IO uint32_t TBBCNT;                            /**< Transferred Host to BIU-FIFO Byte Count register, offset: 0x60 */\r
-  __IO uint32_t DEBNCE;                            /**< Debounce Count register, offset: 0x64 */\r
-       uint8_t RESERVED_2[16];\r
-  __IO uint32_t RST_N;                             /**< Hardware Reset, offset: 0x78 */\r
-       uint8_t RESERVED_3[4];\r
-  __IO uint32_t BMOD;                              /**< Bus Mode register, offset: 0x80 */\r
-  __IO uint32_t PLDMND;                            /**< Poll Demand register, offset: 0x84 */\r
-  __IO uint32_t DBADDR;                            /**< Descriptor List Base Address register, offset: 0x88 */\r
-  __IO uint32_t IDSTS;                             /**< Internal DMAC Status register, offset: 0x8C */\r
-  __IO uint32_t IDINTEN;                           /**< Internal DMAC Interrupt Enable register, offset: 0x90 */\r
-  __IO uint32_t DSCADDR;                           /**< Current Host Descriptor Address register, offset: 0x94 */\r
-  __IO uint32_t BUFADDR;                           /**< Current Buffer Descriptor Address register, offset: 0x98 */\r
-       uint8_t RESERVED_4[100];\r
-  __IO uint32_t CARDTHRCTL;                        /**< Card Threshold Control, offset: 0x100 */\r
-  __IO uint32_t BACKENDPWR;                        /**< Power control, offset: 0x104 */\r
-       uint8_t RESERVED_5[248];\r
-  __IO uint32_t FIFO[64];                          /**< SDIF FIFO, array offset: 0x200, array step: 0x4 */\r
+typedef struct\r
+{\r
+    __IO uint32_t CTRL;   /**< Control register, offset: 0x0 */\r
+    __IO uint32_t PWREN;  /**< Power Enable register, offset: 0x4 */\r
+    __IO uint32_t CLKDIV; /**< Clock Divider register, offset: 0x8 */\r
+    uint8_t RESERVED_0[4];\r
+    __IO uint32_t CLKENA;  /**< Clock Enable register, offset: 0x10 */\r
+    __IO uint32_t TMOUT;   /**< Time-out register, offset: 0x14 */\r
+    __IO uint32_t CTYPE;   /**< Card Type register, offset: 0x18 */\r
+    __IO uint32_t BLKSIZ;  /**< Block Size register, offset: 0x1C */\r
+    __IO uint32_t BYTCNT;  /**< Byte Count register, offset: 0x20 */\r
+    __IO uint32_t INTMASK; /**< Interrupt Mask register, offset: 0x24 */\r
+    __IO uint32_t CMDARG;  /**< Command Argument register, offset: 0x28 */\r
+    __IO uint32_t CMD;     /**< Command register, offset: 0x2C */\r
+    __IO uint32_t RESP[4]; /**< Response register, array offset: 0x30, array step: 0x4 */\r
+    __IO uint32_t MINTSTS; /**< Masked Interrupt Status register, offset: 0x40 */\r
+    __IO uint32_t RINTSTS; /**< Raw Interrupt Status register, offset: 0x44 */\r
+    __IO uint32_t STATUS;  /**< Status register, offset: 0x48 */\r
+    __IO uint32_t FIFOTH;  /**< FIFO Threshold Watermark register, offset: 0x4C */\r
+    __IO uint32_t CDETECT; /**< Card Detect register, offset: 0x50 */\r
+    __IO uint32_t WRTPRT;  /**< Write Protect register, offset: 0x54 */\r
+    uint8_t RESERVED_1[4];\r
+    __IO uint32_t TCBCNT; /**< Transferred CIU Card Byte Count register, offset: 0x5C */\r
+    __IO uint32_t TBBCNT; /**< Transferred Host to BIU-FIFO Byte Count register, offset: 0x60 */\r
+    __IO uint32_t DEBNCE; /**< Debounce Count register, offset: 0x64 */\r
+    uint8_t RESERVED_2[16];\r
+    __IO uint32_t RST_N; /**< Hardware Reset, offset: 0x78 */\r
+    uint8_t RESERVED_3[4];\r
+    __IO uint32_t BMOD;    /**< Bus Mode register, offset: 0x80 */\r
+    __IO uint32_t PLDMND;  /**< Poll Demand register, offset: 0x84 */\r
+    __IO uint32_t DBADDR;  /**< Descriptor List Base Address register, offset: 0x88 */\r
+    __IO uint32_t IDSTS;   /**< Internal DMAC Status register, offset: 0x8C */\r
+    __IO uint32_t IDINTEN; /**< Internal DMAC Interrupt Enable register, offset: 0x90 */\r
+    __IO uint32_t DSCADDR; /**< Current Host Descriptor Address register, offset: 0x94 */\r
+    __IO uint32_t BUFADDR; /**< Current Buffer Descriptor Address register, offset: 0x98 */\r
+    uint8_t RESERVED_4[100];\r
+    __IO uint32_t CARDTHRCTL; /**< Card Threshold Control, offset: 0x100 */\r
+    __IO uint32_t BACKENDPWR; /**< Power control, offset: 0x104 */\r
+    uint8_t RESERVED_5[248];\r
+    __IO uint32_t FIFO[64]; /**< SDIF FIFO, array offset: 0x200, array step: 0x4 */\r
 } SDIF_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -14620,652 +19036,1066 @@ typedef struct {
 \r
 /*! @name CTRL - Control register */\r
 /*! @{ */\r
-#define SDIF_CTRL_CONTROLLER_RESET_MASK          (0x1U)\r
-#define SDIF_CTRL_CONTROLLER_RESET_SHIFT         (0U)\r
-#define SDIF_CTRL_CONTROLLER_RESET(x)            (((uint32_t)(((uint32_t)(x)) << SDIF_CTRL_CONTROLLER_RESET_SHIFT)) & SDIF_CTRL_CONTROLLER_RESET_MASK)\r
-#define SDIF_CTRL_FIFO_RESET_MASK                (0x2U)\r
-#define SDIF_CTRL_FIFO_RESET_SHIFT               (1U)\r
-#define SDIF_CTRL_FIFO_RESET(x)                  (((uint32_t)(((uint32_t)(x)) << SDIF_CTRL_FIFO_RESET_SHIFT)) & SDIF_CTRL_FIFO_RESET_MASK)\r
-#define SDIF_CTRL_DMA_RESET_MASK                 (0x4U)\r
-#define SDIF_CTRL_DMA_RESET_SHIFT                (2U)\r
-#define SDIF_CTRL_DMA_RESET(x)                   (((uint32_t)(((uint32_t)(x)) << SDIF_CTRL_DMA_RESET_SHIFT)) & SDIF_CTRL_DMA_RESET_MASK)\r
-#define SDIF_CTRL_INT_ENABLE_MASK                (0x10U)\r
-#define SDIF_CTRL_INT_ENABLE_SHIFT               (4U)\r
-#define SDIF_CTRL_INT_ENABLE(x)                  (((uint32_t)(((uint32_t)(x)) << SDIF_CTRL_INT_ENABLE_SHIFT)) & SDIF_CTRL_INT_ENABLE_MASK)\r
-#define SDIF_CTRL_READ_WAIT_MASK                 (0x40U)\r
-#define SDIF_CTRL_READ_WAIT_SHIFT                (6U)\r
-#define SDIF_CTRL_READ_WAIT(x)                   (((uint32_t)(((uint32_t)(x)) << SDIF_CTRL_READ_WAIT_SHIFT)) & SDIF_CTRL_READ_WAIT_MASK)\r
-#define SDIF_CTRL_SEND_IRQ_RESPONSE_MASK         (0x80U)\r
-#define SDIF_CTRL_SEND_IRQ_RESPONSE_SHIFT        (7U)\r
-#define SDIF_CTRL_SEND_IRQ_RESPONSE(x)           (((uint32_t)(((uint32_t)(x)) << SDIF_CTRL_SEND_IRQ_RESPONSE_SHIFT)) & SDIF_CTRL_SEND_IRQ_RESPONSE_MASK)\r
-#define SDIF_CTRL_ABORT_READ_DATA_MASK           (0x100U)\r
-#define SDIF_CTRL_ABORT_READ_DATA_SHIFT          (8U)\r
-#define SDIF_CTRL_ABORT_READ_DATA(x)             (((uint32_t)(((uint32_t)(x)) << SDIF_CTRL_ABORT_READ_DATA_SHIFT)) & SDIF_CTRL_ABORT_READ_DATA_MASK)\r
-#define SDIF_CTRL_SEND_CCSD_MASK                 (0x200U)\r
-#define SDIF_CTRL_SEND_CCSD_SHIFT                (9U)\r
-#define SDIF_CTRL_SEND_CCSD(x)                   (((uint32_t)(((uint32_t)(x)) << SDIF_CTRL_SEND_CCSD_SHIFT)) & SDIF_CTRL_SEND_CCSD_MASK)\r
-#define SDIF_CTRL_SEND_AUTO_STOP_CCSD_MASK       (0x400U)\r
-#define SDIF_CTRL_SEND_AUTO_STOP_CCSD_SHIFT      (10U)\r
-#define SDIF_CTRL_SEND_AUTO_STOP_CCSD(x)         (((uint32_t)(((uint32_t)(x)) << SDIF_CTRL_SEND_AUTO_STOP_CCSD_SHIFT)) & SDIF_CTRL_SEND_AUTO_STOP_CCSD_MASK)\r
+#define SDIF_CTRL_CONTROLLER_RESET_MASK (0x1U)\r
+#define SDIF_CTRL_CONTROLLER_RESET_SHIFT (0U)\r
+/*! CONTROLLER_RESET - Controller reset.\r
+ */\r
+#define SDIF_CTRL_CONTROLLER_RESET(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CTRL_CONTROLLER_RESET_SHIFT)) & SDIF_CTRL_CONTROLLER_RESET_MASK)\r
+#define SDIF_CTRL_FIFO_RESET_MASK (0x2U)\r
+#define SDIF_CTRL_FIFO_RESET_SHIFT (1U)\r
+/*! FIFO_RESET - Fifo reset.\r
+ */\r
+#define SDIF_CTRL_FIFO_RESET(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CTRL_FIFO_RESET_SHIFT)) & SDIF_CTRL_FIFO_RESET_MASK)\r
+#define SDIF_CTRL_DMA_RESET_MASK (0x4U)\r
+#define SDIF_CTRL_DMA_RESET_SHIFT (2U)\r
+/*! DMA_RESET - DMA reset.\r
+ */\r
+#define SDIF_CTRL_DMA_RESET(x) (((uint32_t)(((uint32_t)(x)) << SDIF_CTRL_DMA_RESET_SHIFT)) & SDIF_CTRL_DMA_RESET_MASK)\r
+#define SDIF_CTRL_INT_ENABLE_MASK (0x10U)\r
+#define SDIF_CTRL_INT_ENABLE_SHIFT (4U)\r
+/*! INT_ENABLE - Global interrupt enable/disable bit.\r
+ */\r
+#define SDIF_CTRL_INT_ENABLE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CTRL_INT_ENABLE_SHIFT)) & SDIF_CTRL_INT_ENABLE_MASK)\r
+#define SDIF_CTRL_READ_WAIT_MASK (0x40U)\r
+#define SDIF_CTRL_READ_WAIT_SHIFT (6U)\r
+/*! READ_WAIT - Read/wait.\r
+ */\r
+#define SDIF_CTRL_READ_WAIT(x) (((uint32_t)(((uint32_t)(x)) << SDIF_CTRL_READ_WAIT_SHIFT)) & SDIF_CTRL_READ_WAIT_MASK)\r
+#define SDIF_CTRL_SEND_IRQ_RESPONSE_MASK (0x80U)\r
+#define SDIF_CTRL_SEND_IRQ_RESPONSE_SHIFT (7U)\r
+/*! SEND_IRQ_RESPONSE - Send irq response.\r
+ */\r
+#define SDIF_CTRL_SEND_IRQ_RESPONSE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CTRL_SEND_IRQ_RESPONSE_SHIFT)) & SDIF_CTRL_SEND_IRQ_RESPONSE_MASK)\r
+#define SDIF_CTRL_ABORT_READ_DATA_MASK (0x100U)\r
+#define SDIF_CTRL_ABORT_READ_DATA_SHIFT (8U)\r
+/*! ABORT_READ_DATA - Abort read data.\r
+ */\r
+#define SDIF_CTRL_ABORT_READ_DATA(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CTRL_ABORT_READ_DATA_SHIFT)) & SDIF_CTRL_ABORT_READ_DATA_MASK)\r
+#define SDIF_CTRL_SEND_CCSD_MASK (0x200U)\r
+#define SDIF_CTRL_SEND_CCSD_SHIFT (9U)\r
+/*! SEND_CCSD - Send ccsd.\r
+ */\r
+#define SDIF_CTRL_SEND_CCSD(x) (((uint32_t)(((uint32_t)(x)) << SDIF_CTRL_SEND_CCSD_SHIFT)) & SDIF_CTRL_SEND_CCSD_MASK)\r
+#define SDIF_CTRL_SEND_AUTO_STOP_CCSD_MASK (0x400U)\r
+#define SDIF_CTRL_SEND_AUTO_STOP_CCSD_SHIFT (10U)\r
+/*! SEND_AUTO_STOP_CCSD - Send auto stop ccsd.\r
+ */\r
+#define SDIF_CTRL_SEND_AUTO_STOP_CCSD(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CTRL_SEND_AUTO_STOP_CCSD_SHIFT)) & SDIF_CTRL_SEND_AUTO_STOP_CCSD_MASK)\r
 #define SDIF_CTRL_CEATA_DEVICE_INTERRUPT_STATUS_MASK (0x800U)\r
 #define SDIF_CTRL_CEATA_DEVICE_INTERRUPT_STATUS_SHIFT (11U)\r
-#define SDIF_CTRL_CEATA_DEVICE_INTERRUPT_STATUS(x) (((uint32_t)(((uint32_t)(x)) << SDIF_CTRL_CEATA_DEVICE_INTERRUPT_STATUS_SHIFT)) & SDIF_CTRL_CEATA_DEVICE_INTERRUPT_STATUS_MASK)\r
-#define SDIF_CTRL_CARD_VOLTAGE_A0_MASK           (0x10000U)\r
-#define SDIF_CTRL_CARD_VOLTAGE_A0_SHIFT          (16U)\r
-#define SDIF_CTRL_CARD_VOLTAGE_A0(x)             (((uint32_t)(((uint32_t)(x)) << SDIF_CTRL_CARD_VOLTAGE_A0_SHIFT)) & SDIF_CTRL_CARD_VOLTAGE_A0_MASK)\r
-#define SDIF_CTRL_CARD_VOLTAGE_A1_MASK           (0x20000U)\r
-#define SDIF_CTRL_CARD_VOLTAGE_A1_SHIFT          (17U)\r
-#define SDIF_CTRL_CARD_VOLTAGE_A1(x)             (((uint32_t)(((uint32_t)(x)) << SDIF_CTRL_CARD_VOLTAGE_A1_SHIFT)) & SDIF_CTRL_CARD_VOLTAGE_A1_MASK)\r
-#define SDIF_CTRL_CARD_VOLTAGE_A2_MASK           (0x40000U)\r
-#define SDIF_CTRL_CARD_VOLTAGE_A2_SHIFT          (18U)\r
-#define SDIF_CTRL_CARD_VOLTAGE_A2(x)             (((uint32_t)(((uint32_t)(x)) << SDIF_CTRL_CARD_VOLTAGE_A2_SHIFT)) & SDIF_CTRL_CARD_VOLTAGE_A2_MASK)\r
-#define SDIF_CTRL_USE_INTERNAL_DMAC_MASK         (0x2000000U)\r
-#define SDIF_CTRL_USE_INTERNAL_DMAC_SHIFT        (25U)\r
-#define SDIF_CTRL_USE_INTERNAL_DMAC(x)           (((uint32_t)(((uint32_t)(x)) << SDIF_CTRL_USE_INTERNAL_DMAC_SHIFT)) & SDIF_CTRL_USE_INTERNAL_DMAC_MASK)\r
+/*! CEATA_DEVICE_INTERRUPT_STATUS - CEATA device interrupt status.\r
+ */\r
+#define SDIF_CTRL_CEATA_DEVICE_INTERRUPT_STATUS(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CTRL_CEATA_DEVICE_INTERRUPT_STATUS_SHIFT)) & \\r
+     SDIF_CTRL_CEATA_DEVICE_INTERRUPT_STATUS_MASK)\r
+#define SDIF_CTRL_CARD_VOLTAGE_A0_MASK (0x10000U)\r
+#define SDIF_CTRL_CARD_VOLTAGE_A0_SHIFT (16U)\r
+/*! CARD_VOLTAGE_A0 - Controls the state of the SD_VOLT0 pin.\r
+ */\r
+#define SDIF_CTRL_CARD_VOLTAGE_A0(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CTRL_CARD_VOLTAGE_A0_SHIFT)) & SDIF_CTRL_CARD_VOLTAGE_A0_MASK)\r
+#define SDIF_CTRL_CARD_VOLTAGE_A1_MASK (0x20000U)\r
+#define SDIF_CTRL_CARD_VOLTAGE_A1_SHIFT (17U)\r
+/*! CARD_VOLTAGE_A1 - Controls the state of the SD_VOLT1 pin.\r
+ */\r
+#define SDIF_CTRL_CARD_VOLTAGE_A1(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CTRL_CARD_VOLTAGE_A1_SHIFT)) & SDIF_CTRL_CARD_VOLTAGE_A1_MASK)\r
+#define SDIF_CTRL_CARD_VOLTAGE_A2_MASK (0x40000U)\r
+#define SDIF_CTRL_CARD_VOLTAGE_A2_SHIFT (18U)\r
+/*! CARD_VOLTAGE_A2 - Controls the state of the SD_VOLT2 pin.\r
+ */\r
+#define SDIF_CTRL_CARD_VOLTAGE_A2(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CTRL_CARD_VOLTAGE_A2_SHIFT)) & SDIF_CTRL_CARD_VOLTAGE_A2_MASK)\r
+#define SDIF_CTRL_USE_INTERNAL_DMAC_MASK (0x2000000U)\r
+#define SDIF_CTRL_USE_INTERNAL_DMAC_SHIFT (25U)\r
+/*! USE_INTERNAL_DMAC - SD/MMC DMA use.\r
+ */\r
+#define SDIF_CTRL_USE_INTERNAL_DMAC(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CTRL_USE_INTERNAL_DMAC_SHIFT)) & SDIF_CTRL_USE_INTERNAL_DMAC_MASK)\r
 /*! @} */\r
 \r
 /*! @name PWREN - Power Enable register */\r
 /*! @{ */\r
-#define SDIF_PWREN_POWER_ENABLE0_MASK            (0x1U)\r
-#define SDIF_PWREN_POWER_ENABLE0_SHIFT           (0U)\r
-#define SDIF_PWREN_POWER_ENABLE0(x)              (((uint32_t)(((uint32_t)(x)) << SDIF_PWREN_POWER_ENABLE0_SHIFT)) & SDIF_PWREN_POWER_ENABLE0_MASK)\r
-#define SDIF_PWREN_POWER_ENABLE1_MASK            (0x2U)\r
-#define SDIF_PWREN_POWER_ENABLE1_SHIFT           (1U)\r
-#define SDIF_PWREN_POWER_ENABLE1(x)              (((uint32_t)(((uint32_t)(x)) << SDIF_PWREN_POWER_ENABLE1_SHIFT)) & SDIF_PWREN_POWER_ENABLE1_MASK)\r
+#define SDIF_PWREN_POWER_ENABLE0_MASK (0x1U)\r
+#define SDIF_PWREN_POWER_ENABLE0_SHIFT (0U)\r
+/*! POWER_ENABLE0 - Power on/off switch for card 0; once power is turned on, software should wait\r
+ *    for regulator/switch ramp-up time before trying to initialize card 0.\r
+ */\r
+#define SDIF_PWREN_POWER_ENABLE0(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_PWREN_POWER_ENABLE0_SHIFT)) & SDIF_PWREN_POWER_ENABLE0_MASK)\r
+#define SDIF_PWREN_POWER_ENABLE1_MASK (0x2U)\r
+#define SDIF_PWREN_POWER_ENABLE1_SHIFT (1U)\r
+/*! POWER_ENABLE1 - Power on/off switch for card 1; once power is turned on, software should wait\r
+ *    for regulator/switch ramp-up time before trying to initialize card 1.\r
+ */\r
+#define SDIF_PWREN_POWER_ENABLE1(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_PWREN_POWER_ENABLE1_SHIFT)) & SDIF_PWREN_POWER_ENABLE1_MASK)\r
 /*! @} */\r
 \r
 /*! @name CLKDIV - Clock Divider register */\r
 /*! @{ */\r
-#define SDIF_CLKDIV_CLK_DIVIDER0_MASK            (0xFFU)\r
-#define SDIF_CLKDIV_CLK_DIVIDER0_SHIFT           (0U)\r
-#define SDIF_CLKDIV_CLK_DIVIDER0(x)              (((uint32_t)(((uint32_t)(x)) << SDIF_CLKDIV_CLK_DIVIDER0_SHIFT)) & SDIF_CLKDIV_CLK_DIVIDER0_MASK)\r
+#define SDIF_CLKDIV_CLK_DIVIDER0_MASK (0xFFU)\r
+#define SDIF_CLKDIV_CLK_DIVIDER0_SHIFT (0U)\r
+/*! CLK_DIVIDER0 - Clock divider-0 value.\r
+ */\r
+#define SDIF_CLKDIV_CLK_DIVIDER0(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CLKDIV_CLK_DIVIDER0_SHIFT)) & SDIF_CLKDIV_CLK_DIVIDER0_MASK)\r
 /*! @} */\r
 \r
 /*! @name CLKENA - Clock Enable register */\r
 /*! @{ */\r
-#define SDIF_CLKENA_CCLK0_ENABLE_MASK            (0x1U)\r
-#define SDIF_CLKENA_CCLK0_ENABLE_SHIFT           (0U)\r
-#define SDIF_CLKENA_CCLK0_ENABLE(x)              (((uint32_t)(((uint32_t)(x)) << SDIF_CLKENA_CCLK0_ENABLE_SHIFT)) & SDIF_CLKENA_CCLK0_ENABLE_MASK)\r
-#define SDIF_CLKENA_CCLK1_ENABLE_MASK            (0x2U)\r
-#define SDIF_CLKENA_CCLK1_ENABLE_SHIFT           (1U)\r
-#define SDIF_CLKENA_CCLK1_ENABLE(x)              (((uint32_t)(((uint32_t)(x)) << SDIF_CLKENA_CCLK1_ENABLE_SHIFT)) & SDIF_CLKENA_CCLK1_ENABLE_MASK)\r
-#define SDIF_CLKENA_CCLK0_LOW_POWER_MASK         (0x10000U)\r
-#define SDIF_CLKENA_CCLK0_LOW_POWER_SHIFT        (16U)\r
-#define SDIF_CLKENA_CCLK0_LOW_POWER(x)           (((uint32_t)(((uint32_t)(x)) << SDIF_CLKENA_CCLK0_LOW_POWER_SHIFT)) & SDIF_CLKENA_CCLK0_LOW_POWER_MASK)\r
-#define SDIF_CLKENA_CCLK1_LOW_POWER_MASK         (0x20000U)\r
-#define SDIF_CLKENA_CCLK1_LOW_POWER_SHIFT        (17U)\r
-#define SDIF_CLKENA_CCLK1_LOW_POWER(x)           (((uint32_t)(((uint32_t)(x)) << SDIF_CLKENA_CCLK1_LOW_POWER_SHIFT)) & SDIF_CLKENA_CCLK1_LOW_POWER_MASK)\r
+#define SDIF_CLKENA_CCLK0_ENABLE_MASK (0x1U)\r
+#define SDIF_CLKENA_CCLK0_ENABLE_SHIFT (0U)\r
+/*! CCLK0_ENABLE - Clock-enable control for SD card 0 clock.\r
+ */\r
+#define SDIF_CLKENA_CCLK0_ENABLE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CLKENA_CCLK0_ENABLE_SHIFT)) & SDIF_CLKENA_CCLK0_ENABLE_MASK)\r
+#define SDIF_CLKENA_CCLK1_ENABLE_MASK (0x2U)\r
+#define SDIF_CLKENA_CCLK1_ENABLE_SHIFT (1U)\r
+/*! CCLK1_ENABLE - Clock-enable control for SD card 1 clock.\r
+ */\r
+#define SDIF_CLKENA_CCLK1_ENABLE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CLKENA_CCLK1_ENABLE_SHIFT)) & SDIF_CLKENA_CCLK1_ENABLE_MASK)\r
+#define SDIF_CLKENA_CCLK0_LOW_POWER_MASK (0x10000U)\r
+#define SDIF_CLKENA_CCLK0_LOW_POWER_SHIFT (16U)\r
+/*! CCLK0_LOW_POWER - Low-power control for SD card 0 clock.\r
+ */\r
+#define SDIF_CLKENA_CCLK0_LOW_POWER(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CLKENA_CCLK0_LOW_POWER_SHIFT)) & SDIF_CLKENA_CCLK0_LOW_POWER_MASK)\r
+#define SDIF_CLKENA_CCLK1_LOW_POWER_MASK (0x20000U)\r
+#define SDIF_CLKENA_CCLK1_LOW_POWER_SHIFT (17U)\r
+/*! CCLK1_LOW_POWER - Low-power control for SD card 1 clock.\r
+ */\r
+#define SDIF_CLKENA_CCLK1_LOW_POWER(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CLKENA_CCLK1_LOW_POWER_SHIFT)) & SDIF_CLKENA_CCLK1_LOW_POWER_MASK)\r
 /*! @} */\r
 \r
 /*! @name TMOUT - Time-out register */\r
 /*! @{ */\r
-#define SDIF_TMOUT_RESPONSE_TIMEOUT_MASK         (0xFFU)\r
-#define SDIF_TMOUT_RESPONSE_TIMEOUT_SHIFT        (0U)\r
-#define SDIF_TMOUT_RESPONSE_TIMEOUT(x)           (((uint32_t)(((uint32_t)(x)) << SDIF_TMOUT_RESPONSE_TIMEOUT_SHIFT)) & SDIF_TMOUT_RESPONSE_TIMEOUT_MASK)\r
-#define SDIF_TMOUT_DATA_TIMEOUT_MASK             (0xFFFFFF00U)\r
-#define SDIF_TMOUT_DATA_TIMEOUT_SHIFT            (8U)\r
-#define SDIF_TMOUT_DATA_TIMEOUT(x)               (((uint32_t)(((uint32_t)(x)) << SDIF_TMOUT_DATA_TIMEOUT_SHIFT)) & SDIF_TMOUT_DATA_TIMEOUT_MASK)\r
+#define SDIF_TMOUT_RESPONSE_TIMEOUT_MASK (0xFFU)\r
+#define SDIF_TMOUT_RESPONSE_TIMEOUT_SHIFT (0U)\r
+/*! RESPONSE_TIMEOUT - Response time-out value.\r
+ */\r
+#define SDIF_TMOUT_RESPONSE_TIMEOUT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_TMOUT_RESPONSE_TIMEOUT_SHIFT)) & SDIF_TMOUT_RESPONSE_TIMEOUT_MASK)\r
+#define SDIF_TMOUT_DATA_TIMEOUT_MASK (0xFFFFFF00U)\r
+#define SDIF_TMOUT_DATA_TIMEOUT_SHIFT (8U)\r
+/*! DATA_TIMEOUT - Value for card Data Read time-out; same value also used for Data Starvation by Host time-out.\r
+ */\r
+#define SDIF_TMOUT_DATA_TIMEOUT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_TMOUT_DATA_TIMEOUT_SHIFT)) & SDIF_TMOUT_DATA_TIMEOUT_MASK)\r
 /*! @} */\r
 \r
 /*! @name CTYPE - Card Type register */\r
 /*! @{ */\r
-#define SDIF_CTYPE_CARD0_WIDTH0_MASK             (0x1U)\r
-#define SDIF_CTYPE_CARD0_WIDTH0_SHIFT            (0U)\r
-#define SDIF_CTYPE_CARD0_WIDTH0(x)               (((uint32_t)(((uint32_t)(x)) << SDIF_CTYPE_CARD0_WIDTH0_SHIFT)) & SDIF_CTYPE_CARD0_WIDTH0_MASK)\r
-#define SDIF_CTYPE_CARD1_WIDTH0_MASK             (0x2U)\r
-#define SDIF_CTYPE_CARD1_WIDTH0_SHIFT            (1U)\r
-#define SDIF_CTYPE_CARD1_WIDTH0(x)               (((uint32_t)(((uint32_t)(x)) << SDIF_CTYPE_CARD1_WIDTH0_SHIFT)) & SDIF_CTYPE_CARD1_WIDTH0_MASK)\r
-#define SDIF_CTYPE_CARD0_WIDTH1_MASK             (0x10000U)\r
-#define SDIF_CTYPE_CARD0_WIDTH1_SHIFT            (16U)\r
-#define SDIF_CTYPE_CARD0_WIDTH1(x)               (((uint32_t)(((uint32_t)(x)) << SDIF_CTYPE_CARD0_WIDTH1_SHIFT)) & SDIF_CTYPE_CARD0_WIDTH1_MASK)\r
-#define SDIF_CTYPE_CARD1_WIDTH1_MASK             (0x20000U)\r
-#define SDIF_CTYPE_CARD1_WIDTH1_SHIFT            (17U)\r
-#define SDIF_CTYPE_CARD1_WIDTH1(x)               (((uint32_t)(((uint32_t)(x)) << SDIF_CTYPE_CARD1_WIDTH1_SHIFT)) & SDIF_CTYPE_CARD1_WIDTH1_MASK)\r
+#define SDIF_CTYPE_CARD0_WIDTH0_MASK (0x1U)\r
+#define SDIF_CTYPE_CARD0_WIDTH0_SHIFT (0U)\r
+/*! CARD0_WIDTH0 - Indicates if card 0 is 1-bit or 4-bit: 0 - 1-bit mode 1 - 4-bit mode 1 and 4-bit\r
+ *    modes only work when 8-bit mode in CARD0_WIDTH1 is not enabled (bit 16 in this register is set\r
+ *    to 0).\r
+ */\r
+#define SDIF_CTYPE_CARD0_WIDTH0(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CTYPE_CARD0_WIDTH0_SHIFT)) & SDIF_CTYPE_CARD0_WIDTH0_MASK)\r
+#define SDIF_CTYPE_CARD1_WIDTH0_MASK (0x2U)\r
+#define SDIF_CTYPE_CARD1_WIDTH0_SHIFT (1U)\r
+/*! CARD1_WIDTH0 - Indicates if card 1 is 1-bit or 4-bit: 0 - 1-bit mode 1 - 4-bit mode 1 and 4-bit\r
+ *    modes only work when 8-bit mode in CARD1_WIDTH1 is not enabled (bit 16 in this register is set\r
+ *    to 0).\r
+ */\r
+#define SDIF_CTYPE_CARD1_WIDTH0(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CTYPE_CARD1_WIDTH0_SHIFT)) & SDIF_CTYPE_CARD1_WIDTH0_MASK)\r
+#define SDIF_CTYPE_CARD0_WIDTH1_MASK (0x10000U)\r
+#define SDIF_CTYPE_CARD0_WIDTH1_SHIFT (16U)\r
+/*! CARD0_WIDTH1 - Indicates if card 0 is 8-bit: 0 - Non 8-bit mode 1 - 8-bit mode.\r
+ */\r
+#define SDIF_CTYPE_CARD0_WIDTH1(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CTYPE_CARD0_WIDTH1_SHIFT)) & SDIF_CTYPE_CARD0_WIDTH1_MASK)\r
+#define SDIF_CTYPE_CARD1_WIDTH1_MASK (0x20000U)\r
+#define SDIF_CTYPE_CARD1_WIDTH1_SHIFT (17U)\r
+/*! CARD1_WIDTH1 - Indicates if card 1 is 8-bit: 0 - Non 8-bit mode 1 - 8-bit mode.\r
+ */\r
+#define SDIF_CTYPE_CARD1_WIDTH1(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CTYPE_CARD1_WIDTH1_SHIFT)) & SDIF_CTYPE_CARD1_WIDTH1_MASK)\r
 /*! @} */\r
 \r
 /*! @name BLKSIZ - Block Size register */\r
 /*! @{ */\r
-#define SDIF_BLKSIZ_BLOCK_SIZE_MASK              (0xFFFFU)\r
-#define SDIF_BLKSIZ_BLOCK_SIZE_SHIFT             (0U)\r
-#define SDIF_BLKSIZ_BLOCK_SIZE(x)                (((uint32_t)(((uint32_t)(x)) << SDIF_BLKSIZ_BLOCK_SIZE_SHIFT)) & SDIF_BLKSIZ_BLOCK_SIZE_MASK)\r
+#define SDIF_BLKSIZ_BLOCK_SIZE_MASK (0xFFFFU)\r
+#define SDIF_BLKSIZ_BLOCK_SIZE_SHIFT (0U)\r
+/*! BLOCK_SIZE - Block size.\r
+ */\r
+#define SDIF_BLKSIZ_BLOCK_SIZE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_BLKSIZ_BLOCK_SIZE_SHIFT)) & SDIF_BLKSIZ_BLOCK_SIZE_MASK)\r
 /*! @} */\r
 \r
 /*! @name BYTCNT - Byte Count register */\r
 /*! @{ */\r
-#define SDIF_BYTCNT_BYTE_COUNT_MASK              (0xFFFFFFFFU)\r
-#define SDIF_BYTCNT_BYTE_COUNT_SHIFT             (0U)\r
-#define SDIF_BYTCNT_BYTE_COUNT(x)                (((uint32_t)(((uint32_t)(x)) << SDIF_BYTCNT_BYTE_COUNT_SHIFT)) & SDIF_BYTCNT_BYTE_COUNT_MASK)\r
+#define SDIF_BYTCNT_BYTE_COUNT_MASK (0xFFFFFFFFU)\r
+#define SDIF_BYTCNT_BYTE_COUNT_SHIFT (0U)\r
+/*! BYTE_COUNT - Number of bytes to be transferred; should be integer multiple of Block Size for block transfers.\r
+ */\r
+#define SDIF_BYTCNT_BYTE_COUNT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_BYTCNT_BYTE_COUNT_SHIFT)) & SDIF_BYTCNT_BYTE_COUNT_MASK)\r
 /*! @} */\r
 \r
 /*! @name INTMASK - Interrupt Mask register */\r
 /*! @{ */\r
-#define SDIF_INTMASK_CDET_MASK                   (0x1U)\r
-#define SDIF_INTMASK_CDET_SHIFT                  (0U)\r
-#define SDIF_INTMASK_CDET(x)                     (((uint32_t)(((uint32_t)(x)) << SDIF_INTMASK_CDET_SHIFT)) & SDIF_INTMASK_CDET_MASK)\r
-#define SDIF_INTMASK_RE_MASK                     (0x2U)\r
-#define SDIF_INTMASK_RE_SHIFT                    (1U)\r
-#define SDIF_INTMASK_RE(x)                       (((uint32_t)(((uint32_t)(x)) << SDIF_INTMASK_RE_SHIFT)) & SDIF_INTMASK_RE_MASK)\r
-#define SDIF_INTMASK_CDONE_MASK                  (0x4U)\r
-#define SDIF_INTMASK_CDONE_SHIFT                 (2U)\r
-#define SDIF_INTMASK_CDONE(x)                    (((uint32_t)(((uint32_t)(x)) << SDIF_INTMASK_CDONE_SHIFT)) & SDIF_INTMASK_CDONE_MASK)\r
-#define SDIF_INTMASK_DTO_MASK                    (0x8U)\r
-#define SDIF_INTMASK_DTO_SHIFT                   (3U)\r
-#define SDIF_INTMASK_DTO(x)                      (((uint32_t)(((uint32_t)(x)) << SDIF_INTMASK_DTO_SHIFT)) & SDIF_INTMASK_DTO_MASK)\r
-#define SDIF_INTMASK_TXDR_MASK                   (0x10U)\r
-#define SDIF_INTMASK_TXDR_SHIFT                  (4U)\r
-#define SDIF_INTMASK_TXDR(x)                     (((uint32_t)(((uint32_t)(x)) << SDIF_INTMASK_TXDR_SHIFT)) & SDIF_INTMASK_TXDR_MASK)\r
-#define SDIF_INTMASK_RXDR_MASK                   (0x20U)\r
-#define SDIF_INTMASK_RXDR_SHIFT                  (5U)\r
-#define SDIF_INTMASK_RXDR(x)                     (((uint32_t)(((uint32_t)(x)) << SDIF_INTMASK_RXDR_SHIFT)) & SDIF_INTMASK_RXDR_MASK)\r
-#define SDIF_INTMASK_RCRC_MASK                   (0x40U)\r
-#define SDIF_INTMASK_RCRC_SHIFT                  (6U)\r
-#define SDIF_INTMASK_RCRC(x)                     (((uint32_t)(((uint32_t)(x)) << SDIF_INTMASK_RCRC_SHIFT)) & SDIF_INTMASK_RCRC_MASK)\r
-#define SDIF_INTMASK_DCRC_MASK                   (0x80U)\r
-#define SDIF_INTMASK_DCRC_SHIFT                  (7U)\r
-#define SDIF_INTMASK_DCRC(x)                     (((uint32_t)(((uint32_t)(x)) << SDIF_INTMASK_DCRC_SHIFT)) & SDIF_INTMASK_DCRC_MASK)\r
-#define SDIF_INTMASK_RTO_MASK                    (0x100U)\r
-#define SDIF_INTMASK_RTO_SHIFT                   (8U)\r
-#define SDIF_INTMASK_RTO(x)                      (((uint32_t)(((uint32_t)(x)) << SDIF_INTMASK_RTO_SHIFT)) & SDIF_INTMASK_RTO_MASK)\r
-#define SDIF_INTMASK_DRTO_MASK                   (0x200U)\r
-#define SDIF_INTMASK_DRTO_SHIFT                  (9U)\r
-#define SDIF_INTMASK_DRTO(x)                     (((uint32_t)(((uint32_t)(x)) << SDIF_INTMASK_DRTO_SHIFT)) & SDIF_INTMASK_DRTO_MASK)\r
-#define SDIF_INTMASK_HTO_MASK                    (0x400U)\r
-#define SDIF_INTMASK_HTO_SHIFT                   (10U)\r
-#define SDIF_INTMASK_HTO(x)                      (((uint32_t)(((uint32_t)(x)) << SDIF_INTMASK_HTO_SHIFT)) & SDIF_INTMASK_HTO_MASK)\r
-#define SDIF_INTMASK_FRUN_MASK                   (0x800U)\r
-#define SDIF_INTMASK_FRUN_SHIFT                  (11U)\r
-#define SDIF_INTMASK_FRUN(x)                     (((uint32_t)(((uint32_t)(x)) << SDIF_INTMASK_FRUN_SHIFT)) & SDIF_INTMASK_FRUN_MASK)\r
-#define SDIF_INTMASK_HLE_MASK                    (0x1000U)\r
-#define SDIF_INTMASK_HLE_SHIFT                   (12U)\r
-#define SDIF_INTMASK_HLE(x)                      (((uint32_t)(((uint32_t)(x)) << SDIF_INTMASK_HLE_SHIFT)) & SDIF_INTMASK_HLE_MASK)\r
-#define SDIF_INTMASK_SBE_MASK                    (0x2000U)\r
-#define SDIF_INTMASK_SBE_SHIFT                   (13U)\r
-#define SDIF_INTMASK_SBE(x)                      (((uint32_t)(((uint32_t)(x)) << SDIF_INTMASK_SBE_SHIFT)) & SDIF_INTMASK_SBE_MASK)\r
-#define SDIF_INTMASK_ACD_MASK                    (0x4000U)\r
-#define SDIF_INTMASK_ACD_SHIFT                   (14U)\r
-#define SDIF_INTMASK_ACD(x)                      (((uint32_t)(((uint32_t)(x)) << SDIF_INTMASK_ACD_SHIFT)) & SDIF_INTMASK_ACD_MASK)\r
-#define SDIF_INTMASK_EBE_MASK                    (0x8000U)\r
-#define SDIF_INTMASK_EBE_SHIFT                   (15U)\r
-#define SDIF_INTMASK_EBE(x)                      (((uint32_t)(((uint32_t)(x)) << SDIF_INTMASK_EBE_SHIFT)) & SDIF_INTMASK_EBE_MASK)\r
-#define SDIF_INTMASK_SDIO_INT_MASK_MASK          (0x10000U)\r
-#define SDIF_INTMASK_SDIO_INT_MASK_SHIFT         (16U)\r
-#define SDIF_INTMASK_SDIO_INT_MASK(x)            (((uint32_t)(((uint32_t)(x)) << SDIF_INTMASK_SDIO_INT_MASK_SHIFT)) & SDIF_INTMASK_SDIO_INT_MASK_MASK)\r
+#define SDIF_INTMASK_CDET_MASK (0x1U)\r
+#define SDIF_INTMASK_CDET_SHIFT (0U)\r
+/*! CDET - Card detect.\r
+ */\r
+#define SDIF_INTMASK_CDET(x) (((uint32_t)(((uint32_t)(x)) << SDIF_INTMASK_CDET_SHIFT)) & SDIF_INTMASK_CDET_MASK)\r
+#define SDIF_INTMASK_RE_MASK (0x2U)\r
+#define SDIF_INTMASK_RE_SHIFT (1U)\r
+/*! RE - Response error.\r
+ */\r
+#define SDIF_INTMASK_RE(x) (((uint32_t)(((uint32_t)(x)) << SDIF_INTMASK_RE_SHIFT)) & SDIF_INTMASK_RE_MASK)\r
+#define SDIF_INTMASK_CDONE_MASK (0x4U)\r
+#define SDIF_INTMASK_CDONE_SHIFT (2U)\r
+/*! CDONE - Command done.\r
+ */\r
+#define SDIF_INTMASK_CDONE(x) (((uint32_t)(((uint32_t)(x)) << SDIF_INTMASK_CDONE_SHIFT)) & SDIF_INTMASK_CDONE_MASK)\r
+#define SDIF_INTMASK_DTO_MASK (0x8U)\r
+#define SDIF_INTMASK_DTO_SHIFT (3U)\r
+/*! DTO - Data transfer over.\r
+ */\r
+#define SDIF_INTMASK_DTO(x) (((uint32_t)(((uint32_t)(x)) << SDIF_INTMASK_DTO_SHIFT)) & SDIF_INTMASK_DTO_MASK)\r
+#define SDIF_INTMASK_TXDR_MASK (0x10U)\r
+#define SDIF_INTMASK_TXDR_SHIFT (4U)\r
+/*! TXDR - Transmit FIFO data request.\r
+ */\r
+#define SDIF_INTMASK_TXDR(x) (((uint32_t)(((uint32_t)(x)) << SDIF_INTMASK_TXDR_SHIFT)) & SDIF_INTMASK_TXDR_MASK)\r
+#define SDIF_INTMASK_RXDR_MASK (0x20U)\r
+#define SDIF_INTMASK_RXDR_SHIFT (5U)\r
+/*! RXDR - Receive FIFO data request.\r
+ */\r
+#define SDIF_INTMASK_RXDR(x) (((uint32_t)(((uint32_t)(x)) << SDIF_INTMASK_RXDR_SHIFT)) & SDIF_INTMASK_RXDR_MASK)\r
+#define SDIF_INTMASK_RCRC_MASK (0x40U)\r
+#define SDIF_INTMASK_RCRC_SHIFT (6U)\r
+/*! RCRC - Response CRC error.\r
+ */\r
+#define SDIF_INTMASK_RCRC(x) (((uint32_t)(((uint32_t)(x)) << SDIF_INTMASK_RCRC_SHIFT)) & SDIF_INTMASK_RCRC_MASK)\r
+#define SDIF_INTMASK_DCRC_MASK (0x80U)\r
+#define SDIF_INTMASK_DCRC_SHIFT (7U)\r
+/*! DCRC - Data CRC error.\r
+ */\r
+#define SDIF_INTMASK_DCRC(x) (((uint32_t)(((uint32_t)(x)) << SDIF_INTMASK_DCRC_SHIFT)) & SDIF_INTMASK_DCRC_MASK)\r
+#define SDIF_INTMASK_RTO_MASK (0x100U)\r
+#define SDIF_INTMASK_RTO_SHIFT (8U)\r
+/*! RTO - Response time-out.\r
+ */\r
+#define SDIF_INTMASK_RTO(x) (((uint32_t)(((uint32_t)(x)) << SDIF_INTMASK_RTO_SHIFT)) & SDIF_INTMASK_RTO_MASK)\r
+#define SDIF_INTMASK_DRTO_MASK (0x200U)\r
+#define SDIF_INTMASK_DRTO_SHIFT (9U)\r
+/*! DRTO - Data read time-out.\r
+ */\r
+#define SDIF_INTMASK_DRTO(x) (((uint32_t)(((uint32_t)(x)) << SDIF_INTMASK_DRTO_SHIFT)) & SDIF_INTMASK_DRTO_MASK)\r
+#define SDIF_INTMASK_HTO_MASK (0x400U)\r
+#define SDIF_INTMASK_HTO_SHIFT (10U)\r
+/*! HTO - Data starvation-by-host time-out (HTO).\r
+ */\r
+#define SDIF_INTMASK_HTO(x) (((uint32_t)(((uint32_t)(x)) << SDIF_INTMASK_HTO_SHIFT)) & SDIF_INTMASK_HTO_MASK)\r
+#define SDIF_INTMASK_FRUN_MASK (0x800U)\r
+#define SDIF_INTMASK_FRUN_SHIFT (11U)\r
+/*! FRUN - FIFO underrun/overrun error.\r
+ */\r
+#define SDIF_INTMASK_FRUN(x) (((uint32_t)(((uint32_t)(x)) << SDIF_INTMASK_FRUN_SHIFT)) & SDIF_INTMASK_FRUN_MASK)\r
+#define SDIF_INTMASK_HLE_MASK (0x1000U)\r
+#define SDIF_INTMASK_HLE_SHIFT (12U)\r
+/*! HLE - Hardware locked write error.\r
+ */\r
+#define SDIF_INTMASK_HLE(x) (((uint32_t)(((uint32_t)(x)) << SDIF_INTMASK_HLE_SHIFT)) & SDIF_INTMASK_HLE_MASK)\r
+#define SDIF_INTMASK_SBE_MASK (0x2000U)\r
+#define SDIF_INTMASK_SBE_SHIFT (13U)\r
+/*! SBE - Start-bit error.\r
+ */\r
+#define SDIF_INTMASK_SBE(x) (((uint32_t)(((uint32_t)(x)) << SDIF_INTMASK_SBE_SHIFT)) & SDIF_INTMASK_SBE_MASK)\r
+#define SDIF_INTMASK_ACD_MASK (0x4000U)\r
+#define SDIF_INTMASK_ACD_SHIFT (14U)\r
+/*! ACD - Auto command done.\r
+ */\r
+#define SDIF_INTMASK_ACD(x) (((uint32_t)(((uint32_t)(x)) << SDIF_INTMASK_ACD_SHIFT)) & SDIF_INTMASK_ACD_MASK)\r
+#define SDIF_INTMASK_EBE_MASK (0x8000U)\r
+#define SDIF_INTMASK_EBE_SHIFT (15U)\r
+/*! EBE - End-bit error (read)/Write no CRC.\r
+ */\r
+#define SDIF_INTMASK_EBE(x) (((uint32_t)(((uint32_t)(x)) << SDIF_INTMASK_EBE_SHIFT)) & SDIF_INTMASK_EBE_MASK)\r
+#define SDIF_INTMASK_SDIO_INT_MASK_MASK (0x10000U)\r
+#define SDIF_INTMASK_SDIO_INT_MASK_SHIFT (16U)\r
+/*! SDIO_INT_MASK - Mask SDIO interrupt.\r
+ */\r
+#define SDIF_INTMASK_SDIO_INT_MASK(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_INTMASK_SDIO_INT_MASK_SHIFT)) & SDIF_INTMASK_SDIO_INT_MASK_MASK)\r
 /*! @} */\r
 \r
 /*! @name CMDARG - Command Argument register */\r
 /*! @{ */\r
-#define SDIF_CMDARG_CMD_ARG_MASK                 (0xFFFFFFFFU)\r
-#define SDIF_CMDARG_CMD_ARG_SHIFT                (0U)\r
-#define SDIF_CMDARG_CMD_ARG(x)                   (((uint32_t)(((uint32_t)(x)) << SDIF_CMDARG_CMD_ARG_SHIFT)) & SDIF_CMDARG_CMD_ARG_MASK)\r
+#define SDIF_CMDARG_CMD_ARG_MASK (0xFFFFFFFFU)\r
+#define SDIF_CMDARG_CMD_ARG_SHIFT (0U)\r
+/*! CMD_ARG - Value indicates command argument to be passed to card.\r
+ */\r
+#define SDIF_CMDARG_CMD_ARG(x) (((uint32_t)(((uint32_t)(x)) << SDIF_CMDARG_CMD_ARG_SHIFT)) & SDIF_CMDARG_CMD_ARG_MASK)\r
 /*! @} */\r
 \r
 /*! @name CMD - Command register */\r
 /*! @{ */\r
-#define SDIF_CMD_CMD_INDEX_MASK                  (0x3FU)\r
-#define SDIF_CMD_CMD_INDEX_SHIFT                 (0U)\r
-#define SDIF_CMD_CMD_INDEX(x)                    (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_CMD_INDEX_SHIFT)) & SDIF_CMD_CMD_INDEX_MASK)\r
-#define SDIF_CMD_RESPONSE_EXPECT_MASK            (0x40U)\r
-#define SDIF_CMD_RESPONSE_EXPECT_SHIFT           (6U)\r
-#define SDIF_CMD_RESPONSE_EXPECT(x)              (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_RESPONSE_EXPECT_SHIFT)) & SDIF_CMD_RESPONSE_EXPECT_MASK)\r
-#define SDIF_CMD_RESPONSE_LENGTH_MASK            (0x80U)\r
-#define SDIF_CMD_RESPONSE_LENGTH_SHIFT           (7U)\r
-#define SDIF_CMD_RESPONSE_LENGTH(x)              (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_RESPONSE_LENGTH_SHIFT)) & SDIF_CMD_RESPONSE_LENGTH_MASK)\r
-#define SDIF_CMD_CHECK_RESPONSE_CRC_MASK         (0x100U)\r
-#define SDIF_CMD_CHECK_RESPONSE_CRC_SHIFT        (8U)\r
-#define SDIF_CMD_CHECK_RESPONSE_CRC(x)           (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_CHECK_RESPONSE_CRC_SHIFT)) & SDIF_CMD_CHECK_RESPONSE_CRC_MASK)\r
-#define SDIF_CMD_DATA_EXPECTED_MASK              (0x200U)\r
-#define SDIF_CMD_DATA_EXPECTED_SHIFT             (9U)\r
-#define SDIF_CMD_DATA_EXPECTED(x)                (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_DATA_EXPECTED_SHIFT)) & SDIF_CMD_DATA_EXPECTED_MASK)\r
-#define SDIF_CMD_READ_WRITE_MASK                 (0x400U)\r
-#define SDIF_CMD_READ_WRITE_SHIFT                (10U)\r
-#define SDIF_CMD_READ_WRITE(x)                   (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_READ_WRITE_SHIFT)) & SDIF_CMD_READ_WRITE_MASK)\r
-#define SDIF_CMD_TRANSFER_MODE_MASK              (0x800U)\r
-#define SDIF_CMD_TRANSFER_MODE_SHIFT             (11U)\r
-#define SDIF_CMD_TRANSFER_MODE(x)                (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_TRANSFER_MODE_SHIFT)) & SDIF_CMD_TRANSFER_MODE_MASK)\r
-#define SDIF_CMD_SEND_AUTO_STOP_MASK             (0x1000U)\r
-#define SDIF_CMD_SEND_AUTO_STOP_SHIFT            (12U)\r
-#define SDIF_CMD_SEND_AUTO_STOP(x)               (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_SEND_AUTO_STOP_SHIFT)) & SDIF_CMD_SEND_AUTO_STOP_MASK)\r
-#define SDIF_CMD_WAIT_PRVDATA_COMPLETE_MASK      (0x2000U)\r
-#define SDIF_CMD_WAIT_PRVDATA_COMPLETE_SHIFT     (13U)\r
-#define SDIF_CMD_WAIT_PRVDATA_COMPLETE(x)        (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_WAIT_PRVDATA_COMPLETE_SHIFT)) & SDIF_CMD_WAIT_PRVDATA_COMPLETE_MASK)\r
-#define SDIF_CMD_STOP_ABORT_CMD_MASK             (0x4000U)\r
-#define SDIF_CMD_STOP_ABORT_CMD_SHIFT            (14U)\r
-#define SDIF_CMD_STOP_ABORT_CMD(x)               (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_STOP_ABORT_CMD_SHIFT)) & SDIF_CMD_STOP_ABORT_CMD_MASK)\r
-#define SDIF_CMD_SEND_INITIALIZATION_MASK        (0x8000U)\r
-#define SDIF_CMD_SEND_INITIALIZATION_SHIFT       (15U)\r
-#define SDIF_CMD_SEND_INITIALIZATION(x)          (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_SEND_INITIALIZATION_SHIFT)) & SDIF_CMD_SEND_INITIALIZATION_MASK)\r
-#define SDIF_CMD_CARD_NUMBER_MASK                (0x1F0000U)\r
-#define SDIF_CMD_CARD_NUMBER_SHIFT               (16U)\r
+#define SDIF_CMD_CMD_INDEX_MASK (0x3FU)\r
+#define SDIF_CMD_CMD_INDEX_SHIFT (0U)\r
+/*! CMD_INDEX - Command index.\r
+ */\r
+#define SDIF_CMD_CMD_INDEX(x) (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_CMD_INDEX_SHIFT)) & SDIF_CMD_CMD_INDEX_MASK)\r
+#define SDIF_CMD_RESPONSE_EXPECT_MASK (0x40U)\r
+#define SDIF_CMD_RESPONSE_EXPECT_SHIFT (6U)\r
+/*! RESPONSE_EXPECT - Response expect.\r
+ */\r
+#define SDIF_CMD_RESPONSE_EXPECT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_RESPONSE_EXPECT_SHIFT)) & SDIF_CMD_RESPONSE_EXPECT_MASK)\r
+#define SDIF_CMD_RESPONSE_LENGTH_MASK (0x80U)\r
+#define SDIF_CMD_RESPONSE_LENGTH_SHIFT (7U)\r
+/*! RESPONSE_LENGTH - Response length.\r
+ */\r
+#define SDIF_CMD_RESPONSE_LENGTH(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_RESPONSE_LENGTH_SHIFT)) & SDIF_CMD_RESPONSE_LENGTH_MASK)\r
+#define SDIF_CMD_CHECK_RESPONSE_CRC_MASK (0x100U)\r
+#define SDIF_CMD_CHECK_RESPONSE_CRC_SHIFT (8U)\r
+/*! CHECK_RESPONSE_CRC - Check response CRC.\r
+ */\r
+#define SDIF_CMD_CHECK_RESPONSE_CRC(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_CHECK_RESPONSE_CRC_SHIFT)) & SDIF_CMD_CHECK_RESPONSE_CRC_MASK)\r
+#define SDIF_CMD_DATA_EXPECTED_MASK (0x200U)\r
+#define SDIF_CMD_DATA_EXPECTED_SHIFT (9U)\r
+/*! DATA_EXPECTED - Data expected.\r
+ */\r
+#define SDIF_CMD_DATA_EXPECTED(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_DATA_EXPECTED_SHIFT)) & SDIF_CMD_DATA_EXPECTED_MASK)\r
+#define SDIF_CMD_READ_WRITE_MASK (0x400U)\r
+#define SDIF_CMD_READ_WRITE_SHIFT (10U)\r
+/*! READ_WRITE - read/write.\r
+ */\r
+#define SDIF_CMD_READ_WRITE(x) (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_READ_WRITE_SHIFT)) & SDIF_CMD_READ_WRITE_MASK)\r
+#define SDIF_CMD_TRANSFER_MODE_MASK (0x800U)\r
+#define SDIF_CMD_TRANSFER_MODE_SHIFT (11U)\r
+/*! TRANSFER_MODE - Transfer mode.\r
+ */\r
+#define SDIF_CMD_TRANSFER_MODE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_TRANSFER_MODE_SHIFT)) & SDIF_CMD_TRANSFER_MODE_MASK)\r
+#define SDIF_CMD_SEND_AUTO_STOP_MASK (0x1000U)\r
+#define SDIF_CMD_SEND_AUTO_STOP_SHIFT (12U)\r
+/*! SEND_AUTO_STOP - Send auto stop.\r
+ */\r
+#define SDIF_CMD_SEND_AUTO_STOP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_SEND_AUTO_STOP_SHIFT)) & SDIF_CMD_SEND_AUTO_STOP_MASK)\r
+#define SDIF_CMD_WAIT_PRVDATA_COMPLETE_MASK (0x2000U)\r
+#define SDIF_CMD_WAIT_PRVDATA_COMPLETE_SHIFT (13U)\r
+/*! WAIT_PRVDATA_COMPLETE - Wait prvdata complete.\r
+ */\r
+#define SDIF_CMD_WAIT_PRVDATA_COMPLETE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_WAIT_PRVDATA_COMPLETE_SHIFT)) & SDIF_CMD_WAIT_PRVDATA_COMPLETE_MASK)\r
+#define SDIF_CMD_STOP_ABORT_CMD_MASK (0x4000U)\r
+#define SDIF_CMD_STOP_ABORT_CMD_SHIFT (14U)\r
+/*! STOP_ABORT_CMD - Stop abort command.\r
+ */\r
+#define SDIF_CMD_STOP_ABORT_CMD(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_STOP_ABORT_CMD_SHIFT)) & SDIF_CMD_STOP_ABORT_CMD_MASK)\r
+#define SDIF_CMD_SEND_INITIALIZATION_MASK (0x8000U)\r
+#define SDIF_CMD_SEND_INITIALIZATION_SHIFT (15U)\r
+/*! SEND_INITIALIZATION - Send initialization.\r
+ */\r
+#define SDIF_CMD_SEND_INITIALIZATION(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_SEND_INITIALIZATION_SHIFT)) & SDIF_CMD_SEND_INITIALIZATION_MASK)\r
+#define SDIF_CMD_CARD_NUMBER_MASK (0x1F0000U)\r
+#define SDIF_CMD_CARD_NUMBER_SHIFT (16U)\r
 /*! CARD_NUMBER - Specifies the card number of SDCARD for which the current Command is being executed\r
  *  0b00000..Command will be execute on SDCARD 0\r
  *  0b00001..Command will be execute on SDCARD 1\r
  */\r
-#define SDIF_CMD_CARD_NUMBER(x)                  (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_CARD_NUMBER_SHIFT)) & SDIF_CMD_CARD_NUMBER_MASK)\r
+#define SDIF_CMD_CARD_NUMBER(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_CARD_NUMBER_SHIFT)) & SDIF_CMD_CARD_NUMBER_MASK)\r
 #define SDIF_CMD_UPDATE_CLOCK_REGISTERS_ONLY_MASK (0x200000U)\r
 #define SDIF_CMD_UPDATE_CLOCK_REGISTERS_ONLY_SHIFT (21U)\r
-#define SDIF_CMD_UPDATE_CLOCK_REGISTERS_ONLY(x)  (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_UPDATE_CLOCK_REGISTERS_ONLY_SHIFT)) & SDIF_CMD_UPDATE_CLOCK_REGISTERS_ONLY_MASK)\r
-#define SDIF_CMD_READ_CEATA_DEVICE_MASK          (0x400000U)\r
-#define SDIF_CMD_READ_CEATA_DEVICE_SHIFT         (22U)\r
-#define SDIF_CMD_READ_CEATA_DEVICE(x)            (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_READ_CEATA_DEVICE_SHIFT)) & SDIF_CMD_READ_CEATA_DEVICE_MASK)\r
-#define SDIF_CMD_CCS_EXPECTED_MASK               (0x800000U)\r
-#define SDIF_CMD_CCS_EXPECTED_SHIFT              (23U)\r
-#define SDIF_CMD_CCS_EXPECTED(x)                 (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_CCS_EXPECTED_SHIFT)) & SDIF_CMD_CCS_EXPECTED_MASK)\r
-#define SDIF_CMD_ENABLE_BOOT_MASK                (0x1000000U)\r
-#define SDIF_CMD_ENABLE_BOOT_SHIFT               (24U)\r
-#define SDIF_CMD_ENABLE_BOOT(x)                  (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_ENABLE_BOOT_SHIFT)) & SDIF_CMD_ENABLE_BOOT_MASK)\r
-#define SDIF_CMD_EXPECT_BOOT_ACK_MASK            (0x2000000U)\r
-#define SDIF_CMD_EXPECT_BOOT_ACK_SHIFT           (25U)\r
-#define SDIF_CMD_EXPECT_BOOT_ACK(x)              (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_EXPECT_BOOT_ACK_SHIFT)) & SDIF_CMD_EXPECT_BOOT_ACK_MASK)\r
-#define SDIF_CMD_DISABLE_BOOT_MASK               (0x4000000U)\r
-#define SDIF_CMD_DISABLE_BOOT_SHIFT              (26U)\r
-#define SDIF_CMD_DISABLE_BOOT(x)                 (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_DISABLE_BOOT_SHIFT)) & SDIF_CMD_DISABLE_BOOT_MASK)\r
-#define SDIF_CMD_BOOT_MODE_MASK                  (0x8000000U)\r
-#define SDIF_CMD_BOOT_MODE_SHIFT                 (27U)\r
-#define SDIF_CMD_BOOT_MODE(x)                    (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_BOOT_MODE_SHIFT)) & SDIF_CMD_BOOT_MODE_MASK)\r
-#define SDIF_CMD_VOLT_SWITCH_MASK                (0x10000000U)\r
-#define SDIF_CMD_VOLT_SWITCH_SHIFT               (28U)\r
-#define SDIF_CMD_VOLT_SWITCH(x)                  (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_VOLT_SWITCH_SHIFT)) & SDIF_CMD_VOLT_SWITCH_MASK)\r
-#define SDIF_CMD_USE_HOLD_REG_MASK               (0x20000000U)\r
-#define SDIF_CMD_USE_HOLD_REG_SHIFT              (29U)\r
-#define SDIF_CMD_USE_HOLD_REG(x)                 (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_USE_HOLD_REG_SHIFT)) & SDIF_CMD_USE_HOLD_REG_MASK)\r
-#define SDIF_CMD_START_CMD_MASK                  (0x80000000U)\r
-#define SDIF_CMD_START_CMD_SHIFT                 (31U)\r
-#define SDIF_CMD_START_CMD(x)                    (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_START_CMD_SHIFT)) & SDIF_CMD_START_CMD_MASK)\r
+/*! UPDATE_CLOCK_REGISTERS_ONLY - Update clock registers only.\r
+ */\r
+#define SDIF_CMD_UPDATE_CLOCK_REGISTERS_ONLY(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_UPDATE_CLOCK_REGISTERS_ONLY_SHIFT)) & \\r
+     SDIF_CMD_UPDATE_CLOCK_REGISTERS_ONLY_MASK)\r
+#define SDIF_CMD_READ_CEATA_DEVICE_MASK (0x400000U)\r
+#define SDIF_CMD_READ_CEATA_DEVICE_SHIFT (22U)\r
+/*! READ_CEATA_DEVICE - Read ceata device.\r
+ */\r
+#define SDIF_CMD_READ_CEATA_DEVICE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_READ_CEATA_DEVICE_SHIFT)) & SDIF_CMD_READ_CEATA_DEVICE_MASK)\r
+#define SDIF_CMD_CCS_EXPECTED_MASK (0x800000U)\r
+#define SDIF_CMD_CCS_EXPECTED_SHIFT (23U)\r
+/*! CCS_EXPECTED - CCS expected.\r
+ */\r
+#define SDIF_CMD_CCS_EXPECTED(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_CCS_EXPECTED_SHIFT)) & SDIF_CMD_CCS_EXPECTED_MASK)\r
+#define SDIF_CMD_ENABLE_BOOT_MASK (0x1000000U)\r
+#define SDIF_CMD_ENABLE_BOOT_SHIFT (24U)\r
+/*! ENABLE_BOOT - Enable Boot - this bit should be set only for mandatory boot mode.\r
+ */\r
+#define SDIF_CMD_ENABLE_BOOT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_ENABLE_BOOT_SHIFT)) & SDIF_CMD_ENABLE_BOOT_MASK)\r
+#define SDIF_CMD_EXPECT_BOOT_ACK_MASK (0x2000000U)\r
+#define SDIF_CMD_EXPECT_BOOT_ACK_SHIFT (25U)\r
+/*! EXPECT_BOOT_ACK - Expect Boot Acknowledge.\r
+ */\r
+#define SDIF_CMD_EXPECT_BOOT_ACK(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_EXPECT_BOOT_ACK_SHIFT)) & SDIF_CMD_EXPECT_BOOT_ACK_MASK)\r
+#define SDIF_CMD_DISABLE_BOOT_MASK (0x4000000U)\r
+#define SDIF_CMD_DISABLE_BOOT_SHIFT (26U)\r
+/*! DISABLE_BOOT - Disable Boot.\r
+ */\r
+#define SDIF_CMD_DISABLE_BOOT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_DISABLE_BOOT_SHIFT)) & SDIF_CMD_DISABLE_BOOT_MASK)\r
+#define SDIF_CMD_BOOT_MODE_MASK (0x8000000U)\r
+#define SDIF_CMD_BOOT_MODE_SHIFT (27U)\r
+/*! BOOT_MODE - Boot Mode.\r
+ */\r
+#define SDIF_CMD_BOOT_MODE(x) (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_BOOT_MODE_SHIFT)) & SDIF_CMD_BOOT_MODE_MASK)\r
+#define SDIF_CMD_VOLT_SWITCH_MASK (0x10000000U)\r
+#define SDIF_CMD_VOLT_SWITCH_SHIFT (28U)\r
+/*! VOLT_SWITCH - Voltage switch bit.\r
+ */\r
+#define SDIF_CMD_VOLT_SWITCH(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_VOLT_SWITCH_SHIFT)) & SDIF_CMD_VOLT_SWITCH_MASK)\r
+#define SDIF_CMD_USE_HOLD_REG_MASK (0x20000000U)\r
+#define SDIF_CMD_USE_HOLD_REG_SHIFT (29U)\r
+/*! USE_HOLD_REG - Use Hold Register.\r
+ */\r
+#define SDIF_CMD_USE_HOLD_REG(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_USE_HOLD_REG_SHIFT)) & SDIF_CMD_USE_HOLD_REG_MASK)\r
+#define SDIF_CMD_START_CMD_MASK (0x80000000U)\r
+#define SDIF_CMD_START_CMD_SHIFT (31U)\r
+/*! START_CMD - Start command.\r
+ */\r
+#define SDIF_CMD_START_CMD(x) (((uint32_t)(((uint32_t)(x)) << SDIF_CMD_START_CMD_SHIFT)) & SDIF_CMD_START_CMD_MASK)\r
 /*! @} */\r
 \r
 /*! @name RESP - Response register */\r
 /*! @{ */\r
-#define SDIF_RESP_RESPONSE_MASK                  (0xFFFFFFFFU)\r
-#define SDIF_RESP_RESPONSE_SHIFT                 (0U)\r
-#define SDIF_RESP_RESPONSE(x)                    (((uint32_t)(((uint32_t)(x)) << SDIF_RESP_RESPONSE_SHIFT)) & SDIF_RESP_RESPONSE_MASK)\r
+#define SDIF_RESP_RESPONSE_MASK (0xFFFFFFFFU)\r
+#define SDIF_RESP_RESPONSE_SHIFT (0U)\r
+/*! RESPONSE - Bits of response.\r
+ */\r
+#define SDIF_RESP_RESPONSE(x) (((uint32_t)(((uint32_t)(x)) << SDIF_RESP_RESPONSE_SHIFT)) & SDIF_RESP_RESPONSE_MASK)\r
 /*! @} */\r
 \r
 /* The count of SDIF_RESP */\r
-#define SDIF_RESP_COUNT                          (4U)\r
+#define SDIF_RESP_COUNT (4U)\r
 \r
 /*! @name MINTSTS - Masked Interrupt Status register */\r
 /*! @{ */\r
-#define SDIF_MINTSTS_CDET_MASK                   (0x1U)\r
-#define SDIF_MINTSTS_CDET_SHIFT                  (0U)\r
-#define SDIF_MINTSTS_CDET(x)                     (((uint32_t)(((uint32_t)(x)) << SDIF_MINTSTS_CDET_SHIFT)) & SDIF_MINTSTS_CDET_MASK)\r
-#define SDIF_MINTSTS_RE_MASK                     (0x2U)\r
-#define SDIF_MINTSTS_RE_SHIFT                    (1U)\r
-#define SDIF_MINTSTS_RE(x)                       (((uint32_t)(((uint32_t)(x)) << SDIF_MINTSTS_RE_SHIFT)) & SDIF_MINTSTS_RE_MASK)\r
-#define SDIF_MINTSTS_CDONE_MASK                  (0x4U)\r
-#define SDIF_MINTSTS_CDONE_SHIFT                 (2U)\r
-#define SDIF_MINTSTS_CDONE(x)                    (((uint32_t)(((uint32_t)(x)) << SDIF_MINTSTS_CDONE_SHIFT)) & SDIF_MINTSTS_CDONE_MASK)\r
-#define SDIF_MINTSTS_DTO_MASK                    (0x8U)\r
-#define SDIF_MINTSTS_DTO_SHIFT                   (3U)\r
-#define SDIF_MINTSTS_DTO(x)                      (((uint32_t)(((uint32_t)(x)) << SDIF_MINTSTS_DTO_SHIFT)) & SDIF_MINTSTS_DTO_MASK)\r
-#define SDIF_MINTSTS_TXDR_MASK                   (0x10U)\r
-#define SDIF_MINTSTS_TXDR_SHIFT                  (4U)\r
-#define SDIF_MINTSTS_TXDR(x)                     (((uint32_t)(((uint32_t)(x)) << SDIF_MINTSTS_TXDR_SHIFT)) & SDIF_MINTSTS_TXDR_MASK)\r
-#define SDIF_MINTSTS_RXDR_MASK                   (0x20U)\r
-#define SDIF_MINTSTS_RXDR_SHIFT                  (5U)\r
-#define SDIF_MINTSTS_RXDR(x)                     (((uint32_t)(((uint32_t)(x)) << SDIF_MINTSTS_RXDR_SHIFT)) & SDIF_MINTSTS_RXDR_MASK)\r
-#define SDIF_MINTSTS_RCRC_MASK                   (0x40U)\r
-#define SDIF_MINTSTS_RCRC_SHIFT                  (6U)\r
-#define SDIF_MINTSTS_RCRC(x)                     (((uint32_t)(((uint32_t)(x)) << SDIF_MINTSTS_RCRC_SHIFT)) & SDIF_MINTSTS_RCRC_MASK)\r
-#define SDIF_MINTSTS_DCRC_MASK                   (0x80U)\r
-#define SDIF_MINTSTS_DCRC_SHIFT                  (7U)\r
-#define SDIF_MINTSTS_DCRC(x)                     (((uint32_t)(((uint32_t)(x)) << SDIF_MINTSTS_DCRC_SHIFT)) & SDIF_MINTSTS_DCRC_MASK)\r
-#define SDIF_MINTSTS_RTO_MASK                    (0x100U)\r
-#define SDIF_MINTSTS_RTO_SHIFT                   (8U)\r
-#define SDIF_MINTSTS_RTO(x)                      (((uint32_t)(((uint32_t)(x)) << SDIF_MINTSTS_RTO_SHIFT)) & SDIF_MINTSTS_RTO_MASK)\r
-#define SDIF_MINTSTS_DRTO_MASK                   (0x200U)\r
-#define SDIF_MINTSTS_DRTO_SHIFT                  (9U)\r
-#define SDIF_MINTSTS_DRTO(x)                     (((uint32_t)(((uint32_t)(x)) << SDIF_MINTSTS_DRTO_SHIFT)) & SDIF_MINTSTS_DRTO_MASK)\r
-#define SDIF_MINTSTS_HTO_MASK                    (0x400U)\r
-#define SDIF_MINTSTS_HTO_SHIFT                   (10U)\r
-#define SDIF_MINTSTS_HTO(x)                      (((uint32_t)(((uint32_t)(x)) << SDIF_MINTSTS_HTO_SHIFT)) & SDIF_MINTSTS_HTO_MASK)\r
-#define SDIF_MINTSTS_FRUN_MASK                   (0x800U)\r
-#define SDIF_MINTSTS_FRUN_SHIFT                  (11U)\r
-#define SDIF_MINTSTS_FRUN(x)                     (((uint32_t)(((uint32_t)(x)) << SDIF_MINTSTS_FRUN_SHIFT)) & SDIF_MINTSTS_FRUN_MASK)\r
-#define SDIF_MINTSTS_HLE_MASK                    (0x1000U)\r
-#define SDIF_MINTSTS_HLE_SHIFT                   (12U)\r
-#define SDIF_MINTSTS_HLE(x)                      (((uint32_t)(((uint32_t)(x)) << SDIF_MINTSTS_HLE_SHIFT)) & SDIF_MINTSTS_HLE_MASK)\r
-#define SDIF_MINTSTS_SBE_MASK                    (0x2000U)\r
-#define SDIF_MINTSTS_SBE_SHIFT                   (13U)\r
-#define SDIF_MINTSTS_SBE(x)                      (((uint32_t)(((uint32_t)(x)) << SDIF_MINTSTS_SBE_SHIFT)) & SDIF_MINTSTS_SBE_MASK)\r
-#define SDIF_MINTSTS_ACD_MASK                    (0x4000U)\r
-#define SDIF_MINTSTS_ACD_SHIFT                   (14U)\r
-#define SDIF_MINTSTS_ACD(x)                      (((uint32_t)(((uint32_t)(x)) << SDIF_MINTSTS_ACD_SHIFT)) & SDIF_MINTSTS_ACD_MASK)\r
-#define SDIF_MINTSTS_EBE_MASK                    (0x8000U)\r
-#define SDIF_MINTSTS_EBE_SHIFT                   (15U)\r
-#define SDIF_MINTSTS_EBE(x)                      (((uint32_t)(((uint32_t)(x)) << SDIF_MINTSTS_EBE_SHIFT)) & SDIF_MINTSTS_EBE_MASK)\r
-#define SDIF_MINTSTS_SDIO_INTERRUPT_MASK         (0x10000U)\r
-#define SDIF_MINTSTS_SDIO_INTERRUPT_SHIFT        (16U)\r
-#define SDIF_MINTSTS_SDIO_INTERRUPT(x)           (((uint32_t)(((uint32_t)(x)) << SDIF_MINTSTS_SDIO_INTERRUPT_SHIFT)) & SDIF_MINTSTS_SDIO_INTERRUPT_MASK)\r
+#define SDIF_MINTSTS_CDET_MASK (0x1U)\r
+#define SDIF_MINTSTS_CDET_SHIFT (0U)\r
+/*! CDET - Card detect.\r
+ */\r
+#define SDIF_MINTSTS_CDET(x) (((uint32_t)(((uint32_t)(x)) << SDIF_MINTSTS_CDET_SHIFT)) & SDIF_MINTSTS_CDET_MASK)\r
+#define SDIF_MINTSTS_RE_MASK (0x2U)\r
+#define SDIF_MINTSTS_RE_SHIFT (1U)\r
+/*! RE - Response error.\r
+ */\r
+#define SDIF_MINTSTS_RE(x) (((uint32_t)(((uint32_t)(x)) << SDIF_MINTSTS_RE_SHIFT)) & SDIF_MINTSTS_RE_MASK)\r
+#define SDIF_MINTSTS_CDONE_MASK (0x4U)\r
+#define SDIF_MINTSTS_CDONE_SHIFT (2U)\r
+/*! CDONE - Command done.\r
+ */\r
+#define SDIF_MINTSTS_CDONE(x) (((uint32_t)(((uint32_t)(x)) << SDIF_MINTSTS_CDONE_SHIFT)) & SDIF_MINTSTS_CDONE_MASK)\r
+#define SDIF_MINTSTS_DTO_MASK (0x8U)\r
+#define SDIF_MINTSTS_DTO_SHIFT (3U)\r
+/*! DTO - Data transfer over.\r
+ */\r
+#define SDIF_MINTSTS_DTO(x) (((uint32_t)(((uint32_t)(x)) << SDIF_MINTSTS_DTO_SHIFT)) & SDIF_MINTSTS_DTO_MASK)\r
+#define SDIF_MINTSTS_TXDR_MASK (0x10U)\r
+#define SDIF_MINTSTS_TXDR_SHIFT (4U)\r
+/*! TXDR - Transmit FIFO data request.\r
+ */\r
+#define SDIF_MINTSTS_TXDR(x) (((uint32_t)(((uint32_t)(x)) << SDIF_MINTSTS_TXDR_SHIFT)) & SDIF_MINTSTS_TXDR_MASK)\r
+#define SDIF_MINTSTS_RXDR_MASK (0x20U)\r
+#define SDIF_MINTSTS_RXDR_SHIFT (5U)\r
+/*! RXDR - Receive FIFO data request.\r
+ */\r
+#define SDIF_MINTSTS_RXDR(x) (((uint32_t)(((uint32_t)(x)) << SDIF_MINTSTS_RXDR_SHIFT)) & SDIF_MINTSTS_RXDR_MASK)\r
+#define SDIF_MINTSTS_RCRC_MASK (0x40U)\r
+#define SDIF_MINTSTS_RCRC_SHIFT (6U)\r
+/*! RCRC - Response CRC error.\r
+ */\r
+#define SDIF_MINTSTS_RCRC(x) (((uint32_t)(((uint32_t)(x)) << SDIF_MINTSTS_RCRC_SHIFT)) & SDIF_MINTSTS_RCRC_MASK)\r
+#define SDIF_MINTSTS_DCRC_MASK (0x80U)\r
+#define SDIF_MINTSTS_DCRC_SHIFT (7U)\r
+/*! DCRC - Data CRC error.\r
+ */\r
+#define SDIF_MINTSTS_DCRC(x) (((uint32_t)(((uint32_t)(x)) << SDIF_MINTSTS_DCRC_SHIFT)) & SDIF_MINTSTS_DCRC_MASK)\r
+#define SDIF_MINTSTS_RTO_MASK (0x100U)\r
+#define SDIF_MINTSTS_RTO_SHIFT (8U)\r
+/*! RTO - Response time-out.\r
+ */\r
+#define SDIF_MINTSTS_RTO(x) (((uint32_t)(((uint32_t)(x)) << SDIF_MINTSTS_RTO_SHIFT)) & SDIF_MINTSTS_RTO_MASK)\r
+#define SDIF_MINTSTS_DRTO_MASK (0x200U)\r
+#define SDIF_MINTSTS_DRTO_SHIFT (9U)\r
+/*! DRTO - Data read time-out.\r
+ */\r
+#define SDIF_MINTSTS_DRTO(x) (((uint32_t)(((uint32_t)(x)) << SDIF_MINTSTS_DRTO_SHIFT)) & SDIF_MINTSTS_DRTO_MASK)\r
+#define SDIF_MINTSTS_HTO_MASK (0x400U)\r
+#define SDIF_MINTSTS_HTO_SHIFT (10U)\r
+/*! HTO - Data starvation-by-host time-out (HTO).\r
+ */\r
+#define SDIF_MINTSTS_HTO(x) (((uint32_t)(((uint32_t)(x)) << SDIF_MINTSTS_HTO_SHIFT)) & SDIF_MINTSTS_HTO_MASK)\r
+#define SDIF_MINTSTS_FRUN_MASK (0x800U)\r
+#define SDIF_MINTSTS_FRUN_SHIFT (11U)\r
+/*! FRUN - FIFO underrun/overrun error.\r
+ */\r
+#define SDIF_MINTSTS_FRUN(x) (((uint32_t)(((uint32_t)(x)) << SDIF_MINTSTS_FRUN_SHIFT)) & SDIF_MINTSTS_FRUN_MASK)\r
+#define SDIF_MINTSTS_HLE_MASK (0x1000U)\r
+#define SDIF_MINTSTS_HLE_SHIFT (12U)\r
+/*! HLE - Hardware locked write error.\r
+ */\r
+#define SDIF_MINTSTS_HLE(x) (((uint32_t)(((uint32_t)(x)) << SDIF_MINTSTS_HLE_SHIFT)) & SDIF_MINTSTS_HLE_MASK)\r
+#define SDIF_MINTSTS_SBE_MASK (0x2000U)\r
+#define SDIF_MINTSTS_SBE_SHIFT (13U)\r
+/*! SBE - Start-bit error.\r
+ */\r
+#define SDIF_MINTSTS_SBE(x) (((uint32_t)(((uint32_t)(x)) << SDIF_MINTSTS_SBE_SHIFT)) & SDIF_MINTSTS_SBE_MASK)\r
+#define SDIF_MINTSTS_ACD_MASK (0x4000U)\r
+#define SDIF_MINTSTS_ACD_SHIFT (14U)\r
+/*! ACD - Auto command done.\r
+ */\r
+#define SDIF_MINTSTS_ACD(x) (((uint32_t)(((uint32_t)(x)) << SDIF_MINTSTS_ACD_SHIFT)) & SDIF_MINTSTS_ACD_MASK)\r
+#define SDIF_MINTSTS_EBE_MASK (0x8000U)\r
+#define SDIF_MINTSTS_EBE_SHIFT (15U)\r
+/*! EBE - End-bit error (read)/write no CRC.\r
+ */\r
+#define SDIF_MINTSTS_EBE(x) (((uint32_t)(((uint32_t)(x)) << SDIF_MINTSTS_EBE_SHIFT)) & SDIF_MINTSTS_EBE_MASK)\r
+#define SDIF_MINTSTS_SDIO_INTERRUPT_MASK (0x10000U)\r
+#define SDIF_MINTSTS_SDIO_INTERRUPT_SHIFT (16U)\r
+/*! SDIO_INTERRUPT - Interrupt from SDIO card.\r
+ */\r
+#define SDIF_MINTSTS_SDIO_INTERRUPT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_MINTSTS_SDIO_INTERRUPT_SHIFT)) & SDIF_MINTSTS_SDIO_INTERRUPT_MASK)\r
 /*! @} */\r
 \r
 /*! @name RINTSTS - Raw Interrupt Status register */\r
 /*! @{ */\r
-#define SDIF_RINTSTS_CDET_MASK                   (0x1U)\r
-#define SDIF_RINTSTS_CDET_SHIFT                  (0U)\r
-#define SDIF_RINTSTS_CDET(x)                     (((uint32_t)(((uint32_t)(x)) << SDIF_RINTSTS_CDET_SHIFT)) & SDIF_RINTSTS_CDET_MASK)\r
-#define SDIF_RINTSTS_RE_MASK                     (0x2U)\r
-#define SDIF_RINTSTS_RE_SHIFT                    (1U)\r
-#define SDIF_RINTSTS_RE(x)                       (((uint32_t)(((uint32_t)(x)) << SDIF_RINTSTS_RE_SHIFT)) & SDIF_RINTSTS_RE_MASK)\r
-#define SDIF_RINTSTS_CDONE_MASK                  (0x4U)\r
-#define SDIF_RINTSTS_CDONE_SHIFT                 (2U)\r
-#define SDIF_RINTSTS_CDONE(x)                    (((uint32_t)(((uint32_t)(x)) << SDIF_RINTSTS_CDONE_SHIFT)) & SDIF_RINTSTS_CDONE_MASK)\r
-#define SDIF_RINTSTS_DTO_MASK                    (0x8U)\r
-#define SDIF_RINTSTS_DTO_SHIFT                   (3U)\r
-#define SDIF_RINTSTS_DTO(x)                      (((uint32_t)(((uint32_t)(x)) << SDIF_RINTSTS_DTO_SHIFT)) & SDIF_RINTSTS_DTO_MASK)\r
-#define SDIF_RINTSTS_TXDR_MASK                   (0x10U)\r
-#define SDIF_RINTSTS_TXDR_SHIFT                  (4U)\r
-#define SDIF_RINTSTS_TXDR(x)                     (((uint32_t)(((uint32_t)(x)) << SDIF_RINTSTS_TXDR_SHIFT)) & SDIF_RINTSTS_TXDR_MASK)\r
-#define SDIF_RINTSTS_RXDR_MASK                   (0x20U)\r
-#define SDIF_RINTSTS_RXDR_SHIFT                  (5U)\r
-#define SDIF_RINTSTS_RXDR(x)                     (((uint32_t)(((uint32_t)(x)) << SDIF_RINTSTS_RXDR_SHIFT)) & SDIF_RINTSTS_RXDR_MASK)\r
-#define SDIF_RINTSTS_RCRC_MASK                   (0x40U)\r
-#define SDIF_RINTSTS_RCRC_SHIFT                  (6U)\r
-#define SDIF_RINTSTS_RCRC(x)                     (((uint32_t)(((uint32_t)(x)) << SDIF_RINTSTS_RCRC_SHIFT)) & SDIF_RINTSTS_RCRC_MASK)\r
-#define SDIF_RINTSTS_DCRC_MASK                   (0x80U)\r
-#define SDIF_RINTSTS_DCRC_SHIFT                  (7U)\r
-#define SDIF_RINTSTS_DCRC(x)                     (((uint32_t)(((uint32_t)(x)) << SDIF_RINTSTS_DCRC_SHIFT)) & SDIF_RINTSTS_DCRC_MASK)\r
-#define SDIF_RINTSTS_RTO_BAR_MASK                (0x100U)\r
-#define SDIF_RINTSTS_RTO_BAR_SHIFT               (8U)\r
-#define SDIF_RINTSTS_RTO_BAR(x)                  (((uint32_t)(((uint32_t)(x)) << SDIF_RINTSTS_RTO_BAR_SHIFT)) & SDIF_RINTSTS_RTO_BAR_MASK)\r
-#define SDIF_RINTSTS_DRTO_BDS_MASK               (0x200U)\r
-#define SDIF_RINTSTS_DRTO_BDS_SHIFT              (9U)\r
-#define SDIF_RINTSTS_DRTO_BDS(x)                 (((uint32_t)(((uint32_t)(x)) << SDIF_RINTSTS_DRTO_BDS_SHIFT)) & SDIF_RINTSTS_DRTO_BDS_MASK)\r
-#define SDIF_RINTSTS_HTO_MASK                    (0x400U)\r
-#define SDIF_RINTSTS_HTO_SHIFT                   (10U)\r
-#define SDIF_RINTSTS_HTO(x)                      (((uint32_t)(((uint32_t)(x)) << SDIF_RINTSTS_HTO_SHIFT)) & SDIF_RINTSTS_HTO_MASK)\r
-#define SDIF_RINTSTS_FRUN_MASK                   (0x800U)\r
-#define SDIF_RINTSTS_FRUN_SHIFT                  (11U)\r
-#define SDIF_RINTSTS_FRUN(x)                     (((uint32_t)(((uint32_t)(x)) << SDIF_RINTSTS_FRUN_SHIFT)) & SDIF_RINTSTS_FRUN_MASK)\r
-#define SDIF_RINTSTS_HLE_MASK                    (0x1000U)\r
-#define SDIF_RINTSTS_HLE_SHIFT                   (12U)\r
-#define SDIF_RINTSTS_HLE(x)                      (((uint32_t)(((uint32_t)(x)) << SDIF_RINTSTS_HLE_SHIFT)) & SDIF_RINTSTS_HLE_MASK)\r
-#define SDIF_RINTSTS_SBE_MASK                    (0x2000U)\r
-#define SDIF_RINTSTS_SBE_SHIFT                   (13U)\r
-#define SDIF_RINTSTS_SBE(x)                      (((uint32_t)(((uint32_t)(x)) << SDIF_RINTSTS_SBE_SHIFT)) & SDIF_RINTSTS_SBE_MASK)\r
-#define SDIF_RINTSTS_ACD_MASK                    (0x4000U)\r
-#define SDIF_RINTSTS_ACD_SHIFT                   (14U)\r
-#define SDIF_RINTSTS_ACD(x)                      (((uint32_t)(((uint32_t)(x)) << SDIF_RINTSTS_ACD_SHIFT)) & SDIF_RINTSTS_ACD_MASK)\r
-#define SDIF_RINTSTS_EBE_MASK                    (0x8000U)\r
-#define SDIF_RINTSTS_EBE_SHIFT                   (15U)\r
-#define SDIF_RINTSTS_EBE(x)                      (((uint32_t)(((uint32_t)(x)) << SDIF_RINTSTS_EBE_SHIFT)) & SDIF_RINTSTS_EBE_MASK)\r
-#define SDIF_RINTSTS_SDIO_INTERRUPT_MASK         (0x10000U)\r
-#define SDIF_RINTSTS_SDIO_INTERRUPT_SHIFT        (16U)\r
-#define SDIF_RINTSTS_SDIO_INTERRUPT(x)           (((uint32_t)(((uint32_t)(x)) << SDIF_RINTSTS_SDIO_INTERRUPT_SHIFT)) & SDIF_RINTSTS_SDIO_INTERRUPT_MASK)\r
+#define SDIF_RINTSTS_CDET_MASK (0x1U)\r
+#define SDIF_RINTSTS_CDET_SHIFT (0U)\r
+/*! CDET - Card detect.\r
+ */\r
+#define SDIF_RINTSTS_CDET(x) (((uint32_t)(((uint32_t)(x)) << SDIF_RINTSTS_CDET_SHIFT)) & SDIF_RINTSTS_CDET_MASK)\r
+#define SDIF_RINTSTS_RE_MASK (0x2U)\r
+#define SDIF_RINTSTS_RE_SHIFT (1U)\r
+/*! RE - Response error.\r
+ */\r
+#define SDIF_RINTSTS_RE(x) (((uint32_t)(((uint32_t)(x)) << SDIF_RINTSTS_RE_SHIFT)) & SDIF_RINTSTS_RE_MASK)\r
+#define SDIF_RINTSTS_CDONE_MASK (0x4U)\r
+#define SDIF_RINTSTS_CDONE_SHIFT (2U)\r
+/*! CDONE - Command done.\r
+ */\r
+#define SDIF_RINTSTS_CDONE(x) (((uint32_t)(((uint32_t)(x)) << SDIF_RINTSTS_CDONE_SHIFT)) & SDIF_RINTSTS_CDONE_MASK)\r
+#define SDIF_RINTSTS_DTO_MASK (0x8U)\r
+#define SDIF_RINTSTS_DTO_SHIFT (3U)\r
+/*! DTO - Data transfer over.\r
+ */\r
+#define SDIF_RINTSTS_DTO(x) (((uint32_t)(((uint32_t)(x)) << SDIF_RINTSTS_DTO_SHIFT)) & SDIF_RINTSTS_DTO_MASK)\r
+#define SDIF_RINTSTS_TXDR_MASK (0x10U)\r
+#define SDIF_RINTSTS_TXDR_SHIFT (4U)\r
+/*! TXDR - Transmit FIFO data request.\r
+ */\r
+#define SDIF_RINTSTS_TXDR(x) (((uint32_t)(((uint32_t)(x)) << SDIF_RINTSTS_TXDR_SHIFT)) & SDIF_RINTSTS_TXDR_MASK)\r
+#define SDIF_RINTSTS_RXDR_MASK (0x20U)\r
+#define SDIF_RINTSTS_RXDR_SHIFT (5U)\r
+/*! RXDR - Receive FIFO data request.\r
+ */\r
+#define SDIF_RINTSTS_RXDR(x) (((uint32_t)(((uint32_t)(x)) << SDIF_RINTSTS_RXDR_SHIFT)) & SDIF_RINTSTS_RXDR_MASK)\r
+#define SDIF_RINTSTS_RCRC_MASK (0x40U)\r
+#define SDIF_RINTSTS_RCRC_SHIFT (6U)\r
+/*! RCRC - Response CRC error.\r
+ */\r
+#define SDIF_RINTSTS_RCRC(x) (((uint32_t)(((uint32_t)(x)) << SDIF_RINTSTS_RCRC_SHIFT)) & SDIF_RINTSTS_RCRC_MASK)\r
+#define SDIF_RINTSTS_DCRC_MASK (0x80U)\r
+#define SDIF_RINTSTS_DCRC_SHIFT (7U)\r
+/*! DCRC - Data CRC error.\r
+ */\r
+#define SDIF_RINTSTS_DCRC(x) (((uint32_t)(((uint32_t)(x)) << SDIF_RINTSTS_DCRC_SHIFT)) & SDIF_RINTSTS_DCRC_MASK)\r
+#define SDIF_RINTSTS_RTO_BAR_MASK (0x100U)\r
+#define SDIF_RINTSTS_RTO_BAR_SHIFT (8U)\r
+/*! RTO_BAR - Response time-out (RTO)/Boot Ack Received (BAR).\r
+ */\r
+#define SDIF_RINTSTS_RTO_BAR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_RINTSTS_RTO_BAR_SHIFT)) & SDIF_RINTSTS_RTO_BAR_MASK)\r
+#define SDIF_RINTSTS_DRTO_BDS_MASK (0x200U)\r
+#define SDIF_RINTSTS_DRTO_BDS_SHIFT (9U)\r
+/*! DRTO_BDS - Data read time-out (DRTO)/Boot Data Start (BDS).\r
+ */\r
+#define SDIF_RINTSTS_DRTO_BDS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_RINTSTS_DRTO_BDS_SHIFT)) & SDIF_RINTSTS_DRTO_BDS_MASK)\r
+#define SDIF_RINTSTS_HTO_MASK (0x400U)\r
+#define SDIF_RINTSTS_HTO_SHIFT (10U)\r
+/*! HTO - Data starvation-by-host time-out (HTO).\r
+ */\r
+#define SDIF_RINTSTS_HTO(x) (((uint32_t)(((uint32_t)(x)) << SDIF_RINTSTS_HTO_SHIFT)) & SDIF_RINTSTS_HTO_MASK)\r
+#define SDIF_RINTSTS_FRUN_MASK (0x800U)\r
+#define SDIF_RINTSTS_FRUN_SHIFT (11U)\r
+/*! FRUN - FIFO underrun/overrun error.\r
+ */\r
+#define SDIF_RINTSTS_FRUN(x) (((uint32_t)(((uint32_t)(x)) << SDIF_RINTSTS_FRUN_SHIFT)) & SDIF_RINTSTS_FRUN_MASK)\r
+#define SDIF_RINTSTS_HLE_MASK (0x1000U)\r
+#define SDIF_RINTSTS_HLE_SHIFT (12U)\r
+/*! HLE - Hardware locked write error.\r
+ */\r
+#define SDIF_RINTSTS_HLE(x) (((uint32_t)(((uint32_t)(x)) << SDIF_RINTSTS_HLE_SHIFT)) & SDIF_RINTSTS_HLE_MASK)\r
+#define SDIF_RINTSTS_SBE_MASK (0x2000U)\r
+#define SDIF_RINTSTS_SBE_SHIFT (13U)\r
+/*! SBE - Start-bit error.\r
+ */\r
+#define SDIF_RINTSTS_SBE(x) (((uint32_t)(((uint32_t)(x)) << SDIF_RINTSTS_SBE_SHIFT)) & SDIF_RINTSTS_SBE_MASK)\r
+#define SDIF_RINTSTS_ACD_MASK (0x4000U)\r
+#define SDIF_RINTSTS_ACD_SHIFT (14U)\r
+/*! ACD - Auto command done.\r
+ */\r
+#define SDIF_RINTSTS_ACD(x) (((uint32_t)(((uint32_t)(x)) << SDIF_RINTSTS_ACD_SHIFT)) & SDIF_RINTSTS_ACD_MASK)\r
+#define SDIF_RINTSTS_EBE_MASK (0x8000U)\r
+#define SDIF_RINTSTS_EBE_SHIFT (15U)\r
+/*! EBE - End-bit error (read)/write no CRC.\r
+ */\r
+#define SDIF_RINTSTS_EBE(x) (((uint32_t)(((uint32_t)(x)) << SDIF_RINTSTS_EBE_SHIFT)) & SDIF_RINTSTS_EBE_MASK)\r
+#define SDIF_RINTSTS_SDIO_INTERRUPT_MASK (0x10000U)\r
+#define SDIF_RINTSTS_SDIO_INTERRUPT_SHIFT (16U)\r
+/*! SDIO_INTERRUPT - Interrupt from SDIO card.\r
+ */\r
+#define SDIF_RINTSTS_SDIO_INTERRUPT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_RINTSTS_SDIO_INTERRUPT_SHIFT)) & SDIF_RINTSTS_SDIO_INTERRUPT_MASK)\r
 /*! @} */\r
 \r
 /*! @name STATUS - Status register */\r
 /*! @{ */\r
-#define SDIF_STATUS_FIFO_RX_WATERMARK_MASK       (0x1U)\r
-#define SDIF_STATUS_FIFO_RX_WATERMARK_SHIFT      (0U)\r
-#define SDIF_STATUS_FIFO_RX_WATERMARK(x)         (((uint32_t)(((uint32_t)(x)) << SDIF_STATUS_FIFO_RX_WATERMARK_SHIFT)) & SDIF_STATUS_FIFO_RX_WATERMARK_MASK)\r
-#define SDIF_STATUS_FIFO_TX_WATERMARK_MASK       (0x2U)\r
-#define SDIF_STATUS_FIFO_TX_WATERMARK_SHIFT      (1U)\r
-#define SDIF_STATUS_FIFO_TX_WATERMARK(x)         (((uint32_t)(((uint32_t)(x)) << SDIF_STATUS_FIFO_TX_WATERMARK_SHIFT)) & SDIF_STATUS_FIFO_TX_WATERMARK_MASK)\r
-#define SDIF_STATUS_FIFO_EMPTY_MASK              (0x4U)\r
-#define SDIF_STATUS_FIFO_EMPTY_SHIFT             (2U)\r
-#define SDIF_STATUS_FIFO_EMPTY(x)                (((uint32_t)(((uint32_t)(x)) << SDIF_STATUS_FIFO_EMPTY_SHIFT)) & SDIF_STATUS_FIFO_EMPTY_MASK)\r
-#define SDIF_STATUS_FIFO_FULL_MASK               (0x8U)\r
-#define SDIF_STATUS_FIFO_FULL_SHIFT              (3U)\r
-#define SDIF_STATUS_FIFO_FULL(x)                 (((uint32_t)(((uint32_t)(x)) << SDIF_STATUS_FIFO_FULL_SHIFT)) & SDIF_STATUS_FIFO_FULL_MASK)\r
-#define SDIF_STATUS_CMDFSMSTATES_MASK            (0xF0U)\r
-#define SDIF_STATUS_CMDFSMSTATES_SHIFT           (4U)\r
-#define SDIF_STATUS_CMDFSMSTATES(x)              (((uint32_t)(((uint32_t)(x)) << SDIF_STATUS_CMDFSMSTATES_SHIFT)) & SDIF_STATUS_CMDFSMSTATES_MASK)\r
-#define SDIF_STATUS_DATA_3_STATUS_MASK           (0x100U)\r
-#define SDIF_STATUS_DATA_3_STATUS_SHIFT          (8U)\r
-#define SDIF_STATUS_DATA_3_STATUS(x)             (((uint32_t)(((uint32_t)(x)) << SDIF_STATUS_DATA_3_STATUS_SHIFT)) & SDIF_STATUS_DATA_3_STATUS_MASK)\r
-#define SDIF_STATUS_DATA_BUSY_MASK               (0x200U)\r
-#define SDIF_STATUS_DATA_BUSY_SHIFT              (9U)\r
-#define SDIF_STATUS_DATA_BUSY(x)                 (((uint32_t)(((uint32_t)(x)) << SDIF_STATUS_DATA_BUSY_SHIFT)) & SDIF_STATUS_DATA_BUSY_MASK)\r
-#define SDIF_STATUS_DATA_STATE_MC_BUSY_MASK      (0x400U)\r
-#define SDIF_STATUS_DATA_STATE_MC_BUSY_SHIFT     (10U)\r
-#define SDIF_STATUS_DATA_STATE_MC_BUSY(x)        (((uint32_t)(((uint32_t)(x)) << SDIF_STATUS_DATA_STATE_MC_BUSY_SHIFT)) & SDIF_STATUS_DATA_STATE_MC_BUSY_MASK)\r
-#define SDIF_STATUS_RESPONSE_INDEX_MASK          (0x1F800U)\r
-#define SDIF_STATUS_RESPONSE_INDEX_SHIFT         (11U)\r
-#define SDIF_STATUS_RESPONSE_INDEX(x)            (((uint32_t)(((uint32_t)(x)) << SDIF_STATUS_RESPONSE_INDEX_SHIFT)) & SDIF_STATUS_RESPONSE_INDEX_MASK)\r
-#define SDIF_STATUS_FIFO_COUNT_MASK              (0x3FFE0000U)\r
-#define SDIF_STATUS_FIFO_COUNT_SHIFT             (17U)\r
-#define SDIF_STATUS_FIFO_COUNT(x)                (((uint32_t)(((uint32_t)(x)) << SDIF_STATUS_FIFO_COUNT_SHIFT)) & SDIF_STATUS_FIFO_COUNT_MASK)\r
-#define SDIF_STATUS_DMA_ACK_MASK                 (0x40000000U)\r
-#define SDIF_STATUS_DMA_ACK_SHIFT                (30U)\r
-#define SDIF_STATUS_DMA_ACK(x)                   (((uint32_t)(((uint32_t)(x)) << SDIF_STATUS_DMA_ACK_SHIFT)) & SDIF_STATUS_DMA_ACK_MASK)\r
-#define SDIF_STATUS_DMA_REQ_MASK                 (0x80000000U)\r
-#define SDIF_STATUS_DMA_REQ_SHIFT                (31U)\r
-#define SDIF_STATUS_DMA_REQ(x)                   (((uint32_t)(((uint32_t)(x)) << SDIF_STATUS_DMA_REQ_SHIFT)) & SDIF_STATUS_DMA_REQ_MASK)\r
+#define SDIF_STATUS_FIFO_RX_WATERMARK_MASK (0x1U)\r
+#define SDIF_STATUS_FIFO_RX_WATERMARK_SHIFT (0U)\r
+/*! FIFO_RX_WATERMARK - FIFO reached Receive watermark level; not qualified with data transfer.\r
+ */\r
+#define SDIF_STATUS_FIFO_RX_WATERMARK(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_STATUS_FIFO_RX_WATERMARK_SHIFT)) & SDIF_STATUS_FIFO_RX_WATERMARK_MASK)\r
+#define SDIF_STATUS_FIFO_TX_WATERMARK_MASK (0x2U)\r
+#define SDIF_STATUS_FIFO_TX_WATERMARK_SHIFT (1U)\r
+/*! FIFO_TX_WATERMARK - FIFO reached Transmit watermark level; not qualified with data transfer.\r
+ */\r
+#define SDIF_STATUS_FIFO_TX_WATERMARK(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_STATUS_FIFO_TX_WATERMARK_SHIFT)) & SDIF_STATUS_FIFO_TX_WATERMARK_MASK)\r
+#define SDIF_STATUS_FIFO_EMPTY_MASK (0x4U)\r
+#define SDIF_STATUS_FIFO_EMPTY_SHIFT (2U)\r
+/*! FIFO_EMPTY - FIFO is empty status.\r
+ */\r
+#define SDIF_STATUS_FIFO_EMPTY(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_STATUS_FIFO_EMPTY_SHIFT)) & SDIF_STATUS_FIFO_EMPTY_MASK)\r
+#define SDIF_STATUS_FIFO_FULL_MASK (0x8U)\r
+#define SDIF_STATUS_FIFO_FULL_SHIFT (3U)\r
+/*! FIFO_FULL - FIFO is full status.\r
+ */\r
+#define SDIF_STATUS_FIFO_FULL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_STATUS_FIFO_FULL_SHIFT)) & SDIF_STATUS_FIFO_FULL_MASK)\r
+#define SDIF_STATUS_CMDFSMSTATES_MASK (0xF0U)\r
+#define SDIF_STATUS_CMDFSMSTATES_SHIFT (4U)\r
+/*! CMDFSMSTATES - Command FSM states: 0 - Idle 1 - Send init sequence 2 - Tx cmd start bit 3 - Tx\r
+ *    cmd tx bit 4 - Tx cmd index + arg 5 - Tx cmd crc7 6 - Tx cmd end bit 7 - Rx resp start bit 8 -\r
+ *    Rx resp IRQ response 9 - Rx resp tx bit 10 - Rx resp cmd idx 11 - Rx resp data 12 - Rx resp\r
+ *    crc7 13 - Rx resp end bit 14 - Cmd path wait NCC 15 - Wait; CMD-to-response turnaround NOTE: The\r
+ *    command FSM state is represented using 19 bits.\r
+ */\r
+#define SDIF_STATUS_CMDFSMSTATES(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_STATUS_CMDFSMSTATES_SHIFT)) & SDIF_STATUS_CMDFSMSTATES_MASK)\r
+#define SDIF_STATUS_DATA_3_STATUS_MASK (0x100U)\r
+#define SDIF_STATUS_DATA_3_STATUS_SHIFT (8U)\r
+/*! DATA_3_STATUS - Raw selected card_data[3]; checks whether card is present 0 - card not present 1 - card present.\r
+ */\r
+#define SDIF_STATUS_DATA_3_STATUS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_STATUS_DATA_3_STATUS_SHIFT)) & SDIF_STATUS_DATA_3_STATUS_MASK)\r
+#define SDIF_STATUS_DATA_BUSY_MASK (0x200U)\r
+#define SDIF_STATUS_DATA_BUSY_SHIFT (9U)\r
+/*! DATA_BUSY - Inverted version of raw selected card_data[0] 0 - card data not busy 1 - card data busy.\r
+ */\r
+#define SDIF_STATUS_DATA_BUSY(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_STATUS_DATA_BUSY_SHIFT)) & SDIF_STATUS_DATA_BUSY_MASK)\r
+#define SDIF_STATUS_DATA_STATE_MC_BUSY_MASK (0x400U)\r
+#define SDIF_STATUS_DATA_STATE_MC_BUSY_SHIFT (10U)\r
+/*! DATA_STATE_MC_BUSY - Data transmit or receive state-machine is busy.\r
+ */\r
+#define SDIF_STATUS_DATA_STATE_MC_BUSY(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_STATUS_DATA_STATE_MC_BUSY_SHIFT)) & SDIF_STATUS_DATA_STATE_MC_BUSY_MASK)\r
+#define SDIF_STATUS_RESPONSE_INDEX_MASK (0x1F800U)\r
+#define SDIF_STATUS_RESPONSE_INDEX_SHIFT (11U)\r
+/*! RESPONSE_INDEX - Index of previous response, including any auto-stop sent by core.\r
+ */\r
+#define SDIF_STATUS_RESPONSE_INDEX(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_STATUS_RESPONSE_INDEX_SHIFT)) & SDIF_STATUS_RESPONSE_INDEX_MASK)\r
+#define SDIF_STATUS_FIFO_COUNT_MASK (0x3FFE0000U)\r
+#define SDIF_STATUS_FIFO_COUNT_SHIFT (17U)\r
+/*! FIFO_COUNT - FIFO count - Number of filled locations in FIFO.\r
+ */\r
+#define SDIF_STATUS_FIFO_COUNT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_STATUS_FIFO_COUNT_SHIFT)) & SDIF_STATUS_FIFO_COUNT_MASK)\r
+#define SDIF_STATUS_DMA_ACK_MASK (0x40000000U)\r
+#define SDIF_STATUS_DMA_ACK_SHIFT (30U)\r
+/*! DMA_ACK - DMA acknowledge signal state.\r
+ */\r
+#define SDIF_STATUS_DMA_ACK(x) (((uint32_t)(((uint32_t)(x)) << SDIF_STATUS_DMA_ACK_SHIFT)) & SDIF_STATUS_DMA_ACK_MASK)\r
+#define SDIF_STATUS_DMA_REQ_MASK (0x80000000U)\r
+#define SDIF_STATUS_DMA_REQ_SHIFT (31U)\r
+/*! DMA_REQ - DMA request signal state.\r
+ */\r
+#define SDIF_STATUS_DMA_REQ(x) (((uint32_t)(((uint32_t)(x)) << SDIF_STATUS_DMA_REQ_SHIFT)) & SDIF_STATUS_DMA_REQ_MASK)\r
 /*! @} */\r
 \r
 /*! @name FIFOTH - FIFO Threshold Watermark register */\r
 /*! @{ */\r
-#define SDIF_FIFOTH_TX_WMARK_MASK                (0xFFFU)\r
-#define SDIF_FIFOTH_TX_WMARK_SHIFT               (0U)\r
-#define SDIF_FIFOTH_TX_WMARK(x)                  (((uint32_t)(((uint32_t)(x)) << SDIF_FIFOTH_TX_WMARK_SHIFT)) & SDIF_FIFOTH_TX_WMARK_MASK)\r
-#define SDIF_FIFOTH_RX_WMARK_MASK                (0xFFF0000U)\r
-#define SDIF_FIFOTH_RX_WMARK_SHIFT               (16U)\r
-#define SDIF_FIFOTH_RX_WMARK(x)                  (((uint32_t)(((uint32_t)(x)) << SDIF_FIFOTH_RX_WMARK_SHIFT)) & SDIF_FIFOTH_RX_WMARK_MASK)\r
-#define SDIF_FIFOTH_DMA_MTS_MASK                 (0x70000000U)\r
-#define SDIF_FIFOTH_DMA_MTS_SHIFT                (28U)\r
-#define SDIF_FIFOTH_DMA_MTS(x)                   (((uint32_t)(((uint32_t)(x)) << SDIF_FIFOTH_DMA_MTS_SHIFT)) & SDIF_FIFOTH_DMA_MTS_MASK)\r
+#define SDIF_FIFOTH_TX_WMARK_MASK (0xFFFU)\r
+#define SDIF_FIFOTH_TX_WMARK_SHIFT (0U)\r
+/*! TX_WMARK - FIFO threshold watermark level when transmitting data to card.\r
+ */\r
+#define SDIF_FIFOTH_TX_WMARK(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_FIFOTH_TX_WMARK_SHIFT)) & SDIF_FIFOTH_TX_WMARK_MASK)\r
+#define SDIF_FIFOTH_RX_WMARK_MASK (0xFFF0000U)\r
+#define SDIF_FIFOTH_RX_WMARK_SHIFT (16U)\r
+/*! RX_WMARK - FIFO threshold watermark level when receiving data to card.\r
+ */\r
+#define SDIF_FIFOTH_RX_WMARK(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_FIFOTH_RX_WMARK_SHIFT)) & SDIF_FIFOTH_RX_WMARK_MASK)\r
+#define SDIF_FIFOTH_DMA_MTS_MASK (0x70000000U)\r
+#define SDIF_FIFOTH_DMA_MTS_SHIFT (28U)\r
+/*! DMA_MTS - Burst size of multiple transaction; should be programmed same as DW-DMA controller\r
+ *    multiple-transaction-size SRC/DEST_MSIZE.\r
+ */\r
+#define SDIF_FIFOTH_DMA_MTS(x) (((uint32_t)(((uint32_t)(x)) << SDIF_FIFOTH_DMA_MTS_SHIFT)) & SDIF_FIFOTH_DMA_MTS_MASK)\r
 /*! @} */\r
 \r
 /*! @name CDETECT - Card Detect register */\r
 /*! @{ */\r
-#define SDIF_CDETECT_CARD0_DETECT_MASK           (0x1U)\r
-#define SDIF_CDETECT_CARD0_DETECT_SHIFT          (0U)\r
-#define SDIF_CDETECT_CARD0_DETECT(x)             (((uint32_t)(((uint32_t)(x)) << SDIF_CDETECT_CARD0_DETECT_SHIFT)) & SDIF_CDETECT_CARD0_DETECT_MASK)\r
-#define SDIF_CDETECT_CARD1_DETECT_MASK           (0x2U)\r
-#define SDIF_CDETECT_CARD1_DETECT_SHIFT          (1U)\r
-#define SDIF_CDETECT_CARD1_DETECT(x)             (((uint32_t)(((uint32_t)(x)) << SDIF_CDETECT_CARD1_DETECT_SHIFT)) & SDIF_CDETECT_CARD1_DETECT_MASK)\r
+#define SDIF_CDETECT_CARD0_DETECT_MASK (0x1U)\r
+#define SDIF_CDETECT_CARD0_DETECT_SHIFT (0U)\r
+/*! CARD0_DETECT - Card 0 detect\r
+ */\r
+#define SDIF_CDETECT_CARD0_DETECT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CDETECT_CARD0_DETECT_SHIFT)) & SDIF_CDETECT_CARD0_DETECT_MASK)\r
+#define SDIF_CDETECT_CARD1_DETECT_MASK (0x2U)\r
+#define SDIF_CDETECT_CARD1_DETECT_SHIFT (1U)\r
+/*! CARD1_DETECT - Card 1 detect\r
+ */\r
+#define SDIF_CDETECT_CARD1_DETECT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CDETECT_CARD1_DETECT_SHIFT)) & SDIF_CDETECT_CARD1_DETECT_MASK)\r
 /*! @} */\r
 \r
 /*! @name WRTPRT - Write Protect register */\r
 /*! @{ */\r
-#define SDIF_WRTPRT_WRITE_PROTECT_MASK           (0x1U)\r
-#define SDIF_WRTPRT_WRITE_PROTECT_SHIFT          (0U)\r
-#define SDIF_WRTPRT_WRITE_PROTECT(x)             (((uint32_t)(((uint32_t)(x)) << SDIF_WRTPRT_WRITE_PROTECT_SHIFT)) & SDIF_WRTPRT_WRITE_PROTECT_MASK)\r
+#define SDIF_WRTPRT_WRITE_PROTECT_MASK (0x1U)\r
+#define SDIF_WRTPRT_WRITE_PROTECT_SHIFT (0U)\r
+/*! WRITE_PROTECT - Write protect.\r
+ */\r
+#define SDIF_WRTPRT_WRITE_PROTECT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_WRTPRT_WRITE_PROTECT_SHIFT)) & SDIF_WRTPRT_WRITE_PROTECT_MASK)\r
 /*! @} */\r
 \r
 /*! @name TCBCNT - Transferred CIU Card Byte Count register */\r
 /*! @{ */\r
-#define SDIF_TCBCNT_TRANS_CARD_BYTE_COUNT_MASK   (0xFFFFFFFFU)\r
-#define SDIF_TCBCNT_TRANS_CARD_BYTE_COUNT_SHIFT  (0U)\r
-#define SDIF_TCBCNT_TRANS_CARD_BYTE_COUNT(x)     (((uint32_t)(((uint32_t)(x)) << SDIF_TCBCNT_TRANS_CARD_BYTE_COUNT_SHIFT)) & SDIF_TCBCNT_TRANS_CARD_BYTE_COUNT_MASK)\r
+#define SDIF_TCBCNT_TRANS_CARD_BYTE_COUNT_MASK (0xFFFFFFFFU)\r
+#define SDIF_TCBCNT_TRANS_CARD_BYTE_COUNT_SHIFT (0U)\r
+/*! TRANS_CARD_BYTE_COUNT - Number of bytes transferred by CIU unit to card.\r
+ */\r
+#define SDIF_TCBCNT_TRANS_CARD_BYTE_COUNT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_TCBCNT_TRANS_CARD_BYTE_COUNT_SHIFT)) & SDIF_TCBCNT_TRANS_CARD_BYTE_COUNT_MASK)\r
 /*! @} */\r
 \r
 /*! @name TBBCNT - Transferred Host to BIU-FIFO Byte Count register */\r
 /*! @{ */\r
-#define SDIF_TBBCNT_TRANS_FIFO_BYTE_COUNT_MASK   (0xFFFFFFFFU)\r
-#define SDIF_TBBCNT_TRANS_FIFO_BYTE_COUNT_SHIFT  (0U)\r
-#define SDIF_TBBCNT_TRANS_FIFO_BYTE_COUNT(x)     (((uint32_t)(((uint32_t)(x)) << SDIF_TBBCNT_TRANS_FIFO_BYTE_COUNT_SHIFT)) & SDIF_TBBCNT_TRANS_FIFO_BYTE_COUNT_MASK)\r
+#define SDIF_TBBCNT_TRANS_FIFO_BYTE_COUNT_MASK (0xFFFFFFFFU)\r
+#define SDIF_TBBCNT_TRANS_FIFO_BYTE_COUNT_SHIFT (0U)\r
+/*! TRANS_FIFO_BYTE_COUNT - Number of bytes transferred between Host/DMA memory and BIU FIFO.\r
+ */\r
+#define SDIF_TBBCNT_TRANS_FIFO_BYTE_COUNT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_TBBCNT_TRANS_FIFO_BYTE_COUNT_SHIFT)) & SDIF_TBBCNT_TRANS_FIFO_BYTE_COUNT_MASK)\r
 /*! @} */\r
 \r
 /*! @name DEBNCE - Debounce Count register */\r
 /*! @{ */\r
-#define SDIF_DEBNCE_DEBOUNCE_COUNT_MASK          (0xFFFFFFU)\r
-#define SDIF_DEBNCE_DEBOUNCE_COUNT_SHIFT         (0U)\r
-#define SDIF_DEBNCE_DEBOUNCE_COUNT(x)            (((uint32_t)(((uint32_t)(x)) << SDIF_DEBNCE_DEBOUNCE_COUNT_SHIFT)) & SDIF_DEBNCE_DEBOUNCE_COUNT_MASK)\r
+#define SDIF_DEBNCE_DEBOUNCE_COUNT_MASK (0xFFFFFFU)\r
+#define SDIF_DEBNCE_DEBOUNCE_COUNT_SHIFT (0U)\r
+/*! DEBOUNCE_COUNT - Number of host clocks (SD_CLK) used by debounce filter logic for card detect; typical debounce time\r
+ * is 5-25 ms.\r
+ */\r
+#define SDIF_DEBNCE_DEBOUNCE_COUNT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_DEBNCE_DEBOUNCE_COUNT_SHIFT)) & SDIF_DEBNCE_DEBOUNCE_COUNT_MASK)\r
 /*! @} */\r
 \r
 /*! @name RST_N - Hardware Reset */\r
 /*! @{ */\r
-#define SDIF_RST_N_CARD_RESET_MASK               (0x1U)\r
-#define SDIF_RST_N_CARD_RESET_SHIFT              (0U)\r
-#define SDIF_RST_N_CARD_RESET(x)                 (((uint32_t)(((uint32_t)(x)) << SDIF_RST_N_CARD_RESET_SHIFT)) & SDIF_RST_N_CARD_RESET_MASK)\r
+#define SDIF_RST_N_CARD_RESET_MASK (0x1U)\r
+#define SDIF_RST_N_CARD_RESET_SHIFT (0U)\r
+/*! CARD_RESET - Hardware reset.\r
+ */\r
+#define SDIF_RST_N_CARD_RESET(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_RST_N_CARD_RESET_SHIFT)) & SDIF_RST_N_CARD_RESET_MASK)\r
 /*! @} */\r
 \r
 /*! @name BMOD - Bus Mode register */\r
 /*! @{ */\r
-#define SDIF_BMOD_SWR_MASK                       (0x1U)\r
-#define SDIF_BMOD_SWR_SHIFT                      (0U)\r
-#define SDIF_BMOD_SWR(x)                         (((uint32_t)(((uint32_t)(x)) << SDIF_BMOD_SWR_SHIFT)) & SDIF_BMOD_SWR_MASK)\r
-#define SDIF_BMOD_FB_MASK                        (0x2U)\r
-#define SDIF_BMOD_FB_SHIFT                       (1U)\r
-#define SDIF_BMOD_FB(x)                          (((uint32_t)(((uint32_t)(x)) << SDIF_BMOD_FB_SHIFT)) & SDIF_BMOD_FB_MASK)\r
-#define SDIF_BMOD_DSL_MASK                       (0x7CU)\r
-#define SDIF_BMOD_DSL_SHIFT                      (2U)\r
-#define SDIF_BMOD_DSL(x)                         (((uint32_t)(((uint32_t)(x)) << SDIF_BMOD_DSL_SHIFT)) & SDIF_BMOD_DSL_MASK)\r
-#define SDIF_BMOD_DE_MASK                        (0x80U)\r
-#define SDIF_BMOD_DE_SHIFT                       (7U)\r
-#define SDIF_BMOD_DE(x)                          (((uint32_t)(((uint32_t)(x)) << SDIF_BMOD_DE_SHIFT)) & SDIF_BMOD_DE_MASK)\r
-#define SDIF_BMOD_PBL_MASK                       (0x700U)\r
-#define SDIF_BMOD_PBL_SHIFT                      (8U)\r
-#define SDIF_BMOD_PBL(x)                         (((uint32_t)(((uint32_t)(x)) << SDIF_BMOD_PBL_SHIFT)) & SDIF_BMOD_PBL_MASK)\r
+#define SDIF_BMOD_SWR_MASK (0x1U)\r
+#define SDIF_BMOD_SWR_SHIFT (0U)\r
+/*! SWR - Software Reset.\r
+ */\r
+#define SDIF_BMOD_SWR(x) (((uint32_t)(((uint32_t)(x)) << SDIF_BMOD_SWR_SHIFT)) & SDIF_BMOD_SWR_MASK)\r
+#define SDIF_BMOD_FB_MASK (0x2U)\r
+#define SDIF_BMOD_FB_SHIFT (1U)\r
+/*! FB - Fixed Burst.\r
+ */\r
+#define SDIF_BMOD_FB(x) (((uint32_t)(((uint32_t)(x)) << SDIF_BMOD_FB_SHIFT)) & SDIF_BMOD_FB_MASK)\r
+#define SDIF_BMOD_DSL_MASK (0x7CU)\r
+#define SDIF_BMOD_DSL_SHIFT (2U)\r
+/*! DSL - Descriptor Skip Length.\r
+ */\r
+#define SDIF_BMOD_DSL(x) (((uint32_t)(((uint32_t)(x)) << SDIF_BMOD_DSL_SHIFT)) & SDIF_BMOD_DSL_MASK)\r
+#define SDIF_BMOD_DE_MASK (0x80U)\r
+#define SDIF_BMOD_DE_SHIFT (7U)\r
+/*! DE - SD/MMC DMA Enable.\r
+ */\r
+#define SDIF_BMOD_DE(x) (((uint32_t)(((uint32_t)(x)) << SDIF_BMOD_DE_SHIFT)) & SDIF_BMOD_DE_MASK)\r
+#define SDIF_BMOD_PBL_MASK (0x700U)\r
+#define SDIF_BMOD_PBL_SHIFT (8U)\r
+/*! PBL - Programmable Burst Length.\r
+ */\r
+#define SDIF_BMOD_PBL(x) (((uint32_t)(((uint32_t)(x)) << SDIF_BMOD_PBL_SHIFT)) & SDIF_BMOD_PBL_MASK)\r
 /*! @} */\r
 \r
 /*! @name PLDMND - Poll Demand register */\r
 /*! @{ */\r
-#define SDIF_PLDMND_PD_MASK                      (0xFFFFFFFFU)\r
-#define SDIF_PLDMND_PD_SHIFT                     (0U)\r
-#define SDIF_PLDMND_PD(x)                        (((uint32_t)(((uint32_t)(x)) << SDIF_PLDMND_PD_SHIFT)) & SDIF_PLDMND_PD_MASK)\r
+#define SDIF_PLDMND_PD_MASK (0xFFFFFFFFU)\r
+#define SDIF_PLDMND_PD_SHIFT (0U)\r
+/*! PD - Poll Demand.\r
+ */\r
+#define SDIF_PLDMND_PD(x) (((uint32_t)(((uint32_t)(x)) << SDIF_PLDMND_PD_SHIFT)) & SDIF_PLDMND_PD_MASK)\r
 /*! @} */\r
 \r
 /*! @name DBADDR - Descriptor List Base Address register */\r
 /*! @{ */\r
-#define SDIF_DBADDR_SDL_MASK                     (0xFFFFFFFFU)\r
-#define SDIF_DBADDR_SDL_SHIFT                    (0U)\r
-#define SDIF_DBADDR_SDL(x)                       (((uint32_t)(((uint32_t)(x)) << SDIF_DBADDR_SDL_SHIFT)) & SDIF_DBADDR_SDL_MASK)\r
+#define SDIF_DBADDR_SDL_MASK (0xFFFFFFFFU)\r
+#define SDIF_DBADDR_SDL_SHIFT (0U)\r
+/*! SDL - Start of Descriptor List.\r
+ */\r
+#define SDIF_DBADDR_SDL(x) (((uint32_t)(((uint32_t)(x)) << SDIF_DBADDR_SDL_SHIFT)) & SDIF_DBADDR_SDL_MASK)\r
 /*! @} */\r
 \r
 /*! @name IDSTS - Internal DMAC Status register */\r
 /*! @{ */\r
-#define SDIF_IDSTS_TI_MASK                       (0x1U)\r
-#define SDIF_IDSTS_TI_SHIFT                      (0U)\r
-#define SDIF_IDSTS_TI(x)                         (((uint32_t)(((uint32_t)(x)) << SDIF_IDSTS_TI_SHIFT)) & SDIF_IDSTS_TI_MASK)\r
-#define SDIF_IDSTS_RI_MASK                       (0x2U)\r
-#define SDIF_IDSTS_RI_SHIFT                      (1U)\r
-#define SDIF_IDSTS_RI(x)                         (((uint32_t)(((uint32_t)(x)) << SDIF_IDSTS_RI_SHIFT)) & SDIF_IDSTS_RI_MASK)\r
-#define SDIF_IDSTS_FBE_MASK                      (0x4U)\r
-#define SDIF_IDSTS_FBE_SHIFT                     (2U)\r
-#define SDIF_IDSTS_FBE(x)                        (((uint32_t)(((uint32_t)(x)) << SDIF_IDSTS_FBE_SHIFT)) & SDIF_IDSTS_FBE_MASK)\r
-#define SDIF_IDSTS_DU_MASK                       (0x10U)\r
-#define SDIF_IDSTS_DU_SHIFT                      (4U)\r
-#define SDIF_IDSTS_DU(x)                         (((uint32_t)(((uint32_t)(x)) << SDIF_IDSTS_DU_SHIFT)) & SDIF_IDSTS_DU_MASK)\r
-#define SDIF_IDSTS_CES_MASK                      (0x20U)\r
-#define SDIF_IDSTS_CES_SHIFT                     (5U)\r
-#define SDIF_IDSTS_CES(x)                        (((uint32_t)(((uint32_t)(x)) << SDIF_IDSTS_CES_SHIFT)) & SDIF_IDSTS_CES_MASK)\r
-#define SDIF_IDSTS_NIS_MASK                      (0x100U)\r
-#define SDIF_IDSTS_NIS_SHIFT                     (8U)\r
-#define SDIF_IDSTS_NIS(x)                        (((uint32_t)(((uint32_t)(x)) << SDIF_IDSTS_NIS_SHIFT)) & SDIF_IDSTS_NIS_MASK)\r
-#define SDIF_IDSTS_AIS_MASK                      (0x200U)\r
-#define SDIF_IDSTS_AIS_SHIFT                     (9U)\r
-#define SDIF_IDSTS_AIS(x)                        (((uint32_t)(((uint32_t)(x)) << SDIF_IDSTS_AIS_SHIFT)) & SDIF_IDSTS_AIS_MASK)\r
-#define SDIF_IDSTS_EB_MASK                       (0x1C00U)\r
-#define SDIF_IDSTS_EB_SHIFT                      (10U)\r
-#define SDIF_IDSTS_EB(x)                         (((uint32_t)(((uint32_t)(x)) << SDIF_IDSTS_EB_SHIFT)) & SDIF_IDSTS_EB_MASK)\r
-#define SDIF_IDSTS_FSM_MASK                      (0x1E000U)\r
-#define SDIF_IDSTS_FSM_SHIFT                     (13U)\r
-#define SDIF_IDSTS_FSM(x)                        (((uint32_t)(((uint32_t)(x)) << SDIF_IDSTS_FSM_SHIFT)) & SDIF_IDSTS_FSM_MASK)\r
+#define SDIF_IDSTS_TI_MASK (0x1U)\r
+#define SDIF_IDSTS_TI_SHIFT (0U)\r
+/*! TI - Transmit Interrupt.\r
+ */\r
+#define SDIF_IDSTS_TI(x) (((uint32_t)(((uint32_t)(x)) << SDIF_IDSTS_TI_SHIFT)) & SDIF_IDSTS_TI_MASK)\r
+#define SDIF_IDSTS_RI_MASK (0x2U)\r
+#define SDIF_IDSTS_RI_SHIFT (1U)\r
+/*! RI - Receive Interrupt.\r
+ */\r
+#define SDIF_IDSTS_RI(x) (((uint32_t)(((uint32_t)(x)) << SDIF_IDSTS_RI_SHIFT)) & SDIF_IDSTS_RI_MASK)\r
+#define SDIF_IDSTS_FBE_MASK (0x4U)\r
+#define SDIF_IDSTS_FBE_SHIFT (2U)\r
+/*! FBE - Fatal Bus Error Interrupt.\r
+ */\r
+#define SDIF_IDSTS_FBE(x) (((uint32_t)(((uint32_t)(x)) << SDIF_IDSTS_FBE_SHIFT)) & SDIF_IDSTS_FBE_MASK)\r
+#define SDIF_IDSTS_DU_MASK (0x10U)\r
+#define SDIF_IDSTS_DU_SHIFT (4U)\r
+/*! DU - Descriptor Unavailable Interrupt.\r
+ */\r
+#define SDIF_IDSTS_DU(x) (((uint32_t)(((uint32_t)(x)) << SDIF_IDSTS_DU_SHIFT)) & SDIF_IDSTS_DU_MASK)\r
+#define SDIF_IDSTS_CES_MASK (0x20U)\r
+#define SDIF_IDSTS_CES_SHIFT (5U)\r
+/*! CES - Card Error Summary.\r
+ */\r
+#define SDIF_IDSTS_CES(x) (((uint32_t)(((uint32_t)(x)) << SDIF_IDSTS_CES_SHIFT)) & SDIF_IDSTS_CES_MASK)\r
+#define SDIF_IDSTS_NIS_MASK (0x100U)\r
+#define SDIF_IDSTS_NIS_SHIFT (8U)\r
+/*! NIS - Normal Interrupt Summary.\r
+ */\r
+#define SDIF_IDSTS_NIS(x) (((uint32_t)(((uint32_t)(x)) << SDIF_IDSTS_NIS_SHIFT)) & SDIF_IDSTS_NIS_MASK)\r
+#define SDIF_IDSTS_AIS_MASK (0x200U)\r
+#define SDIF_IDSTS_AIS_SHIFT (9U)\r
+/*! AIS - Abnormal Interrupt Summary.\r
+ */\r
+#define SDIF_IDSTS_AIS(x) (((uint32_t)(((uint32_t)(x)) << SDIF_IDSTS_AIS_SHIFT)) & SDIF_IDSTS_AIS_MASK)\r
+#define SDIF_IDSTS_EB_MASK (0x1C00U)\r
+#define SDIF_IDSTS_EB_SHIFT (10U)\r
+/*! EB - Error Bits.\r
+ */\r
+#define SDIF_IDSTS_EB(x) (((uint32_t)(((uint32_t)(x)) << SDIF_IDSTS_EB_SHIFT)) & SDIF_IDSTS_EB_MASK)\r
+#define SDIF_IDSTS_FSM_MASK (0x1E000U)\r
+#define SDIF_IDSTS_FSM_SHIFT (13U)\r
+/*! FSM - DMAC state machine present state.\r
+ */\r
+#define SDIF_IDSTS_FSM(x) (((uint32_t)(((uint32_t)(x)) << SDIF_IDSTS_FSM_SHIFT)) & SDIF_IDSTS_FSM_MASK)\r
 /*! @} */\r
 \r
 /*! @name IDINTEN - Internal DMAC Interrupt Enable register */\r
 /*! @{ */\r
-#define SDIF_IDINTEN_TI_MASK                     (0x1U)\r
-#define SDIF_IDINTEN_TI_SHIFT                    (0U)\r
-#define SDIF_IDINTEN_TI(x)                       (((uint32_t)(((uint32_t)(x)) << SDIF_IDINTEN_TI_SHIFT)) & SDIF_IDINTEN_TI_MASK)\r
-#define SDIF_IDINTEN_RI_MASK                     (0x2U)\r
-#define SDIF_IDINTEN_RI_SHIFT                    (1U)\r
-#define SDIF_IDINTEN_RI(x)                       (((uint32_t)(((uint32_t)(x)) << SDIF_IDINTEN_RI_SHIFT)) & SDIF_IDINTEN_RI_MASK)\r
-#define SDIF_IDINTEN_FBE_MASK                    (0x4U)\r
-#define SDIF_IDINTEN_FBE_SHIFT                   (2U)\r
-#define SDIF_IDINTEN_FBE(x)                      (((uint32_t)(((uint32_t)(x)) << SDIF_IDINTEN_FBE_SHIFT)) & SDIF_IDINTEN_FBE_MASK)\r
-#define SDIF_IDINTEN_DU_MASK                     (0x10U)\r
-#define SDIF_IDINTEN_DU_SHIFT                    (4U)\r
-#define SDIF_IDINTEN_DU(x)                       (((uint32_t)(((uint32_t)(x)) << SDIF_IDINTEN_DU_SHIFT)) & SDIF_IDINTEN_DU_MASK)\r
-#define SDIF_IDINTEN_CES_MASK                    (0x20U)\r
-#define SDIF_IDINTEN_CES_SHIFT                   (5U)\r
-#define SDIF_IDINTEN_CES(x)                      (((uint32_t)(((uint32_t)(x)) << SDIF_IDINTEN_CES_SHIFT)) & SDIF_IDINTEN_CES_MASK)\r
-#define SDIF_IDINTEN_NIS_MASK                    (0x100U)\r
-#define SDIF_IDINTEN_NIS_SHIFT                   (8U)\r
-#define SDIF_IDINTEN_NIS(x)                      (((uint32_t)(((uint32_t)(x)) << SDIF_IDINTEN_NIS_SHIFT)) & SDIF_IDINTEN_NIS_MASK)\r
-#define SDIF_IDINTEN_AIS_MASK                    (0x200U)\r
-#define SDIF_IDINTEN_AIS_SHIFT                   (9U)\r
-#define SDIF_IDINTEN_AIS(x)                      (((uint32_t)(((uint32_t)(x)) << SDIF_IDINTEN_AIS_SHIFT)) & SDIF_IDINTEN_AIS_MASK)\r
+#define SDIF_IDINTEN_TI_MASK (0x1U)\r
+#define SDIF_IDINTEN_TI_SHIFT (0U)\r
+/*! TI - Transmit Interrupt Enable.\r
+ */\r
+#define SDIF_IDINTEN_TI(x) (((uint32_t)(((uint32_t)(x)) << SDIF_IDINTEN_TI_SHIFT)) & SDIF_IDINTEN_TI_MASK)\r
+#define SDIF_IDINTEN_RI_MASK (0x2U)\r
+#define SDIF_IDINTEN_RI_SHIFT (1U)\r
+/*! RI - Receive Interrupt Enable.\r
+ */\r
+#define SDIF_IDINTEN_RI(x) (((uint32_t)(((uint32_t)(x)) << SDIF_IDINTEN_RI_SHIFT)) & SDIF_IDINTEN_RI_MASK)\r
+#define SDIF_IDINTEN_FBE_MASK (0x4U)\r
+#define SDIF_IDINTEN_FBE_SHIFT (2U)\r
+/*! FBE - Fatal Bus Error Enable.\r
+ */\r
+#define SDIF_IDINTEN_FBE(x) (((uint32_t)(((uint32_t)(x)) << SDIF_IDINTEN_FBE_SHIFT)) & SDIF_IDINTEN_FBE_MASK)\r
+#define SDIF_IDINTEN_DU_MASK (0x10U)\r
+#define SDIF_IDINTEN_DU_SHIFT (4U)\r
+/*! DU - Descriptor Unavailable Interrupt.\r
+ */\r
+#define SDIF_IDINTEN_DU(x) (((uint32_t)(((uint32_t)(x)) << SDIF_IDINTEN_DU_SHIFT)) & SDIF_IDINTEN_DU_MASK)\r
+#define SDIF_IDINTEN_CES_MASK (0x20U)\r
+#define SDIF_IDINTEN_CES_SHIFT (5U)\r
+/*! CES - Card Error summary Interrupt Enable.\r
+ */\r
+#define SDIF_IDINTEN_CES(x) (((uint32_t)(((uint32_t)(x)) << SDIF_IDINTEN_CES_SHIFT)) & SDIF_IDINTEN_CES_MASK)\r
+#define SDIF_IDINTEN_NIS_MASK (0x100U)\r
+#define SDIF_IDINTEN_NIS_SHIFT (8U)\r
+/*! NIS - Normal Interrupt Summary Enable.\r
+ */\r
+#define SDIF_IDINTEN_NIS(x) (((uint32_t)(((uint32_t)(x)) << SDIF_IDINTEN_NIS_SHIFT)) & SDIF_IDINTEN_NIS_MASK)\r
+#define SDIF_IDINTEN_AIS_MASK (0x200U)\r
+#define SDIF_IDINTEN_AIS_SHIFT (9U)\r
+/*! AIS - Abnormal Interrupt Summary Enable.\r
+ */\r
+#define SDIF_IDINTEN_AIS(x) (((uint32_t)(((uint32_t)(x)) << SDIF_IDINTEN_AIS_SHIFT)) & SDIF_IDINTEN_AIS_MASK)\r
 /*! @} */\r
 \r
 /*! @name DSCADDR - Current Host Descriptor Address register */\r
 /*! @{ */\r
-#define SDIF_DSCADDR_HDA_MASK                    (0xFFFFFFFFU)\r
-#define SDIF_DSCADDR_HDA_SHIFT                   (0U)\r
-#define SDIF_DSCADDR_HDA(x)                      (((uint32_t)(((uint32_t)(x)) << SDIF_DSCADDR_HDA_SHIFT)) & SDIF_DSCADDR_HDA_MASK)\r
+#define SDIF_DSCADDR_HDA_MASK (0xFFFFFFFFU)\r
+#define SDIF_DSCADDR_HDA_SHIFT (0U)\r
+/*! HDA - Host Descriptor Address Pointer.\r
+ */\r
+#define SDIF_DSCADDR_HDA(x) (((uint32_t)(((uint32_t)(x)) << SDIF_DSCADDR_HDA_SHIFT)) & SDIF_DSCADDR_HDA_MASK)\r
 /*! @} */\r
 \r
 /*! @name BUFADDR - Current Buffer Descriptor Address register */\r
 /*! @{ */\r
-#define SDIF_BUFADDR_HBA_MASK                    (0xFFFFFFFFU)\r
-#define SDIF_BUFADDR_HBA_SHIFT                   (0U)\r
-#define SDIF_BUFADDR_HBA(x)                      (((uint32_t)(((uint32_t)(x)) << SDIF_BUFADDR_HBA_SHIFT)) & SDIF_BUFADDR_HBA_MASK)\r
+#define SDIF_BUFADDR_HBA_MASK (0xFFFFFFFFU)\r
+#define SDIF_BUFADDR_HBA_SHIFT (0U)\r
+/*! HBA - Host Buffer Address Pointer.\r
+ */\r
+#define SDIF_BUFADDR_HBA(x) (((uint32_t)(((uint32_t)(x)) << SDIF_BUFADDR_HBA_SHIFT)) & SDIF_BUFADDR_HBA_MASK)\r
 /*! @} */\r
 \r
 /*! @name CARDTHRCTL - Card Threshold Control */\r
 /*! @{ */\r
-#define SDIF_CARDTHRCTL_CARDRDTHREN_MASK         (0x1U)\r
-#define SDIF_CARDTHRCTL_CARDRDTHREN_SHIFT        (0U)\r
-#define SDIF_CARDTHRCTL_CARDRDTHREN(x)           (((uint32_t)(((uint32_t)(x)) << SDIF_CARDTHRCTL_CARDRDTHREN_SHIFT)) & SDIF_CARDTHRCTL_CARDRDTHREN_MASK)\r
-#define SDIF_CARDTHRCTL_BSYCLRINTEN_MASK         (0x2U)\r
-#define SDIF_CARDTHRCTL_BSYCLRINTEN_SHIFT        (1U)\r
-#define SDIF_CARDTHRCTL_BSYCLRINTEN(x)           (((uint32_t)(((uint32_t)(x)) << SDIF_CARDTHRCTL_BSYCLRINTEN_SHIFT)) & SDIF_CARDTHRCTL_BSYCLRINTEN_MASK)\r
-#define SDIF_CARDTHRCTL_CARDTHRESHOLD_MASK       (0xFF0000U)\r
-#define SDIF_CARDTHRCTL_CARDTHRESHOLD_SHIFT      (16U)\r
-#define SDIF_CARDTHRCTL_CARDTHRESHOLD(x)         (((uint32_t)(((uint32_t)(x)) << SDIF_CARDTHRCTL_CARDTHRESHOLD_SHIFT)) & SDIF_CARDTHRCTL_CARDTHRESHOLD_MASK)\r
+#define SDIF_CARDTHRCTL_CARDRDTHREN_MASK (0x1U)\r
+#define SDIF_CARDTHRCTL_CARDRDTHREN_SHIFT (0U)\r
+/*! CARDRDTHREN - Card Read Threshold Enable.\r
+ */\r
+#define SDIF_CARDTHRCTL_CARDRDTHREN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CARDTHRCTL_CARDRDTHREN_SHIFT)) & SDIF_CARDTHRCTL_CARDRDTHREN_MASK)\r
+#define SDIF_CARDTHRCTL_BSYCLRINTEN_MASK (0x2U)\r
+#define SDIF_CARDTHRCTL_BSYCLRINTEN_SHIFT (1U)\r
+/*! BSYCLRINTEN - Busy Clear Interrupt Enable.\r
+ */\r
+#define SDIF_CARDTHRCTL_BSYCLRINTEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CARDTHRCTL_BSYCLRINTEN_SHIFT)) & SDIF_CARDTHRCTL_BSYCLRINTEN_MASK)\r
+#define SDIF_CARDTHRCTL_CARDTHRESHOLD_MASK (0xFF0000U)\r
+#define SDIF_CARDTHRCTL_CARDTHRESHOLD_SHIFT (16U)\r
+/*! CARDTHRESHOLD - Card Threshold size.\r
+ */\r
+#define SDIF_CARDTHRCTL_CARDTHRESHOLD(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_CARDTHRCTL_CARDTHRESHOLD_SHIFT)) & SDIF_CARDTHRCTL_CARDTHRESHOLD_MASK)\r
 /*! @} */\r
 \r
 /*! @name BACKENDPWR - Power control */\r
 /*! @{ */\r
-#define SDIF_BACKENDPWR_BACKENDPWR_MASK          (0x1U)\r
-#define SDIF_BACKENDPWR_BACKENDPWR_SHIFT         (0U)\r
-#define SDIF_BACKENDPWR_BACKENDPWR(x)            (((uint32_t)(((uint32_t)(x)) << SDIF_BACKENDPWR_BACKENDPWR_SHIFT)) & SDIF_BACKENDPWR_BACKENDPWR_MASK)\r
+#define SDIF_BACKENDPWR_BACKENDPWR_MASK (0x1U)\r
+#define SDIF_BACKENDPWR_BACKENDPWR_SHIFT (0U)\r
+/*! BACKENDPWR - Back-end Power control for card application.\r
+ */\r
+#define SDIF_BACKENDPWR_BACKENDPWR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SDIF_BACKENDPWR_BACKENDPWR_SHIFT)) & SDIF_BACKENDPWR_BACKENDPWR_MASK)\r
 /*! @} */\r
 \r
 /*! @name FIFO - SDIF FIFO */\r
 /*! @{ */\r
-#define SDIF_FIFO_DATA_MASK                      (0xFFFFFFFFU)\r
-#define SDIF_FIFO_DATA_SHIFT                     (0U)\r
-#define SDIF_FIFO_DATA(x)                        (((uint32_t)(((uint32_t)(x)) << SDIF_FIFO_DATA_SHIFT)) & SDIF_FIFO_DATA_MASK)\r
+#define SDIF_FIFO_DATA_MASK (0xFFFFFFFFU)\r
+#define SDIF_FIFO_DATA_SHIFT (0U)\r
+/*! DATA - SDIF FIFO.\r
+ */\r
+#define SDIF_FIFO_DATA(x) (((uint32_t)(((uint32_t)(x)) << SDIF_FIFO_DATA_SHIFT)) & SDIF_FIFO_DATA_MASK)\r
 /*! @} */\r
 \r
 /* The count of SDIF_FIFO */\r
-#define SDIF_FIFO_COUNT                          (64U)\r
-\r
+#define SDIF_FIFO_COUNT (64U)\r
 \r
 /*!\r
  * @}\r
  */ /* end of group SDIF_Register_Masks */\r
 \r
-\r
 /* SDIF - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral SDIF base address */\r
-  #define SDIF_BASE                                (0x5009B000u)\r
-  /** Peripheral SDIF base address */\r
-  #define SDIF_BASE_NS                             (0x4009B000u)\r
-  /** Peripheral SDIF base pointer */\r
-  #define SDIF                                     ((SDIF_Type *)SDIF_BASE)\r
-  /** Peripheral SDIF base pointer */\r
-  #define SDIF_NS                                  ((SDIF_Type *)SDIF_BASE_NS)\r
-  /** Array initializer of SDIF peripheral base addresses */\r
-  #define SDIF_BASE_ADDRS                          { SDIF_BASE }\r
-  /** Array initializer of SDIF peripheral base pointers */\r
-  #define SDIF_BASE_PTRS                           { SDIF }\r
-  /** Array initializer of SDIF peripheral base addresses */\r
-  #define SDIF_BASE_ADDRS_NS                       { SDIF_BASE_NS }\r
-  /** Array initializer of SDIF peripheral base pointers */\r
-  #define SDIF_BASE_PTRS_NS                        { SDIF_NS }\r
+/** Peripheral SDIF base address */\r
+#define SDIF_BASE (0x5009B000u)\r
+/** Peripheral SDIF base address */\r
+#define SDIF_BASE_NS (0x4009B000u)\r
+/** Peripheral SDIF base pointer */\r
+#define SDIF ((SDIF_Type *)SDIF_BASE)\r
+/** Peripheral SDIF base pointer */\r
+#define SDIF_NS ((SDIF_Type *)SDIF_BASE_NS)\r
+/** Array initializer of SDIF peripheral base addresses */\r
+#define SDIF_BASE_ADDRS \\r
+    {                   \\r
+        SDIF_BASE       \\r
+    }\r
+/** Array initializer of SDIF peripheral base pointers */\r
+#define SDIF_BASE_PTRS \\r
+    {                  \\r
+        SDIF           \\r
+    }\r
+/** Array initializer of SDIF peripheral base addresses */\r
+#define SDIF_BASE_ADDRS_NS \\r
+    {                      \\r
+        SDIF_BASE_NS       \\r
+    }\r
+/** Array initializer of SDIF peripheral base pointers */\r
+#define SDIF_BASE_PTRS_NS \\r
+    {                     \\r
+        SDIF_NS           \\r
+    }\r
 #else\r
-  /** Peripheral SDIF base address */\r
-  #define SDIF_BASE                                (0x4009B000u)\r
-  /** Peripheral SDIF base pointer */\r
-  #define SDIF                                     ((SDIF_Type *)SDIF_BASE)\r
-  /** Array initializer of SDIF peripheral base addresses */\r
-  #define SDIF_BASE_ADDRS                          { SDIF_BASE }\r
-  /** Array initializer of SDIF peripheral base pointers */\r
-  #define SDIF_BASE_PTRS                           { SDIF }\r
+/** Peripheral SDIF base address */\r
+#define SDIF_BASE (0x4009B000u)\r
+/** Peripheral SDIF base pointer */\r
+#define SDIF ((SDIF_Type *)SDIF_BASE)\r
+/** Array initializer of SDIF peripheral base addresses */\r
+#define SDIF_BASE_ADDRS \\r
+    {                   \\r
+        SDIF_BASE       \\r
+    }\r
+/** Array initializer of SDIF peripheral base pointers */\r
+#define SDIF_BASE_PTRS \\r
+    {                  \\r
+        SDIF           \\r
+    }\r
 #endif\r
 /** Interrupt vectors for the SDIF peripheral type */\r
-#define SDIF_IRQS                                { SDIO_IRQn }\r
+#define SDIF_IRQS \\r
+    {             \\r
+        SDIO_IRQn \\r
+    }\r
 \r
 /*!\r
  * @}\r
  */ /* end of group SDIF_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- SPI Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -15276,32 +20106,36 @@ typedef struct {
  */\r
 \r
 /** SPI - Register Layout Typedef */\r
-typedef struct {\r
-       uint8_t RESERVED_0[1024];\r
-  __IO uint32_t CFG;                               /**< SPI Configuration register, offset: 0x400 */\r
-  __IO uint32_t DLY;                               /**< SPI Delay register, offset: 0x404 */\r
-  __IO uint32_t STAT;                              /**< SPI Status. Some status flags can be cleared by writing a 1 to that bit position., offset: 0x408 */\r
-  __IO uint32_t INTENSET;                          /**< SPI Interrupt Enable read and Set. A complete value may be read from this register. Writing a 1 to any implemented bit position causes that bit to be set., offset: 0x40C */\r
-  __O  uint32_t INTENCLR;                          /**< SPI Interrupt Enable Clear. Writing a 1 to any implemented bit position causes the corresponding bit in INTENSET to be cleared., offset: 0x410 */\r
-       uint8_t RESERVED_1[16];\r
-  __IO uint32_t DIV;                               /**< SPI clock Divider, offset: 0x424 */\r
-  __I  uint32_t INTSTAT;                           /**< SPI Interrupt Status, offset: 0x428 */\r
-       uint8_t RESERVED_2[2516];\r
-  __IO uint32_t FIFOCFG;                           /**< FIFO configuration and enable register., offset: 0xE00 */\r
-  __IO uint32_t FIFOSTAT;                          /**< FIFO status register., offset: 0xE04 */\r
-  __IO uint32_t FIFOTRIG;                          /**< FIFO trigger settings for interrupt and DMA request., offset: 0xE08 */\r
-       uint8_t RESERVED_3[4];\r
-  __IO uint32_t FIFOINTENSET;                      /**< FIFO interrupt enable set (enable) and read register., offset: 0xE10 */\r
-  __IO uint32_t FIFOINTENCLR;                      /**< FIFO interrupt enable clear (disable) and read register., offset: 0xE14 */\r
-  __I  uint32_t FIFOINTSTAT;                       /**< FIFO interrupt status register., offset: 0xE18 */\r
-       uint8_t RESERVED_4[4];\r
-  __O  uint32_t FIFOWR;                            /**< FIFO write data., offset: 0xE20 */\r
-       uint8_t RESERVED_5[12];\r
-  __I  uint32_t FIFORD;                            /**< FIFO read data., offset: 0xE30 */\r
-       uint8_t RESERVED_6[12];\r
-  __I  uint32_t FIFORDNOPOP;                       /**< FIFO data read with no FIFO pop., offset: 0xE40 */\r
-       uint8_t RESERVED_7[440];\r
-  __I  uint32_t ID;                                /**< Peripheral identification register., offset: 0xFFC */\r
+typedef struct\r
+{\r
+    uint8_t RESERVED_0[1024];\r
+    __IO uint32_t CFG; /**< SPI Configuration register, offset: 0x400 */\r
+    __IO uint32_t DLY; /**< SPI Delay register, offset: 0x404 */\r
+    __IO uint32_t\r
+        STAT; /**< SPI Status. Some status flags can be cleared by writing a 1 to that bit position., offset: 0x408 */\r
+    __IO uint32_t INTENSET; /**< SPI Interrupt Enable read and Set. A complete value may be read from this register.\r
+                               Writing a 1 to any implemented bit position causes that bit to be set., offset: 0x40C */\r
+    __O uint32_t INTENCLR;  /**< SPI Interrupt Enable Clear. Writing a 1 to any implemented bit position causes the\r
+                               corresponding bit in INTENSET to be cleared., offset: 0x410 */\r
+    uint8_t RESERVED_1[16];\r
+    __IO uint32_t DIV;    /**< SPI clock Divider, offset: 0x424 */\r
+    __I uint32_t INTSTAT; /**< SPI Interrupt Status, offset: 0x428 */\r
+    uint8_t RESERVED_2[2516];\r
+    __IO uint32_t FIFOCFG;  /**< FIFO configuration and enable register., offset: 0xE00 */\r
+    __IO uint32_t FIFOSTAT; /**< FIFO status register., offset: 0xE04 */\r
+    __IO uint32_t FIFOTRIG; /**< FIFO trigger settings for interrupt and DMA request., offset: 0xE08 */\r
+    uint8_t RESERVED_3[4];\r
+    __IO uint32_t FIFOINTENSET; /**< FIFO interrupt enable set (enable) and read register., offset: 0xE10 */\r
+    __IO uint32_t FIFOINTENCLR; /**< FIFO interrupt enable clear (disable) and read register., offset: 0xE14 */\r
+    __I uint32_t FIFOINTSTAT;   /**< FIFO interrupt status register., offset: 0xE18 */\r
+    uint8_t RESERVED_4[4];\r
+    __O uint32_t FIFOWR; /**< FIFO write data., offset: 0xE20 */\r
+    uint8_t RESERVED_5[12];\r
+    __I uint32_t FIFORD; /**< FIFO read data., offset: 0xE30 */\r
+    uint8_t RESERVED_6[12];\r
+    __I uint32_t FIFORDNOPOP; /**< FIFO data read with no FIFO pop., offset: 0xE40 */\r
+    uint8_t RESERVED_7[440];\r
+    __I uint32_t ID; /**< Peripheral identification register., offset: 0xFFC */\r
 } SPI_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -15315,612 +20149,879 @@ typedef struct {
 \r
 /*! @name CFG - SPI Configuration register */\r
 /*! @{ */\r
-#define SPI_CFG_ENABLE_MASK                      (0x1U)\r
-#define SPI_CFG_ENABLE_SHIFT                     (0U)\r
+#define SPI_CFG_ENABLE_MASK (0x1U)\r
+#define SPI_CFG_ENABLE_SHIFT (0U)\r
 /*! ENABLE - SPI enable.\r
  *  0b0..Disabled. The SPI is disabled and the internal state machine and counters are reset.\r
  *  0b1..Enabled. The SPI is enabled for operation.\r
  */\r
-#define SPI_CFG_ENABLE(x)                        (((uint32_t)(((uint32_t)(x)) << SPI_CFG_ENABLE_SHIFT)) & SPI_CFG_ENABLE_MASK)\r
-#define SPI_CFG_MASTER_MASK                      (0x4U)\r
-#define SPI_CFG_MASTER_SHIFT                     (2U)\r
+#define SPI_CFG_ENABLE(x) (((uint32_t)(((uint32_t)(x)) << SPI_CFG_ENABLE_SHIFT)) & SPI_CFG_ENABLE_MASK)\r
+#define SPI_CFG_MASTER_MASK (0x4U)\r
+#define SPI_CFG_MASTER_SHIFT (2U)\r
 /*! MASTER - Master mode select.\r
  *  0b0..Slave mode. The SPI will operate in slave mode. SCK, MOSI, and the SSEL signals are inputs, MISO is an output.\r
- *  0b1..Master mode. The SPI will operate in master mode. SCK, MOSI, and the SSEL signals are outputs, MISO is an input.\r
+ *  0b1..Master mode. The SPI will operate in master mode. SCK, MOSI, and the SSEL signals are outputs, MISO is an\r
+ * input.\r
  */\r
-#define SPI_CFG_MASTER(x)                        (((uint32_t)(((uint32_t)(x)) << SPI_CFG_MASTER_SHIFT)) & SPI_CFG_MASTER_MASK)\r
-#define SPI_CFG_LSBF_MASK                        (0x8U)\r
-#define SPI_CFG_LSBF_SHIFT                       (3U)\r
+#define SPI_CFG_MASTER(x) (((uint32_t)(((uint32_t)(x)) << SPI_CFG_MASTER_SHIFT)) & SPI_CFG_MASTER_MASK)\r
+#define SPI_CFG_LSBF_MASK (0x8U)\r
+#define SPI_CFG_LSBF_SHIFT (3U)\r
 /*! LSBF - LSB First mode enable.\r
  *  0b0..Standard. Data is transmitted and received in standard MSB first order.\r
  *  0b1..Reverse. Data is transmitted and received in reverse order (LSB first).\r
  */\r
-#define SPI_CFG_LSBF(x)                          (((uint32_t)(((uint32_t)(x)) << SPI_CFG_LSBF_SHIFT)) & SPI_CFG_LSBF_MASK)\r
-#define SPI_CFG_CPHA_MASK                        (0x10U)\r
-#define SPI_CFG_CPHA_SHIFT                       (4U)\r
+#define SPI_CFG_LSBF(x) (((uint32_t)(((uint32_t)(x)) << SPI_CFG_LSBF_SHIFT)) & SPI_CFG_LSBF_MASK)\r
+#define SPI_CFG_CPHA_MASK (0x10U)\r
+#define SPI_CFG_CPHA_SHIFT (4U)\r
 /*! CPHA - Clock Phase select.\r
- *  0b0..Change. The SPI captures serial data on the first clock transition of the transfer (when the clock changes away from the rest state). Data is changed on the following edge.\r
- *  0b1..Capture. The SPI changes serial data on the first clock transition of the transfer (when the clock changes away from the rest state). Data is captured on the following edge.\r
- */\r
-#define SPI_CFG_CPHA(x)                          (((uint32_t)(((uint32_t)(x)) << SPI_CFG_CPHA_SHIFT)) & SPI_CFG_CPHA_MASK)\r
-#define SPI_CFG_CPOL_MASK                        (0x20U)\r
-#define SPI_CFG_CPOL_SHIFT                       (5U)\r
+ *  0b0..Change. The SPI captures serial data on the first clock transition of the transfer (when the clock\r
+ *       changes away from the rest state). Data is changed on the following edge.\r
+ *  0b1..Capture. The SPI changes serial data on the first clock transition of the transfer (when the clock\r
+ *       changes away from the rest state). Data is captured on the following edge.\r
+ */\r
+#define SPI_CFG_CPHA(x) (((uint32_t)(((uint32_t)(x)) << SPI_CFG_CPHA_SHIFT)) & SPI_CFG_CPHA_MASK)\r
+#define SPI_CFG_CPOL_MASK (0x20U)\r
+#define SPI_CFG_CPOL_SHIFT (5U)\r
 /*! CPOL - Clock Polarity select.\r
  *  0b0..Low. The rest state of the clock (between transfers) is low.\r
  *  0b1..High. The rest state of the clock (between transfers) is high.\r
  */\r
-#define SPI_CFG_CPOL(x)                          (((uint32_t)(((uint32_t)(x)) << SPI_CFG_CPOL_SHIFT)) & SPI_CFG_CPOL_MASK)\r
-#define SPI_CFG_LOOP_MASK                        (0x80U)\r
-#define SPI_CFG_LOOP_SHIFT                       (7U)\r
-/*! LOOP - Loopback mode enable. Loopback mode applies only to Master mode, and connects transmit and receive data connected together to allow simple software testing.\r
+#define SPI_CFG_CPOL(x) (((uint32_t)(((uint32_t)(x)) << SPI_CFG_CPOL_SHIFT)) & SPI_CFG_CPOL_MASK)\r
+#define SPI_CFG_LOOP_MASK (0x80U)\r
+#define SPI_CFG_LOOP_SHIFT (7U)\r
+/*! LOOP - Loopback mode enable. Loopback mode applies only to Master mode, and connects transmit\r
+ *    and receive data connected together to allow simple software testing.\r
  *  0b0..Disabled.\r
  *  0b1..Enabled.\r
  */\r
-#define SPI_CFG_LOOP(x)                          (((uint32_t)(((uint32_t)(x)) << SPI_CFG_LOOP_SHIFT)) & SPI_CFG_LOOP_MASK)\r
-#define SPI_CFG_SPOL0_MASK                       (0x100U)\r
-#define SPI_CFG_SPOL0_SHIFT                      (8U)\r
+#define SPI_CFG_LOOP(x) (((uint32_t)(((uint32_t)(x)) << SPI_CFG_LOOP_SHIFT)) & SPI_CFG_LOOP_MASK)\r
+#define SPI_CFG_SPOL0_MASK (0x100U)\r
+#define SPI_CFG_SPOL0_SHIFT (8U)\r
 /*! SPOL0 - SSEL0 Polarity select.\r
  *  0b0..Low. The SSEL0 pin is active low.\r
  *  0b1..High. The SSEL0 pin is active high.\r
  */\r
-#define SPI_CFG_SPOL0(x)                         (((uint32_t)(((uint32_t)(x)) << SPI_CFG_SPOL0_SHIFT)) & SPI_CFG_SPOL0_MASK)\r
-#define SPI_CFG_SPOL1_MASK                       (0x200U)\r
-#define SPI_CFG_SPOL1_SHIFT                      (9U)\r
+#define SPI_CFG_SPOL0(x) (((uint32_t)(((uint32_t)(x)) << SPI_CFG_SPOL0_SHIFT)) & SPI_CFG_SPOL0_MASK)\r
+#define SPI_CFG_SPOL1_MASK (0x200U)\r
+#define SPI_CFG_SPOL1_SHIFT (9U)\r
 /*! SPOL1 - SSEL1 Polarity select.\r
  *  0b0..Low. The SSEL1 pin is active low.\r
  *  0b1..High. The SSEL1 pin is active high.\r
  */\r
-#define SPI_CFG_SPOL1(x)                         (((uint32_t)(((uint32_t)(x)) << SPI_CFG_SPOL1_SHIFT)) & SPI_CFG_SPOL1_MASK)\r
-#define SPI_CFG_SPOL2_MASK                       (0x400U)\r
-#define SPI_CFG_SPOL2_SHIFT                      (10U)\r
+#define SPI_CFG_SPOL1(x) (((uint32_t)(((uint32_t)(x)) << SPI_CFG_SPOL1_SHIFT)) & SPI_CFG_SPOL1_MASK)\r
+#define SPI_CFG_SPOL2_MASK (0x400U)\r
+#define SPI_CFG_SPOL2_SHIFT (10U)\r
 /*! SPOL2 - SSEL2 Polarity select.\r
  *  0b0..Low. The SSEL2 pin is active low.\r
  *  0b1..High. The SSEL2 pin is active high.\r
  */\r
-#define SPI_CFG_SPOL2(x)                         (((uint32_t)(((uint32_t)(x)) << SPI_CFG_SPOL2_SHIFT)) & SPI_CFG_SPOL2_MASK)\r
-#define SPI_CFG_SPOL3_MASK                       (0x800U)\r
-#define SPI_CFG_SPOL3_SHIFT                      (11U)\r
+#define SPI_CFG_SPOL2(x) (((uint32_t)(((uint32_t)(x)) << SPI_CFG_SPOL2_SHIFT)) & SPI_CFG_SPOL2_MASK)\r
+#define SPI_CFG_SPOL3_MASK (0x800U)\r
+#define SPI_CFG_SPOL3_SHIFT (11U)\r
 /*! SPOL3 - SSEL3 Polarity select.\r
  *  0b0..Low. The SSEL3 pin is active low.\r
  *  0b1..High. The SSEL3 pin is active high.\r
  */\r
-#define SPI_CFG_SPOL3(x)                         (((uint32_t)(((uint32_t)(x)) << SPI_CFG_SPOL3_SHIFT)) & SPI_CFG_SPOL3_MASK)\r
+#define SPI_CFG_SPOL3(x) (((uint32_t)(((uint32_t)(x)) << SPI_CFG_SPOL3_SHIFT)) & SPI_CFG_SPOL3_MASK)\r
 /*! @} */\r
 \r
 /*! @name DLY - SPI Delay register */\r
 /*! @{ */\r
-#define SPI_DLY_PRE_DELAY_MASK                   (0xFU)\r
-#define SPI_DLY_PRE_DELAY_SHIFT                  (0U)\r
-#define SPI_DLY_PRE_DELAY(x)                     (((uint32_t)(((uint32_t)(x)) << SPI_DLY_PRE_DELAY_SHIFT)) & SPI_DLY_PRE_DELAY_MASK)\r
-#define SPI_DLY_POST_DELAY_MASK                  (0xF0U)\r
-#define SPI_DLY_POST_DELAY_SHIFT                 (4U)\r
-#define SPI_DLY_POST_DELAY(x)                    (((uint32_t)(((uint32_t)(x)) << SPI_DLY_POST_DELAY_SHIFT)) & SPI_DLY_POST_DELAY_MASK)\r
-#define SPI_DLY_FRAME_DELAY_MASK                 (0xF00U)\r
-#define SPI_DLY_FRAME_DELAY_SHIFT                (8U)\r
-#define SPI_DLY_FRAME_DELAY(x)                   (((uint32_t)(((uint32_t)(x)) << SPI_DLY_FRAME_DELAY_SHIFT)) & SPI_DLY_FRAME_DELAY_MASK)\r
-#define SPI_DLY_TRANSFER_DELAY_MASK              (0xF000U)\r
-#define SPI_DLY_TRANSFER_DELAY_SHIFT             (12U)\r
-#define SPI_DLY_TRANSFER_DELAY(x)                (((uint32_t)(((uint32_t)(x)) << SPI_DLY_TRANSFER_DELAY_SHIFT)) & SPI_DLY_TRANSFER_DELAY_MASK)\r
+#define SPI_DLY_PRE_DELAY_MASK (0xFU)\r
+#define SPI_DLY_PRE_DELAY_SHIFT (0U)\r
+/*! PRE_DELAY - Controls the amount of time between SSEL assertion and the beginning of a data\r
+ *    transfer. There is always one SPI clock time between SSEL assertion and the first clock edge. This\r
+ *    is not considered part of the pre-delay. 0x0 = No additional time is inserted. 0x1 = 1 SPI\r
+ *    clock time is inserted. 0x2 = 2 SPI clock times are inserted. 0xF = 15 SPI clock times are\r
+ *    inserted.\r
+ */\r
+#define SPI_DLY_PRE_DELAY(x) (((uint32_t)(((uint32_t)(x)) << SPI_DLY_PRE_DELAY_SHIFT)) & SPI_DLY_PRE_DELAY_MASK)\r
+#define SPI_DLY_POST_DELAY_MASK (0xF0U)\r
+#define SPI_DLY_POST_DELAY_SHIFT (4U)\r
+/*! POST_DELAY - Controls the amount of time between the end of a data transfer and SSEL\r
+ *    deassertion. 0x0 = No additional time is inserted. 0x1 = 1 SPI clock time is inserted. 0x2 = 2 SPI clock\r
+ *    times are inserted. 0xF = 15 SPI clock times are inserted.\r
+ */\r
+#define SPI_DLY_POST_DELAY(x) (((uint32_t)(((uint32_t)(x)) << SPI_DLY_POST_DELAY_SHIFT)) & SPI_DLY_POST_DELAY_MASK)\r
+#define SPI_DLY_FRAME_DELAY_MASK (0xF00U)\r
+#define SPI_DLY_FRAME_DELAY_SHIFT (8U)\r
+/*! FRAME_DELAY - If the EOF flag is set, controls the minimum amount of time between the current\r
+ *    frame and the next frame (or SSEL deassertion if EOT). 0x0 = No additional time is inserted. 0x1\r
+ *    = 1 SPI clock time is inserted. 0x2 = 2 SPI clock times are inserted. 0xF = 15 SPI clock\r
+ *    times are inserted.\r
+ */\r
+#define SPI_DLY_FRAME_DELAY(x) (((uint32_t)(((uint32_t)(x)) << SPI_DLY_FRAME_DELAY_SHIFT)) & SPI_DLY_FRAME_DELAY_MASK)\r
+#define SPI_DLY_TRANSFER_DELAY_MASK (0xF000U)\r
+#define SPI_DLY_TRANSFER_DELAY_SHIFT (12U)\r
+/*! TRANSFER_DELAY - Controls the minimum amount of time that the SSEL is deasserted between\r
+ *    transfers. 0x0 = The minimum time that SSEL is deasserted is 1 SPI clock time. (Zero added time.) 0x1\r
+ *    = The minimum time that SSEL is deasserted is 2 SPI clock times. 0x2 = The minimum time that\r
+ *    SSEL is deasserted is 3 SPI clock times. 0xF = The minimum time that SSEL is deasserted is 16\r
+ *    SPI clock times.\r
+ */\r
+#define SPI_DLY_TRANSFER_DELAY(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SPI_DLY_TRANSFER_DELAY_SHIFT)) & SPI_DLY_TRANSFER_DELAY_MASK)\r
 /*! @} */\r
 \r
 /*! @name STAT - SPI Status. Some status flags can be cleared by writing a 1 to that bit position. */\r
 /*! @{ */\r
-#define SPI_STAT_SSA_MASK                        (0x10U)\r
-#define SPI_STAT_SSA_SHIFT                       (4U)\r
-#define SPI_STAT_SSA(x)                          (((uint32_t)(((uint32_t)(x)) << SPI_STAT_SSA_SHIFT)) & SPI_STAT_SSA_MASK)\r
-#define SPI_STAT_SSD_MASK                        (0x20U)\r
-#define SPI_STAT_SSD_SHIFT                       (5U)\r
-#define SPI_STAT_SSD(x)                          (((uint32_t)(((uint32_t)(x)) << SPI_STAT_SSD_SHIFT)) & SPI_STAT_SSD_MASK)\r
-#define SPI_STAT_STALLED_MASK                    (0x40U)\r
-#define SPI_STAT_STALLED_SHIFT                   (6U)\r
-#define SPI_STAT_STALLED(x)                      (((uint32_t)(((uint32_t)(x)) << SPI_STAT_STALLED_SHIFT)) & SPI_STAT_STALLED_MASK)\r
-#define SPI_STAT_ENDTRANSFER_MASK                (0x80U)\r
-#define SPI_STAT_ENDTRANSFER_SHIFT               (7U)\r
-#define SPI_STAT_ENDTRANSFER(x)                  (((uint32_t)(((uint32_t)(x)) << SPI_STAT_ENDTRANSFER_SHIFT)) & SPI_STAT_ENDTRANSFER_MASK)\r
-#define SPI_STAT_MSTIDLE_MASK                    (0x100U)\r
-#define SPI_STAT_MSTIDLE_SHIFT                   (8U)\r
-#define SPI_STAT_MSTIDLE(x)                      (((uint32_t)(((uint32_t)(x)) << SPI_STAT_MSTIDLE_SHIFT)) & SPI_STAT_MSTIDLE_MASK)\r
-/*! @} */\r
-\r
-/*! @name INTENSET - SPI Interrupt Enable read and Set. A complete value may be read from this register. Writing a 1 to any implemented bit position causes that bit to be set. */\r
-/*! @{ */\r
-#define SPI_INTENSET_SSAEN_MASK                  (0x10U)\r
-#define SPI_INTENSET_SSAEN_SHIFT                 (4U)\r
-/*! SSAEN - Slave select assert interrupt enable. Determines whether an interrupt occurs when the Slave Select is asserted.\r
- *  0b0..Disabled. No interrupt will be generated when any Slave Select transitions from deasserted to asserted.\r
- *  0b1..Enabled. An interrupt will be generated when any Slave Select transitions from deasserted to asserted.\r
- */\r
-#define SPI_INTENSET_SSAEN(x)                    (((uint32_t)(((uint32_t)(x)) << SPI_INTENSET_SSAEN_SHIFT)) & SPI_INTENSET_SSAEN_MASK)\r
-#define SPI_INTENSET_SSDEN_MASK                  (0x20U)\r
-#define SPI_INTENSET_SSDEN_SHIFT                 (5U)\r
-/*! SSDEN - Slave select deassert interrupt enable. Determines whether an interrupt occurs when the Slave Select is deasserted.\r
- *  0b0..Disabled. No interrupt will be generated when all asserted Slave Selects transition to deasserted.\r
+#define SPI_STAT_SSA_MASK (0x10U)\r
+#define SPI_STAT_SSA_SHIFT (4U)\r
+/*! SSA - Slave Select Assert. This flag is set whenever any slave select transitions from\r
+ *    deasserted to asserted, in both master and slave modes. This allows determining when the SPI\r
+ *    transmit/receive functions become busy, and allows waking up the device from reduced power modes when a\r
+ *    slave mode access begins. This flag is cleared by software.\r
+ */\r
+#define SPI_STAT_SSA(x) (((uint32_t)(((uint32_t)(x)) << SPI_STAT_SSA_SHIFT)) & SPI_STAT_SSA_MASK)\r
+#define SPI_STAT_SSD_MASK (0x20U)\r
+#define SPI_STAT_SSD_SHIFT (5U)\r
+/*! SSD - Slave Select Deassert. This flag is set whenever any asserted slave selects transition to\r
+ *    deasserted, in both master and slave modes. This allows determining when the SPI\r
+ *    transmit/receive functions become idle. This flag is cleared by software.\r
+ */\r
+#define SPI_STAT_SSD(x) (((uint32_t)(((uint32_t)(x)) << SPI_STAT_SSD_SHIFT)) & SPI_STAT_SSD_MASK)\r
+#define SPI_STAT_STALLED_MASK (0x40U)\r
+#define SPI_STAT_STALLED_SHIFT (6U)\r
+/*! STALLED - Stalled status flag. This indicates whether the SPI is currently in a stall condition.\r
+ */\r
+#define SPI_STAT_STALLED(x) (((uint32_t)(((uint32_t)(x)) << SPI_STAT_STALLED_SHIFT)) & SPI_STAT_STALLED_MASK)\r
+#define SPI_STAT_ENDTRANSFER_MASK (0x80U)\r
+#define SPI_STAT_ENDTRANSFER_SHIFT (7U)\r
+/*! ENDTRANSFER - End Transfer control bit. Software can set this bit to force an end to the current\r
+ *    transfer when the transmitter finishes any activity already in progress, as if the EOT flag\r
+ *    had been set prior to the last transmission. This capability is included to support cases where\r
+ *    it is not known when transmit data is written that it will be the end of a transfer. The bit\r
+ *    is cleared when the transmitter becomes idle as the transfer comes to an end. Forcing an end\r
+ *    of transfer in this manner causes any specified FRAME_DELAY and TRANSFER_DELAY to be inserted.\r
+ */\r
+#define SPI_STAT_ENDTRANSFER(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SPI_STAT_ENDTRANSFER_SHIFT)) & SPI_STAT_ENDTRANSFER_MASK)\r
+#define SPI_STAT_MSTIDLE_MASK (0x100U)\r
+#define SPI_STAT_MSTIDLE_SHIFT (8U)\r
+/*! MSTIDLE - Master idle status flag. This bit is 1 whenever the SPI master function is fully idle.\r
+ *    This means that the transmit holding register is empty and the transmitter is not in the\r
+ *    process of sending data.\r
+ */\r
+#define SPI_STAT_MSTIDLE(x) (((uint32_t)(((uint32_t)(x)) << SPI_STAT_MSTIDLE_SHIFT)) & SPI_STAT_MSTIDLE_MASK)\r
+/*! @} */\r
+\r
+/*! @name INTENSET - SPI Interrupt Enable read and Set. A complete value may be read from this register. Writing a 1 to\r
+ * any implemented bit position causes that bit to be set. */\r
+/*! @{ */\r
+#define SPI_INTENSET_SSAEN_MASK (0x10U)\r
+#define SPI_INTENSET_SSAEN_SHIFT (4U)\r
+/*! SSAEN - Slave select assert interrupt enable. Determines whether an interrupt occurs when the Slave Select is\r
+ * asserted. 0b0..Disabled. No interrupt will be generated when any Slave Select transitions from deasserted to\r
+ * asserted. 0b1..Enabled. An interrupt will be generated when any Slave Select transitions from deasserted to asserted.\r
+ */\r
+#define SPI_INTENSET_SSAEN(x) (((uint32_t)(((uint32_t)(x)) << SPI_INTENSET_SSAEN_SHIFT)) & SPI_INTENSET_SSAEN_MASK)\r
+#define SPI_INTENSET_SSDEN_MASK (0x20U)\r
+#define SPI_INTENSET_SSDEN_SHIFT (5U)\r
+/*! SSDEN - Slave select deassert interrupt enable. Determines whether an interrupt occurs when the Slave Select is\r
+ * deasserted. 0b0..Disabled. No interrupt will be generated when all asserted Slave Selects transition to deasserted.\r
  *  0b1..Enabled. An interrupt will be generated when all asserted Slave Selects transition to deasserted.\r
  */\r
-#define SPI_INTENSET_SSDEN(x)                    (((uint32_t)(((uint32_t)(x)) << SPI_INTENSET_SSDEN_SHIFT)) & SPI_INTENSET_SSDEN_MASK)\r
-#define SPI_INTENSET_MSTIDLEEN_MASK              (0x100U)\r
-#define SPI_INTENSET_MSTIDLEEN_SHIFT             (8U)\r
+#define SPI_INTENSET_SSDEN(x) (((uint32_t)(((uint32_t)(x)) << SPI_INTENSET_SSDEN_SHIFT)) & SPI_INTENSET_SSDEN_MASK)\r
+#define SPI_INTENSET_MSTIDLEEN_MASK (0x100U)\r
+#define SPI_INTENSET_MSTIDLEEN_SHIFT (8U)\r
 /*! MSTIDLEEN - Master idle interrupt enable.\r
  *  0b0..No interrupt will be generated when the SPI master function is idle.\r
  *  0b1..An interrupt will be generated when the SPI master function is fully idle.\r
  */\r
-#define SPI_INTENSET_MSTIDLEEN(x)                (((uint32_t)(((uint32_t)(x)) << SPI_INTENSET_MSTIDLEEN_SHIFT)) & SPI_INTENSET_MSTIDLEEN_MASK)\r
+#define SPI_INTENSET_MSTIDLEEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SPI_INTENSET_MSTIDLEEN_SHIFT)) & SPI_INTENSET_MSTIDLEEN_MASK)\r
 /*! @} */\r
 \r
-/*! @name INTENCLR - SPI Interrupt Enable Clear. Writing a 1 to any implemented bit position causes the corresponding bit in INTENSET to be cleared. */\r
+/*! @name INTENCLR - SPI Interrupt Enable Clear. Writing a 1 to any implemented bit position causes the corresponding\r
+ * bit in INTENSET to be cleared. */\r
 /*! @{ */\r
-#define SPI_INTENCLR_SSAEN_MASK                  (0x10U)\r
-#define SPI_INTENCLR_SSAEN_SHIFT                 (4U)\r
-#define SPI_INTENCLR_SSAEN(x)                    (((uint32_t)(((uint32_t)(x)) << SPI_INTENCLR_SSAEN_SHIFT)) & SPI_INTENCLR_SSAEN_MASK)\r
-#define SPI_INTENCLR_SSDEN_MASK                  (0x20U)\r
-#define SPI_INTENCLR_SSDEN_SHIFT                 (5U)\r
-#define SPI_INTENCLR_SSDEN(x)                    (((uint32_t)(((uint32_t)(x)) << SPI_INTENCLR_SSDEN_SHIFT)) & SPI_INTENCLR_SSDEN_MASK)\r
-#define SPI_INTENCLR_MSTIDLE_MASK                (0x100U)\r
-#define SPI_INTENCLR_MSTIDLE_SHIFT               (8U)\r
-#define SPI_INTENCLR_MSTIDLE(x)                  (((uint32_t)(((uint32_t)(x)) << SPI_INTENCLR_MSTIDLE_SHIFT)) & SPI_INTENCLR_MSTIDLE_MASK)\r
+#define SPI_INTENCLR_SSAEN_MASK (0x10U)\r
+#define SPI_INTENCLR_SSAEN_SHIFT (4U)\r
+/*! SSAEN - Writing 1 clears the corresponding bit in the INTENSET register.\r
+ */\r
+#define SPI_INTENCLR_SSAEN(x) (((uint32_t)(((uint32_t)(x)) << SPI_INTENCLR_SSAEN_SHIFT)) & SPI_INTENCLR_SSAEN_MASK)\r
+#define SPI_INTENCLR_SSDEN_MASK (0x20U)\r
+#define SPI_INTENCLR_SSDEN_SHIFT (5U)\r
+/*! SSDEN - Writing 1 clears the corresponding bit in the INTENSET register.\r
+ */\r
+#define SPI_INTENCLR_SSDEN(x) (((uint32_t)(((uint32_t)(x)) << SPI_INTENCLR_SSDEN_SHIFT)) & SPI_INTENCLR_SSDEN_MASK)\r
+#define SPI_INTENCLR_MSTIDLE_MASK (0x100U)\r
+#define SPI_INTENCLR_MSTIDLE_SHIFT (8U)\r
+/*! MSTIDLE - Writing 1 clears the corresponding bit in the INTENSET register.\r
+ */\r
+#define SPI_INTENCLR_MSTIDLE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SPI_INTENCLR_MSTIDLE_SHIFT)) & SPI_INTENCLR_MSTIDLE_MASK)\r
 /*! @} */\r
 \r
 /*! @name DIV - SPI clock Divider */\r
 /*! @{ */\r
-#define SPI_DIV_DIVVAL_MASK                      (0xFFFFU)\r
-#define SPI_DIV_DIVVAL_SHIFT                     (0U)\r
-#define SPI_DIV_DIVVAL(x)                        (((uint32_t)(((uint32_t)(x)) << SPI_DIV_DIVVAL_SHIFT)) & SPI_DIV_DIVVAL_MASK)\r
+#define SPI_DIV_DIVVAL_MASK (0xFFFFU)\r
+#define SPI_DIV_DIVVAL_SHIFT (0U)\r
+/*! DIVVAL - Rate divider value. Specifies how the Flexcomm clock (FCLK) is divided to produce the\r
+ *    SPI clock rate in master mode. DIVVAL is -1 encoded such that the value 0 results in FCLK/1,\r
+ *    the value 1 results in FCLK/2, up to the maximum possible divide value of 0xFFFF, which results\r
+ *    in FCLK/65536.\r
+ */\r
+#define SPI_DIV_DIVVAL(x) (((uint32_t)(((uint32_t)(x)) << SPI_DIV_DIVVAL_SHIFT)) & SPI_DIV_DIVVAL_MASK)\r
 /*! @} */\r
 \r
 /*! @name INTSTAT - SPI Interrupt Status */\r
 /*! @{ */\r
-#define SPI_INTSTAT_SSA_MASK                     (0x10U)\r
-#define SPI_INTSTAT_SSA_SHIFT                    (4U)\r
-#define SPI_INTSTAT_SSA(x)                       (((uint32_t)(((uint32_t)(x)) << SPI_INTSTAT_SSA_SHIFT)) & SPI_INTSTAT_SSA_MASK)\r
-#define SPI_INTSTAT_SSD_MASK                     (0x20U)\r
-#define SPI_INTSTAT_SSD_SHIFT                    (5U)\r
-#define SPI_INTSTAT_SSD(x)                       (((uint32_t)(((uint32_t)(x)) << SPI_INTSTAT_SSD_SHIFT)) & SPI_INTSTAT_SSD_MASK)\r
-#define SPI_INTSTAT_MSTIDLE_MASK                 (0x100U)\r
-#define SPI_INTSTAT_MSTIDLE_SHIFT                (8U)\r
-#define SPI_INTSTAT_MSTIDLE(x)                   (((uint32_t)(((uint32_t)(x)) << SPI_INTSTAT_MSTIDLE_SHIFT)) & SPI_INTSTAT_MSTIDLE_MASK)\r
+#define SPI_INTSTAT_SSA_MASK (0x10U)\r
+#define SPI_INTSTAT_SSA_SHIFT (4U)\r
+/*! SSA - Slave Select Assert.\r
+ */\r
+#define SPI_INTSTAT_SSA(x) (((uint32_t)(((uint32_t)(x)) << SPI_INTSTAT_SSA_SHIFT)) & SPI_INTSTAT_SSA_MASK)\r
+#define SPI_INTSTAT_SSD_MASK (0x20U)\r
+#define SPI_INTSTAT_SSD_SHIFT (5U)\r
+/*! SSD - Slave Select Deassert.\r
+ */\r
+#define SPI_INTSTAT_SSD(x) (((uint32_t)(((uint32_t)(x)) << SPI_INTSTAT_SSD_SHIFT)) & SPI_INTSTAT_SSD_MASK)\r
+#define SPI_INTSTAT_MSTIDLE_MASK (0x100U)\r
+#define SPI_INTSTAT_MSTIDLE_SHIFT (8U)\r
+/*! MSTIDLE - Master Idle status flag.\r
+ */\r
+#define SPI_INTSTAT_MSTIDLE(x) (((uint32_t)(((uint32_t)(x)) << SPI_INTSTAT_MSTIDLE_SHIFT)) & SPI_INTSTAT_MSTIDLE_MASK)\r
 /*! @} */\r
 \r
 /*! @name FIFOCFG - FIFO configuration and enable register. */\r
 /*! @{ */\r
-#define SPI_FIFOCFG_ENABLETX_MASK                (0x1U)\r
-#define SPI_FIFOCFG_ENABLETX_SHIFT               (0U)\r
+#define SPI_FIFOCFG_ENABLETX_MASK (0x1U)\r
+#define SPI_FIFOCFG_ENABLETX_SHIFT (0U)\r
 /*! ENABLETX - Enable the transmit FIFO.\r
  *  0b0..The transmit FIFO is not enabled.\r
  *  0b1..The transmit FIFO is enabled.\r
  */\r
-#define SPI_FIFOCFG_ENABLETX(x)                  (((uint32_t)(((uint32_t)(x)) << SPI_FIFOCFG_ENABLETX_SHIFT)) & SPI_FIFOCFG_ENABLETX_MASK)\r
-#define SPI_FIFOCFG_ENABLERX_MASK                (0x2U)\r
-#define SPI_FIFOCFG_ENABLERX_SHIFT               (1U)\r
+#define SPI_FIFOCFG_ENABLETX(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SPI_FIFOCFG_ENABLETX_SHIFT)) & SPI_FIFOCFG_ENABLETX_MASK)\r
+#define SPI_FIFOCFG_ENABLERX_MASK (0x2U)\r
+#define SPI_FIFOCFG_ENABLERX_SHIFT (1U)\r
 /*! ENABLERX - Enable the receive FIFO.\r
  *  0b0..The receive FIFO is not enabled.\r
  *  0b1..The receive FIFO is enabled.\r
  */\r
-#define SPI_FIFOCFG_ENABLERX(x)                  (((uint32_t)(((uint32_t)(x)) << SPI_FIFOCFG_ENABLERX_SHIFT)) & SPI_FIFOCFG_ENABLERX_MASK)\r
-#define SPI_FIFOCFG_SIZE_MASK                    (0x30U)\r
-#define SPI_FIFOCFG_SIZE_SHIFT                   (4U)\r
-#define SPI_FIFOCFG_SIZE(x)                      (((uint32_t)(((uint32_t)(x)) << SPI_FIFOCFG_SIZE_SHIFT)) & SPI_FIFOCFG_SIZE_MASK)\r
-#define SPI_FIFOCFG_DMATX_MASK                   (0x1000U)\r
-#define SPI_FIFOCFG_DMATX_SHIFT                  (12U)\r
+#define SPI_FIFOCFG_ENABLERX(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SPI_FIFOCFG_ENABLERX_SHIFT)) & SPI_FIFOCFG_ENABLERX_MASK)\r
+#define SPI_FIFOCFG_SIZE_MASK (0x30U)\r
+#define SPI_FIFOCFG_SIZE_SHIFT (4U)\r
+/*! SIZE - FIFO size configuration. This is a read-only field. 0x0 = FIFO is configured as 16\r
+ *    entries of 8 bits. 0x1, 0x2, 0x3 = not applicable to USART.\r
+ */\r
+#define SPI_FIFOCFG_SIZE(x) (((uint32_t)(((uint32_t)(x)) << SPI_FIFOCFG_SIZE_SHIFT)) & SPI_FIFOCFG_SIZE_MASK)\r
+#define SPI_FIFOCFG_DMATX_MASK (0x1000U)\r
+#define SPI_FIFOCFG_DMATX_SHIFT (12U)\r
 /*! DMATX - DMA configuration for transmit.\r
  *  0b0..DMA is not used for the transmit function.\r
- *  0b1..Trigger DMA for the transmit function if the FIFO is not full. Generally, data interrupts would be disabled if DMA is enabled.\r
+ *  0b1..Trigger DMA for the transmit function if the FIFO is not full. Generally, data interrupts would be disabled if\r
+ * DMA is enabled.\r
  */\r
-#define SPI_FIFOCFG_DMATX(x)                     (((uint32_t)(((uint32_t)(x)) << SPI_FIFOCFG_DMATX_SHIFT)) & SPI_FIFOCFG_DMATX_MASK)\r
-#define SPI_FIFOCFG_DMARX_MASK                   (0x2000U)\r
-#define SPI_FIFOCFG_DMARX_SHIFT                  (13U)\r
+#define SPI_FIFOCFG_DMATX(x) (((uint32_t)(((uint32_t)(x)) << SPI_FIFOCFG_DMATX_SHIFT)) & SPI_FIFOCFG_DMATX_MASK)\r
+#define SPI_FIFOCFG_DMARX_MASK (0x2000U)\r
+#define SPI_FIFOCFG_DMARX_SHIFT (13U)\r
 /*! DMARX - DMA configuration for receive.\r
  *  0b0..DMA is not used for the receive function.\r
- *  0b1..Trigger DMA for the receive function if the FIFO is not empty. Generally, data interrupts would be disabled if DMA is enabled.\r
- */\r
-#define SPI_FIFOCFG_DMARX(x)                     (((uint32_t)(((uint32_t)(x)) << SPI_FIFOCFG_DMARX_SHIFT)) & SPI_FIFOCFG_DMARX_MASK)\r
-#define SPI_FIFOCFG_WAKETX_MASK                  (0x4000U)\r
-#define SPI_FIFOCFG_WAKETX_SHIFT                 (14U)\r
-/*! WAKETX - Wake-up for transmit FIFO level. This allows the device to be woken from reduced power modes (up to power-down, as long as the peripheral function works in that power mode) without enabling the TXLVL interrupt. Only DMA wakes up, processes data, and goes back to sleep. The CPU will remain stopped until woken by another cause, such as DMA completion. See Hardware Wake-up control register.\r
+ *  0b1..Trigger DMA for the receive function if the FIFO is not empty. Generally, data interrupts would be disabled if\r
+ * DMA is enabled.\r
+ */\r
+#define SPI_FIFOCFG_DMARX(x) (((uint32_t)(((uint32_t)(x)) << SPI_FIFOCFG_DMARX_SHIFT)) & SPI_FIFOCFG_DMARX_MASK)\r
+#define SPI_FIFOCFG_WAKETX_MASK (0x4000U)\r
+#define SPI_FIFOCFG_WAKETX_SHIFT (14U)\r
+/*! WAKETX - Wake-up for transmit FIFO level. This allows the device to be woken from reduced power\r
+ *    modes (up to power-down, as long as the peripheral function works in that power mode) without\r
+ *    enabling the TXLVL interrupt. Only DMA wakes up, processes data, and goes back to sleep. The\r
+ *    CPU will remain stopped until woken by another cause, such as DMA completion. See Hardware\r
+ *    Wake-up control register.\r
  *  0b0..Only enabled interrupts will wake up the device form reduced power modes.\r
- *  0b1..A device wake-up for DMA will occur if the transmit FIFO level reaches the value specified by TXLVL in FIFOTRIG, even when the TXLVL interrupt is not enabled.\r
- */\r
-#define SPI_FIFOCFG_WAKETX(x)                    (((uint32_t)(((uint32_t)(x)) << SPI_FIFOCFG_WAKETX_SHIFT)) & SPI_FIFOCFG_WAKETX_MASK)\r
-#define SPI_FIFOCFG_WAKERX_MASK                  (0x8000U)\r
-#define SPI_FIFOCFG_WAKERX_SHIFT                 (15U)\r
-/*! WAKERX - Wake-up for receive FIFO level. This allows the device to be woken from reduced power modes (up to power-down, as long as the peripheral function works in that power mode) without enabling the TXLVL interrupt. Only DMA wakes up, processes data, and goes back to sleep. The CPU will remain stopped until woken by another cause, such as DMA completion. See Hardware Wake-up control register.\r
+ *  0b1..A device wake-up for DMA will occur if the transmit FIFO level reaches the value specified by TXLVL in\r
+ *       FIFOTRIG, even when the TXLVL interrupt is not enabled.\r
+ */\r
+#define SPI_FIFOCFG_WAKETX(x) (((uint32_t)(((uint32_t)(x)) << SPI_FIFOCFG_WAKETX_SHIFT)) & SPI_FIFOCFG_WAKETX_MASK)\r
+#define SPI_FIFOCFG_WAKERX_MASK (0x8000U)\r
+#define SPI_FIFOCFG_WAKERX_SHIFT (15U)\r
+/*! WAKERX - Wake-up for receive FIFO level. This allows the device to be woken from reduced power\r
+ *    modes (up to power-down, as long as the peripheral function works in that power mode) without\r
+ *    enabling the TXLVL interrupt. Only DMA wakes up, processes data, and goes back to sleep. The\r
+ *    CPU will remain stopped until woken by another cause, such as DMA completion. See Hardware\r
+ *    Wake-up control register.\r
  *  0b0..Only enabled interrupts will wake up the device form reduced power modes.\r
- *  0b1..A device wake-up for DMA will occur if the receive FIFO level reaches the value specified by RXLVL in FIFOTRIG, even when the RXLVL interrupt is not enabled.\r
+ *  0b1..A device wake-up for DMA will occur if the receive FIFO level reaches the value specified by RXLVL in\r
+ *       FIFOTRIG, even when the RXLVL interrupt is not enabled.\r
+ */\r
+#define SPI_FIFOCFG_WAKERX(x) (((uint32_t)(((uint32_t)(x)) << SPI_FIFOCFG_WAKERX_SHIFT)) & SPI_FIFOCFG_WAKERX_MASK)\r
+#define SPI_FIFOCFG_EMPTYTX_MASK (0x10000U)\r
+#define SPI_FIFOCFG_EMPTYTX_SHIFT (16U)\r
+/*! EMPTYTX - Empty command for the transmit FIFO. When a 1 is written to this bit, the TX FIFO is emptied.\r
  */\r
-#define SPI_FIFOCFG_WAKERX(x)                    (((uint32_t)(((uint32_t)(x)) << SPI_FIFOCFG_WAKERX_SHIFT)) & SPI_FIFOCFG_WAKERX_MASK)\r
-#define SPI_FIFOCFG_EMPTYTX_MASK                 (0x10000U)\r
-#define SPI_FIFOCFG_EMPTYTX_SHIFT                (16U)\r
-#define SPI_FIFOCFG_EMPTYTX(x)                   (((uint32_t)(((uint32_t)(x)) << SPI_FIFOCFG_EMPTYTX_SHIFT)) & SPI_FIFOCFG_EMPTYTX_MASK)\r
-#define SPI_FIFOCFG_EMPTYRX_MASK                 (0x20000U)\r
-#define SPI_FIFOCFG_EMPTYRX_SHIFT                (17U)\r
-#define SPI_FIFOCFG_EMPTYRX(x)                   (((uint32_t)(((uint32_t)(x)) << SPI_FIFOCFG_EMPTYRX_SHIFT)) & SPI_FIFOCFG_EMPTYRX_MASK)\r
-#define SPI_FIFOCFG_POPDBG_MASK                  (0x40000U)\r
-#define SPI_FIFOCFG_POPDBG_SHIFT                 (18U)\r
-/*! POPDBG - Pop FIFO for debug reads.\r
- *  0b0..Debug reads of the FIFO do not pop the FIFO.\r
- *  0b1..A debug read will cause the FIFO to pop.\r
+#define SPI_FIFOCFG_EMPTYTX(x) (((uint32_t)(((uint32_t)(x)) << SPI_FIFOCFG_EMPTYTX_SHIFT)) & SPI_FIFOCFG_EMPTYTX_MASK)\r
+#define SPI_FIFOCFG_EMPTYRX_MASK (0x20000U)\r
+#define SPI_FIFOCFG_EMPTYRX_SHIFT (17U)\r
+/*! EMPTYRX - Empty command for the receive FIFO. When a 1 is written to this bit, the RX FIFO is emptied.\r
  */\r
-#define SPI_FIFOCFG_POPDBG(x)                    (((uint32_t)(((uint32_t)(x)) << SPI_FIFOCFG_POPDBG_SHIFT)) & SPI_FIFOCFG_POPDBG_MASK)\r
+#define SPI_FIFOCFG_EMPTYRX(x) (((uint32_t)(((uint32_t)(x)) << SPI_FIFOCFG_EMPTYRX_SHIFT)) & SPI_FIFOCFG_EMPTYRX_MASK)\r
 /*! @} */\r
 \r
 /*! @name FIFOSTAT - FIFO status register. */\r
 /*! @{ */\r
-#define SPI_FIFOSTAT_TXERR_MASK                  (0x1U)\r
-#define SPI_FIFOSTAT_TXERR_SHIFT                 (0U)\r
-#define SPI_FIFOSTAT_TXERR(x)                    (((uint32_t)(((uint32_t)(x)) << SPI_FIFOSTAT_TXERR_SHIFT)) & SPI_FIFOSTAT_TXERR_MASK)\r
-#define SPI_FIFOSTAT_RXERR_MASK                  (0x2U)\r
-#define SPI_FIFOSTAT_RXERR_SHIFT                 (1U)\r
-#define SPI_FIFOSTAT_RXERR(x)                    (((uint32_t)(((uint32_t)(x)) << SPI_FIFOSTAT_RXERR_SHIFT)) & SPI_FIFOSTAT_RXERR_MASK)\r
-#define SPI_FIFOSTAT_PERINT_MASK                 (0x8U)\r
-#define SPI_FIFOSTAT_PERINT_SHIFT                (3U)\r
-#define SPI_FIFOSTAT_PERINT(x)                   (((uint32_t)(((uint32_t)(x)) << SPI_FIFOSTAT_PERINT_SHIFT)) & SPI_FIFOSTAT_PERINT_MASK)\r
-#define SPI_FIFOSTAT_TXEMPTY_MASK                (0x10U)\r
-#define SPI_FIFOSTAT_TXEMPTY_SHIFT               (4U)\r
-#define SPI_FIFOSTAT_TXEMPTY(x)                  (((uint32_t)(((uint32_t)(x)) << SPI_FIFOSTAT_TXEMPTY_SHIFT)) & SPI_FIFOSTAT_TXEMPTY_MASK)\r
-#define SPI_FIFOSTAT_TXNOTFULL_MASK              (0x20U)\r
-#define SPI_FIFOSTAT_TXNOTFULL_SHIFT             (5U)\r
-#define SPI_FIFOSTAT_TXNOTFULL(x)                (((uint32_t)(((uint32_t)(x)) << SPI_FIFOSTAT_TXNOTFULL_SHIFT)) & SPI_FIFOSTAT_TXNOTFULL_MASK)\r
-#define SPI_FIFOSTAT_RXNOTEMPTY_MASK             (0x40U)\r
-#define SPI_FIFOSTAT_RXNOTEMPTY_SHIFT            (6U)\r
-#define SPI_FIFOSTAT_RXNOTEMPTY(x)               (((uint32_t)(((uint32_t)(x)) << SPI_FIFOSTAT_RXNOTEMPTY_SHIFT)) & SPI_FIFOSTAT_RXNOTEMPTY_MASK)\r
-#define SPI_FIFOSTAT_RXFULL_MASK                 (0x80U)\r
-#define SPI_FIFOSTAT_RXFULL_SHIFT                (7U)\r
-#define SPI_FIFOSTAT_RXFULL(x)                   (((uint32_t)(((uint32_t)(x)) << SPI_FIFOSTAT_RXFULL_SHIFT)) & SPI_FIFOSTAT_RXFULL_MASK)\r
-#define SPI_FIFOSTAT_TXLVL_MASK                  (0x1F00U)\r
-#define SPI_FIFOSTAT_TXLVL_SHIFT                 (8U)\r
-#define SPI_FIFOSTAT_TXLVL(x)                    (((uint32_t)(((uint32_t)(x)) << SPI_FIFOSTAT_TXLVL_SHIFT)) & SPI_FIFOSTAT_TXLVL_MASK)\r
-#define SPI_FIFOSTAT_RXLVL_MASK                  (0x1F0000U)\r
-#define SPI_FIFOSTAT_RXLVL_SHIFT                 (16U)\r
-#define SPI_FIFOSTAT_RXLVL(x)                    (((uint32_t)(((uint32_t)(x)) << SPI_FIFOSTAT_RXLVL_SHIFT)) & SPI_FIFOSTAT_RXLVL_MASK)\r
+#define SPI_FIFOSTAT_TXERR_MASK (0x1U)\r
+#define SPI_FIFOSTAT_TXERR_SHIFT (0U)\r
+/*! TXERR - TX FIFO error. Will be set if a transmit FIFO error occurs. This could be an overflow\r
+ *    caused by pushing data into a full FIFO, or by an underflow if the FIFO is empty when data is\r
+ *    needed. Cleared by writing a 1 to this bit.\r
+ */\r
+#define SPI_FIFOSTAT_TXERR(x) (((uint32_t)(((uint32_t)(x)) << SPI_FIFOSTAT_TXERR_SHIFT)) & SPI_FIFOSTAT_TXERR_MASK)\r
+#define SPI_FIFOSTAT_RXERR_MASK (0x2U)\r
+#define SPI_FIFOSTAT_RXERR_SHIFT (1U)\r
+/*! RXERR - RX FIFO error. Will be set if a receive FIFO overflow occurs, caused by software or DMA\r
+ *    not emptying the FIFO fast enough. Cleared by writing a 1 to this bit.\r
+ */\r
+#define SPI_FIFOSTAT_RXERR(x) (((uint32_t)(((uint32_t)(x)) << SPI_FIFOSTAT_RXERR_SHIFT)) & SPI_FIFOSTAT_RXERR_MASK)\r
+#define SPI_FIFOSTAT_PERINT_MASK (0x8U)\r
+#define SPI_FIFOSTAT_PERINT_SHIFT (3U)\r
+/*! PERINT - Peripheral interrupt. When 1, this indicates that the peripheral function has asserted\r
+ *    an interrupt. The details can be found by reading the peripheral's STAT register.\r
+ */\r
+#define SPI_FIFOSTAT_PERINT(x) (((uint32_t)(((uint32_t)(x)) << SPI_FIFOSTAT_PERINT_SHIFT)) & SPI_FIFOSTAT_PERINT_MASK)\r
+#define SPI_FIFOSTAT_TXEMPTY_MASK (0x10U)\r
+#define SPI_FIFOSTAT_TXEMPTY_SHIFT (4U)\r
+/*! TXEMPTY - Transmit FIFO empty. When 1, the transmit FIFO is empty. The peripheral may still be processing the last\r
+ * piece of data.\r
+ */\r
+#define SPI_FIFOSTAT_TXEMPTY(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SPI_FIFOSTAT_TXEMPTY_SHIFT)) & SPI_FIFOSTAT_TXEMPTY_MASK)\r
+#define SPI_FIFOSTAT_TXNOTFULL_MASK (0x20U)\r
+#define SPI_FIFOSTAT_TXNOTFULL_SHIFT (5U)\r
+/*! TXNOTFULL - Transmit FIFO not full. When 1, the transmit FIFO is not full, so more data can be\r
+ *    written. When 0, the transmit FIFO is full and another write would cause it to overflow.\r
+ */\r
+#define SPI_FIFOSTAT_TXNOTFULL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SPI_FIFOSTAT_TXNOTFULL_SHIFT)) & SPI_FIFOSTAT_TXNOTFULL_MASK)\r
+#define SPI_FIFOSTAT_RXNOTEMPTY_MASK (0x40U)\r
+#define SPI_FIFOSTAT_RXNOTEMPTY_SHIFT (6U)\r
+/*! RXNOTEMPTY - Receive FIFO not empty. When 1, the receive FIFO is not empty, so data can be read. When 0, the receive\r
+ * FIFO is empty.\r
+ */\r
+#define SPI_FIFOSTAT_RXNOTEMPTY(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SPI_FIFOSTAT_RXNOTEMPTY_SHIFT)) & SPI_FIFOSTAT_RXNOTEMPTY_MASK)\r
+#define SPI_FIFOSTAT_RXFULL_MASK (0x80U)\r
+#define SPI_FIFOSTAT_RXFULL_SHIFT (7U)\r
+/*! RXFULL - Receive FIFO full. When 1, the receive FIFO is full. Data needs to be read out to\r
+ *    prevent the peripheral from causing an overflow.\r
+ */\r
+#define SPI_FIFOSTAT_RXFULL(x) (((uint32_t)(((uint32_t)(x)) << SPI_FIFOSTAT_RXFULL_SHIFT)) & SPI_FIFOSTAT_RXFULL_MASK)\r
+#define SPI_FIFOSTAT_TXLVL_MASK (0x1F00U)\r
+#define SPI_FIFOSTAT_TXLVL_SHIFT (8U)\r
+/*! TXLVL - Transmit FIFO current level. A 0 means the TX FIFO is currently empty, and the TXEMPTY\r
+ *    and TXNOTFULL flags will be 1. Other values tell how much data is actually in the TX FIFO at\r
+ *    the point where the read occurs. If the TX FIFO is full, the TXEMPTY and TXNOTFULL flags will be\r
+ *    0.\r
+ */\r
+#define SPI_FIFOSTAT_TXLVL(x) (((uint32_t)(((uint32_t)(x)) << SPI_FIFOSTAT_TXLVL_SHIFT)) & SPI_FIFOSTAT_TXLVL_MASK)\r
+#define SPI_FIFOSTAT_RXLVL_MASK (0x1F0000U)\r
+#define SPI_FIFOSTAT_RXLVL_SHIFT (16U)\r
+/*! RXLVL - Receive FIFO current level. A 0 means the RX FIFO is currently empty, and the RXFULL and\r
+ *    RXNOTEMPTY flags will be 0. Other values tell how much data is actually in the RX FIFO at the\r
+ *    point where the read occurs. If the RX FIFO is full, the RXFULL and RXNOTEMPTY flags will be\r
+ *    1.\r
+ */\r
+#define SPI_FIFOSTAT_RXLVL(x) (((uint32_t)(((uint32_t)(x)) << SPI_FIFOSTAT_RXLVL_SHIFT)) & SPI_FIFOSTAT_RXLVL_MASK)\r
 /*! @} */\r
 \r
 /*! @name FIFOTRIG - FIFO trigger settings for interrupt and DMA request. */\r
 /*! @{ */\r
-#define SPI_FIFOTRIG_TXLVLENA_MASK               (0x1U)\r
-#define SPI_FIFOTRIG_TXLVLENA_SHIFT              (0U)\r
-/*! TXLVLENA - Transmit FIFO level trigger enable. This trigger will become an interrupt if enabled in FIFOINTENSET, or a DMA trigger if DMATX in FIFOCFG is set.\r
+#define SPI_FIFOTRIG_TXLVLENA_MASK (0x1U)\r
+#define SPI_FIFOTRIG_TXLVLENA_SHIFT (0U)\r
+/*! TXLVLENA - Transmit FIFO level trigger enable. This trigger will become an interrupt if enabled\r
+ *    in FIFOINTENSET, or a DMA trigger if DMATX in FIFOCFG is set.\r
  *  0b0..Transmit FIFO level does not generate a FIFO level trigger.\r
- *  0b1..An trigger will be generated if the transmit FIFO level reaches the value specified by the TXLVL field in this register.\r
- */\r
-#define SPI_FIFOTRIG_TXLVLENA(x)                 (((uint32_t)(((uint32_t)(x)) << SPI_FIFOTRIG_TXLVLENA_SHIFT)) & SPI_FIFOTRIG_TXLVLENA_MASK)\r
-#define SPI_FIFOTRIG_RXLVLENA_MASK               (0x2U)\r
-#define SPI_FIFOTRIG_RXLVLENA_SHIFT              (1U)\r
-/*! RXLVLENA - Receive FIFO level trigger enable. This trigger will become an interrupt if enabled in FIFOINTENSET, or a DMA trigger if DMARX in FIFOCFG is set.\r
+ *  0b1..An trigger will be generated if the transmit FIFO level reaches the value specified by the TXLVL field in this\r
+ * register.\r
+ */\r
+#define SPI_FIFOTRIG_TXLVLENA(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SPI_FIFOTRIG_TXLVLENA_SHIFT)) & SPI_FIFOTRIG_TXLVLENA_MASK)\r
+#define SPI_FIFOTRIG_RXLVLENA_MASK (0x2U)\r
+#define SPI_FIFOTRIG_RXLVLENA_SHIFT (1U)\r
+/*! RXLVLENA - Receive FIFO level trigger enable. This trigger will become an interrupt if enabled\r
+ *    in FIFOINTENSET, or a DMA trigger if DMARX in FIFOCFG is set.\r
  *  0b0..Receive FIFO level does not generate a FIFO level trigger.\r
- *  0b1..An trigger will be generated if the receive FIFO level reaches the value specified by the RXLVL field in this register.\r
- */\r
-#define SPI_FIFOTRIG_RXLVLENA(x)                 (((uint32_t)(((uint32_t)(x)) << SPI_FIFOTRIG_RXLVLENA_SHIFT)) & SPI_FIFOTRIG_RXLVLENA_MASK)\r
-#define SPI_FIFOTRIG_TXLVL_MASK                  (0xF00U)\r
-#define SPI_FIFOTRIG_TXLVL_SHIFT                 (8U)\r
-#define SPI_FIFOTRIG_TXLVL(x)                    (((uint32_t)(((uint32_t)(x)) << SPI_FIFOTRIG_TXLVL_SHIFT)) & SPI_FIFOTRIG_TXLVL_MASK)\r
-#define SPI_FIFOTRIG_RXLVL_MASK                  (0xF0000U)\r
-#define SPI_FIFOTRIG_RXLVL_SHIFT                 (16U)\r
-#define SPI_FIFOTRIG_RXLVL(x)                    (((uint32_t)(((uint32_t)(x)) << SPI_FIFOTRIG_RXLVL_SHIFT)) & SPI_FIFOTRIG_RXLVL_MASK)\r
+ *  0b1..An trigger will be generated if the receive FIFO level reaches the value specified by the RXLVL field in this\r
+ * register.\r
+ */\r
+#define SPI_FIFOTRIG_RXLVLENA(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SPI_FIFOTRIG_RXLVLENA_SHIFT)) & SPI_FIFOTRIG_RXLVLENA_MASK)\r
+#define SPI_FIFOTRIG_TXLVL_MASK (0xF00U)\r
+#define SPI_FIFOTRIG_TXLVL_SHIFT (8U)\r
+/*! TXLVL - Transmit FIFO level trigger point. This field is used only when TXLVLENA = 1. If enabled\r
+ *    to do so, the FIFO level can wake up the device just enough to perform DMA, then return to\r
+ *    the reduced power mode. See Hardware Wake-up control register. 0 = trigger when the TX FIFO\r
+ *    becomes empty. 1 = trigger when the TX FIFO level decreases to one entry. 15 = trigger when the TX\r
+ *    FIFO level decreases to 15 entries (is no longer full).\r
+ */\r
+#define SPI_FIFOTRIG_TXLVL(x) (((uint32_t)(((uint32_t)(x)) << SPI_FIFOTRIG_TXLVL_SHIFT)) & SPI_FIFOTRIG_TXLVL_MASK)\r
+#define SPI_FIFOTRIG_RXLVL_MASK (0xF0000U)\r
+#define SPI_FIFOTRIG_RXLVL_SHIFT (16U)\r
+/*! RXLVL - Receive FIFO level trigger point. The RX FIFO level is checked when a new piece of data\r
+ *    is received. This field is used only when RXLVLENA = 1. If enabled to do so, the FIFO level\r
+ *    can wake up the device just enough to perform DMA, then return to the reduced power mode. See\r
+ *    Hardware Wake-up control register. 0 = trigger when the RX FIFO has received one entry (is no\r
+ *    longer empty). 1 = trigger when the RX FIFO has received two entries. 15 = trigger when the RX\r
+ *    FIFO has received 16 entries (has become full).\r
+ */\r
+#define SPI_FIFOTRIG_RXLVL(x) (((uint32_t)(((uint32_t)(x)) << SPI_FIFOTRIG_RXLVL_SHIFT)) & SPI_FIFOTRIG_RXLVL_MASK)\r
 /*! @} */\r
 \r
 /*! @name FIFOINTENSET - FIFO interrupt enable set (enable) and read register. */\r
 /*! @{ */\r
-#define SPI_FIFOINTENSET_TXERR_MASK              (0x1U)\r
-#define SPI_FIFOINTENSET_TXERR_SHIFT             (0U)\r
-/*! TXERR - Determines whether an interrupt occurs when a transmit error occurs, based on the TXERR flag in the FIFOSTAT register.\r
- *  0b0..No interrupt will be generated for a transmit error.\r
- *  0b1..An interrupt will be generated when a transmit error occurs.\r
- */\r
-#define SPI_FIFOINTENSET_TXERR(x)                (((uint32_t)(((uint32_t)(x)) << SPI_FIFOINTENSET_TXERR_SHIFT)) & SPI_FIFOINTENSET_TXERR_MASK)\r
-#define SPI_FIFOINTENSET_RXERR_MASK              (0x2U)\r
-#define SPI_FIFOINTENSET_RXERR_SHIFT             (1U)\r
-/*! RXERR - Determines whether an interrupt occurs when a receive error occurs, based on the RXERR flag in the FIFOSTAT register.\r
- *  0b0..No interrupt will be generated for a receive error.\r
- *  0b1..An interrupt will be generated when a receive error occurs.\r
- */\r
-#define SPI_FIFOINTENSET_RXERR(x)                (((uint32_t)(((uint32_t)(x)) << SPI_FIFOINTENSET_RXERR_SHIFT)) & SPI_FIFOINTENSET_RXERR_MASK)\r
-#define SPI_FIFOINTENSET_TXLVL_MASK              (0x4U)\r
-#define SPI_FIFOINTENSET_TXLVL_SHIFT             (2U)\r
-/*! TXLVL - Determines whether an interrupt occurs when a the transmit FIFO reaches the level specified by the TXLVL field in the FIFOTRIG register.\r
+#define SPI_FIFOINTENSET_TXERR_MASK (0x1U)\r
+#define SPI_FIFOINTENSET_TXERR_SHIFT (0U)\r
+/*! TXERR - Determines whether an interrupt occurs when a transmit error occurs, based on the TXERR flag in the FIFOSTAT\r
+ * register. 0b0..No interrupt will be generated for a transmit error. 0b1..An interrupt will be generated when a\r
+ * transmit error occurs.\r
+ */\r
+#define SPI_FIFOINTENSET_TXERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SPI_FIFOINTENSET_TXERR_SHIFT)) & SPI_FIFOINTENSET_TXERR_MASK)\r
+#define SPI_FIFOINTENSET_RXERR_MASK (0x2U)\r
+#define SPI_FIFOINTENSET_RXERR_SHIFT (1U)\r
+/*! RXERR - Determines whether an interrupt occurs when a receive error occurs, based on the RXERR flag in the FIFOSTAT\r
+ * register. 0b0..No interrupt will be generated for a receive error. 0b1..An interrupt will be generated when a receive\r
+ * error occurs.\r
+ */\r
+#define SPI_FIFOINTENSET_RXERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SPI_FIFOINTENSET_RXERR_SHIFT)) & SPI_FIFOINTENSET_RXERR_MASK)\r
+#define SPI_FIFOINTENSET_TXLVL_MASK (0x4U)\r
+#define SPI_FIFOINTENSET_TXLVL_SHIFT (2U)\r
+/*! TXLVL - Determines whether an interrupt occurs when a the transmit FIFO reaches the level\r
+ *    specified by the TXLVL field in the FIFOTRIG register.\r
  *  0b0..No interrupt will be generated based on the TX FIFO level.\r
- *  0b1..If TXLVLENA in the FIFOTRIG register = 1, an interrupt will be generated when the TX FIFO level decreases to the level specified by TXLVL in the FIFOTRIG register.\r
- */\r
-#define SPI_FIFOINTENSET_TXLVL(x)                (((uint32_t)(((uint32_t)(x)) << SPI_FIFOINTENSET_TXLVL_SHIFT)) & SPI_FIFOINTENSET_TXLVL_MASK)\r
-#define SPI_FIFOINTENSET_RXLVL_MASK              (0x8U)\r
-#define SPI_FIFOINTENSET_RXLVL_SHIFT             (3U)\r
-/*! RXLVL - Determines whether an interrupt occurs when a the receive FIFO reaches the level specified by the TXLVL field in the FIFOTRIG register.\r
+ *  0b1..If TXLVLENA in the FIFOTRIG register = 1, an interrupt will be generated when the TX FIFO level decreases\r
+ *       to the level specified by TXLVL in the FIFOTRIG register.\r
+ */\r
+#define SPI_FIFOINTENSET_TXLVL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SPI_FIFOINTENSET_TXLVL_SHIFT)) & SPI_FIFOINTENSET_TXLVL_MASK)\r
+#define SPI_FIFOINTENSET_RXLVL_MASK (0x8U)\r
+#define SPI_FIFOINTENSET_RXLVL_SHIFT (3U)\r
+/*! RXLVL - Determines whether an interrupt occurs when a the receive FIFO reaches the level\r
+ *    specified by the TXLVL field in the FIFOTRIG register.\r
  *  0b0..No interrupt will be generated based on the RX FIFO level.\r
- *  0b1..If RXLVLENA in the FIFOTRIG register = 1, an interrupt will be generated when the when the RX FIFO level increases to the level specified by RXLVL in the FIFOTRIG register.\r
+ *  0b1..If RXLVLENA in the FIFOTRIG register = 1, an interrupt will be generated when the when the RX FIFO level\r
+ *       increases to the level specified by RXLVL in the FIFOTRIG register.\r
  */\r
-#define SPI_FIFOINTENSET_RXLVL(x)                (((uint32_t)(((uint32_t)(x)) << SPI_FIFOINTENSET_RXLVL_SHIFT)) & SPI_FIFOINTENSET_RXLVL_MASK)\r
+#define SPI_FIFOINTENSET_RXLVL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SPI_FIFOINTENSET_RXLVL_SHIFT)) & SPI_FIFOINTENSET_RXLVL_MASK)\r
 /*! @} */\r
 \r
 /*! @name FIFOINTENCLR - FIFO interrupt enable clear (disable) and read register. */\r
 /*! @{ */\r
-#define SPI_FIFOINTENCLR_TXERR_MASK              (0x1U)\r
-#define SPI_FIFOINTENCLR_TXERR_SHIFT             (0U)\r
-#define SPI_FIFOINTENCLR_TXERR(x)                (((uint32_t)(((uint32_t)(x)) << SPI_FIFOINTENCLR_TXERR_SHIFT)) & SPI_FIFOINTENCLR_TXERR_MASK)\r
-#define SPI_FIFOINTENCLR_RXERR_MASK              (0x2U)\r
-#define SPI_FIFOINTENCLR_RXERR_SHIFT             (1U)\r
-#define SPI_FIFOINTENCLR_RXERR(x)                (((uint32_t)(((uint32_t)(x)) << SPI_FIFOINTENCLR_RXERR_SHIFT)) & SPI_FIFOINTENCLR_RXERR_MASK)\r
-#define SPI_FIFOINTENCLR_TXLVL_MASK              (0x4U)\r
-#define SPI_FIFOINTENCLR_TXLVL_SHIFT             (2U)\r
-#define SPI_FIFOINTENCLR_TXLVL(x)                (((uint32_t)(((uint32_t)(x)) << SPI_FIFOINTENCLR_TXLVL_SHIFT)) & SPI_FIFOINTENCLR_TXLVL_MASK)\r
-#define SPI_FIFOINTENCLR_RXLVL_MASK              (0x8U)\r
-#define SPI_FIFOINTENCLR_RXLVL_SHIFT             (3U)\r
-#define SPI_FIFOINTENCLR_RXLVL(x)                (((uint32_t)(((uint32_t)(x)) << SPI_FIFOINTENCLR_RXLVL_SHIFT)) & SPI_FIFOINTENCLR_RXLVL_MASK)\r
+#define SPI_FIFOINTENCLR_TXERR_MASK (0x1U)\r
+#define SPI_FIFOINTENCLR_TXERR_SHIFT (0U)\r
+/*! TXERR - Writing one clears the corresponding bits in the FIFOINTENSET register.\r
+ */\r
+#define SPI_FIFOINTENCLR_TXERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SPI_FIFOINTENCLR_TXERR_SHIFT)) & SPI_FIFOINTENCLR_TXERR_MASK)\r
+#define SPI_FIFOINTENCLR_RXERR_MASK (0x2U)\r
+#define SPI_FIFOINTENCLR_RXERR_SHIFT (1U)\r
+/*! RXERR - Writing one clears the corresponding bits in the FIFOINTENSET register.\r
+ */\r
+#define SPI_FIFOINTENCLR_RXERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SPI_FIFOINTENCLR_RXERR_SHIFT)) & SPI_FIFOINTENCLR_RXERR_MASK)\r
+#define SPI_FIFOINTENCLR_TXLVL_MASK (0x4U)\r
+#define SPI_FIFOINTENCLR_TXLVL_SHIFT (2U)\r
+/*! TXLVL - Writing one clears the corresponding bits in the FIFOINTENSET register.\r
+ */\r
+#define SPI_FIFOINTENCLR_TXLVL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SPI_FIFOINTENCLR_TXLVL_SHIFT)) & SPI_FIFOINTENCLR_TXLVL_MASK)\r
+#define SPI_FIFOINTENCLR_RXLVL_MASK (0x8U)\r
+#define SPI_FIFOINTENCLR_RXLVL_SHIFT (3U)\r
+/*! RXLVL - Writing one clears the corresponding bits in the FIFOINTENSET register.\r
+ */\r
+#define SPI_FIFOINTENCLR_RXLVL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SPI_FIFOINTENCLR_RXLVL_SHIFT)) & SPI_FIFOINTENCLR_RXLVL_MASK)\r
 /*! @} */\r
 \r
 /*! @name FIFOINTSTAT - FIFO interrupt status register. */\r
 /*! @{ */\r
-#define SPI_FIFOINTSTAT_TXERR_MASK               (0x1U)\r
-#define SPI_FIFOINTSTAT_TXERR_SHIFT              (0U)\r
-#define SPI_FIFOINTSTAT_TXERR(x)                 (((uint32_t)(((uint32_t)(x)) << SPI_FIFOINTSTAT_TXERR_SHIFT)) & SPI_FIFOINTSTAT_TXERR_MASK)\r
-#define SPI_FIFOINTSTAT_RXERR_MASK               (0x2U)\r
-#define SPI_FIFOINTSTAT_RXERR_SHIFT              (1U)\r
-#define SPI_FIFOINTSTAT_RXERR(x)                 (((uint32_t)(((uint32_t)(x)) << SPI_FIFOINTSTAT_RXERR_SHIFT)) & SPI_FIFOINTSTAT_RXERR_MASK)\r
-#define SPI_FIFOINTSTAT_TXLVL_MASK               (0x4U)\r
-#define SPI_FIFOINTSTAT_TXLVL_SHIFT              (2U)\r
-#define SPI_FIFOINTSTAT_TXLVL(x)                 (((uint32_t)(((uint32_t)(x)) << SPI_FIFOINTSTAT_TXLVL_SHIFT)) & SPI_FIFOINTSTAT_TXLVL_MASK)\r
-#define SPI_FIFOINTSTAT_RXLVL_MASK               (0x8U)\r
-#define SPI_FIFOINTSTAT_RXLVL_SHIFT              (3U)\r
-#define SPI_FIFOINTSTAT_RXLVL(x)                 (((uint32_t)(((uint32_t)(x)) << SPI_FIFOINTSTAT_RXLVL_SHIFT)) & SPI_FIFOINTSTAT_RXLVL_MASK)\r
-#define SPI_FIFOINTSTAT_PERINT_MASK              (0x10U)\r
-#define SPI_FIFOINTSTAT_PERINT_SHIFT             (4U)\r
-#define SPI_FIFOINTSTAT_PERINT(x)                (((uint32_t)(((uint32_t)(x)) << SPI_FIFOINTSTAT_PERINT_SHIFT)) & SPI_FIFOINTSTAT_PERINT_MASK)\r
+#define SPI_FIFOINTSTAT_TXERR_MASK (0x1U)\r
+#define SPI_FIFOINTSTAT_TXERR_SHIFT (0U)\r
+/*! TXERR - TX FIFO error.\r
+ */\r
+#define SPI_FIFOINTSTAT_TXERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SPI_FIFOINTSTAT_TXERR_SHIFT)) & SPI_FIFOINTSTAT_TXERR_MASK)\r
+#define SPI_FIFOINTSTAT_RXERR_MASK (0x2U)\r
+#define SPI_FIFOINTSTAT_RXERR_SHIFT (1U)\r
+/*! RXERR - RX FIFO error.\r
+ */\r
+#define SPI_FIFOINTSTAT_RXERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SPI_FIFOINTSTAT_RXERR_SHIFT)) & SPI_FIFOINTSTAT_RXERR_MASK)\r
+#define SPI_FIFOINTSTAT_TXLVL_MASK (0x4U)\r
+#define SPI_FIFOINTSTAT_TXLVL_SHIFT (2U)\r
+/*! TXLVL - Transmit FIFO level interrupt.\r
+ */\r
+#define SPI_FIFOINTSTAT_TXLVL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SPI_FIFOINTSTAT_TXLVL_SHIFT)) & SPI_FIFOINTSTAT_TXLVL_MASK)\r
+#define SPI_FIFOINTSTAT_RXLVL_MASK (0x8U)\r
+#define SPI_FIFOINTSTAT_RXLVL_SHIFT (3U)\r
+/*! RXLVL - Receive FIFO level interrupt.\r
+ */\r
+#define SPI_FIFOINTSTAT_RXLVL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SPI_FIFOINTSTAT_RXLVL_SHIFT)) & SPI_FIFOINTSTAT_RXLVL_MASK)\r
+#define SPI_FIFOINTSTAT_PERINT_MASK (0x10U)\r
+#define SPI_FIFOINTSTAT_PERINT_SHIFT (4U)\r
+/*! PERINT - Peripheral interrupt.\r
+ */\r
+#define SPI_FIFOINTSTAT_PERINT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SPI_FIFOINTSTAT_PERINT_SHIFT)) & SPI_FIFOINTSTAT_PERINT_MASK)\r
 /*! @} */\r
 \r
 /*! @name FIFOWR - FIFO write data. */\r
 /*! @{ */\r
-#define SPI_FIFOWR_TXDATA_MASK                   (0xFFFFU)\r
-#define SPI_FIFOWR_TXDATA_SHIFT                  (0U)\r
-#define SPI_FIFOWR_TXDATA(x)                     (((uint32_t)(((uint32_t)(x)) << SPI_FIFOWR_TXDATA_SHIFT)) & SPI_FIFOWR_TXDATA_MASK)\r
-#define SPI_FIFOWR_TXSSEL0_N_MASK                (0x10000U)\r
-#define SPI_FIFOWR_TXSSEL0_N_SHIFT               (16U)\r
-/*! TXSSEL0_N - Transmit slave select. This field asserts SSEL0 in master mode. The output on the pin is active LOW by default.\r
- *  0b0..SSEL0 asserted.\r
- *  0b1..SSEL0 not asserted.\r
- */\r
-#define SPI_FIFOWR_TXSSEL0_N(x)                  (((uint32_t)(((uint32_t)(x)) << SPI_FIFOWR_TXSSEL0_N_SHIFT)) & SPI_FIFOWR_TXSSEL0_N_MASK)\r
-#define SPI_FIFOWR_TXSSEL1_N_MASK                (0x20000U)\r
-#define SPI_FIFOWR_TXSSEL1_N_SHIFT               (17U)\r
-/*! TXSSEL1_N - Transmit slave select. This field asserts SSEL1 in master mode. The output on the pin is active LOW by default.\r
- *  0b0..SSEL1 asserted.\r
- *  0b1..SSEL1 not asserted.\r
- */\r
-#define SPI_FIFOWR_TXSSEL1_N(x)                  (((uint32_t)(((uint32_t)(x)) << SPI_FIFOWR_TXSSEL1_N_SHIFT)) & SPI_FIFOWR_TXSSEL1_N_MASK)\r
-#define SPI_FIFOWR_TXSSEL2_N_MASK                (0x40000U)\r
-#define SPI_FIFOWR_TXSSEL2_N_SHIFT               (18U)\r
-/*! TXSSEL2_N - Transmit slave select. This field asserts SSEL2 in master mode. The output on the pin is active LOW by default.\r
- *  0b0..SSEL2 asserted.\r
- *  0b1..SSEL2 not asserted.\r
- */\r
-#define SPI_FIFOWR_TXSSEL2_N(x)                  (((uint32_t)(((uint32_t)(x)) << SPI_FIFOWR_TXSSEL2_N_SHIFT)) & SPI_FIFOWR_TXSSEL2_N_MASK)\r
-#define SPI_FIFOWR_TXSSEL3_N_MASK                (0x80000U)\r
-#define SPI_FIFOWR_TXSSEL3_N_SHIFT               (19U)\r
-/*! TXSSEL3_N - Transmit slave select. This field asserts SSEL3 in master mode. The output on the pin is active LOW by default.\r
- *  0b0..SSEL3 asserted.\r
- *  0b1..SSEL3 not asserted.\r
- */\r
-#define SPI_FIFOWR_TXSSEL3_N(x)                  (((uint32_t)(((uint32_t)(x)) << SPI_FIFOWR_TXSSEL3_N_SHIFT)) & SPI_FIFOWR_TXSSEL3_N_MASK)\r
-#define SPI_FIFOWR_EOT_MASK                      (0x100000U)\r
-#define SPI_FIFOWR_EOT_SHIFT                     (20U)\r
-/*! EOT - End of transfer. The asserted SSEL will be deasserted at the end of a transfer and remain so far at least the time specified by the Transfer_delay value in the DLY register.\r
- *  0b0..SSEL not deasserted. This piece of data is not treated as the end of a transfer. SSEL will not be deasserted at the end of this data.\r
- *  0b1..SSEL deasserted. This piece of data is treated as the end of a transfer. SSEL will be deasserted at the end of this piece of data.\r
- */\r
-#define SPI_FIFOWR_EOT(x)                        (((uint32_t)(((uint32_t)(x)) << SPI_FIFOWR_EOT_SHIFT)) & SPI_FIFOWR_EOT_MASK)\r
-#define SPI_FIFOWR_EOF_MASK                      (0x200000U)\r
-#define SPI_FIFOWR_EOF_SHIFT                     (21U)\r
-/*! EOF - End of frame. Between frames, a delay may be inserted, as defined by the Frame_delay value in the DLY register. The end of a frame may not be particularly meaningful if the Frame_delay value = 0. This control can be used as part of the support for frame lengths greater than 16 bits.\r
+#define SPI_FIFOWR_TXDATA_MASK (0xFFFFU)\r
+#define SPI_FIFOWR_TXDATA_SHIFT (0U)\r
+/*! TXDATA - Transmit data to the FIFO.\r
+ */\r
+#define SPI_FIFOWR_TXDATA(x) (((uint32_t)(((uint32_t)(x)) << SPI_FIFOWR_TXDATA_SHIFT)) & SPI_FIFOWR_TXDATA_MASK)\r
+#define SPI_FIFOWR_TXSSEL0_N_MASK (0x10000U)\r
+#define SPI_FIFOWR_TXSSEL0_N_SHIFT (16U)\r
+/*! TXSSEL0_N - Transmit slave select. This field asserts SSEL0 in master mode. The output on the pin is active LOW by\r
+ * default. 0b0..SSEL0 asserted. 0b1..SSEL0 not asserted.\r
+ */\r
+#define SPI_FIFOWR_TXSSEL0_N(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SPI_FIFOWR_TXSSEL0_N_SHIFT)) & SPI_FIFOWR_TXSSEL0_N_MASK)\r
+#define SPI_FIFOWR_TXSSEL1_N_MASK (0x20000U)\r
+#define SPI_FIFOWR_TXSSEL1_N_SHIFT (17U)\r
+/*! TXSSEL1_N - Transmit slave select. This field asserts SSEL1 in master mode. The output on the pin is active LOW by\r
+ * default. 0b0..SSEL1 asserted. 0b1..SSEL1 not asserted.\r
+ */\r
+#define SPI_FIFOWR_TXSSEL1_N(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SPI_FIFOWR_TXSSEL1_N_SHIFT)) & SPI_FIFOWR_TXSSEL1_N_MASK)\r
+#define SPI_FIFOWR_TXSSEL2_N_MASK (0x40000U)\r
+#define SPI_FIFOWR_TXSSEL2_N_SHIFT (18U)\r
+/*! TXSSEL2_N - Transmit slave select. This field asserts SSEL2 in master mode. The output on the pin is active LOW by\r
+ * default. 0b0..SSEL2 asserted. 0b1..SSEL2 not asserted.\r
+ */\r
+#define SPI_FIFOWR_TXSSEL2_N(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SPI_FIFOWR_TXSSEL2_N_SHIFT)) & SPI_FIFOWR_TXSSEL2_N_MASK)\r
+#define SPI_FIFOWR_TXSSEL3_N_MASK (0x80000U)\r
+#define SPI_FIFOWR_TXSSEL3_N_SHIFT (19U)\r
+/*! TXSSEL3_N - Transmit slave select. This field asserts SSEL3 in master mode. The output on the pin is active LOW by\r
+ * default. 0b0..SSEL3 asserted. 0b1..SSEL3 not asserted.\r
+ */\r
+#define SPI_FIFOWR_TXSSEL3_N(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SPI_FIFOWR_TXSSEL3_N_SHIFT)) & SPI_FIFOWR_TXSSEL3_N_MASK)\r
+#define SPI_FIFOWR_EOT_MASK (0x100000U)\r
+#define SPI_FIFOWR_EOT_SHIFT (20U)\r
+/*! EOT - End of transfer. The asserted SSEL will be deasserted at the end of a transfer and remain\r
+ *    so far at least the time specified by the Transfer_delay value in the DLY register.\r
+ *  0b0..SSEL not deasserted. This piece of data is not treated as the end of a transfer. SSEL will not be deasserted at\r
+ * the end of this data. 0b1..SSEL deasserted. This piece of data is treated as the end of a transfer. SSEL will be\r
+ * deasserted at the end of this piece of data.\r
+ */\r
+#define SPI_FIFOWR_EOT(x) (((uint32_t)(((uint32_t)(x)) << SPI_FIFOWR_EOT_SHIFT)) & SPI_FIFOWR_EOT_MASK)\r
+#define SPI_FIFOWR_EOF_MASK (0x200000U)\r
+#define SPI_FIFOWR_EOF_SHIFT (21U)\r
+/*! EOF - End of frame. Between frames, a delay may be inserted, as defined by the Frame_delay value\r
+ *    in the DLY register. The end of a frame may not be particularly meaningful if the Frame_delay\r
+ *    value = 0. This control can be used as part of the support for frame lengths greater than 16\r
+ *    bits.\r
  *  0b0..Data not EOF. This piece of data transmitted is not treated as the end of a frame.\r
- *  0b1..Data EOF. This piece of data is treated as the end of a frame, causing the Frame_delay time to be inserted before subsequent data is transmitted.\r
- */\r
-#define SPI_FIFOWR_EOF(x)                        (((uint32_t)(((uint32_t)(x)) << SPI_FIFOWR_EOF_SHIFT)) & SPI_FIFOWR_EOF_MASK)\r
-#define SPI_FIFOWR_RXIGNORE_MASK                 (0x400000U)\r
-#define SPI_FIFOWR_RXIGNORE_SHIFT                (22U)\r
-/*! RXIGNORE - Receive Ignore. This allows data to be transmitted using the SPI without the need to read unneeded data from the receiver. Setting this bit simplifies the transmit process and can be used with the DMA.\r
- *  0b0..Read received data. Received data must be read in order to allow transmission to progress. SPI transmit will halt when the receive data FIFO is full. In slave mode, an overrun error will occur if received data is not read before new data is received.\r
- *  0b1..Ignore received data. Received data is ignored, allowing transmission without reading unneeded received data. No receiver flags are generated.\r
- */\r
-#define SPI_FIFOWR_RXIGNORE(x)                   (((uint32_t)(((uint32_t)(x)) << SPI_FIFOWR_RXIGNORE_SHIFT)) & SPI_FIFOWR_RXIGNORE_MASK)\r
-#define SPI_FIFOWR_LEN_MASK                      (0xF000000U)\r
-#define SPI_FIFOWR_LEN_SHIFT                     (24U)\r
-#define SPI_FIFOWR_LEN(x)                        (((uint32_t)(((uint32_t)(x)) << SPI_FIFOWR_LEN_SHIFT)) & SPI_FIFOWR_LEN_MASK)\r
+ *  0b1..Data EOF. This piece of data is treated as the end of a frame, causing the Frame_delay time to be\r
+ *       inserted before subsequent data is transmitted.\r
+ */\r
+#define SPI_FIFOWR_EOF(x) (((uint32_t)(((uint32_t)(x)) << SPI_FIFOWR_EOF_SHIFT)) & SPI_FIFOWR_EOF_MASK)\r
+#define SPI_FIFOWR_RXIGNORE_MASK (0x400000U)\r
+#define SPI_FIFOWR_RXIGNORE_SHIFT (22U)\r
+/*! RXIGNORE - Receive Ignore. This allows data to be transmitted using the SPI without the need to\r
+ *    read unneeded data from the receiver. Setting this bit simplifies the transmit process and can\r
+ *    be used with the DMA.\r
+ *  0b0..Read received data. Received data must be read in order to allow transmission to progress. SPI transmit\r
+ *       will halt when the receive data FIFO is full. In slave mode, an overrun error will occur if received data\r
+ *       is not read before new data is received.\r
+ *  0b1..Ignore received data. Received data is ignored, allowing transmission without reading unneeded received\r
+ *       data. No receiver flags are generated.\r
+ */\r
+#define SPI_FIFOWR_RXIGNORE(x) (((uint32_t)(((uint32_t)(x)) << SPI_FIFOWR_RXIGNORE_SHIFT)) & SPI_FIFOWR_RXIGNORE_MASK)\r
+#define SPI_FIFOWR_LEN_MASK (0xF000000U)\r
+#define SPI_FIFOWR_LEN_SHIFT (24U)\r
+/*! LEN - Data Length. Specifies the data length from 4 to 16 bits. Note that transfer lengths\r
+ *    greater than 16 bits are supported by implementing multiple sequential transmits. 0x0-2 = Reserved.\r
+ *    0x3 = Data transfer is 4 bits in length. 0x4 = Data transfer is 5 bits in length. 0xF = Data\r
+ *    transfer is 16 bits in length.\r
+ */\r
+#define SPI_FIFOWR_LEN(x) (((uint32_t)(((uint32_t)(x)) << SPI_FIFOWR_LEN_SHIFT)) & SPI_FIFOWR_LEN_MASK)\r
 /*! @} */\r
 \r
 /*! @name FIFORD - FIFO read data. */\r
 /*! @{ */\r
-#define SPI_FIFORD_RXDATA_MASK                   (0xFFFFU)\r
-#define SPI_FIFORD_RXDATA_SHIFT                  (0U)\r
-#define SPI_FIFORD_RXDATA(x)                     (((uint32_t)(((uint32_t)(x)) << SPI_FIFORD_RXDATA_SHIFT)) & SPI_FIFORD_RXDATA_MASK)\r
-#define SPI_FIFORD_RXSSEL0_N_MASK                (0x10000U)\r
-#define SPI_FIFORD_RXSSEL0_N_SHIFT               (16U)\r
-#define SPI_FIFORD_RXSSEL0_N(x)                  (((uint32_t)(((uint32_t)(x)) << SPI_FIFORD_RXSSEL0_N_SHIFT)) & SPI_FIFORD_RXSSEL0_N_MASK)\r
-#define SPI_FIFORD_RXSSEL1_N_MASK                (0x20000U)\r
-#define SPI_FIFORD_RXSSEL1_N_SHIFT               (17U)\r
-#define SPI_FIFORD_RXSSEL1_N(x)                  (((uint32_t)(((uint32_t)(x)) << SPI_FIFORD_RXSSEL1_N_SHIFT)) & SPI_FIFORD_RXSSEL1_N_MASK)\r
-#define SPI_FIFORD_RXSSEL2_N_MASK                (0x40000U)\r
-#define SPI_FIFORD_RXSSEL2_N_SHIFT               (18U)\r
-#define SPI_FIFORD_RXSSEL2_N(x)                  (((uint32_t)(((uint32_t)(x)) << SPI_FIFORD_RXSSEL2_N_SHIFT)) & SPI_FIFORD_RXSSEL2_N_MASK)\r
-#define SPI_FIFORD_RXSSEL3_N_MASK                (0x80000U)\r
-#define SPI_FIFORD_RXSSEL3_N_SHIFT               (19U)\r
-#define SPI_FIFORD_RXSSEL3_N(x)                  (((uint32_t)(((uint32_t)(x)) << SPI_FIFORD_RXSSEL3_N_SHIFT)) & SPI_FIFORD_RXSSEL3_N_MASK)\r
-#define SPI_FIFORD_SOT_MASK                      (0x100000U)\r
-#define SPI_FIFORD_SOT_SHIFT                     (20U)\r
-#define SPI_FIFORD_SOT(x)                        (((uint32_t)(((uint32_t)(x)) << SPI_FIFORD_SOT_SHIFT)) & SPI_FIFORD_SOT_MASK)\r
+#define SPI_FIFORD_RXDATA_MASK (0xFFFFU)\r
+#define SPI_FIFORD_RXDATA_SHIFT (0U)\r
+/*! RXDATA - Received data from the FIFO.\r
+ */\r
+#define SPI_FIFORD_RXDATA(x) (((uint32_t)(((uint32_t)(x)) << SPI_FIFORD_RXDATA_SHIFT)) & SPI_FIFORD_RXDATA_MASK)\r
+#define SPI_FIFORD_RXSSEL0_N_MASK (0x10000U)\r
+#define SPI_FIFORD_RXSSEL0_N_SHIFT (16U)\r
+/*! RXSSEL0_N - Slave Select for receive. This field allows the state of the SSEL0 pin to be saved\r
+ *    along with received data. The value will reflect the SSEL0 pin for both master and slave\r
+ *    operation. A zero indicates that a slave select is active. The actual polarity of each slave select\r
+ *    pin is configured by the related SPOL bit in CFG.\r
+ */\r
+#define SPI_FIFORD_RXSSEL0_N(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SPI_FIFORD_RXSSEL0_N_SHIFT)) & SPI_FIFORD_RXSSEL0_N_MASK)\r
+#define SPI_FIFORD_RXSSEL1_N_MASK (0x20000U)\r
+#define SPI_FIFORD_RXSSEL1_N_SHIFT (17U)\r
+/*! RXSSEL1_N - Slave Select for receive. This field allows the state of the SSEL1 pin to be saved\r
+ *    along with received data. The value will reflect the SSEL1 pin for both master and slave\r
+ *    operation. A zero indicates that a slave select is active. The actual polarity of each slave select\r
+ *    pin is configured by the related SPOL bit in CFG.\r
+ */\r
+#define SPI_FIFORD_RXSSEL1_N(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SPI_FIFORD_RXSSEL1_N_SHIFT)) & SPI_FIFORD_RXSSEL1_N_MASK)\r
+#define SPI_FIFORD_RXSSEL2_N_MASK (0x40000U)\r
+#define SPI_FIFORD_RXSSEL2_N_SHIFT (18U)\r
+/*! RXSSEL2_N - Slave Select for receive. This field allows the state of the SSEL2 pin to be saved\r
+ *    along with received data. The value will reflect the SSEL2 pin for both master and slave\r
+ *    operation. A zero indicates that a slave select is active. The actual polarity of each slave select\r
+ *    pin is configured by the related SPOL bit in CFG.\r
+ */\r
+#define SPI_FIFORD_RXSSEL2_N(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SPI_FIFORD_RXSSEL2_N_SHIFT)) & SPI_FIFORD_RXSSEL2_N_MASK)\r
+#define SPI_FIFORD_RXSSEL3_N_MASK (0x80000U)\r
+#define SPI_FIFORD_RXSSEL3_N_SHIFT (19U)\r
+/*! RXSSEL3_N - Slave Select for receive. This field allows the state of the SSEL3 pin to be saved\r
+ *    along with received data. The value will reflect the SSEL3 pin for both master and slave\r
+ *    operation. A zero indicates that a slave select is active. The actual polarity of each slave select\r
+ *    pin is configured by the related SPOL bit in CFG.\r
+ */\r
+#define SPI_FIFORD_RXSSEL3_N(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SPI_FIFORD_RXSSEL3_N_SHIFT)) & SPI_FIFORD_RXSSEL3_N_MASK)\r
+#define SPI_FIFORD_SOT_MASK (0x100000U)\r
+#define SPI_FIFORD_SOT_SHIFT (20U)\r
+/*! SOT - Start of Transfer flag. This flag will be 1 if this is the first data after the SSELs went\r
+ *    from deasserted to asserted (i.e., any previous transfer has ended). This information can be\r
+ *    used to identify the first piece of data in cases where the transfer length is greater than 16\r
+ *    bits.\r
+ */\r
+#define SPI_FIFORD_SOT(x) (((uint32_t)(((uint32_t)(x)) << SPI_FIFORD_SOT_SHIFT)) & SPI_FIFORD_SOT_MASK)\r
 /*! @} */\r
 \r
 /*! @name FIFORDNOPOP - FIFO data read with no FIFO pop. */\r
 /*! @{ */\r
-#define SPI_FIFORDNOPOP_RXDATA_MASK              (0xFFFFU)\r
-#define SPI_FIFORDNOPOP_RXDATA_SHIFT             (0U)\r
-#define SPI_FIFORDNOPOP_RXDATA(x)                (((uint32_t)(((uint32_t)(x)) << SPI_FIFORDNOPOP_RXDATA_SHIFT)) & SPI_FIFORDNOPOP_RXDATA_MASK)\r
-#define SPI_FIFORDNOPOP_RXSSEL0_N_MASK           (0x10000U)\r
-#define SPI_FIFORDNOPOP_RXSSEL0_N_SHIFT          (16U)\r
-#define SPI_FIFORDNOPOP_RXSSEL0_N(x)             (((uint32_t)(((uint32_t)(x)) << SPI_FIFORDNOPOP_RXSSEL0_N_SHIFT)) & SPI_FIFORDNOPOP_RXSSEL0_N_MASK)\r
-#define SPI_FIFORDNOPOP_RXSSEL1_N_MASK           (0x20000U)\r
-#define SPI_FIFORDNOPOP_RXSSEL1_N_SHIFT          (17U)\r
-#define SPI_FIFORDNOPOP_RXSSEL1_N(x)             (((uint32_t)(((uint32_t)(x)) << SPI_FIFORDNOPOP_RXSSEL1_N_SHIFT)) & SPI_FIFORDNOPOP_RXSSEL1_N_MASK)\r
-#define SPI_FIFORDNOPOP_RXSSEL2_N_MASK           (0x40000U)\r
-#define SPI_FIFORDNOPOP_RXSSEL2_N_SHIFT          (18U)\r
-#define SPI_FIFORDNOPOP_RXSSEL2_N(x)             (((uint32_t)(((uint32_t)(x)) << SPI_FIFORDNOPOP_RXSSEL2_N_SHIFT)) & SPI_FIFORDNOPOP_RXSSEL2_N_MASK)\r
-#define SPI_FIFORDNOPOP_RXSSEL3_N_MASK           (0x80000U)\r
-#define SPI_FIFORDNOPOP_RXSSEL3_N_SHIFT          (19U)\r
-#define SPI_FIFORDNOPOP_RXSSEL3_N(x)             (((uint32_t)(((uint32_t)(x)) << SPI_FIFORDNOPOP_RXSSEL3_N_SHIFT)) & SPI_FIFORDNOPOP_RXSSEL3_N_MASK)\r
-#define SPI_FIFORDNOPOP_SOT_MASK                 (0x100000U)\r
-#define SPI_FIFORDNOPOP_SOT_SHIFT                (20U)\r
-#define SPI_FIFORDNOPOP_SOT(x)                   (((uint32_t)(((uint32_t)(x)) << SPI_FIFORDNOPOP_SOT_SHIFT)) & SPI_FIFORDNOPOP_SOT_MASK)\r
+#define SPI_FIFORDNOPOP_RXDATA_MASK (0xFFFFU)\r
+#define SPI_FIFORDNOPOP_RXDATA_SHIFT (0U)\r
+/*! RXDATA - Received data from the FIFO.\r
+ */\r
+#define SPI_FIFORDNOPOP_RXDATA(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SPI_FIFORDNOPOP_RXDATA_SHIFT)) & SPI_FIFORDNOPOP_RXDATA_MASK)\r
+#define SPI_FIFORDNOPOP_RXSSEL0_N_MASK (0x10000U)\r
+#define SPI_FIFORDNOPOP_RXSSEL0_N_SHIFT (16U)\r
+/*! RXSSEL0_N - Slave Select for receive.\r
+ */\r
+#define SPI_FIFORDNOPOP_RXSSEL0_N(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SPI_FIFORDNOPOP_RXSSEL0_N_SHIFT)) & SPI_FIFORDNOPOP_RXSSEL0_N_MASK)\r
+#define SPI_FIFORDNOPOP_RXSSEL1_N_MASK (0x20000U)\r
+#define SPI_FIFORDNOPOP_RXSSEL1_N_SHIFT (17U)\r
+/*! RXSSEL1_N - Slave Select for receive.\r
+ */\r
+#define SPI_FIFORDNOPOP_RXSSEL1_N(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SPI_FIFORDNOPOP_RXSSEL1_N_SHIFT)) & SPI_FIFORDNOPOP_RXSSEL1_N_MASK)\r
+#define SPI_FIFORDNOPOP_RXSSEL2_N_MASK (0x40000U)\r
+#define SPI_FIFORDNOPOP_RXSSEL2_N_SHIFT (18U)\r
+/*! RXSSEL2_N - Slave Select for receive.\r
+ */\r
+#define SPI_FIFORDNOPOP_RXSSEL2_N(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SPI_FIFORDNOPOP_RXSSEL2_N_SHIFT)) & SPI_FIFORDNOPOP_RXSSEL2_N_MASK)\r
+#define SPI_FIFORDNOPOP_RXSSEL3_N_MASK (0x80000U)\r
+#define SPI_FIFORDNOPOP_RXSSEL3_N_SHIFT (19U)\r
+/*! RXSSEL3_N - Slave Select for receive.\r
+ */\r
+#define SPI_FIFORDNOPOP_RXSSEL3_N(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SPI_FIFORDNOPOP_RXSSEL3_N_SHIFT)) & SPI_FIFORDNOPOP_RXSSEL3_N_MASK)\r
+#define SPI_FIFORDNOPOP_SOT_MASK (0x100000U)\r
+#define SPI_FIFORDNOPOP_SOT_SHIFT (20U)\r
+/*! SOT - Start of transfer flag.\r
+ */\r
+#define SPI_FIFORDNOPOP_SOT(x) (((uint32_t)(((uint32_t)(x)) << SPI_FIFORDNOPOP_SOT_SHIFT)) & SPI_FIFORDNOPOP_SOT_MASK)\r
 /*! @} */\r
 \r
 /*! @name ID - Peripheral identification register. */\r
 /*! @{ */\r
-#define SPI_ID_APERTURE_MASK                     (0xFFU)\r
-#define SPI_ID_APERTURE_SHIFT                    (0U)\r
-#define SPI_ID_APERTURE(x)                       (((uint32_t)(((uint32_t)(x)) << SPI_ID_APERTURE_SHIFT)) & SPI_ID_APERTURE_MASK)\r
-#define SPI_ID_MINOR_REV_MASK                    (0xF00U)\r
-#define SPI_ID_MINOR_REV_SHIFT                   (8U)\r
-#define SPI_ID_MINOR_REV(x)                      (((uint32_t)(((uint32_t)(x)) << SPI_ID_MINOR_REV_SHIFT)) & SPI_ID_MINOR_REV_MASK)\r
-#define SPI_ID_MAJOR_REV_MASK                    (0xF000U)\r
-#define SPI_ID_MAJOR_REV_SHIFT                   (12U)\r
-#define SPI_ID_MAJOR_REV(x)                      (((uint32_t)(((uint32_t)(x)) << SPI_ID_MAJOR_REV_SHIFT)) & SPI_ID_MAJOR_REV_MASK)\r
-#define SPI_ID_ID_MASK                           (0xFFFF0000U)\r
-#define SPI_ID_ID_SHIFT                          (16U)\r
-#define SPI_ID_ID(x)                             (((uint32_t)(((uint32_t)(x)) << SPI_ID_ID_SHIFT)) & SPI_ID_ID_MASK)\r
+#define SPI_ID_APERTURE_MASK (0xFFU)\r
+#define SPI_ID_APERTURE_SHIFT (0U)\r
+/*! APERTURE - Aperture: encoded as (aperture size/4K) -1, so 0x00 means a 4K aperture.\r
+ */\r
+#define SPI_ID_APERTURE(x) (((uint32_t)(((uint32_t)(x)) << SPI_ID_APERTURE_SHIFT)) & SPI_ID_APERTURE_MASK)\r
+#define SPI_ID_MINOR_REV_MASK (0xF00U)\r
+#define SPI_ID_MINOR_REV_SHIFT (8U)\r
+/*! MINOR_REV - Minor revision of module implementation.\r
+ */\r
+#define SPI_ID_MINOR_REV(x) (((uint32_t)(((uint32_t)(x)) << SPI_ID_MINOR_REV_SHIFT)) & SPI_ID_MINOR_REV_MASK)\r
+#define SPI_ID_MAJOR_REV_MASK (0xF000U)\r
+#define SPI_ID_MAJOR_REV_SHIFT (12U)\r
+/*! MAJOR_REV - Major revision of module implementation.\r
+ */\r
+#define SPI_ID_MAJOR_REV(x) (((uint32_t)(((uint32_t)(x)) << SPI_ID_MAJOR_REV_SHIFT)) & SPI_ID_MAJOR_REV_MASK)\r
+#define SPI_ID_ID_MASK (0xFFFF0000U)\r
+#define SPI_ID_ID_SHIFT (16U)\r
+/*! ID - Module identifier for the selected function.\r
+ */\r
+#define SPI_ID_ID(x) (((uint32_t)(((uint32_t)(x)) << SPI_ID_ID_SHIFT)) & SPI_ID_ID_MASK)\r
 /*! @} */\r
 \r
-\r
 /*!\r
  * @}\r
  */ /* end of group SPI_Register_Masks */\r
 \r
-\r
 /* SPI - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral SPI0 base address */\r
-  #define SPI0_BASE                                (0x50086000u)\r
-  /** Peripheral SPI0 base address */\r
-  #define SPI0_BASE_NS                             (0x40086000u)\r
-  /** Peripheral SPI0 base pointer */\r
-  #define SPI0                                     ((SPI_Type *)SPI0_BASE)\r
-  /** Peripheral SPI0 base pointer */\r
-  #define SPI0_NS                                  ((SPI_Type *)SPI0_BASE_NS)\r
-  /** Peripheral SPI1 base address */\r
-  #define SPI1_BASE                                (0x50087000u)\r
-  /** Peripheral SPI1 base address */\r
-  #define SPI1_BASE_NS                             (0x40087000u)\r
-  /** Peripheral SPI1 base pointer */\r
-  #define SPI1                                     ((SPI_Type *)SPI1_BASE)\r
-  /** Peripheral SPI1 base pointer */\r
-  #define SPI1_NS                                  ((SPI_Type *)SPI1_BASE_NS)\r
-  /** Peripheral SPI2 base address */\r
-  #define SPI2_BASE                                (0x50088000u)\r
-  /** Peripheral SPI2 base address */\r
-  #define SPI2_BASE_NS                             (0x40088000u)\r
-  /** Peripheral SPI2 base pointer */\r
-  #define SPI2                                     ((SPI_Type *)SPI2_BASE)\r
-  /** Peripheral SPI2 base pointer */\r
-  #define SPI2_NS                                  ((SPI_Type *)SPI2_BASE_NS)\r
-  /** Peripheral SPI3 base address */\r
-  #define SPI3_BASE                                (0x50089000u)\r
-  /** Peripheral SPI3 base address */\r
-  #define SPI3_BASE_NS                             (0x40089000u)\r
-  /** Peripheral SPI3 base pointer */\r
-  #define SPI3                                     ((SPI_Type *)SPI3_BASE)\r
-  /** Peripheral SPI3 base pointer */\r
-  #define SPI3_NS                                  ((SPI_Type *)SPI3_BASE_NS)\r
-  /** Peripheral SPI4 base address */\r
-  #define SPI4_BASE                                (0x5008A000u)\r
-  /** Peripheral SPI4 base address */\r
-  #define SPI4_BASE_NS                             (0x4008A000u)\r
-  /** Peripheral SPI4 base pointer */\r
-  #define SPI4                                     ((SPI_Type *)SPI4_BASE)\r
-  /** Peripheral SPI4 base pointer */\r
-  #define SPI4_NS                                  ((SPI_Type *)SPI4_BASE_NS)\r
-  /** Peripheral SPI5 base address */\r
-  #define SPI5_BASE                                (0x50096000u)\r
-  /** Peripheral SPI5 base address */\r
-  #define SPI5_BASE_NS                             (0x40096000u)\r
-  /** Peripheral SPI5 base pointer */\r
-  #define SPI5                                     ((SPI_Type *)SPI5_BASE)\r
-  /** Peripheral SPI5 base pointer */\r
-  #define SPI5_NS                                  ((SPI_Type *)SPI5_BASE_NS)\r
-  /** Peripheral SPI6 base address */\r
-  #define SPI6_BASE                                (0x50097000u)\r
-  /** Peripheral SPI6 base address */\r
-  #define SPI6_BASE_NS                             (0x40097000u)\r
-  /** Peripheral SPI6 base pointer */\r
-  #define SPI6                                     ((SPI_Type *)SPI6_BASE)\r
-  /** Peripheral SPI6 base pointer */\r
-  #define SPI6_NS                                  ((SPI_Type *)SPI6_BASE_NS)\r
-  /** Peripheral SPI7 base address */\r
-  #define SPI7_BASE                                (0x50098000u)\r
-  /** Peripheral SPI7 base address */\r
-  #define SPI7_BASE_NS                             (0x40098000u)\r
-  /** Peripheral SPI7 base pointer */\r
-  #define SPI7                                     ((SPI_Type *)SPI7_BASE)\r
-  /** Peripheral SPI7 base pointer */\r
-  #define SPI7_NS                                  ((SPI_Type *)SPI7_BASE_NS)\r
-  /** Peripheral SPI8 base address */\r
-  #define SPI8_BASE                                (0x5009F000u)\r
-  /** Peripheral SPI8 base address */\r
-  #define SPI8_BASE_NS                             (0x4009F000u)\r
-  /** Peripheral SPI8 base pointer */\r
-  #define SPI8                                     ((SPI_Type *)SPI8_BASE)\r
-  /** Peripheral SPI8 base pointer */\r
-  #define SPI8_NS                                  ((SPI_Type *)SPI8_BASE_NS)\r
-  /** Array initializer of SPI peripheral base addresses */\r
-  #define SPI_BASE_ADDRS                           { SPI0_BASE, SPI1_BASE, SPI2_BASE, SPI3_BASE, SPI4_BASE, SPI5_BASE, SPI6_BASE, SPI7_BASE, SPI8_BASE }\r
-  /** Array initializer of SPI peripheral base pointers */\r
-  #define SPI_BASE_PTRS                            { SPI0, SPI1, SPI2, SPI3, SPI4, SPI5, SPI6, SPI7, SPI8 }\r
-  /** Array initializer of SPI peripheral base addresses */\r
-  #define SPI_BASE_ADDRS_NS                        { SPI0_BASE_NS, SPI1_BASE_NS, SPI2_BASE_NS, SPI3_BASE_NS, SPI4_BASE_NS, SPI5_BASE_NS, SPI6_BASE_NS, SPI7_BASE_NS, SPI8_BASE_NS }\r
-  /** Array initializer of SPI peripheral base pointers */\r
-  #define SPI_BASE_PTRS_NS                         { SPI0_NS, SPI1_NS, SPI2_NS, SPI3_NS, SPI4_NS, SPI5_NS, SPI6_NS, SPI7_NS, SPI8_NS }\r
+/** Peripheral SPI0 base address */\r
+#define SPI0_BASE (0x50086000u)\r
+/** Peripheral SPI0 base address */\r
+#define SPI0_BASE_NS (0x40086000u)\r
+/** Peripheral SPI0 base pointer */\r
+#define SPI0 ((SPI_Type *)SPI0_BASE)\r
+/** Peripheral SPI0 base pointer */\r
+#define SPI0_NS ((SPI_Type *)SPI0_BASE_NS)\r
+/** Peripheral SPI1 base address */\r
+#define SPI1_BASE (0x50087000u)\r
+/** Peripheral SPI1 base address */\r
+#define SPI1_BASE_NS (0x40087000u)\r
+/** Peripheral SPI1 base pointer */\r
+#define SPI1 ((SPI_Type *)SPI1_BASE)\r
+/** Peripheral SPI1 base pointer */\r
+#define SPI1_NS ((SPI_Type *)SPI1_BASE_NS)\r
+/** Peripheral SPI2 base address */\r
+#define SPI2_BASE (0x50088000u)\r
+/** Peripheral SPI2 base address */\r
+#define SPI2_BASE_NS (0x40088000u)\r
+/** Peripheral SPI2 base pointer */\r
+#define SPI2 ((SPI_Type *)SPI2_BASE)\r
+/** Peripheral SPI2 base pointer */\r
+#define SPI2_NS ((SPI_Type *)SPI2_BASE_NS)\r
+/** Peripheral SPI3 base address */\r
+#define SPI3_BASE (0x50089000u)\r
+/** Peripheral SPI3 base address */\r
+#define SPI3_BASE_NS (0x40089000u)\r
+/** Peripheral SPI3 base pointer */\r
+#define SPI3 ((SPI_Type *)SPI3_BASE)\r
+/** Peripheral SPI3 base pointer */\r
+#define SPI3_NS ((SPI_Type *)SPI3_BASE_NS)\r
+/** Peripheral SPI4 base address */\r
+#define SPI4_BASE (0x5008A000u)\r
+/** Peripheral SPI4 base address */\r
+#define SPI4_BASE_NS (0x4008A000u)\r
+/** Peripheral SPI4 base pointer */\r
+#define SPI4 ((SPI_Type *)SPI4_BASE)\r
+/** Peripheral SPI4 base pointer */\r
+#define SPI4_NS ((SPI_Type *)SPI4_BASE_NS)\r
+/** Peripheral SPI5 base address */\r
+#define SPI5_BASE (0x50096000u)\r
+/** Peripheral SPI5 base address */\r
+#define SPI5_BASE_NS (0x40096000u)\r
+/** Peripheral SPI5 base pointer */\r
+#define SPI5 ((SPI_Type *)SPI5_BASE)\r
+/** Peripheral SPI5 base pointer */\r
+#define SPI5_NS ((SPI_Type *)SPI5_BASE_NS)\r
+/** Peripheral SPI6 base address */\r
+#define SPI6_BASE (0x50097000u)\r
+/** Peripheral SPI6 base address */\r
+#define SPI6_BASE_NS (0x40097000u)\r
+/** Peripheral SPI6 base pointer */\r
+#define SPI6 ((SPI_Type *)SPI6_BASE)\r
+/** Peripheral SPI6 base pointer */\r
+#define SPI6_NS ((SPI_Type *)SPI6_BASE_NS)\r
+/** Peripheral SPI7 base address */\r
+#define SPI7_BASE (0x50098000u)\r
+/** Peripheral SPI7 base address */\r
+#define SPI7_BASE_NS (0x40098000u)\r
+/** Peripheral SPI7 base pointer */\r
+#define SPI7 ((SPI_Type *)SPI7_BASE)\r
+/** Peripheral SPI7 base pointer */\r
+#define SPI7_NS ((SPI_Type *)SPI7_BASE_NS)\r
+/** Peripheral SPI8 base address */\r
+#define SPI8_BASE (0x5009F000u)\r
+/** Peripheral SPI8 base address */\r
+#define SPI8_BASE_NS (0x4009F000u)\r
+/** Peripheral SPI8 base pointer */\r
+#define SPI8 ((SPI_Type *)SPI8_BASE)\r
+/** Peripheral SPI8 base pointer */\r
+#define SPI8_NS ((SPI_Type *)SPI8_BASE_NS)\r
+/** Array initializer of SPI peripheral base addresses */\r
+#define SPI_BASE_ADDRS                                                                                    \\r
+    {                                                                                                     \\r
+        SPI0_BASE, SPI1_BASE, SPI2_BASE, SPI3_BASE, SPI4_BASE, SPI5_BASE, SPI6_BASE, SPI7_BASE, SPI8_BASE \\r
+    }\r
+/** Array initializer of SPI peripheral base pointers */\r
+#define SPI_BASE_PTRS                                        \\r
+    {                                                        \\r
+        SPI0, SPI1, SPI2, SPI3, SPI4, SPI5, SPI6, SPI7, SPI8 \\r
+    }\r
+/** Array initializer of SPI peripheral base addresses */\r
+#define SPI_BASE_ADDRS_NS                                                                                 \\r
+    {                                                                                                     \\r
+        SPI0_BASE_NS, SPI1_BASE_NS, SPI2_BASE_NS, SPI3_BASE_NS, SPI4_BASE_NS, SPI5_BASE_NS, SPI6_BASE_NS, \\r
+            SPI7_BASE_NS, SPI8_BASE_NS                                                                    \\r
+    }\r
+/** Array initializer of SPI peripheral base pointers */\r
+#define SPI_BASE_PTRS_NS                                                                \\r
+    {                                                                                   \\r
+        SPI0_NS, SPI1_NS, SPI2_NS, SPI3_NS, SPI4_NS, SPI5_NS, SPI6_NS, SPI7_NS, SPI8_NS \\r
+    }\r
 #else\r
-  /** Peripheral SPI0 base address */\r
-  #define SPI0_BASE                                (0x40086000u)\r
-  /** Peripheral SPI0 base pointer */\r
-  #define SPI0                                     ((SPI_Type *)SPI0_BASE)\r
-  /** Peripheral SPI1 base address */\r
-  #define SPI1_BASE                                (0x40087000u)\r
-  /** Peripheral SPI1 base pointer */\r
-  #define SPI1                                     ((SPI_Type *)SPI1_BASE)\r
-  /** Peripheral SPI2 base address */\r
-  #define SPI2_BASE                                (0x40088000u)\r
-  /** Peripheral SPI2 base pointer */\r
-  #define SPI2                                     ((SPI_Type *)SPI2_BASE)\r
-  /** Peripheral SPI3 base address */\r
-  #define SPI3_BASE                                (0x40089000u)\r
-  /** Peripheral SPI3 base pointer */\r
-  #define SPI3                                     ((SPI_Type *)SPI3_BASE)\r
-  /** Peripheral SPI4 base address */\r
-  #define SPI4_BASE                                (0x4008A000u)\r
-  /** Peripheral SPI4 base pointer */\r
-  #define SPI4                                     ((SPI_Type *)SPI4_BASE)\r
-  /** Peripheral SPI5 base address */\r
-  #define SPI5_BASE                                (0x40096000u)\r
-  /** Peripheral SPI5 base pointer */\r
-  #define SPI5                                     ((SPI_Type *)SPI5_BASE)\r
-  /** Peripheral SPI6 base address */\r
-  #define SPI6_BASE                                (0x40097000u)\r
-  /** Peripheral SPI6 base pointer */\r
-  #define SPI6                                     ((SPI_Type *)SPI6_BASE)\r
-  /** Peripheral SPI7 base address */\r
-  #define SPI7_BASE                                (0x40098000u)\r
-  /** Peripheral SPI7 base pointer */\r
-  #define SPI7                                     ((SPI_Type *)SPI7_BASE)\r
-  /** Peripheral SPI8 base address */\r
-  #define SPI8_BASE                                (0x4009F000u)\r
-  /** Peripheral SPI8 base pointer */\r
-  #define SPI8                                     ((SPI_Type *)SPI8_BASE)\r
-  /** Array initializer of SPI peripheral base addresses */\r
-  #define SPI_BASE_ADDRS                           { SPI0_BASE, SPI1_BASE, SPI2_BASE, SPI3_BASE, SPI4_BASE, SPI5_BASE, SPI6_BASE, SPI7_BASE, SPI8_BASE }\r
-  /** Array initializer of SPI peripheral base pointers */\r
-  #define SPI_BASE_PTRS                            { SPI0, SPI1, SPI2, SPI3, SPI4, SPI5, SPI6, SPI7, SPI8 }\r
+/** Peripheral SPI0 base address */\r
+#define SPI0_BASE (0x40086000u)\r
+/** Peripheral SPI0 base pointer */\r
+#define SPI0 ((SPI_Type *)SPI0_BASE)\r
+/** Peripheral SPI1 base address */\r
+#define SPI1_BASE (0x40087000u)\r
+/** Peripheral SPI1 base pointer */\r
+#define SPI1 ((SPI_Type *)SPI1_BASE)\r
+/** Peripheral SPI2 base address */\r
+#define SPI2_BASE (0x40088000u)\r
+/** Peripheral SPI2 base pointer */\r
+#define SPI2 ((SPI_Type *)SPI2_BASE)\r
+/** Peripheral SPI3 base address */\r
+#define SPI3_BASE (0x40089000u)\r
+/** Peripheral SPI3 base pointer */\r
+#define SPI3 ((SPI_Type *)SPI3_BASE)\r
+/** Peripheral SPI4 base address */\r
+#define SPI4_BASE (0x4008A000u)\r
+/** Peripheral SPI4 base pointer */\r
+#define SPI4 ((SPI_Type *)SPI4_BASE)\r
+/** Peripheral SPI5 base address */\r
+#define SPI5_BASE (0x40096000u)\r
+/** Peripheral SPI5 base pointer */\r
+#define SPI5 ((SPI_Type *)SPI5_BASE)\r
+/** Peripheral SPI6 base address */\r
+#define SPI6_BASE (0x40097000u)\r
+/** Peripheral SPI6 base pointer */\r
+#define SPI6 ((SPI_Type *)SPI6_BASE)\r
+/** Peripheral SPI7 base address */\r
+#define SPI7_BASE (0x40098000u)\r
+/** Peripheral SPI7 base pointer */\r
+#define SPI7 ((SPI_Type *)SPI7_BASE)\r
+/** Peripheral SPI8 base address */\r
+#define SPI8_BASE (0x4009F000u)\r
+/** Peripheral SPI8 base pointer */\r
+#define SPI8 ((SPI_Type *)SPI8_BASE)\r
+/** Array initializer of SPI peripheral base addresses */\r
+#define SPI_BASE_ADDRS                                                                                    \\r
+    {                                                                                                     \\r
+        SPI0_BASE, SPI1_BASE, SPI2_BASE, SPI3_BASE, SPI4_BASE, SPI5_BASE, SPI6_BASE, SPI7_BASE, SPI8_BASE \\r
+    }\r
+/** Array initializer of SPI peripheral base pointers */\r
+#define SPI_BASE_PTRS                                        \\r
+    {                                                        \\r
+        SPI0, SPI1, SPI2, SPI3, SPI4, SPI5, SPI6, SPI7, SPI8 \\r
+    }\r
 #endif\r
 /** Interrupt vectors for the SPI peripheral type */\r
-#define SPI_IRQS                                 { FLEXCOMM0_IRQn, FLEXCOMM1_IRQn, FLEXCOMM2_IRQn, FLEXCOMM3_IRQn, FLEXCOMM4_IRQn, FLEXCOMM5_IRQn, FLEXCOMM6_IRQn, FLEXCOMM7_IRQn, LSPI_HS_IRQn }\r
+#define SPI_IRQS                                                                                        \\r
+    {                                                                                                   \\r
+        FLEXCOMM0_IRQn, FLEXCOMM1_IRQn, FLEXCOMM2_IRQn, FLEXCOMM3_IRQn, FLEXCOMM4_IRQn, FLEXCOMM5_IRQn, \\r
+            FLEXCOMM6_IRQn, FLEXCOMM7_IRQn, FLEXCOMM8_IRQn                                              \\r
+    }\r
 \r
 /*!\r
  * @}\r
  */ /* end of group SPI_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- SYSCON Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -15931,204 +21032,206 @@ typedef struct {
  */\r
 \r
 /** SYSCON - Register Layout Typedef */\r
-typedef struct {\r
-  __IO uint32_t MEMORYREMAP;                       /**< Memory Remap control register, offset: 0x0 */\r
-       uint8_t RESERVED_0[12];\r
-  __IO uint32_t AHBMATPRIO;                        /**< AHB Matrix priority control register Priority values are 3 = highest, 0 = lowest, offset: 0x10 */\r
-       uint8_t RESERVED_1[36];\r
-  __IO uint32_t CPU0STCKCAL;                       /**< System tick calibration for secure part of CPU0, offset: 0x38 */\r
-  __IO uint32_t CPU0NSTCKCAL;                      /**< System tick calibration for non-secure part of CPU0, offset: 0x3C */\r
-  __IO uint32_t CPU1TCKCAL;                        /**< System tick calibration for CPU1, offset: 0x40 */\r
-       uint8_t RESERVED_2[4];\r
-  __IO uint32_t NMISRC;                            /**< NMI Source Select, offset: 0x48 */\r
-       uint8_t RESERVED_3[180];\r
-  union {                                          /* offset: 0x100 */\r
-    struct {                                         /* offset: 0x100 */\r
-      __IO uint32_t PRESETCTRL0;                       /**< Peripheral reset control 0, offset: 0x100 */\r
-      __IO uint32_t PRESETCTRL1;                       /**< Peripheral reset control 1, offset: 0x104 */\r
-      __IO uint32_t PRESETCTRL2;                       /**< Peripheral reset control 2, offset: 0x108 */\r
-    } PRESETCTRL;\r
-    __IO uint32_t PRESETCTRLX[3];                    /**< Peripheral reset control register, array offset: 0x100, array step: 0x4 */\r
-  };\r
-       uint8_t RESERVED_4[20];\r
-  __IO uint32_t PRESETCTRLSET[3];                  /**< Peripheral reset control set register, array offset: 0x120, array step: 0x4 */\r
-       uint8_t RESERVED_5[20];\r
-  __IO uint32_t PRESETCTRLCLR[3];                  /**< Peripheral reset contro clearl register, array offset: 0x140, array step: 0x4 */\r
-       uint8_t RESERVED_6[20];\r
-  __O  uint32_t SWR_RESET;                         /**< generate a software_reset, offset: 0x160 */\r
-       uint8_t RESERVED_7[156];\r
-  union {                                          /* offset: 0x200 */\r
-    struct {                                         /* offset: 0x200 */\r
-      __IO uint32_t AHBCLKCTRL0;                       /**< AHB Clock control 0, offset: 0x200 */\r
-      __IO uint32_t AHBCLKCTRL1;                       /**< AHB Clock control 1, offset: 0x204 */\r
-      __IO uint32_t AHBCLKCTRL2;                       /**< AHB Clock control 2, offset: 0x208 */\r
-    } AHBCLKCTRL;\r
-    __IO uint32_t AHBCLKCTRLX[3];                    /**< Peripheral reset control register, array offset: 0x200, array step: 0x4 */\r
-  };\r
-       uint8_t RESERVED_8[20];\r
-  __IO uint32_t AHBCLKCTRLSET[3];                  /**< Peripheral reset control register, array offset: 0x220, array step: 0x4 */\r
-       uint8_t RESERVED_9[20];\r
-  __IO uint32_t AHBCLKCTRLCLR[3];                  /**< Peripheral reset control register, array offset: 0x240, array step: 0x4 */\r
-       uint8_t RESERVED_10[20];\r
-  union {                                          /* offset: 0x260 */\r
-    struct {                                         /* offset: 0x260 */\r
-      __IO uint32_t SYSTICKCLKSEL0;                    /**< System Tick Timer for CPU0 source select, offset: 0x260 */\r
-      __IO uint32_t SYSTICKCLKSEL1;                    /**< System Tick Timer for CPU1 source select, offset: 0x264 */\r
-    } SYSTICKCLKSEL;\r
-    __IO uint32_t SYSTICKCLKSELX[2];                 /**< Peripheral reset control register, array offset: 0x260, array step: 0x4 */\r
-  };\r
-  __IO uint32_t TRACECLKSEL;                       /**< Trace clock source select, offset: 0x268 */\r
-  union {                                          /* offset: 0x26C */\r
-    struct {                                         /* offset: 0x26C */\r
-      __IO uint32_t CTIMERCLKSEL0;                     /**< CTimer 0 clock source select, offset: 0x26C */\r
-      __IO uint32_t CTIMERCLKSEL1;                     /**< CTimer 1 clock source select, offset: 0x270 */\r
-      __IO uint32_t CTIMERCLKSEL2;                     /**< CTimer 2 clock source select, offset: 0x274 */\r
-      __IO uint32_t CTIMERCLKSEL3;                     /**< CTimer 3 clock source select, offset: 0x278 */\r
-      __IO uint32_t CTIMERCLKSEL4;                     /**< CTimer 4 clock source select, offset: 0x27C */\r
-    } CTIMERCLKSEL;\r
-    __IO uint32_t CTIMERCLKSELX[5];                  /**< Peripheral reset control register, array offset: 0x26C, array step: 0x4 */\r
-  };\r
-  __IO uint32_t MAINCLKSELA;                       /**< Main clock A source select, offset: 0x280 */\r
-  __IO uint32_t MAINCLKSELB;                       /**< Main clock source select, offset: 0x284 */\r
-  __IO uint32_t CLKOUTSEL;                         /**< CLKOUT clock source select, offset: 0x288 */\r
-       uint8_t RESERVED_11[4];\r
-  __IO uint32_t PLL0CLKSEL;                        /**< PLL0 clock source select, offset: 0x290 */\r
-  __IO uint32_t PLL1CLKSEL;                        /**< PLL1 clock source select, offset: 0x294 */\r
-       uint8_t RESERVED_12[12];\r
-  __IO uint32_t ADCCLKSEL;                         /**< ADC clock source select, offset: 0x2A4 */\r
-  __IO uint32_t USB0CLKSEL;                        /**< FS USB clock source select, offset: 0x2A8 */\r
-  __IO uint32_t USB1CLKSEL;                        /**< HS USB clock source select - NOT USED, offset: 0x2AC */\r
-  union {                                          /* offset: 0x2B0 */\r
-    struct {                                         /* offset: 0x2B0 */\r
-      __IO uint32_t FCCLKSEL0;                         /**< Flexcomm Interface 0 clock source select for Fractional Rate Divider, offset: 0x2B0 */\r
-      __IO uint32_t FCCLKSEL1;                         /**< Flexcomm Interface 1 clock source select for Fractional Rate Divider, offset: 0x2B4 */\r
-      __IO uint32_t FCCLKSEL2;                         /**< Flexcomm Interface 2 clock source select for Fractional Rate Divider, offset: 0x2B8 */\r
-      __IO uint32_t FCCLKSEL3;                         /**< Flexcomm Interface 3 clock source select for Fractional Rate Divider, offset: 0x2BC */\r
-      __IO uint32_t FCCLKSEL4;                         /**< Flexcomm Interface 4 clock source select for Fractional Rate Divider, offset: 0x2C0 */\r
-      __IO uint32_t FCCLKSEL5;                         /**< Flexcomm Interface 5 clock source select for Fractional Rate Divider, offset: 0x2C4 */\r
-      __IO uint32_t FCCLKSEL6;                         /**< Flexcomm Interface 6 clock source select for Fractional Rate Divider, offset: 0x2C8 */\r
-      __IO uint32_t FCCLKSEL7;                         /**< Flexcomm Interface 7 clock source select for Fractional Rate Divider, offset: 0x2CC */\r
-    } FCCLKSEL;\r
-    __IO uint32_t FCCLKSELX[8];                      /**< Peripheral reset control register, array offset: 0x2B0, array step: 0x4 */\r
-  };\r
-  __IO uint32_t HSLSPICLKSEL;                      /**< HS LSPI clock source select, offset: 0x2D0 */\r
-       uint8_t RESERVED_13[12];\r
-  __IO uint32_t MCLKCLKSEL;                        /**< MCLK clock source select, offset: 0x2E0 */\r
-       uint8_t RESERVED_14[12];\r
-  __IO uint32_t SCTCLKSEL;                         /**< SCTimer/PWM clock source select, offset: 0x2F0 */\r
-       uint8_t RESERVED_15[4];\r
-  __IO uint32_t SDIOCLKSEL;                        /**< SDIO clock source select, offset: 0x2F8 */\r
-       uint8_t RESERVED_16[4];\r
-  __IO uint32_t SYSTICKCLKDIV0;                    /**< System Tick Timer divider for CPU0, offset: 0x300 */\r
-  __IO uint32_t SYSTICKCLKDIV1;                    /**< System Tick Timer divider for CPU1, offset: 0x304 */\r
-  __IO uint32_t TRACECLKDIV;                       /**< TRACE clock divider, offset: 0x308 */\r
-       uint8_t RESERVED_17[20];\r
-  union {                                          /* offset: 0x320 */\r
-    struct {                                         /* offset: 0x320 */\r
-      __IO uint32_t FLEXFRG0CTRL;                      /**< Fractional rate divider for flexcomm 0, offset: 0x320 */\r
-      __IO uint32_t FLEXFRG1CTRL;                      /**< Fractional rate divider for flexcomm 1, offset: 0x324 */\r
-      __IO uint32_t FLEXFRG2CTRL;                      /**< Fractional rate divider for flexcomm 2, offset: 0x328 */\r
-      __IO uint32_t FLEXFRG3CTRL;                      /**< Fractional rate divider for flexcomm 3, offset: 0x32C */\r
-      __IO uint32_t FLEXFRG4CTRL;                      /**< Fractional rate divider for flexcomm 4, offset: 0x330 */\r
-      __IO uint32_t FLEXFRG5CTRL;                      /**< Fractional rate divider for flexcomm 5, offset: 0x334 */\r
-      __IO uint32_t FLEXFRG6CTRL;                      /**< Fractional rate divider for flexcomm 6, offset: 0x338 */\r
-      __IO uint32_t FLEXFRG7CTRL;                      /**< Fractional rate divider for flexcomm 7, offset: 0x33C */\r
-    } FLEXFRGCTRL;\r
-    __IO uint32_t FLEXFRGXCTRL[8];                   /**< Peripheral reset control register, array offset: 0x320, array step: 0x4 */\r
-  };\r
-       uint8_t RESERVED_18[64];\r
-  __IO uint32_t AHBCLKDIV;                         /**< System clock divider, offset: 0x380 */\r
-  __IO uint32_t CLKOUTDIV;                         /**< CLKOUT clock divider, offset: 0x384 */\r
-  __IO uint32_t FROHFDIV;                          /**< FRO_HF (96MHz) clock divider, offset: 0x388 */\r
-  __IO uint32_t WDTCLKDIV;                         /**< WDT clock divider, offset: 0x38C */\r
-       uint8_t RESERVED_19[4];\r
-  __IO uint32_t ADCCLKDIV;                         /**< ADC clock divider, offset: 0x394 */\r
-  __IO uint32_t USB0CLKDIV;                        /**< USB0 Clock divider, offset: 0x398 */\r
-       uint8_t RESERVED_20[16];\r
-  __IO uint32_t MCLKDIV;                           /**< I2S MCLK clock divider, offset: 0x3AC */\r
-       uint8_t RESERVED_21[4];\r
-  __IO uint32_t SCTCLKDIV;                         /**< SCT/PWM clock divider, offset: 0x3B4 */\r
-       uint8_t RESERVED_22[4];\r
-  __IO uint32_t SDIOCLKDIV;                        /**< SDIO clock divider, offset: 0x3BC */\r
-       uint8_t RESERVED_23[4];\r
-  __IO uint32_t PLL0CLKDIV;                        /**< PLL0 clock divider, offset: 0x3C4 */\r
-       uint8_t RESERVED_24[52];\r
-  __IO uint32_t CLOCKGENUPDATELOCKOUT;             /**< Control clock configuration registers access (like xxxDIV, xxxSEL), offset: 0x3FC */\r
-  __IO uint32_t FMCCR;                             /**< FMC configuration register - INTERNAL USE ONLY, offset: 0x400 */\r
-       uint8_t RESERVED_25[8];\r
-  __IO uint32_t USB0CLKCTRL;                       /**< USB0 clock control, offset: 0x40C */\r
-  __I  uint32_t USB0CLKSTAT;                       /**< USB0 clock status, offset: 0x410 */\r
-       uint8_t RESERVED_26[8];\r
-  __O  uint32_t FMCFLUSH;                          /**< FMCflush control, offset: 0x41C */\r
-  __IO uint32_t MCLKIO;                            /**< MCLK control, offset: 0x420 */\r
-  __IO uint32_t USB1CLKCTRL;                       /**< USB1 clock control, offset: 0x424 */\r
-  __I  uint32_t USB1CLKSTAT;                       /**< USB1 clock status, offset: 0x428 */\r
-       uint8_t RESERVED_27[36];\r
-  __IO uint32_t FLASHBANKENABLE;                   /**< Flash Banks control, offset: 0x450 */\r
-       uint8_t RESERVED_28[12];\r
-  __IO uint32_t SDIOCLKCTRL;                       /**< SDIO CCLKIN phase and delay control, offset: 0x460 */\r
-       uint8_t RESERVED_29[252];\r
-  __IO uint32_t PLL1CTRL;                          /**< PLL1 550m control, offset: 0x560 */\r
-  __I  uint32_t PLL1STAT;                          /**< PLL1 550m status, offset: 0x564 */\r
-  __IO uint32_t PLL1NDEC;                          /**< PLL1 550m N divider, offset: 0x568 */\r
-  __IO uint32_t PLL1MDEC;                          /**< PLL1 550m M divider, offset: 0x56C */\r
-  __IO uint32_t PLL1PDEC;                          /**< PLL1 550m P divider, offset: 0x570 */\r
-       uint8_t RESERVED_30[12];\r
-  __IO uint32_t PLL0CTRL;                          /**< PLL0 550m control, offset: 0x580 */\r
-  __I  uint32_t PLL0STAT;                          /**< PLL0 550m status, offset: 0x584 */\r
-  __IO uint32_t PLL0NDEC;                          /**< PLL0 550m N divider, offset: 0x588 */\r
-  __IO uint32_t PLL0PDEC;                          /**< PLL0 550m P divider, offset: 0x58C */\r
-  __IO uint32_t PLL0SSCG0;                         /**< PLL0 Spread Spectrum Wrapper control register 0, offset: 0x590 */\r
-  __IO uint32_t PLL0SSCG1;                         /**< PLL0 Spread Spectrum Wrapper control register 1, offset: 0x594 */\r
-       uint8_t RESERVED_31[52];\r
-  __IO uint32_t EFUSECLKCTRL;                      /**< eFUSE controller clock enable, offset: 0x5CC */\r
-       uint8_t RESERVED_32[176];\r
-  __IO uint32_t STARTER[2];                        /**< Start logic wake-up enable register, array offset: 0x680, array step: 0x4 */\r
-       uint8_t RESERVED_33[24];\r
-  __O  uint32_t STARTERSET[2];                     /**< Set bits in STARTER, array offset: 0x6A0, array step: 0x4 */\r
-       uint8_t RESERVED_34[24];\r
-  __O  uint32_t STARTERCLR[2];                     /**< Clear bits in STARTER, array offset: 0x6C0, array step: 0x4 */\r
-       uint8_t RESERVED_35[184];\r
-  __IO uint32_t HARDWARESLEEP;                     /**< Hardware Sleep control, offset: 0x780 */\r
-       uint8_t RESERVED_36[124];\r
-  __IO uint32_t CPUCTRL;                           /**< CPU Control for multiple processors, offset: 0x800 */\r
-  __IO uint32_t CPBOOT;                            /**< Coprocessor Boot Address, offset: 0x804 */\r
-  __IO uint32_t CPSTACK;                           /**< Coprocessor Stack Address, offset: 0x808 */\r
-  __I  uint32_t CPSTAT;                            /**< CPU Status, offset: 0x80C */\r
-       uint8_t RESERVED_37[240];\r
-  __IO uint32_t DICE_REG0;                         /**< Composite Device Identifier, offset: 0x900 */\r
-  __IO uint32_t DICE_REG1;                         /**< Composite Device Identifier, offset: 0x904 */\r
-  __IO uint32_t DICE_REG2;                         /**< Composite Device Identifier, offset: 0x908 */\r
-  __IO uint32_t DICE_REG3;                         /**< Composite Device Identifier, offset: 0x90C */\r
-  __IO uint32_t DICE_REG4;                         /**< Composite Device Identifier, offset: 0x910 */\r
-  __IO uint32_t DICE_REG5;                         /**< Composite Device Identifier, offset: 0x914 */\r
-  __IO uint32_t DICE_REG6;                         /**< Composite Device Identifier, offset: 0x918 */\r
-  __IO uint32_t DICE_REG7;                         /**< Composite Device Identifier, offset: 0x91C */\r
-       uint8_t RESERVED_38[248];\r
-  __IO uint32_t CLOCK_CTRL;                        /**< Various system clock controls : Flash clock (48 MHz) control, clocks to Frequency Measures, offset: 0xA18 */\r
-       uint8_t RESERVED_39[244];\r
-  __IO uint32_t COMP_INT_CTRL;                     /**< Comparator Interrupt control, offset: 0xB10 */\r
-  __I  uint32_t COMP_INT_STATUS;                   /**< Comparator Interrupt status, offset: 0xB14 */\r
-       uint8_t RESERVED_40[748];\r
-  __IO uint32_t AUTOCLKGATEOVERRIDE;               /**< Control automatic clock gating, offset: 0xE04 */\r
-  __IO uint32_t GPIOPSYNC;                         /**< Enable bypass of the first stage of synchonization inside GPIO_INT module, offset: 0xE08 */\r
-       uint8_t RESERVED_41[404];\r
-  __IO uint32_t DEBUG_LOCK_EN;                     /**< Control write access to security registers -- FOR INTERNAl USE ONLY, offset: 0xFA0 */\r
-  __IO uint32_t DEBUG_FEATURES;                    /**< Cortex M33 (CPU0) and micro Cortex M33 (CPU1) debug features control -- FOR INTERNAl USE ONLY, offset: 0xFA4 */\r
-  __IO uint32_t DEBUG_FEATURES_DP;                 /**< Cortex M33 (CPU0) and micro Cortex M33 (CPU1) debug features control DUPLICATE register -- FOR INTERNAl USE ONLY, offset: 0xFA8 */\r
-       uint8_t RESERVED_42[4];\r
-  __O  uint32_t CODESECURITYPROTTEST;              /**< Security code to allow test (Design for Testability) access -- FOR INTERNAl USE ONLY, offset: 0xFB0 */\r
-  __O  uint32_t CODESECURITYPROTCPU0;              /**< Security code to allow CPU0 (CM33) Debug Access Port (DAP) -- FOR INTERNAl USE ONLY, offset: 0xFB4 */\r
-  __O  uint32_t CODESECURITYPROTCPU1;              /**< Security code to allow CPU1 (Micro CM33) Debug Access Port (DAP) -- FOR INTERNAl USE ONLY, offset: 0xFB8 */\r
-  __O  uint32_t KEY_BLOCK;                         /**< block quiddikey/PUF all index. -- FOR INTERNAL USE ONLY, offset: 0xFBC */\r
-  __IO uint32_t DEBUG_AUTH_SCRATCH;                /**< Debug authentication scratch registers -- FOR INTERNAL USE ONLY, offset: 0xFC0 */\r
-       uint8_t RESERVED_43[16];\r
-  __IO uint32_t CPUCFG;                            /**< CPUs configuration register, offset: 0xFD4 */\r
-       uint8_t RESERVED_44[20];\r
-  __IO uint32_t PERIPHENCFG;                       /**< peripheral enable configuration -- FOR INTERNAL USE ONLY, offset: 0xFEC */\r
-       uint8_t RESERVED_45[8];\r
-  __I  uint32_t DEVICE_ID0;                        /**< Device ID, offset: 0xFF8 */\r
-  __I  uint32_t DIEID;                             /**< Chip revision ID and Number, offset: 0xFFC */\r
+typedef struct\r
+{\r
+    __IO uint32_t MEMORYREMAP; /**< Memory Remap control register, offset: 0x0 */\r
+    uint8_t RESERVED_0[12];\r
+    __IO uint32_t AHBMATPRIO; /**< AHB Matrix priority control register Priority values are 3 = highest, 0 = lowest,\r
+                                 offset: 0x10 */\r
+    uint8_t RESERVED_1[36];\r
+    __IO uint32_t CPU0STCKCAL;  /**< System tick calibration for secure part of CPU0, offset: 0x38 */\r
+    __IO uint32_t CPU0NSTCKCAL; /**< System tick calibration for non-secure part of CPU0, offset: 0x3C */\r
+    __IO uint32_t CPU1STCKCAL;  /**< System tick calibration for CPU1, offset: 0x40 */\r
+    uint8_t RESERVED_2[4];\r
+    __IO uint32_t NMISRC; /**< NMI Source Select, offset: 0x48 */\r
+    uint8_t RESERVED_3[180];\r
+    union\r
+    { /* offset: 0x100 */\r
+        struct\r
+        {                              /* offset: 0x100 */\r
+            __IO uint32_t PRESETCTRL0; /**< Peripheral reset control 0, offset: 0x100 */\r
+            __IO uint32_t PRESETCTRL1; /**< Peripheral reset control 1, offset: 0x104 */\r
+            __IO uint32_t PRESETCTRL2; /**< Peripheral reset control 2, offset: 0x108 */\r
+        } PRESETCTRL;\r
+        __IO uint32_t PRESETCTRLX[3]; /**< Peripheral reset control register, array offset: 0x100, array step: 0x4 */\r
+    };\r
+    uint8_t RESERVED_4[20];\r
+    __IO uint32_t PRESETCTRLSET[3]; /**< Peripheral reset control set register, array offset: 0x120, array step: 0x4 */\r
+    uint8_t RESERVED_5[20];\r
+    __IO uint32_t\r
+        PRESETCTRLCLR[3]; /**< Peripheral reset control clear register, array offset: 0x140, array step: 0x4 */\r
+    uint8_t RESERVED_6[20];\r
+    __O uint32_t SWR_RESET; /**< generate a software_reset, offset: 0x160 */\r
+    uint8_t RESERVED_7[156];\r
+    union\r
+    { /* offset: 0x200 */\r
+        struct\r
+        {                              /* offset: 0x200 */\r
+            __IO uint32_t AHBCLKCTRL0; /**< AHB Clock control 0, offset: 0x200 */\r
+            __IO uint32_t AHBCLKCTRL1; /**< AHB Clock control 1, offset: 0x204 */\r
+            __IO uint32_t AHBCLKCTRL2; /**< AHB Clock control 2, offset: 0x208 */\r
+        } AHBCLKCTRL;\r
+        __IO uint32_t AHBCLKCTRLX[3]; /**< Peripheral reset control register, array offset: 0x200, array step: 0x4 */\r
+    };\r
+    uint8_t RESERVED_8[20];\r
+    __IO uint32_t AHBCLKCTRLSET[3]; /**< Peripheral reset control register, array offset: 0x220, array step: 0x4 */\r
+    uint8_t RESERVED_9[20];\r
+    __IO uint32_t AHBCLKCTRLCLR[3]; /**< Peripheral reset control register, array offset: 0x240, array step: 0x4 */\r
+    uint8_t RESERVED_10[20];\r
+    union\r
+    { /* offset: 0x260 */\r
+        struct\r
+        {                                 /* offset: 0x260 */\r
+            __IO uint32_t SYSTICKCLKSEL0; /**< System Tick Timer for CPU0 source select, offset: 0x260 */\r
+            __IO uint32_t SYSTICKCLKSEL1; /**< System Tick Timer for CPU1 source select, offset: 0x264 */\r
+        } SYSTICKCLKSEL;\r
+        __IO uint32_t SYSTICKCLKSELX[2]; /**< Peripheral reset control register, array offset: 0x260, array step: 0x4 */\r
+    };\r
+    __IO uint32_t TRACECLKSEL; /**< Trace clock source select, offset: 0x268 */\r
+    union\r
+    { /* offset: 0x26C */\r
+        struct\r
+        {                                /* offset: 0x26C */\r
+            __IO uint32_t CTIMERCLKSEL0; /**< CTimer 0 clock source select, offset: 0x26C */\r
+            __IO uint32_t CTIMERCLKSEL1; /**< CTimer 1 clock source select, offset: 0x270 */\r
+            __IO uint32_t CTIMERCLKSEL2; /**< CTimer 2 clock source select, offset: 0x274 */\r
+            __IO uint32_t CTIMERCLKSEL3; /**< CTimer 3 clock source select, offset: 0x278 */\r
+            __IO uint32_t CTIMERCLKSEL4; /**< CTimer 4 clock source select, offset: 0x27C */\r
+        } CTIMERCLKSEL;\r
+        __IO uint32_t CTIMERCLKSELX[5]; /**< Peripheral reset control register, array offset: 0x26C, array step: 0x4 */\r
+    };\r
+    __IO uint32_t MAINCLKSELA; /**< Main clock A source select, offset: 0x280 */\r
+    __IO uint32_t MAINCLKSELB; /**< Main clock source select, offset: 0x284 */\r
+    __IO uint32_t CLKOUTSEL;   /**< CLKOUT clock source select, offset: 0x288 */\r
+    uint8_t RESERVED_11[4];\r
+    __IO uint32_t PLL0CLKSEL; /**< PLL0 clock source select, offset: 0x290 */\r
+    __IO uint32_t PLL1CLKSEL; /**< PLL1 clock source select, offset: 0x294 */\r
+    uint8_t RESERVED_12[12];\r
+    __IO uint32_t ADCCLKSEL;  /**< ADC clock source select, offset: 0x2A4 */\r
+    __IO uint32_t USB0CLKSEL; /**< FS USB clock source select, offset: 0x2A8 */\r
+    uint8_t RESERVED_13[4];\r
+    union\r
+    { /* offset: 0x2B0 */\r
+        struct\r
+        { /* offset: 0x2B0 */\r
+            __IO uint32_t\r
+                FCCLKSEL0; /**< Flexcomm Interface 0 clock source select for Fractional Rate Divider, offset: 0x2B0 */\r
+            __IO uint32_t\r
+                FCCLKSEL1; /**< Flexcomm Interface 1 clock source select for Fractional Rate Divider, offset: 0x2B4 */\r
+            __IO uint32_t\r
+                FCCLKSEL2; /**< Flexcomm Interface 2 clock source select for Fractional Rate Divider, offset: 0x2B8 */\r
+            __IO uint32_t\r
+                FCCLKSEL3; /**< Flexcomm Interface 3 clock source select for Fractional Rate Divider, offset: 0x2BC */\r
+            __IO uint32_t\r
+                FCCLKSEL4; /**< Flexcomm Interface 4 clock source select for Fractional Rate Divider, offset: 0x2C0 */\r
+            __IO uint32_t\r
+                FCCLKSEL5; /**< Flexcomm Interface 5 clock source select for Fractional Rate Divider, offset: 0x2C4 */\r
+            __IO uint32_t\r
+                FCCLKSEL6; /**< Flexcomm Interface 6 clock source select for Fractional Rate Divider, offset: 0x2C8 */\r
+            __IO uint32_t\r
+                FCCLKSEL7; /**< Flexcomm Interface 7 clock source select for Fractional Rate Divider, offset: 0x2CC */\r
+        } FCCLKSEL;\r
+        __IO uint32_t FCCLKSELX[8]; /**< Peripheral reset control register, array offset: 0x2B0, array step: 0x4 */\r
+    };\r
+    __IO uint32_t HSLSPICLKSEL; /**< HS LSPI clock source select, offset: 0x2D0 */\r
+    uint8_t RESERVED_14[12];\r
+    __IO uint32_t MCLKCLKSEL; /**< MCLK clock source select, offset: 0x2E0 */\r
+    uint8_t RESERVED_15[12];\r
+    __IO uint32_t SCTCLKSEL; /**< SCTimer/PWM clock source select, offset: 0x2F0 */\r
+    uint8_t RESERVED_16[4];\r
+    __IO uint32_t SDIOCLKSEL; /**< SDIO clock source select, offset: 0x2F8 */\r
+    uint8_t RESERVED_17[4];\r
+    __IO uint32_t SYSTICKCLKDIV0; /**< System Tick Timer divider for CPU0, offset: 0x300 */\r
+    __IO uint32_t SYSTICKCLKDIV1; /**< System Tick Timer divider for CPU1, offset: 0x304 */\r
+    __IO uint32_t TRACECLKDIV;    /**< TRACE clock divider, offset: 0x308 */\r
+    uint8_t RESERVED_18[20];\r
+    union\r
+    { /* offset: 0x320 */\r
+        struct\r
+        {                               /* offset: 0x320 */\r
+            __IO uint32_t FLEXFRG0CTRL; /**< Fractional rate divider for flexcomm 0, offset: 0x320 */\r
+            __IO uint32_t FLEXFRG1CTRL; /**< Fractional rate divider for flexcomm 1, offset: 0x324 */\r
+            __IO uint32_t FLEXFRG2CTRL; /**< Fractional rate divider for flexcomm 2, offset: 0x328 */\r
+            __IO uint32_t FLEXFRG3CTRL; /**< Fractional rate divider for flexcomm 3, offset: 0x32C */\r
+            __IO uint32_t FLEXFRG4CTRL; /**< Fractional rate divider for flexcomm 4, offset: 0x330 */\r
+            __IO uint32_t FLEXFRG5CTRL; /**< Fractional rate divider for flexcomm 5, offset: 0x334 */\r
+            __IO uint32_t FLEXFRG6CTRL; /**< Fractional rate divider for flexcomm 6, offset: 0x338 */\r
+            __IO uint32_t FLEXFRG7CTRL; /**< Fractional rate divider for flexcomm 7, offset: 0x33C */\r
+        } FLEXFRGCTRL;\r
+        __IO uint32_t FLEXFRGXCTRL[8]; /**< Peripheral reset control register, array offset: 0x320, array step: 0x4 */\r
+    };\r
+    uint8_t RESERVED_19[64];\r
+    __IO uint32_t AHBCLKDIV; /**< System clock divider, offset: 0x380 */\r
+    __IO uint32_t CLKOUTDIV; /**< CLKOUT clock divider, offset: 0x384 */\r
+    __IO uint32_t FROHFDIV;  /**< FRO_HF (96MHz) clock divider, offset: 0x388 */\r
+    __IO uint32_t WDTCLKDIV; /**< WDT clock divider, offset: 0x38C */\r
+    uint8_t RESERVED_20[4];\r
+    __IO uint32_t ADCCLKDIV;  /**< ADC clock divider, offset: 0x394 */\r
+    __IO uint32_t USB0CLKDIV; /**< USB0 Clock divider, offset: 0x398 */\r
+    uint8_t RESERVED_21[16];\r
+    __IO uint32_t MCLKDIV; /**< I2S MCLK clock divider, offset: 0x3AC */\r
+    uint8_t RESERVED_22[4];\r
+    __IO uint32_t SCTCLKDIV; /**< SCT/PWM clock divider, offset: 0x3B4 */\r
+    uint8_t RESERVED_23[4];\r
+    __IO uint32_t SDIOCLKDIV; /**< SDIO clock divider, offset: 0x3BC */\r
+    uint8_t RESERVED_24[4];\r
+    __IO uint32_t PLL0CLKDIV; /**< PLL0 clock divider, offset: 0x3C4 */\r
+    uint8_t RESERVED_25[52];\r
+    __IO uint32_t\r
+        CLOCKGENUPDATELOCKOUT; /**< Control clock configuration registers access (like xxxDIV, xxxSEL), offset: 0x3FC */\r
+    __IO uint32_t FMCCR;       /**< FMC configuration register, offset: 0x400 */\r
+    uint8_t RESERVED_26[8];\r
+    __IO uint32_t USB0NEEDCLKCTRL; /**< USB0 need clock control, offset: 0x40C */\r
+    __I uint32_t USB0NEEDCLKSTAT;  /**< USB0 need clock status, offset: 0x410 */\r
+    uint8_t RESERVED_27[8];\r
+    __O uint32_t FMCFLUSH;         /**< FMCflush control, offset: 0x41C */\r
+    __IO uint32_t MCLKIO;          /**< MCLK control, offset: 0x420 */\r
+    __IO uint32_t USB1NEEDCLKCTRL; /**< USB1 need clock control, offset: 0x424 */\r
+    __I uint32_t USB1NEEDCLKSTAT;  /**< USB1 need clock status, offset: 0x428 */\r
+    uint8_t RESERVED_28[52];\r
+    __IO uint32_t SDIOCLKCTRL; /**< SDIO CCLKIN phase and delay control, offset: 0x460 */\r
+    uint8_t RESERVED_29[252];\r
+    __IO uint32_t PLL1CTRL; /**< PLL1 550m control, offset: 0x560 */\r
+    __I uint32_t PLL1STAT;  /**< PLL1 550m status, offset: 0x564 */\r
+    __IO uint32_t PLL1NDEC; /**< PLL1 550m N divider, offset: 0x568 */\r
+    __IO uint32_t PLL1MDEC; /**< PLL1 550m M divider, offset: 0x56C */\r
+    __IO uint32_t PLL1PDEC; /**< PLL1 550m P divider, offset: 0x570 */\r
+    uint8_t RESERVED_30[12];\r
+    __IO uint32_t PLL0CTRL;  /**< PLL0 550m control, offset: 0x580 */\r
+    __I uint32_t PLL0STAT;   /**< PLL0 550m status, offset: 0x584 */\r
+    __IO uint32_t PLL0NDEC;  /**< PLL0 550m N divider, offset: 0x588 */\r
+    __IO uint32_t PLL0PDEC;  /**< PLL0 550m P divider, offset: 0x58C */\r
+    __IO uint32_t PLL0SSCG0; /**< PLL0 Spread Spectrum Wrapper control register 0, offset: 0x590 */\r
+    __IO uint32_t PLL0SSCG1; /**< PLL0 Spread Spectrum Wrapper control register 1, offset: 0x594 */\r
+    uint8_t RESERVED_31[616];\r
+    __IO uint32_t CPUCTRL; /**< CPU Control for multiple processors, offset: 0x800 */\r
+    __IO uint32_t CPBOOT;  /**< Coprocessor Boot Address, offset: 0x804 */\r
+    uint8_t RESERVED_32[4];\r
+    __I uint32_t CPSTAT; /**< CPU Status, offset: 0x80C */\r
+    uint8_t RESERVED_33[520];\r
+    __IO uint32_t CLOCK_CTRL; /**< Various system clock controls : Flash clock (48 MHz) control, clocks to Frequency\r
+                                 Measures, offset: 0xA18 */\r
+    uint8_t RESERVED_34[244];\r
+    __IO uint32_t COMP_INT_CTRL;  /**< Comparator Interrupt control, offset: 0xB10 */\r
+    __I uint32_t COMP_INT_STATUS; /**< Comparator Interrupt status, offset: 0xB14 */\r
+    uint8_t RESERVED_35[748];\r
+    __IO uint32_t AUTOCLKGATEOVERRIDE; /**< Control automatic clock gating, offset: 0xE04 */\r
+    __IO uint32_t\r
+        GPIOPSYNC; /**< Enable bypass of the first stage of synchonization inside GPIO_INT module, offset: 0xE08 */\r
+    uint8_t RESERVED_36[404];\r
+    __IO uint32_t DEBUG_LOCK_EN; /**< Control write access to security registers., offset: 0xFA0 */\r
+    __IO uint32_t\r
+        DEBUG_FEATURES; /**< Cortex M33 (CPU0) and micro Cortex M33 (CPU1) debug features control., offset: 0xFA4 */\r
+    __IO uint32_t DEBUG_FEATURES_DP; /**< Cortex M33 (CPU0) and micro Cortex M33 (CPU1) debug features control DUPLICATE\r
+                                        register., offset: 0xFA8 */\r
+    uint8_t RESERVED_37[16];\r
+    __O uint32_t KEY_BLOCK;          /**< block quiddikey/PUF all index., offset: 0xFBC */\r
+    __IO uint32_t DEBUG_AUTH_BEACON; /**< Debug authentication BEACON register, offset: 0xFC0 */\r
+    uint8_t RESERVED_38[16];\r
+    __IO uint32_t CPUCFG; /**< CPUs configuration register, offset: 0xFD4 */\r
+    uint8_t RESERVED_39[32];\r
+    __I uint32_t DEVICE_ID0; /**< Device ID, offset: 0xFF8 */\r
+    __I uint32_t DIEID;      /**< Chip revision ID and Number, offset: 0xFFC */\r
 } SYSCON_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -16142,1166 +21245,1279 @@ typedef struct {
 \r
 /*! @name MEMORYREMAP - Memory Remap control register */\r
 /*! @{ */\r
-#define SYSCON_MEMORYREMAP_MAP_MASK              (0x3U)\r
-#define SYSCON_MEMORYREMAP_MAP_SHIFT             (0U)\r
+#define SYSCON_MEMORYREMAP_MAP_MASK (0x3U)\r
+#define SYSCON_MEMORYREMAP_MAP_SHIFT (0U)\r
 /*! MAP - Select the location of the vector table :.\r
  *  0b00..Vector Table in ROM.\r
  *  0b01..Vector Table in RAM.\r
  *  0b10..Vector Table in Flash.\r
  *  0b11..Vector Table in Flash.\r
  */\r
-#define SYSCON_MEMORYREMAP_MAP(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_MEMORYREMAP_MAP_SHIFT)) & SYSCON_MEMORYREMAP_MAP_MASK)\r
+#define SYSCON_MEMORYREMAP_MAP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_MEMORYREMAP_MAP_SHIFT)) & SYSCON_MEMORYREMAP_MAP_MASK)\r
 /*! @} */\r
 \r
 /*! @name AHBMATPRIO - AHB Matrix priority control register Priority values are 3 = highest, 0 = lowest */\r
 /*! @{ */\r
-#define SYSCON_AHBMATPRIO_PRI_TEAL_CBUS_MASK     (0x3U)\r
-#define SYSCON_AHBMATPRIO_PRI_TEAL_CBUS_SHIFT    (0U)\r
-#define SYSCON_AHBMATPRIO_PRI_TEAL_CBUS(x)       (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBMATPRIO_PRI_TEAL_CBUS_SHIFT)) & SYSCON_AHBMATPRIO_PRI_TEAL_CBUS_MASK)\r
-#define SYSCON_AHBMATPRIO_PRI_TEAL_SBUS_MASK     (0xCU)\r
-#define SYSCON_AHBMATPRIO_PRI_TEAL_SBUS_SHIFT    (2U)\r
-#define SYSCON_AHBMATPRIO_PRI_TEAL_SBUS(x)       (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBMATPRIO_PRI_TEAL_SBUS_SHIFT)) & SYSCON_AHBMATPRIO_PRI_TEAL_SBUS_MASK)\r
-#define SYSCON_AHBMATPRIO_PRI_UTEAL_CBUS_MASK    (0x30U)\r
-#define SYSCON_AHBMATPRIO_PRI_UTEAL_CBUS_SHIFT   (4U)\r
-#define SYSCON_AHBMATPRIO_PRI_UTEAL_CBUS(x)      (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBMATPRIO_PRI_UTEAL_CBUS_SHIFT)) & SYSCON_AHBMATPRIO_PRI_UTEAL_CBUS_MASK)\r
-#define SYSCON_AHBMATPRIO_PRI_UTEAL_SBUS_MASK    (0xC0U)\r
-#define SYSCON_AHBMATPRIO_PRI_UTEAL_SBUS_SHIFT   (6U)\r
-#define SYSCON_AHBMATPRIO_PRI_UTEAL_SBUS(x)      (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBMATPRIO_PRI_UTEAL_SBUS_SHIFT)) & SYSCON_AHBMATPRIO_PRI_UTEAL_SBUS_MASK)\r
-#define SYSCON_AHBMATPRIO_PRI_USB_FS_MASK        (0x300U)\r
-#define SYSCON_AHBMATPRIO_PRI_USB_FS_SHIFT       (8U)\r
-#define SYSCON_AHBMATPRIO_PRI_USB_FS(x)          (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBMATPRIO_PRI_USB_FS_SHIFT)) & SYSCON_AHBMATPRIO_PRI_USB_FS_MASK)\r
-#define SYSCON_AHBMATPRIO_PRI_SDMA0_MASK         (0xC00U)\r
-#define SYSCON_AHBMATPRIO_PRI_SDMA0_SHIFT        (10U)\r
-#define SYSCON_AHBMATPRIO_PRI_SDMA0(x)           (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBMATPRIO_PRI_SDMA0_SHIFT)) & SYSCON_AHBMATPRIO_PRI_SDMA0_MASK)\r
-#define SYSCON_AHBMATPRIO_PRI_EZH_B_D_MASK       (0x3000U)\r
-#define SYSCON_AHBMATPRIO_PRI_EZH_B_D_SHIFT      (12U)\r
-#define SYSCON_AHBMATPRIO_PRI_EZH_B_D(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBMATPRIO_PRI_EZH_B_D_SHIFT)) & SYSCON_AHBMATPRIO_PRI_EZH_B_D_MASK)\r
-#define SYSCON_AHBMATPRIO_PRI_EZH_B_I_MASK       (0xC000U)\r
-#define SYSCON_AHBMATPRIO_PRI_EZH_B_I_SHIFT      (14U)\r
-#define SYSCON_AHBMATPRIO_PRI_EZH_B_I(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBMATPRIO_PRI_EZH_B_I_SHIFT)) & SYSCON_AHBMATPRIO_PRI_EZH_B_I_MASK)\r
-#define SYSCON_AHBMATPRIO_PRI_SDIO_MASK          (0x30000U)\r
-#define SYSCON_AHBMATPRIO_PRI_SDIO_SHIFT         (16U)\r
-#define SYSCON_AHBMATPRIO_PRI_SDIO(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBMATPRIO_PRI_SDIO_SHIFT)) & SYSCON_AHBMATPRIO_PRI_SDIO_MASK)\r
-#define SYSCON_AHBMATPRIO_PRI_PQ_MASK            (0xC0000U)\r
-#define SYSCON_AHBMATPRIO_PRI_PQ_SHIFT           (18U)\r
-#define SYSCON_AHBMATPRIO_PRI_PQ(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBMATPRIO_PRI_PQ_SHIFT)) & SYSCON_AHBMATPRIO_PRI_PQ_MASK)\r
-#define SYSCON_AHBMATPRIO_PRI_SHA2_MASK          (0x300000U)\r
-#define SYSCON_AHBMATPRIO_PRI_SHA2_SHIFT         (20U)\r
-#define SYSCON_AHBMATPRIO_PRI_SHA2(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBMATPRIO_PRI_SHA2_SHIFT)) & SYSCON_AHBMATPRIO_PRI_SHA2_MASK)\r
-#define SYSCON_AHBMATPRIO_PRI_USB_HS_MASK        (0xC00000U)\r
-#define SYSCON_AHBMATPRIO_PRI_USB_HS_SHIFT       (22U)\r
-#define SYSCON_AHBMATPRIO_PRI_USB_HS(x)          (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBMATPRIO_PRI_USB_HS_SHIFT)) & SYSCON_AHBMATPRIO_PRI_USB_HS_MASK)\r
-#define SYSCON_AHBMATPRIO_PRI_SDMA1_MASK         (0x3000000U)\r
-#define SYSCON_AHBMATPRIO_PRI_SDMA1_SHIFT        (24U)\r
-#define SYSCON_AHBMATPRIO_PRI_SDMA1(x)           (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBMATPRIO_PRI_SDMA1_SHIFT)) & SYSCON_AHBMATPRIO_PRI_SDMA1_MASK)\r
+#define SYSCON_AHBMATPRIO_PRI_CPU0_CBUS_MASK (0x3U)\r
+#define SYSCON_AHBMATPRIO_PRI_CPU0_CBUS_SHIFT (0U)\r
+/*! PRI_CPU0_CBUS - CPU0 C-AHB bus.\r
+ */\r
+#define SYSCON_AHBMATPRIO_PRI_CPU0_CBUS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBMATPRIO_PRI_CPU0_CBUS_SHIFT)) & SYSCON_AHBMATPRIO_PRI_CPU0_CBUS_MASK)\r
+#define SYSCON_AHBMATPRIO_PRI_CPU0_SBUS_MASK (0xCU)\r
+#define SYSCON_AHBMATPRIO_PRI_CPU0_SBUS_SHIFT (2U)\r
+/*! PRI_CPU0_SBUS - CPU0 S-AHB bus.\r
+ */\r
+#define SYSCON_AHBMATPRIO_PRI_CPU0_SBUS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBMATPRIO_PRI_CPU0_SBUS_SHIFT)) & SYSCON_AHBMATPRIO_PRI_CPU0_SBUS_MASK)\r
+#define SYSCON_AHBMATPRIO_PRI_CPU1_CBUS_MASK (0x30U)\r
+#define SYSCON_AHBMATPRIO_PRI_CPU1_CBUS_SHIFT (4U)\r
+/*! PRI_CPU1_CBUS - CPU1 C-AHB bus.\r
+ */\r
+#define SYSCON_AHBMATPRIO_PRI_CPU1_CBUS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBMATPRIO_PRI_CPU1_CBUS_SHIFT)) & SYSCON_AHBMATPRIO_PRI_CPU1_CBUS_MASK)\r
+#define SYSCON_AHBMATPRIO_PRI_CPU1_SBUS_MASK (0xC0U)\r
+#define SYSCON_AHBMATPRIO_PRI_CPU1_SBUS_SHIFT (6U)\r
+/*! PRI_CPU1_SBUS - CPU1 S-AHB bus.\r
+ */\r
+#define SYSCON_AHBMATPRIO_PRI_CPU1_SBUS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBMATPRIO_PRI_CPU1_SBUS_SHIFT)) & SYSCON_AHBMATPRIO_PRI_CPU1_SBUS_MASK)\r
+#define SYSCON_AHBMATPRIO_PRI_USB_FS_MASK (0x300U)\r
+#define SYSCON_AHBMATPRIO_PRI_USB_FS_SHIFT (8U)\r
+/*! PRI_USB_FS - USB-FS.(USB0)\r
+ */\r
+#define SYSCON_AHBMATPRIO_PRI_USB_FS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBMATPRIO_PRI_USB_FS_SHIFT)) & SYSCON_AHBMATPRIO_PRI_USB_FS_MASK)\r
+#define SYSCON_AHBMATPRIO_PRI_SDMA0_MASK (0xC00U)\r
+#define SYSCON_AHBMATPRIO_PRI_SDMA0_SHIFT (10U)\r
+/*! PRI_SDMA0 - DMA0 controller priority.\r
+ */\r
+#define SYSCON_AHBMATPRIO_PRI_SDMA0(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBMATPRIO_PRI_SDMA0_SHIFT)) & SYSCON_AHBMATPRIO_PRI_SDMA0_MASK)\r
+#define SYSCON_AHBMATPRIO_PRI_SDIO_MASK (0x30000U)\r
+#define SYSCON_AHBMATPRIO_PRI_SDIO_SHIFT (16U)\r
+/*! PRI_SDIO - SDIO.\r
+ */\r
+#define SYSCON_AHBMATPRIO_PRI_SDIO(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBMATPRIO_PRI_SDIO_SHIFT)) & SYSCON_AHBMATPRIO_PRI_SDIO_MASK)\r
+#define SYSCON_AHBMATPRIO_PRI_PQ_MASK (0xC0000U)\r
+#define SYSCON_AHBMATPRIO_PRI_PQ_SHIFT (18U)\r
+/*! PRI_PQ - PQ (HW Accelerator).\r
+ */\r
+#define SYSCON_AHBMATPRIO_PRI_PQ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBMATPRIO_PRI_PQ_SHIFT)) & SYSCON_AHBMATPRIO_PRI_PQ_MASK)\r
+#define SYSCON_AHBMATPRIO_PRI_HASH_AES_MASK (0x300000U)\r
+#define SYSCON_AHBMATPRIO_PRI_HASH_AES_SHIFT (20U)\r
+/*! PRI_HASH_AES - HASH_AES.\r
+ */\r
+#define SYSCON_AHBMATPRIO_PRI_HASH_AES(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBMATPRIO_PRI_HASH_AES_SHIFT)) & SYSCON_AHBMATPRIO_PRI_HASH_AES_MASK)\r
+#define SYSCON_AHBMATPRIO_PRI_USB_HS_MASK (0xC00000U)\r
+#define SYSCON_AHBMATPRIO_PRI_USB_HS_SHIFT (22U)\r
+/*! PRI_USB_HS - USB-HS.(USB1)\r
+ */\r
+#define SYSCON_AHBMATPRIO_PRI_USB_HS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBMATPRIO_PRI_USB_HS_SHIFT)) & SYSCON_AHBMATPRIO_PRI_USB_HS_MASK)\r
+#define SYSCON_AHBMATPRIO_PRI_SDMA1_MASK (0x3000000U)\r
+#define SYSCON_AHBMATPRIO_PRI_SDMA1_SHIFT (24U)\r
+/*! PRI_SDMA1 - DMA1 controller priority.\r
+ */\r
+#define SYSCON_AHBMATPRIO_PRI_SDMA1(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBMATPRIO_PRI_SDMA1_SHIFT)) & SYSCON_AHBMATPRIO_PRI_SDMA1_MASK)\r
 /*! @} */\r
 \r
 /*! @name CPU0STCKCAL - System tick calibration for secure part of CPU0 */\r
 /*! @{ */\r
-#define SYSCON_CPU0STCKCAL_CAL_MASK              (0xFFFFFFU)\r
-#define SYSCON_CPU0STCKCAL_CAL_SHIFT             (0U)\r
-#define SYSCON_CPU0STCKCAL_CAL(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_CPU0STCKCAL_CAL_SHIFT)) & SYSCON_CPU0STCKCAL_CAL_MASK)\r
-#define SYSCON_CPU0STCKCAL_SKEW_MASK             (0x1000000U)\r
-#define SYSCON_CPU0STCKCAL_SKEW_SHIFT            (24U)\r
-#define SYSCON_CPU0STCKCAL_SKEW(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_CPU0STCKCAL_SKEW_SHIFT)) & SYSCON_CPU0STCKCAL_SKEW_MASK)\r
-#define SYSCON_CPU0STCKCAL_NOREF_MASK            (0x2000000U)\r
-#define SYSCON_CPU0STCKCAL_NOREF_SHIFT           (25U)\r
-#define SYSCON_CPU0STCKCAL_NOREF(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_CPU0STCKCAL_NOREF_SHIFT)) & SYSCON_CPU0STCKCAL_NOREF_MASK)\r
+#define SYSCON_CPU0STCKCAL_TENMS_MASK (0xFFFFFFU)\r
+#define SYSCON_CPU0STCKCAL_TENMS_SHIFT (0U)\r
+/*! TENMS - Reload value for 10ms (100Hz) timing, subject to system clock skew errors. If the value\r
+ *    reads as zero, the calibration value is not known.\r
+ */\r
+#define SYSCON_CPU0STCKCAL_TENMS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_CPU0STCKCAL_TENMS_SHIFT)) & SYSCON_CPU0STCKCAL_TENMS_MASK)\r
+#define SYSCON_CPU0STCKCAL_SKEW_MASK (0x1000000U)\r
+#define SYSCON_CPU0STCKCAL_SKEW_SHIFT (24U)\r
+/*! SKEW - Initial value for the Systick timer.\r
+ */\r
+#define SYSCON_CPU0STCKCAL_SKEW(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_CPU0STCKCAL_SKEW_SHIFT)) & SYSCON_CPU0STCKCAL_SKEW_MASK)\r
+#define SYSCON_CPU0STCKCAL_NOREF_MASK (0x2000000U)\r
+#define SYSCON_CPU0STCKCAL_NOREF_SHIFT (25U)\r
+/*! NOREF - Indicates whether the device provides a reference clock to the processor: 0 = reference\r
+ *    clock provided; 1 = no reference clock provided.\r
+ */\r
+#define SYSCON_CPU0STCKCAL_NOREF(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_CPU0STCKCAL_NOREF_SHIFT)) & SYSCON_CPU0STCKCAL_NOREF_MASK)\r
 /*! @} */\r
 \r
 /*! @name CPU0NSTCKCAL - System tick calibration for non-secure part of CPU0 */\r
 /*! @{ */\r
-#define SYSCON_CPU0NSTCKCAL_CAL_MASK             (0xFFFFFFU)\r
-#define SYSCON_CPU0NSTCKCAL_CAL_SHIFT            (0U)\r
-#define SYSCON_CPU0NSTCKCAL_CAL(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_CPU0NSTCKCAL_CAL_SHIFT)) & SYSCON_CPU0NSTCKCAL_CAL_MASK)\r
-#define SYSCON_CPU0NSTCKCAL_SKEW_MASK            (0x1000000U)\r
-#define SYSCON_CPU0NSTCKCAL_SKEW_SHIFT           (24U)\r
-#define SYSCON_CPU0NSTCKCAL_SKEW(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_CPU0NSTCKCAL_SKEW_SHIFT)) & SYSCON_CPU0NSTCKCAL_SKEW_MASK)\r
-#define SYSCON_CPU0NSTCKCAL_NOREF_MASK           (0x2000000U)\r
-#define SYSCON_CPU0NSTCKCAL_NOREF_SHIFT          (25U)\r
-#define SYSCON_CPU0NSTCKCAL_NOREF(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_CPU0NSTCKCAL_NOREF_SHIFT)) & SYSCON_CPU0NSTCKCAL_NOREF_MASK)\r
+#define SYSCON_CPU0NSTCKCAL_TENMS_MASK (0xFFFFFFU)\r
+#define SYSCON_CPU0NSTCKCAL_TENMS_SHIFT (0U)\r
+/*! TENMS - Reload value for 10 ms (100 Hz) timing, subject to system clock skew errors. If the\r
+ *    value reads as zero, the calibration value is not known.\r
+ */\r
+#define SYSCON_CPU0NSTCKCAL_TENMS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_CPU0NSTCKCAL_TENMS_SHIFT)) & SYSCON_CPU0NSTCKCAL_TENMS_MASK)\r
+#define SYSCON_CPU0NSTCKCAL_SKEW_MASK (0x1000000U)\r
+#define SYSCON_CPU0NSTCKCAL_SKEW_SHIFT (24U)\r
+/*! SKEW - Indicates whether the TENMS value is exact: 0 = TENMS value is exact; 1 = TENMS value is inexact, or not\r
+ * given.\r
+ */\r
+#define SYSCON_CPU0NSTCKCAL_SKEW(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_CPU0NSTCKCAL_SKEW_SHIFT)) & SYSCON_CPU0NSTCKCAL_SKEW_MASK)\r
+#define SYSCON_CPU0NSTCKCAL_NOREF_MASK (0x2000000U)\r
+#define SYSCON_CPU0NSTCKCAL_NOREF_SHIFT (25U)\r
+/*! NOREF - Initial value for the Systick timer.\r
+ */\r
+#define SYSCON_CPU0NSTCKCAL_NOREF(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_CPU0NSTCKCAL_NOREF_SHIFT)) & SYSCON_CPU0NSTCKCAL_NOREF_MASK)\r
 /*! @} */\r
 \r
-/*! @name CPU1TCKCAL - System tick calibration for CPU1 */\r
+/*! @name CPU1STCKCAL - System tick calibration for CPU1 */\r
 /*! @{ */\r
-#define SYSCON_CPU1TCKCAL_CAL_MASK               (0xFFFFFFU)\r
-#define SYSCON_CPU1TCKCAL_CAL_SHIFT              (0U)\r
-#define SYSCON_CPU1TCKCAL_CAL(x)                 (((uint32_t)(((uint32_t)(x)) << SYSCON_CPU1TCKCAL_CAL_SHIFT)) & SYSCON_CPU1TCKCAL_CAL_MASK)\r
-#define SYSCON_CPU1TCKCAL_SKEW_MASK              (0x1000000U)\r
-#define SYSCON_CPU1TCKCAL_SKEW_SHIFT             (24U)\r
-#define SYSCON_CPU1TCKCAL_SKEW(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_CPU1TCKCAL_SKEW_SHIFT)) & SYSCON_CPU1TCKCAL_SKEW_MASK)\r
-#define SYSCON_CPU1TCKCAL_NOREF_MASK             (0x2000000U)\r
-#define SYSCON_CPU1TCKCAL_NOREF_SHIFT            (25U)\r
-#define SYSCON_CPU1TCKCAL_NOREF(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_CPU1TCKCAL_NOREF_SHIFT)) & SYSCON_CPU1TCKCAL_NOREF_MASK)\r
+#define SYSCON_CPU1STCKCAL_TENMS_MASK (0xFFFFFFU)\r
+#define SYSCON_CPU1STCKCAL_TENMS_SHIFT (0U)\r
+/*! TENMS - Reload value for 10ms (100Hz) timing, subject to system clock skew errors. If the value\r
+ *    reads as zero, the calibration value is not known.\r
+ */\r
+#define SYSCON_CPU1STCKCAL_TENMS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_CPU1STCKCAL_TENMS_SHIFT)) & SYSCON_CPU1STCKCAL_TENMS_MASK)\r
+#define SYSCON_CPU1STCKCAL_SKEW_MASK (0x1000000U)\r
+#define SYSCON_CPU1STCKCAL_SKEW_SHIFT (24U)\r
+/*! SKEW - Indicates whether the TENMS value is exact: 0 = TENMS value is exact; 1 = TENMS value is inexact, or not\r
+ * given.\r
+ */\r
+#define SYSCON_CPU1STCKCAL_SKEW(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_CPU1STCKCAL_SKEW_SHIFT)) & SYSCON_CPU1STCKCAL_SKEW_MASK)\r
+#define SYSCON_CPU1STCKCAL_NOREF_MASK (0x2000000U)\r
+#define SYSCON_CPU1STCKCAL_NOREF_SHIFT (25U)\r
+/*! NOREF - Indicates whether the device provides a reference clock to the processor: 0 = reference\r
+ *    clock provided; 1 = no reference clock provided.\r
+ */\r
+#define SYSCON_CPU1STCKCAL_NOREF(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_CPU1STCKCAL_NOREF_SHIFT)) & SYSCON_CPU1STCKCAL_NOREF_MASK)\r
 /*! @} */\r
 \r
 /*! @name NMISRC - NMI Source Select */\r
 /*! @{ */\r
-#define SYSCON_NMISRC_IRQCPU0_MASK               (0x3FU)\r
-#define SYSCON_NMISRC_IRQCPU0_SHIFT              (0U)\r
-#define SYSCON_NMISRC_IRQCPU0(x)                 (((uint32_t)(((uint32_t)(x)) << SYSCON_NMISRC_IRQCPU0_SHIFT)) & SYSCON_NMISRC_IRQCPU0_MASK)\r
-#define SYSCON_NMISRC_IRQCPU1_MASK               (0x3F00U)\r
-#define SYSCON_NMISRC_IRQCPU1_SHIFT              (8U)\r
-#define SYSCON_NMISRC_IRQCPU1(x)                 (((uint32_t)(((uint32_t)(x)) << SYSCON_NMISRC_IRQCPU1_SHIFT)) & SYSCON_NMISRC_IRQCPU1_MASK)\r
-#define SYSCON_NMISRC_NMIENCPU1_MASK             (0x40000000U)\r
-#define SYSCON_NMISRC_NMIENCPU1_SHIFT            (30U)\r
-#define SYSCON_NMISRC_NMIENCPU1(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_NMISRC_NMIENCPU1_SHIFT)) & SYSCON_NMISRC_NMIENCPU1_MASK)\r
-#define SYSCON_NMISRC_NMIENCPU0_MASK             (0x80000000U)\r
-#define SYSCON_NMISRC_NMIENCPU0_SHIFT            (31U)\r
-#define SYSCON_NMISRC_NMIENCPU0(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_NMISRC_NMIENCPU0_SHIFT)) & SYSCON_NMISRC_NMIENCPU0_MASK)\r
+#define SYSCON_NMISRC_IRQCPU0_MASK (0x3FU)\r
+#define SYSCON_NMISRC_IRQCPU0_SHIFT (0U)\r
+/*! IRQCPU0 - The IRQ number of the interrupt that acts as the Non-Maskable Interrupt (NMI) for the CPU0, if enabled by\r
+ * NMIENCPU0.\r
+ */\r
+#define SYSCON_NMISRC_IRQCPU0(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_NMISRC_IRQCPU0_SHIFT)) & SYSCON_NMISRC_IRQCPU0_MASK)\r
+#define SYSCON_NMISRC_IRQCPU1_MASK (0x3F00U)\r
+#define SYSCON_NMISRC_IRQCPU1_SHIFT (8U)\r
+/*! IRQCPU1 - The IRQ number of the interrupt that acts as the Non-Maskable Interrupt (NMI) for the CPU1, if enabled by\r
+ * NMIENCPU1.\r
+ */\r
+#define SYSCON_NMISRC_IRQCPU1(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_NMISRC_IRQCPU1_SHIFT)) & SYSCON_NMISRC_IRQCPU1_MASK)\r
+#define SYSCON_NMISRC_NMIENCPU1_MASK (0x40000000U)\r
+#define SYSCON_NMISRC_NMIENCPU1_SHIFT (30U)\r
+/*! NMIENCPU1 - Write a 1 to this bit to enable the Non-Maskable Interrupt (NMI) source selected by IRQCPU1.\r
+ */\r
+#define SYSCON_NMISRC_NMIENCPU1(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_NMISRC_NMIENCPU1_SHIFT)) & SYSCON_NMISRC_NMIENCPU1_MASK)\r
+#define SYSCON_NMISRC_NMIENCPU0_MASK (0x80000000U)\r
+#define SYSCON_NMISRC_NMIENCPU0_SHIFT (31U)\r
+/*! NMIENCPU0 - Write a 1 to this bit to enable the Non-Maskable Interrupt (NMI) source selected by IRQCPU0.\r
+ */\r
+#define SYSCON_NMISRC_NMIENCPU0(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_NMISRC_NMIENCPU0_SHIFT)) & SYSCON_NMISRC_NMIENCPU0_MASK)\r
 /*! @} */\r
 \r
 /*! @name PRESETCTRL0 - Peripheral reset control 0 */\r
 /*! @{ */\r
-#define SYSCON_PRESETCTRL0_ROM_RST_MASK          (0x2U)\r
-#define SYSCON_PRESETCTRL0_ROM_RST_SHIFT         (1U)\r
+#define SYSCON_PRESETCTRL0_ROM_RST_MASK (0x2U)\r
+#define SYSCON_PRESETCTRL0_ROM_RST_SHIFT (1U)\r
 /*! ROM_RST - ROM reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL0_ROM_RST(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_ROM_RST_SHIFT)) & SYSCON_PRESETCTRL0_ROM_RST_MASK)\r
-#define SYSCON_PRESETCTRL0_SRAM_CTRL1_RST_MASK   (0x8U)\r
-#define SYSCON_PRESETCTRL0_SRAM_CTRL1_RST_SHIFT  (3U)\r
+#define SYSCON_PRESETCTRL0_ROM_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_ROM_RST_SHIFT)) & SYSCON_PRESETCTRL0_ROM_RST_MASK)\r
+#define SYSCON_PRESETCTRL0_SRAM_CTRL1_RST_MASK (0x8U)\r
+#define SYSCON_PRESETCTRL0_SRAM_CTRL1_RST_SHIFT (3U)\r
 /*! SRAM_CTRL1_RST - SRAM Controller 1 reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL0_SRAM_CTRL1_RST(x)     (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_SRAM_CTRL1_RST_SHIFT)) & SYSCON_PRESETCTRL0_SRAM_CTRL1_RST_MASK)\r
-#define SYSCON_PRESETCTRL0_SRAM_CTRL2_RST_MASK   (0x10U)\r
-#define SYSCON_PRESETCTRL0_SRAM_CTRL2_RST_SHIFT  (4U)\r
+#define SYSCON_PRESETCTRL0_SRAM_CTRL1_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_SRAM_CTRL1_RST_SHIFT)) & SYSCON_PRESETCTRL0_SRAM_CTRL1_RST_MASK)\r
+#define SYSCON_PRESETCTRL0_SRAM_CTRL2_RST_MASK (0x10U)\r
+#define SYSCON_PRESETCTRL0_SRAM_CTRL2_RST_SHIFT (4U)\r
 /*! SRAM_CTRL2_RST - SRAM Controller 2 reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL0_SRAM_CTRL2_RST(x)     (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_SRAM_CTRL2_RST_SHIFT)) & SYSCON_PRESETCTRL0_SRAM_CTRL2_RST_MASK)\r
-#define SYSCON_PRESETCTRL0_SRAM_CTRL3_RST_MASK   (0x20U)\r
-#define SYSCON_PRESETCTRL0_SRAM_CTRL3_RST_SHIFT  (5U)\r
+#define SYSCON_PRESETCTRL0_SRAM_CTRL2_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_SRAM_CTRL2_RST_SHIFT)) & SYSCON_PRESETCTRL0_SRAM_CTRL2_RST_MASK)\r
+#define SYSCON_PRESETCTRL0_SRAM_CTRL3_RST_MASK (0x20U)\r
+#define SYSCON_PRESETCTRL0_SRAM_CTRL3_RST_SHIFT (5U)\r
 /*! SRAM_CTRL3_RST - SRAM Controller 3 reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL0_SRAM_CTRL3_RST(x)     (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_SRAM_CTRL3_RST_SHIFT)) & SYSCON_PRESETCTRL0_SRAM_CTRL3_RST_MASK)\r
-#define SYSCON_PRESETCTRL0_SRAM_CTRL4_RST_MASK   (0x40U)\r
-#define SYSCON_PRESETCTRL0_SRAM_CTRL4_RST_SHIFT  (6U)\r
+#define SYSCON_PRESETCTRL0_SRAM_CTRL3_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_SRAM_CTRL3_RST_SHIFT)) & SYSCON_PRESETCTRL0_SRAM_CTRL3_RST_MASK)\r
+#define SYSCON_PRESETCTRL0_SRAM_CTRL4_RST_MASK (0x40U)\r
+#define SYSCON_PRESETCTRL0_SRAM_CTRL4_RST_SHIFT (6U)\r
 /*! SRAM_CTRL4_RST - SRAM Controller 4 reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL0_SRAM_CTRL4_RST(x)     (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_SRAM_CTRL4_RST_SHIFT)) & SYSCON_PRESETCTRL0_SRAM_CTRL4_RST_MASK)\r
-#define SYSCON_PRESETCTRL0_FLASH_RST_MASK        (0x80U)\r
-#define SYSCON_PRESETCTRL0_FLASH_RST_SHIFT       (7U)\r
+#define SYSCON_PRESETCTRL0_SRAM_CTRL4_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_SRAM_CTRL4_RST_SHIFT)) & SYSCON_PRESETCTRL0_SRAM_CTRL4_RST_MASK)\r
+#define SYSCON_PRESETCTRL0_FLASH_RST_MASK (0x80U)\r
+#define SYSCON_PRESETCTRL0_FLASH_RST_SHIFT (7U)\r
 /*! FLASH_RST - Flash controller reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL0_FLASH_RST(x)          (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_FLASH_RST_SHIFT)) & SYSCON_PRESETCTRL0_FLASH_RST_MASK)\r
-#define SYSCON_PRESETCTRL0_FMC_RST_MASK          (0x100U)\r
-#define SYSCON_PRESETCTRL0_FMC_RST_SHIFT         (8U)\r
+#define SYSCON_PRESETCTRL0_FLASH_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_FLASH_RST_SHIFT)) & SYSCON_PRESETCTRL0_FLASH_RST_MASK)\r
+#define SYSCON_PRESETCTRL0_FMC_RST_MASK (0x100U)\r
+#define SYSCON_PRESETCTRL0_FMC_RST_SHIFT (8U)\r
 /*! FMC_RST - FMC controller reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL0_FMC_RST(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_FMC_RST_SHIFT)) & SYSCON_PRESETCTRL0_FMC_RST_MASK)\r
-#define SYSCON_PRESETCTRL0_MUX0_RST_MASK         (0x800U)\r
-#define SYSCON_PRESETCTRL0_MUX0_RST_SHIFT        (11U)\r
-/*! MUX0_RST - Input Mux 0 reset control.\r
+#define SYSCON_PRESETCTRL0_FMC_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_FMC_RST_SHIFT)) & SYSCON_PRESETCTRL0_FMC_RST_MASK)\r
+#define SYSCON_PRESETCTRL0_MUX_RST_MASK (0x800U)\r
+#define SYSCON_PRESETCTRL0_MUX_RST_SHIFT (11U)\r
+/*! MUX_RST - Input Mux reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL0_MUX0_RST(x)           (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_MUX0_RST_SHIFT)) & SYSCON_PRESETCTRL0_MUX0_RST_MASK)\r
-#define SYSCON_PRESETCTRL0_IOCON_RST_MASK        (0x2000U)\r
-#define SYSCON_PRESETCTRL0_IOCON_RST_SHIFT       (13U)\r
+#define SYSCON_PRESETCTRL0_MUX_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_MUX_RST_SHIFT)) & SYSCON_PRESETCTRL0_MUX_RST_MASK)\r
+#define SYSCON_PRESETCTRL0_IOCON_RST_MASK (0x2000U)\r
+#define SYSCON_PRESETCTRL0_IOCON_RST_SHIFT (13U)\r
 /*! IOCON_RST - I/O controller reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL0_IOCON_RST(x)          (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_IOCON_RST_SHIFT)) & SYSCON_PRESETCTRL0_IOCON_RST_MASK)\r
-#define SYSCON_PRESETCTRL0_GPIO0_RST_MASK        (0x4000U)\r
-#define SYSCON_PRESETCTRL0_GPIO0_RST_SHIFT       (14U)\r
+#define SYSCON_PRESETCTRL0_IOCON_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_IOCON_RST_SHIFT)) & SYSCON_PRESETCTRL0_IOCON_RST_MASK)\r
+#define SYSCON_PRESETCTRL0_GPIO0_RST_MASK (0x4000U)\r
+#define SYSCON_PRESETCTRL0_GPIO0_RST_SHIFT (14U)\r
 /*! GPIO0_RST - GPIO0 reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL0_GPIO0_RST(x)          (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_GPIO0_RST_SHIFT)) & SYSCON_PRESETCTRL0_GPIO0_RST_MASK)\r
-#define SYSCON_PRESETCTRL0_GPIO1_RST_MASK        (0x8000U)\r
-#define SYSCON_PRESETCTRL0_GPIO1_RST_SHIFT       (15U)\r
+#define SYSCON_PRESETCTRL0_GPIO0_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_GPIO0_RST_SHIFT)) & SYSCON_PRESETCTRL0_GPIO0_RST_MASK)\r
+#define SYSCON_PRESETCTRL0_GPIO1_RST_MASK (0x8000U)\r
+#define SYSCON_PRESETCTRL0_GPIO1_RST_SHIFT (15U)\r
 /*! GPIO1_RST - GPIO1 reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL0_GPIO1_RST(x)          (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_GPIO1_RST_SHIFT)) & SYSCON_PRESETCTRL0_GPIO1_RST_MASK)\r
-#define SYSCON_PRESETCTRL0_GPIO2_RST_MASK        (0x10000U)\r
-#define SYSCON_PRESETCTRL0_GPIO2_RST_SHIFT       (16U)\r
+#define SYSCON_PRESETCTRL0_GPIO1_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_GPIO1_RST_SHIFT)) & SYSCON_PRESETCTRL0_GPIO1_RST_MASK)\r
+#define SYSCON_PRESETCTRL0_GPIO2_RST_MASK (0x10000U)\r
+#define SYSCON_PRESETCTRL0_GPIO2_RST_SHIFT (16U)\r
 /*! GPIO2_RST - GPIO2 reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL0_GPIO2_RST(x)          (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_GPIO2_RST_SHIFT)) & SYSCON_PRESETCTRL0_GPIO2_RST_MASK)\r
-#define SYSCON_PRESETCTRL0_GPIO3_RST_MASK        (0x20000U)\r
-#define SYSCON_PRESETCTRL0_GPIO3_RST_SHIFT       (17U)\r
+#define SYSCON_PRESETCTRL0_GPIO2_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_GPIO2_RST_SHIFT)) & SYSCON_PRESETCTRL0_GPIO2_RST_MASK)\r
+#define SYSCON_PRESETCTRL0_GPIO3_RST_MASK (0x20000U)\r
+#define SYSCON_PRESETCTRL0_GPIO3_RST_SHIFT (17U)\r
 /*! GPIO3_RST - GPIO3 reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL0_GPIO3_RST(x)          (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_GPIO3_RST_SHIFT)) & SYSCON_PRESETCTRL0_GPIO3_RST_MASK)\r
-#define SYSCON_PRESETCTRL0_PINT_RST_MASK         (0x40000U)\r
-#define SYSCON_PRESETCTRL0_PINT_RST_SHIFT        (18U)\r
+#define SYSCON_PRESETCTRL0_GPIO3_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_GPIO3_RST_SHIFT)) & SYSCON_PRESETCTRL0_GPIO3_RST_MASK)\r
+#define SYSCON_PRESETCTRL0_PINT_RST_MASK (0x40000U)\r
+#define SYSCON_PRESETCTRL0_PINT_RST_SHIFT (18U)\r
 /*! PINT_RST - Pin interrupt (PINT) reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL0_PINT_RST(x)           (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_PINT_RST_SHIFT)) & SYSCON_PRESETCTRL0_PINT_RST_MASK)\r
-#define SYSCON_PRESETCTRL0_GINT_RST_MASK         (0x80000U)\r
-#define SYSCON_PRESETCTRL0_GINT_RST_SHIFT        (19U)\r
+#define SYSCON_PRESETCTRL0_PINT_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_PINT_RST_SHIFT)) & SYSCON_PRESETCTRL0_PINT_RST_MASK)\r
+#define SYSCON_PRESETCTRL0_GINT_RST_MASK (0x80000U)\r
+#define SYSCON_PRESETCTRL0_GINT_RST_SHIFT (19U)\r
 /*! GINT_RST - Group interrupt (GINT) reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL0_GINT_RST(x)           (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_GINT_RST_SHIFT)) & SYSCON_PRESETCTRL0_GINT_RST_MASK)\r
-#define SYSCON_PRESETCTRL0_DMA0_RST_MASK         (0x100000U)\r
-#define SYSCON_PRESETCTRL0_DMA0_RST_SHIFT        (20U)\r
+#define SYSCON_PRESETCTRL0_GINT_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_GINT_RST_SHIFT)) & SYSCON_PRESETCTRL0_GINT_RST_MASK)\r
+#define SYSCON_PRESETCTRL0_DMA0_RST_MASK (0x100000U)\r
+#define SYSCON_PRESETCTRL0_DMA0_RST_SHIFT (20U)\r
 /*! DMA0_RST - DMA0 reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL0_DMA0_RST(x)           (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_DMA0_RST_SHIFT)) & SYSCON_PRESETCTRL0_DMA0_RST_MASK)\r
-#define SYSCON_PRESETCTRL0_CRCGEN_RST_MASK       (0x200000U)\r
-#define SYSCON_PRESETCTRL0_CRCGEN_RST_SHIFT      (21U)\r
+#define SYSCON_PRESETCTRL0_DMA0_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_DMA0_RST_SHIFT)) & SYSCON_PRESETCTRL0_DMA0_RST_MASK)\r
+#define SYSCON_PRESETCTRL0_CRCGEN_RST_MASK (0x200000U)\r
+#define SYSCON_PRESETCTRL0_CRCGEN_RST_SHIFT (21U)\r
 /*! CRCGEN_RST - CRCGEN reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL0_CRCGEN_RST(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_CRCGEN_RST_SHIFT)) & SYSCON_PRESETCTRL0_CRCGEN_RST_MASK)\r
-#define SYSCON_PRESETCTRL0_WWDT_RST_MASK         (0x400000U)\r
-#define SYSCON_PRESETCTRL0_WWDT_RST_SHIFT        (22U)\r
+#define SYSCON_PRESETCTRL0_CRCGEN_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_CRCGEN_RST_SHIFT)) & SYSCON_PRESETCTRL0_CRCGEN_RST_MASK)\r
+#define SYSCON_PRESETCTRL0_WWDT_RST_MASK (0x400000U)\r
+#define SYSCON_PRESETCTRL0_WWDT_RST_SHIFT (22U)\r
 /*! WWDT_RST - Watchdog Timer reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL0_WWDT_RST(x)           (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_WWDT_RST_SHIFT)) & SYSCON_PRESETCTRL0_WWDT_RST_MASK)\r
-#define SYSCON_PRESETCTRL0_RTC_RST_MASK          (0x800000U)\r
-#define SYSCON_PRESETCTRL0_RTC_RST_SHIFT         (23U)\r
+#define SYSCON_PRESETCTRL0_WWDT_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_WWDT_RST_SHIFT)) & SYSCON_PRESETCTRL0_WWDT_RST_MASK)\r
+#define SYSCON_PRESETCTRL0_RTC_RST_MASK (0x800000U)\r
+#define SYSCON_PRESETCTRL0_RTC_RST_SHIFT (23U)\r
 /*! RTC_RST - Real Time Clock (RTC) reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL0_RTC_RST(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_RTC_RST_SHIFT)) & SYSCON_PRESETCTRL0_RTC_RST_MASK)\r
-#define SYSCON_PRESETCTRL0_MAILBOX_RST_MASK      (0x4000000U)\r
-#define SYSCON_PRESETCTRL0_MAILBOX_RST_SHIFT     (26U)\r
+#define SYSCON_PRESETCTRL0_RTC_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_RTC_RST_SHIFT)) & SYSCON_PRESETCTRL0_RTC_RST_MASK)\r
+#define SYSCON_PRESETCTRL0_MAILBOX_RST_MASK (0x4000000U)\r
+#define SYSCON_PRESETCTRL0_MAILBOX_RST_SHIFT (26U)\r
 /*! MAILBOX_RST - Inter CPU communication Mailbox reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL0_MAILBOX_RST(x)        (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_MAILBOX_RST_SHIFT)) & SYSCON_PRESETCTRL0_MAILBOX_RST_MASK)\r
-#define SYSCON_PRESETCTRL0_ADC_RST_MASK          (0x8000000U)\r
-#define SYSCON_PRESETCTRL0_ADC_RST_SHIFT         (27U)\r
+#define SYSCON_PRESETCTRL0_MAILBOX_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_MAILBOX_RST_SHIFT)) & SYSCON_PRESETCTRL0_MAILBOX_RST_MASK)\r
+#define SYSCON_PRESETCTRL0_ADC_RST_MASK (0x8000000U)\r
+#define SYSCON_PRESETCTRL0_ADC_RST_SHIFT (27U)\r
 /*! ADC_RST - ADC reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL0_ADC_RST(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_ADC_RST_SHIFT)) & SYSCON_PRESETCTRL0_ADC_RST_MASK)\r
+#define SYSCON_PRESETCTRL0_ADC_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL0_ADC_RST_SHIFT)) & SYSCON_PRESETCTRL0_ADC_RST_MASK)\r
 /*! @} */\r
 \r
 /*! @name PRESETCTRL1 - Peripheral reset control 1 */\r
 /*! @{ */\r
-#define SYSCON_PRESETCTRL1_MRT_RST_MASK          (0x1U)\r
-#define SYSCON_PRESETCTRL1_MRT_RST_SHIFT         (0U)\r
+#define SYSCON_PRESETCTRL1_MRT_RST_MASK (0x1U)\r
+#define SYSCON_PRESETCTRL1_MRT_RST_SHIFT (0U)\r
 /*! MRT_RST - MRT reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL1_MRT_RST(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL1_MRT_RST_SHIFT)) & SYSCON_PRESETCTRL1_MRT_RST_MASK)\r
-#define SYSCON_PRESETCTRL1_OSTIMER0_RST_MASK     (0x2U)\r
-#define SYSCON_PRESETCTRL1_OSTIMER0_RST_SHIFT    (1U)\r
-/*! OSTIMER0_RST - OS Timer 0 reset control.\r
+#define SYSCON_PRESETCTRL1_MRT_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL1_MRT_RST_SHIFT)) & SYSCON_PRESETCTRL1_MRT_RST_MASK)\r
+#define SYSCON_PRESETCTRL1_OSTIMER_RST_MASK (0x2U)\r
+#define SYSCON_PRESETCTRL1_OSTIMER_RST_SHIFT (1U)\r
+/*! OSTIMER_RST - OS Event Timer reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL1_OSTIMER0_RST(x)       (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL1_OSTIMER0_RST_SHIFT)) & SYSCON_PRESETCTRL1_OSTIMER0_RST_MASK)\r
-#define SYSCON_PRESETCTRL1_SCT0_RST_MASK         (0x4U)\r
-#define SYSCON_PRESETCTRL1_SCT0_RST_SHIFT        (2U)\r
-/*! SCT0_RST - SCT0 reset control.\r
+#define SYSCON_PRESETCTRL1_OSTIMER_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL1_OSTIMER_RST_SHIFT)) & SYSCON_PRESETCTRL1_OSTIMER_RST_MASK)\r
+#define SYSCON_PRESETCTRL1_SCT_RST_MASK (0x4U)\r
+#define SYSCON_PRESETCTRL1_SCT_RST_SHIFT (2U)\r
+/*! SCT_RST - SCT reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL1_SCT0_RST(x)           (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL1_SCT0_RST_SHIFT)) & SYSCON_PRESETCTRL1_SCT0_RST_MASK)\r
-#define SYSCON_PRESETCTRL1_SCTIPU_RST_MASK       (0x40U)\r
-#define SYSCON_PRESETCTRL1_SCTIPU_RST_SHIFT      (6U)\r
+#define SYSCON_PRESETCTRL1_SCT_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL1_SCT_RST_SHIFT)) & SYSCON_PRESETCTRL1_SCT_RST_MASK)\r
+#define SYSCON_PRESETCTRL1_SCTIPU_RST_MASK (0x40U)\r
+#define SYSCON_PRESETCTRL1_SCTIPU_RST_SHIFT (6U)\r
 /*! SCTIPU_RST - SCTIPU reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL1_SCTIPU_RST(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL1_SCTIPU_RST_SHIFT)) & SYSCON_PRESETCTRL1_SCTIPU_RST_MASK)\r
-#define SYSCON_PRESETCTRL1_UTICK0_RST_MASK       (0x400U)\r
-#define SYSCON_PRESETCTRL1_UTICK0_RST_SHIFT      (10U)\r
-/*! UTICK0_RST - UTICK0 reset control.\r
+#define SYSCON_PRESETCTRL1_SCTIPU_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL1_SCTIPU_RST_SHIFT)) & SYSCON_PRESETCTRL1_SCTIPU_RST_MASK)\r
+#define SYSCON_PRESETCTRL1_UTICK_RST_MASK (0x400U)\r
+#define SYSCON_PRESETCTRL1_UTICK_RST_SHIFT (10U)\r
+/*! UTICK_RST - UTICK reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL1_UTICK0_RST(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL1_UTICK0_RST_SHIFT)) & SYSCON_PRESETCTRL1_UTICK0_RST_MASK)\r
-#define SYSCON_PRESETCTRL1_FC0_RST_MASK          (0x800U)\r
-#define SYSCON_PRESETCTRL1_FC0_RST_SHIFT         (11U)\r
+#define SYSCON_PRESETCTRL1_UTICK_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL1_UTICK_RST_SHIFT)) & SYSCON_PRESETCTRL1_UTICK_RST_MASK)\r
+#define SYSCON_PRESETCTRL1_FC0_RST_MASK (0x800U)\r
+#define SYSCON_PRESETCTRL1_FC0_RST_SHIFT (11U)\r
 /*! FC0_RST - FC0 reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL1_FC0_RST(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL1_FC0_RST_SHIFT)) & SYSCON_PRESETCTRL1_FC0_RST_MASK)\r
-#define SYSCON_PRESETCTRL1_FC1_RST_MASK          (0x1000U)\r
-#define SYSCON_PRESETCTRL1_FC1_RST_SHIFT         (12U)\r
+#define SYSCON_PRESETCTRL1_FC0_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL1_FC0_RST_SHIFT)) & SYSCON_PRESETCTRL1_FC0_RST_MASK)\r
+#define SYSCON_PRESETCTRL1_FC1_RST_MASK (0x1000U)\r
+#define SYSCON_PRESETCTRL1_FC1_RST_SHIFT (12U)\r
 /*! FC1_RST - FC1 reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL1_FC1_RST(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL1_FC1_RST_SHIFT)) & SYSCON_PRESETCTRL1_FC1_RST_MASK)\r
-#define SYSCON_PRESETCTRL1_FC2_RST_MASK          (0x2000U)\r
-#define SYSCON_PRESETCTRL1_FC2_RST_SHIFT         (13U)\r
+#define SYSCON_PRESETCTRL1_FC1_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL1_FC1_RST_SHIFT)) & SYSCON_PRESETCTRL1_FC1_RST_MASK)\r
+#define SYSCON_PRESETCTRL1_FC2_RST_MASK (0x2000U)\r
+#define SYSCON_PRESETCTRL1_FC2_RST_SHIFT (13U)\r
 /*! FC2_RST - FC2 reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL1_FC2_RST(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL1_FC2_RST_SHIFT)) & SYSCON_PRESETCTRL1_FC2_RST_MASK)\r
-#define SYSCON_PRESETCTRL1_FC3_RST_MASK          (0x4000U)\r
-#define SYSCON_PRESETCTRL1_FC3_RST_SHIFT         (14U)\r
+#define SYSCON_PRESETCTRL1_FC2_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL1_FC2_RST_SHIFT)) & SYSCON_PRESETCTRL1_FC2_RST_MASK)\r
+#define SYSCON_PRESETCTRL1_FC3_RST_MASK (0x4000U)\r
+#define SYSCON_PRESETCTRL1_FC3_RST_SHIFT (14U)\r
 /*! FC3_RST - FC3 reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL1_FC3_RST(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL1_FC3_RST_SHIFT)) & SYSCON_PRESETCTRL1_FC3_RST_MASK)\r
-#define SYSCON_PRESETCTRL1_FC4_RST_MASK          (0x8000U)\r
-#define SYSCON_PRESETCTRL1_FC4_RST_SHIFT         (15U)\r
+#define SYSCON_PRESETCTRL1_FC3_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL1_FC3_RST_SHIFT)) & SYSCON_PRESETCTRL1_FC3_RST_MASK)\r
+#define SYSCON_PRESETCTRL1_FC4_RST_MASK (0x8000U)\r
+#define SYSCON_PRESETCTRL1_FC4_RST_SHIFT (15U)\r
 /*! FC4_RST - FC4 reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL1_FC4_RST(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL1_FC4_RST_SHIFT)) & SYSCON_PRESETCTRL1_FC4_RST_MASK)\r
-#define SYSCON_PRESETCTRL1_FC5_RST_MASK          (0x10000U)\r
-#define SYSCON_PRESETCTRL1_FC5_RST_SHIFT         (16U)\r
+#define SYSCON_PRESETCTRL1_FC4_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL1_FC4_RST_SHIFT)) & SYSCON_PRESETCTRL1_FC4_RST_MASK)\r
+#define SYSCON_PRESETCTRL1_FC5_RST_MASK (0x10000U)\r
+#define SYSCON_PRESETCTRL1_FC5_RST_SHIFT (16U)\r
 /*! FC5_RST - FC5 reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL1_FC5_RST(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL1_FC5_RST_SHIFT)) & SYSCON_PRESETCTRL1_FC5_RST_MASK)\r
-#define SYSCON_PRESETCTRL1_FC6_RST_MASK          (0x20000U)\r
-#define SYSCON_PRESETCTRL1_FC6_RST_SHIFT         (17U)\r
+#define SYSCON_PRESETCTRL1_FC5_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL1_FC5_RST_SHIFT)) & SYSCON_PRESETCTRL1_FC5_RST_MASK)\r
+#define SYSCON_PRESETCTRL1_FC6_RST_MASK (0x20000U)\r
+#define SYSCON_PRESETCTRL1_FC6_RST_SHIFT (17U)\r
 /*! FC6_RST - FC6 reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL1_FC6_RST(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL1_FC6_RST_SHIFT)) & SYSCON_PRESETCTRL1_FC6_RST_MASK)\r
-#define SYSCON_PRESETCTRL1_FC7_RST_MASK          (0x40000U)\r
-#define SYSCON_PRESETCTRL1_FC7_RST_SHIFT         (18U)\r
+#define SYSCON_PRESETCTRL1_FC6_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL1_FC6_RST_SHIFT)) & SYSCON_PRESETCTRL1_FC6_RST_MASK)\r
+#define SYSCON_PRESETCTRL1_FC7_RST_MASK (0x40000U)\r
+#define SYSCON_PRESETCTRL1_FC7_RST_SHIFT (18U)\r
 /*! FC7_RST - FC7 reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL1_FC7_RST(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL1_FC7_RST_SHIFT)) & SYSCON_PRESETCTRL1_FC7_RST_MASK)\r
-#define SYSCON_PRESETCTRL1_TIMER2_RST_MASK       (0x400000U)\r
-#define SYSCON_PRESETCTRL1_TIMER2_RST_SHIFT      (22U)\r
+#define SYSCON_PRESETCTRL1_FC7_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL1_FC7_RST_SHIFT)) & SYSCON_PRESETCTRL1_FC7_RST_MASK)\r
+#define SYSCON_PRESETCTRL1_TIMER2_RST_MASK (0x400000U)\r
+#define SYSCON_PRESETCTRL1_TIMER2_RST_SHIFT (22U)\r
 /*! TIMER2_RST - Timer 2 reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL1_TIMER2_RST(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL1_TIMER2_RST_SHIFT)) & SYSCON_PRESETCTRL1_TIMER2_RST_MASK)\r
-#define SYSCON_PRESETCTRL1_USB0_DEV_RST_MASK     (0x2000000U)\r
-#define SYSCON_PRESETCTRL1_USB0_DEV_RST_SHIFT    (25U)\r
+#define SYSCON_PRESETCTRL1_TIMER2_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL1_TIMER2_RST_SHIFT)) & SYSCON_PRESETCTRL1_TIMER2_RST_MASK)\r
+#define SYSCON_PRESETCTRL1_USB0_DEV_RST_MASK (0x2000000U)\r
+#define SYSCON_PRESETCTRL1_USB0_DEV_RST_SHIFT (25U)\r
 /*! USB0_DEV_RST - USB0 DEV reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL1_USB0_DEV_RST(x)       (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL1_USB0_DEV_RST_SHIFT)) & SYSCON_PRESETCTRL1_USB0_DEV_RST_MASK)\r
-#define SYSCON_PRESETCTRL1_TIMER0_RST_MASK       (0x4000000U)\r
-#define SYSCON_PRESETCTRL1_TIMER0_RST_SHIFT      (26U)\r
+#define SYSCON_PRESETCTRL1_USB0_DEV_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL1_USB0_DEV_RST_SHIFT)) & SYSCON_PRESETCTRL1_USB0_DEV_RST_MASK)\r
+#define SYSCON_PRESETCTRL1_TIMER0_RST_MASK (0x4000000U)\r
+#define SYSCON_PRESETCTRL1_TIMER0_RST_SHIFT (26U)\r
 /*! TIMER0_RST - Timer 0 reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL1_TIMER0_RST(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL1_TIMER0_RST_SHIFT)) & SYSCON_PRESETCTRL1_TIMER0_RST_MASK)\r
-#define SYSCON_PRESETCTRL1_TIMER1_RST_MASK       (0x8000000U)\r
-#define SYSCON_PRESETCTRL1_TIMER1_RST_SHIFT      (27U)\r
+#define SYSCON_PRESETCTRL1_TIMER0_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL1_TIMER0_RST_SHIFT)) & SYSCON_PRESETCTRL1_TIMER0_RST_MASK)\r
+#define SYSCON_PRESETCTRL1_TIMER1_RST_MASK (0x8000000U)\r
+#define SYSCON_PRESETCTRL1_TIMER1_RST_SHIFT (27U)\r
 /*! TIMER1_RST - Timer 1 reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL1_TIMER1_RST(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL1_TIMER1_RST_SHIFT)) & SYSCON_PRESETCTRL1_TIMER1_RST_MASK)\r
-#define SYSCON_PRESETCTRL1_PVT_RST_MASK          (0x10000000U)\r
-#define SYSCON_PRESETCTRL1_PVT_RST_SHIFT         (28U)\r
-/*! PVT_RST - PVT reset control.\r
- *  0b1..Bloc is reset.\r
- *  0b0..Bloc is not reset.\r
- */\r
-#define SYSCON_PRESETCTRL1_PVT_RST(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL1_PVT_RST_SHIFT)) & SYSCON_PRESETCTRL1_PVT_RST_MASK)\r
-#define SYSCON_PRESETCTRL1_EZHA_RST_MASK         (0x40000000U)\r
-#define SYSCON_PRESETCTRL1_EZHA_RST_SHIFT        (30U)\r
-/*! EZHA_RST - EZH a reset control.\r
- *  0b1..Bloc is reset.\r
- *  0b0..Bloc is not reset.\r
- */\r
-#define SYSCON_PRESETCTRL1_EZHA_RST(x)           (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL1_EZHA_RST_SHIFT)) & SYSCON_PRESETCTRL1_EZHA_RST_MASK)\r
-#define SYSCON_PRESETCTRL1_EZHB_RST_MASK         (0x80000000U)\r
-#define SYSCON_PRESETCTRL1_EZHB_RST_SHIFT        (31U)\r
-/*! EZHB_RST - EZH b reset control.\r
- *  0b1..Bloc is reset.\r
- *  0b0..Bloc is not reset.\r
- */\r
-#define SYSCON_PRESETCTRL1_EZHB_RST(x)           (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL1_EZHB_RST_SHIFT)) & SYSCON_PRESETCTRL1_EZHB_RST_MASK)\r
+#define SYSCON_PRESETCTRL1_TIMER1_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL1_TIMER1_RST_SHIFT)) & SYSCON_PRESETCTRL1_TIMER1_RST_MASK)\r
 /*! @} */\r
 \r
 /*! @name PRESETCTRL2 - Peripheral reset control 2 */\r
 /*! @{ */\r
-#define SYSCON_PRESETCTRL2_DMA1_RST_MASK         (0x2U)\r
-#define SYSCON_PRESETCTRL2_DMA1_RST_SHIFT        (1U)\r
+#define SYSCON_PRESETCTRL2_DMA1_RST_MASK (0x2U)\r
+#define SYSCON_PRESETCTRL2_DMA1_RST_SHIFT (1U)\r
 /*! DMA1_RST - DMA1 reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL2_DMA1_RST(x)           (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_DMA1_RST_SHIFT)) & SYSCON_PRESETCTRL2_DMA1_RST_MASK)\r
-#define SYSCON_PRESETCTRL2_COMP_RST_MASK         (0x4U)\r
-#define SYSCON_PRESETCTRL2_COMP_RST_SHIFT        (2U)\r
+#define SYSCON_PRESETCTRL2_DMA1_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_DMA1_RST_SHIFT)) & SYSCON_PRESETCTRL2_DMA1_RST_MASK)\r
+#define SYSCON_PRESETCTRL2_COMP_RST_MASK (0x4U)\r
+#define SYSCON_PRESETCTRL2_COMP_RST_SHIFT (2U)\r
 /*! COMP_RST - Comparator reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL2_COMP_RST(x)           (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_COMP_RST_SHIFT)) & SYSCON_PRESETCTRL2_COMP_RST_MASK)\r
-#define SYSCON_PRESETCTRL2_SDIO_RST_MASK         (0x8U)\r
-#define SYSCON_PRESETCTRL2_SDIO_RST_SHIFT        (3U)\r
+#define SYSCON_PRESETCTRL2_COMP_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_COMP_RST_SHIFT)) & SYSCON_PRESETCTRL2_COMP_RST_MASK)\r
+#define SYSCON_PRESETCTRL2_SDIO_RST_MASK (0x8U)\r
+#define SYSCON_PRESETCTRL2_SDIO_RST_SHIFT (3U)\r
 /*! SDIO_RST - SDIO reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL2_SDIO_RST(x)           (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_SDIO_RST_SHIFT)) & SYSCON_PRESETCTRL2_SDIO_RST_MASK)\r
-#define SYSCON_PRESETCTRL2_USB1_HOST_RST_MASK    (0x10U)\r
-#define SYSCON_PRESETCTRL2_USB1_HOST_RST_SHIFT   (4U)\r
+#define SYSCON_PRESETCTRL2_SDIO_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_SDIO_RST_SHIFT)) & SYSCON_PRESETCTRL2_SDIO_RST_MASK)\r
+#define SYSCON_PRESETCTRL2_USB1_HOST_RST_MASK (0x10U)\r
+#define SYSCON_PRESETCTRL2_USB1_HOST_RST_SHIFT (4U)\r
 /*! USB1_HOST_RST - USB1 Host reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL2_USB1_HOST_RST(x)      (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_USB1_HOST_RST_SHIFT)) & SYSCON_PRESETCTRL2_USB1_HOST_RST_MASK)\r
-#define SYSCON_PRESETCTRL2_USB1_DEV_RST_MASK     (0x20U)\r
-#define SYSCON_PRESETCTRL2_USB1_DEV_RST_SHIFT    (5U)\r
+#define SYSCON_PRESETCTRL2_USB1_HOST_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_USB1_HOST_RST_SHIFT)) & SYSCON_PRESETCTRL2_USB1_HOST_RST_MASK)\r
+#define SYSCON_PRESETCTRL2_USB1_DEV_RST_MASK (0x20U)\r
+#define SYSCON_PRESETCTRL2_USB1_DEV_RST_SHIFT (5U)\r
 /*! USB1_DEV_RST - USB1 dev reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL2_USB1_DEV_RST(x)       (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_USB1_DEV_RST_SHIFT)) & SYSCON_PRESETCTRL2_USB1_DEV_RST_MASK)\r
-#define SYSCON_PRESETCTRL2_USB1_RAM_RST_MASK     (0x40U)\r
-#define SYSCON_PRESETCTRL2_USB1_RAM_RST_SHIFT    (6U)\r
+#define SYSCON_PRESETCTRL2_USB1_DEV_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_USB1_DEV_RST_SHIFT)) & SYSCON_PRESETCTRL2_USB1_DEV_RST_MASK)\r
+#define SYSCON_PRESETCTRL2_USB1_RAM_RST_MASK (0x40U)\r
+#define SYSCON_PRESETCTRL2_USB1_RAM_RST_SHIFT (6U)\r
 /*! USB1_RAM_RST - USB1 RAM reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL2_USB1_RAM_RST(x)       (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_USB1_RAM_RST_SHIFT)) & SYSCON_PRESETCTRL2_USB1_RAM_RST_MASK)\r
-#define SYSCON_PRESETCTRL2_USB1_PHY_RST_MASK     (0x80U)\r
-#define SYSCON_PRESETCTRL2_USB1_PHY_RST_SHIFT    (7U)\r
+#define SYSCON_PRESETCTRL2_USB1_RAM_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_USB1_RAM_RST_SHIFT)) & SYSCON_PRESETCTRL2_USB1_RAM_RST_MASK)\r
+#define SYSCON_PRESETCTRL2_USB1_PHY_RST_MASK (0x80U)\r
+#define SYSCON_PRESETCTRL2_USB1_PHY_RST_SHIFT (7U)\r
 /*! USB1_PHY_RST - USB1 PHY reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL2_USB1_PHY_RST(x)       (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_USB1_PHY_RST_SHIFT)) & SYSCON_PRESETCTRL2_USB1_PHY_RST_MASK)\r
-#define SYSCON_PRESETCTRL2_FREQME_RST_MASK       (0x100U)\r
-#define SYSCON_PRESETCTRL2_FREQME_RST_SHIFT      (8U)\r
+#define SYSCON_PRESETCTRL2_USB1_PHY_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_USB1_PHY_RST_SHIFT)) & SYSCON_PRESETCTRL2_USB1_PHY_RST_MASK)\r
+#define SYSCON_PRESETCTRL2_FREQME_RST_MASK (0x100U)\r
+#define SYSCON_PRESETCTRL2_FREQME_RST_SHIFT (8U)\r
 /*! FREQME_RST - Frequency meter reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL2_FREQME_RST(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_FREQME_RST_SHIFT)) & SYSCON_PRESETCTRL2_FREQME_RST_MASK)\r
-#define SYSCON_PRESETCTRL2_GPIO4_RST_MASK        (0x200U)\r
-#define SYSCON_PRESETCTRL2_GPIO4_RST_SHIFT       (9U)\r
-/*! GPIO4_RST - GPIO4 reset control.\r
- *  0b1..Bloc is reset.\r
- *  0b0..Bloc is not reset.\r
- */\r
-#define SYSCON_PRESETCTRL2_GPIO4_RST(x)          (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_GPIO4_RST_SHIFT)) & SYSCON_PRESETCTRL2_GPIO4_RST_MASK)\r
-#define SYSCON_PRESETCTRL2_GPIO5_RST_MASK        (0x400U)\r
-#define SYSCON_PRESETCTRL2_GPIO5_RST_SHIFT       (10U)\r
-/*! GPIO5_RST - GPIO5 reset control.\r
- *  0b1..Bloc is reset.\r
- *  0b0..Bloc is not reset.\r
- */\r
-#define SYSCON_PRESETCTRL2_GPIO5_RST(x)          (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_GPIO5_RST_SHIFT)) & SYSCON_PRESETCTRL2_GPIO5_RST_MASK)\r
-#define SYSCON_PRESETCTRL2_OTP_RST_MASK          (0x1000U)\r
-#define SYSCON_PRESETCTRL2_OTP_RST_SHIFT         (12U)\r
-/*! OTP_RST - OTP reset control.\r
- *  0b1..Bloc is reset.\r
- *  0b0..Bloc is not reset.\r
- */\r
-#define SYSCON_PRESETCTRL2_OTP_RST(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_OTP_RST_SHIFT)) & SYSCON_PRESETCTRL2_OTP_RST_MASK)\r
-#define SYSCON_PRESETCTRL2_RNG_RST_MASK          (0x2000U)\r
-#define SYSCON_PRESETCTRL2_RNG_RST_SHIFT         (13U)\r
+#define SYSCON_PRESETCTRL2_FREQME_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_FREQME_RST_SHIFT)) & SYSCON_PRESETCTRL2_FREQME_RST_MASK)\r
+#define SYSCON_PRESETCTRL2_RNG_RST_MASK (0x2000U)\r
+#define SYSCON_PRESETCTRL2_RNG_RST_SHIFT (13U)\r
 /*! RNG_RST - RNG reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL2_RNG_RST(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_RNG_RST_SHIFT)) & SYSCON_PRESETCTRL2_RNG_RST_MASK)\r
-#define SYSCON_PRESETCTRL2_MUX1_RST_MASK         (0x4000U)\r
-#define SYSCON_PRESETCTRL2_MUX1_RST_SHIFT        (14U)\r
-/*! MUX1_RST - Peripheral Input Mux 1 reset control.\r
+#define SYSCON_PRESETCTRL2_RNG_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_RNG_RST_SHIFT)) & SYSCON_PRESETCTRL2_RNG_RST_MASK)\r
+#define SYSCON_PRESETCTRL2_SYSCTL_RST_MASK (0x8000U)\r
+#define SYSCON_PRESETCTRL2_SYSCTL_RST_SHIFT (15U)\r
+/*! SYSCTL_RST - SYSCTL Block reset.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL2_MUX1_RST(x)           (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_MUX1_RST_SHIFT)) & SYSCON_PRESETCTRL2_MUX1_RST_MASK)\r
-#define SYSCON_PRESETCTRL2_USB0_HOSTM_RST_MASK   (0x10000U)\r
-#define SYSCON_PRESETCTRL2_USB0_HOSTM_RST_SHIFT  (16U)\r
+#define SYSCON_PRESETCTRL2_SYSCTL_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_SYSCTL_RST_SHIFT)) & SYSCON_PRESETCTRL2_SYSCTL_RST_MASK)\r
+#define SYSCON_PRESETCTRL2_USB0_HOSTM_RST_MASK (0x10000U)\r
+#define SYSCON_PRESETCTRL2_USB0_HOSTM_RST_SHIFT (16U)\r
 /*! USB0_HOSTM_RST - USB0 Host Master reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL2_USB0_HOSTM_RST(x)     (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_USB0_HOSTM_RST_SHIFT)) & SYSCON_PRESETCTRL2_USB0_HOSTM_RST_MASK)\r
-#define SYSCON_PRESETCTRL2_USB0_HOSTS_RST_MASK   (0x20000U)\r
-#define SYSCON_PRESETCTRL2_USB0_HOSTS_RST_SHIFT  (17U)\r
+#define SYSCON_PRESETCTRL2_USB0_HOSTM_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_USB0_HOSTM_RST_SHIFT)) & SYSCON_PRESETCTRL2_USB0_HOSTM_RST_MASK)\r
+#define SYSCON_PRESETCTRL2_USB0_HOSTS_RST_MASK (0x20000U)\r
+#define SYSCON_PRESETCTRL2_USB0_HOSTS_RST_SHIFT (17U)\r
 /*! USB0_HOSTS_RST - USB0 Host Slave reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL2_USB0_HOSTS_RST(x)     (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_USB0_HOSTS_RST_SHIFT)) & SYSCON_PRESETCTRL2_USB0_HOSTS_RST_MASK)\r
-#define SYSCON_PRESETCTRL2_HASH0_RST_MASK        (0x40000U)\r
-#define SYSCON_PRESETCTRL2_HASH0_RST_SHIFT       (18U)\r
-/*! HASH0_RST - HASH0 reset control.\r
+#define SYSCON_PRESETCTRL2_USB0_HOSTS_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_USB0_HOSTS_RST_SHIFT)) & SYSCON_PRESETCTRL2_USB0_HOSTS_RST_MASK)\r
+#define SYSCON_PRESETCTRL2_HASH_AES_RST_MASK (0x40000U)\r
+#define SYSCON_PRESETCTRL2_HASH_AES_RST_SHIFT (18U)\r
+/*! HASH_AES_RST - HASH_AES reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL2_HASH0_RST(x)          (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_HASH0_RST_SHIFT)) & SYSCON_PRESETCTRL2_HASH0_RST_MASK)\r
-#define SYSCON_PRESETCTRL2_PQ_RST_MASK           (0x80000U)\r
-#define SYSCON_PRESETCTRL2_PQ_RST_SHIFT          (19U)\r
+#define SYSCON_PRESETCTRL2_HASH_AES_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_HASH_AES_RST_SHIFT)) & SYSCON_PRESETCTRL2_HASH_AES_RST_MASK)\r
+#define SYSCON_PRESETCTRL2_PQ_RST_MASK (0x80000U)\r
+#define SYSCON_PRESETCTRL2_PQ_RST_SHIFT (19U)\r
 /*! PQ_RST - Power Quad reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL2_PQ_RST(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_PQ_RST_SHIFT)) & SYSCON_PRESETCTRL2_PQ_RST_MASK)\r
-#define SYSCON_PRESETCTRL2_PLULUT_RST_MASK       (0x100000U)\r
-#define SYSCON_PRESETCTRL2_PLULUT_RST_SHIFT      (20U)\r
+#define SYSCON_PRESETCTRL2_PQ_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_PQ_RST_SHIFT)) & SYSCON_PRESETCTRL2_PQ_RST_MASK)\r
+#define SYSCON_PRESETCTRL2_PLULUT_RST_MASK (0x100000U)\r
+#define SYSCON_PRESETCTRL2_PLULUT_RST_SHIFT (20U)\r
 /*! PLULUT_RST - PLU LUT reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL2_PLULUT_RST(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_PLULUT_RST_SHIFT)) & SYSCON_PRESETCTRL2_PLULUT_RST_MASK)\r
-#define SYSCON_PRESETCTRL2_TIMER3_RST_MASK       (0x200000U)\r
-#define SYSCON_PRESETCTRL2_TIMER3_RST_SHIFT      (21U)\r
+#define SYSCON_PRESETCTRL2_PLULUT_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_PLULUT_RST_SHIFT)) & SYSCON_PRESETCTRL2_PLULUT_RST_MASK)\r
+#define SYSCON_PRESETCTRL2_TIMER3_RST_MASK (0x200000U)\r
+#define SYSCON_PRESETCTRL2_TIMER3_RST_SHIFT (21U)\r
 /*! TIMER3_RST - Timer 3 reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL2_TIMER3_RST(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_TIMER3_RST_SHIFT)) & SYSCON_PRESETCTRL2_TIMER3_RST_MASK)\r
-#define SYSCON_PRESETCTRL2_TIMER4_RST_MASK       (0x400000U)\r
-#define SYSCON_PRESETCTRL2_TIMER4_RST_SHIFT      (22U)\r
+#define SYSCON_PRESETCTRL2_TIMER3_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_TIMER3_RST_SHIFT)) & SYSCON_PRESETCTRL2_TIMER3_RST_MASK)\r
+#define SYSCON_PRESETCTRL2_TIMER4_RST_MASK (0x400000U)\r
+#define SYSCON_PRESETCTRL2_TIMER4_RST_SHIFT (22U)\r
 /*! TIMER4_RST - Timer 4 reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL2_TIMER4_RST(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_TIMER4_RST_SHIFT)) & SYSCON_PRESETCTRL2_TIMER4_RST_MASK)\r
-#define SYSCON_PRESETCTRL2_PUF_RST_MASK          (0x800000U)\r
-#define SYSCON_PRESETCTRL2_PUF_RST_SHIFT         (23U)\r
+#define SYSCON_PRESETCTRL2_TIMER4_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_TIMER4_RST_SHIFT)) & SYSCON_PRESETCTRL2_TIMER4_RST_MASK)\r
+#define SYSCON_PRESETCTRL2_PUF_RST_MASK (0x800000U)\r
+#define SYSCON_PRESETCTRL2_PUF_RST_SHIFT (23U)\r
 /*! PUF_RST - PUF reset control reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL2_PUF_RST(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_PUF_RST_SHIFT)) & SYSCON_PRESETCTRL2_PUF_RST_MASK)\r
-#define SYSCON_PRESETCTRL2_CASPER_RST_MASK       (0x1000000U)\r
-#define SYSCON_PRESETCTRL2_CASPER_RST_SHIFT      (24U)\r
+#define SYSCON_PRESETCTRL2_PUF_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_PUF_RST_SHIFT)) & SYSCON_PRESETCTRL2_PUF_RST_MASK)\r
+#define SYSCON_PRESETCTRL2_CASPER_RST_MASK (0x1000000U)\r
+#define SYSCON_PRESETCTRL2_CASPER_RST_SHIFT (24U)\r
 /*! CASPER_RST - Casper reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL2_CASPER_RST(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_CASPER_RST_SHIFT)) & SYSCON_PRESETCTRL2_CASPER_RST_MASK)\r
-#define SYSCON_PRESETCTRL2_CAPT0_RST_MASK        (0x2000000U)\r
-#define SYSCON_PRESETCTRL2_CAPT0_RST_SHIFT       (25U)\r
-/*! CAPT0_RST - CAPT0 reset control.\r
- *  0b1..Bloc is reset.\r
- *  0b0..Bloc is not reset.\r
- */\r
-#define SYSCON_PRESETCTRL2_CAPT0_RST(x)          (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_CAPT0_RST_SHIFT)) & SYSCON_PRESETCTRL2_CAPT0_RST_MASK)\r
-#define SYSCON_PRESETCTRL2_ANALOG_CTRL_RST_MASK  (0x8000000U)\r
+#define SYSCON_PRESETCTRL2_CASPER_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_CASPER_RST_SHIFT)) & SYSCON_PRESETCTRL2_CASPER_RST_MASK)\r
+#define SYSCON_PRESETCTRL2_ANALOG_CTRL_RST_MASK (0x8000000U)\r
 #define SYSCON_PRESETCTRL2_ANALOG_CTRL_RST_SHIFT (27U)\r
 /*! ANALOG_CTRL_RST - analog control reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL2_ANALOG_CTRL_RST(x)    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_ANALOG_CTRL_RST_SHIFT)) & SYSCON_PRESETCTRL2_ANALOG_CTRL_RST_MASK)\r
-#define SYSCON_PRESETCTRL2_HS_LSPI_RST_MASK      (0x10000000U)\r
-#define SYSCON_PRESETCTRL2_HS_LSPI_RST_SHIFT     (28U)\r
+#define SYSCON_PRESETCTRL2_ANALOG_CTRL_RST(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_ANALOG_CTRL_RST_SHIFT)) & \\r
+     SYSCON_PRESETCTRL2_ANALOG_CTRL_RST_MASK)\r
+#define SYSCON_PRESETCTRL2_HS_LSPI_RST_MASK (0x10000000U)\r
+#define SYSCON_PRESETCTRL2_HS_LSPI_RST_SHIFT (28U)\r
 /*! HS_LSPI_RST - HS LSPI reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL2_HS_LSPI_RST(x)        (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_HS_LSPI_RST_SHIFT)) & SYSCON_PRESETCTRL2_HS_LSPI_RST_MASK)\r
-#define SYSCON_PRESETCTRL2_GPIO_SEC_RST_MASK     (0x20000000U)\r
-#define SYSCON_PRESETCTRL2_GPIO_SEC_RST_SHIFT    (29U)\r
+#define SYSCON_PRESETCTRL2_HS_LSPI_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_HS_LSPI_RST_SHIFT)) & SYSCON_PRESETCTRL2_HS_LSPI_RST_MASK)\r
+#define SYSCON_PRESETCTRL2_GPIO_SEC_RST_MASK (0x20000000U)\r
+#define SYSCON_PRESETCTRL2_GPIO_SEC_RST_SHIFT (29U)\r
 /*! GPIO_SEC_RST - GPIO secure reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL2_GPIO_SEC_RST(x)       (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_GPIO_SEC_RST_SHIFT)) & SYSCON_PRESETCTRL2_GPIO_SEC_RST_MASK)\r
+#define SYSCON_PRESETCTRL2_GPIO_SEC_RST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_GPIO_SEC_RST_SHIFT)) & SYSCON_PRESETCTRL2_GPIO_SEC_RST_MASK)\r
 #define SYSCON_PRESETCTRL2_GPIO_SEC_INT_RST_MASK (0x40000000U)\r
 #define SYSCON_PRESETCTRL2_GPIO_SEC_INT_RST_SHIFT (30U)\r
 /*! GPIO_SEC_INT_RST - GPIO secure int reset control.\r
  *  0b1..Bloc is reset.\r
  *  0b0..Bloc is not reset.\r
  */\r
-#define SYSCON_PRESETCTRL2_GPIO_SEC_INT_RST(x)   (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_GPIO_SEC_INT_RST_SHIFT)) & SYSCON_PRESETCTRL2_GPIO_SEC_INT_RST_MASK)\r
+#define SYSCON_PRESETCTRL2_GPIO_SEC_INT_RST(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRL2_GPIO_SEC_INT_RST_SHIFT)) & \\r
+     SYSCON_PRESETCTRL2_GPIO_SEC_INT_RST_MASK)\r
 /*! @} */\r
 \r
 /*! @name PRESETCTRLX - Peripheral reset control register */\r
 /*! @{ */\r
-#define SYSCON_PRESETCTRLX_DATA_MASK             (0xFFFFFFFFU)\r
-#define SYSCON_PRESETCTRLX_DATA_SHIFT            (0U)\r
-#define SYSCON_PRESETCTRLX_DATA(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRLX_DATA_SHIFT)) & SYSCON_PRESETCTRLX_DATA_MASK)\r
+#define SYSCON_PRESETCTRLX_DATA_MASK (0xFFFFFFFFU)\r
+#define SYSCON_PRESETCTRLX_DATA_SHIFT (0U)\r
+/*! DATA - Data array value\r
+ */\r
+#define SYSCON_PRESETCTRLX_DATA(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRLX_DATA_SHIFT)) & SYSCON_PRESETCTRLX_DATA_MASK)\r
 /*! @} */\r
 \r
 /* The count of SYSCON_PRESETCTRLX */\r
-#define SYSCON_PRESETCTRLX_COUNT                 (3U)\r
+#define SYSCON_PRESETCTRLX_COUNT (3U)\r
 \r
 /*! @name PRESETCTRLSET - Peripheral reset control set register */\r
 /*! @{ */\r
-#define SYSCON_PRESETCTRLSET_DATA_MASK           (0xFFFFFFFFU)\r
-#define SYSCON_PRESETCTRLSET_DATA_SHIFT          (0U)\r
-#define SYSCON_PRESETCTRLSET_DATA(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRLSET_DATA_SHIFT)) & SYSCON_PRESETCTRLSET_DATA_MASK)\r
+#define SYSCON_PRESETCTRLSET_DATA_MASK (0xFFFFFFFFU)\r
+#define SYSCON_PRESETCTRLSET_DATA_SHIFT (0U)\r
+/*! DATA - Data array value\r
+ */\r
+#define SYSCON_PRESETCTRLSET_DATA(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRLSET_DATA_SHIFT)) & SYSCON_PRESETCTRLSET_DATA_MASK)\r
 /*! @} */\r
 \r
 /* The count of SYSCON_PRESETCTRLSET */\r
-#define SYSCON_PRESETCTRLSET_COUNT               (3U)\r
+#define SYSCON_PRESETCTRLSET_COUNT (3U)\r
 \r
-/*! @name PRESETCTRLCLR - Peripheral reset contro clearl register */\r
+/*! @name PRESETCTRLCLR - Peripheral reset control clear register */\r
 /*! @{ */\r
-#define SYSCON_PRESETCTRLCLR_DATA_MASK           (0xFFFFFFFFU)\r
-#define SYSCON_PRESETCTRLCLR_DATA_SHIFT          (0U)\r
-#define SYSCON_PRESETCTRLCLR_DATA(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRLCLR_DATA_SHIFT)) & SYSCON_PRESETCTRLCLR_DATA_MASK)\r
+#define SYSCON_PRESETCTRLCLR_DATA_MASK (0xFFFFFFFFU)\r
+#define SYSCON_PRESETCTRLCLR_DATA_SHIFT (0U)\r
+/*! DATA - Data array value\r
+ */\r
+#define SYSCON_PRESETCTRLCLR_DATA(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PRESETCTRLCLR_DATA_SHIFT)) & SYSCON_PRESETCTRLCLR_DATA_MASK)\r
 /*! @} */\r
 \r
 /* The count of SYSCON_PRESETCTRLCLR */\r
-#define SYSCON_PRESETCTRLCLR_COUNT               (3U)\r
+#define SYSCON_PRESETCTRLCLR_COUNT (3U)\r
 \r
 /*! @name SWR_RESET - generate a software_reset */\r
 /*! @{ */\r
-#define SYSCON_SWR_RESET_SWR_RESET_MASK          (0xFFFFFFFFU)\r
-#define SYSCON_SWR_RESET_SWR_RESET_SHIFT         (0U)\r
+#define SYSCON_SWR_RESET_SWR_RESET_MASK (0xFFFFFFFFU)\r
+#define SYSCON_SWR_RESET_SWR_RESET_SHIFT (0U)\r
 /*! SWR_RESET - Write 0x5A00_0001 to generate a software_reset.\r
  *  0b01011010000000000000000000000001..Generate a software reset.\r
  *  0b00000000000000000000000000000000..Bloc is not reset.\r
  */\r
-#define SYSCON_SWR_RESET_SWR_RESET(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_SWR_RESET_SWR_RESET_SHIFT)) & SYSCON_SWR_RESET_SWR_RESET_MASK)\r
+#define SYSCON_SWR_RESET_SWR_RESET(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_SWR_RESET_SWR_RESET_SHIFT)) & SYSCON_SWR_RESET_SWR_RESET_MASK)\r
 /*! @} */\r
 \r
 /*! @name AHBCLKCTRL0 - AHB Clock control 0 */\r
 /*! @{ */\r
-#define SYSCON_AHBCLKCTRL0_ROM_MASK              (0x2U)\r
-#define SYSCON_AHBCLKCTRL0_ROM_SHIFT             (1U)\r
+#define SYSCON_AHBCLKCTRL0_ROM_MASK (0x2U)\r
+#define SYSCON_AHBCLKCTRL0_ROM_SHIFT (1U)\r
 /*! ROM - Enables the clock for the ROM.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL0_ROM(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_ROM_SHIFT)) & SYSCON_AHBCLKCTRL0_ROM_MASK)\r
-#define SYSCON_AHBCLKCTRL0_SRAM_CTRL1_MASK       (0x8U)\r
-#define SYSCON_AHBCLKCTRL0_SRAM_CTRL1_SHIFT      (3U)\r
+#define SYSCON_AHBCLKCTRL0_ROM(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_ROM_SHIFT)) & SYSCON_AHBCLKCTRL0_ROM_MASK)\r
+#define SYSCON_AHBCLKCTRL0_SRAM_CTRL1_MASK (0x8U)\r
+#define SYSCON_AHBCLKCTRL0_SRAM_CTRL1_SHIFT (3U)\r
 /*! SRAM_CTRL1 - Enables the clock for the SRAM Controller 1.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL0_SRAM_CTRL1(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_SRAM_CTRL1_SHIFT)) & SYSCON_AHBCLKCTRL0_SRAM_CTRL1_MASK)\r
-#define SYSCON_AHBCLKCTRL0_SRAM_CTRL2_MASK       (0x10U)\r
-#define SYSCON_AHBCLKCTRL0_SRAM_CTRL2_SHIFT      (4U)\r
+#define SYSCON_AHBCLKCTRL0_SRAM_CTRL1(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_SRAM_CTRL1_SHIFT)) & SYSCON_AHBCLKCTRL0_SRAM_CTRL1_MASK)\r
+#define SYSCON_AHBCLKCTRL0_SRAM_CTRL2_MASK (0x10U)\r
+#define SYSCON_AHBCLKCTRL0_SRAM_CTRL2_SHIFT (4U)\r
 /*! SRAM_CTRL2 - Enables the clock for the SRAM Controller 2.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL0_SRAM_CTRL2(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_SRAM_CTRL2_SHIFT)) & SYSCON_AHBCLKCTRL0_SRAM_CTRL2_MASK)\r
-#define SYSCON_AHBCLKCTRL0_SRAM_CTRL3_MASK       (0x20U)\r
-#define SYSCON_AHBCLKCTRL0_SRAM_CTRL3_SHIFT      (5U)\r
+#define SYSCON_AHBCLKCTRL0_SRAM_CTRL2(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_SRAM_CTRL2_SHIFT)) & SYSCON_AHBCLKCTRL0_SRAM_CTRL2_MASK)\r
+#define SYSCON_AHBCLKCTRL0_SRAM_CTRL3_MASK (0x20U)\r
+#define SYSCON_AHBCLKCTRL0_SRAM_CTRL3_SHIFT (5U)\r
 /*! SRAM_CTRL3 - Enables the clock for the SRAM Controller 3.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL0_SRAM_CTRL3(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_SRAM_CTRL3_SHIFT)) & SYSCON_AHBCLKCTRL0_SRAM_CTRL3_MASK)\r
-#define SYSCON_AHBCLKCTRL0_SRAM_CTRL4_MASK       (0x40U)\r
-#define SYSCON_AHBCLKCTRL0_SRAM_CTRL4_SHIFT      (6U)\r
+#define SYSCON_AHBCLKCTRL0_SRAM_CTRL3(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_SRAM_CTRL3_SHIFT)) & SYSCON_AHBCLKCTRL0_SRAM_CTRL3_MASK)\r
+#define SYSCON_AHBCLKCTRL0_SRAM_CTRL4_MASK (0x40U)\r
+#define SYSCON_AHBCLKCTRL0_SRAM_CTRL4_SHIFT (6U)\r
 /*! SRAM_CTRL4 - Enables the clock for the SRAM Controller 4.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL0_SRAM_CTRL4(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_SRAM_CTRL4_SHIFT)) & SYSCON_AHBCLKCTRL0_SRAM_CTRL4_MASK)\r
-#define SYSCON_AHBCLKCTRL0_FLASH_MASK            (0x80U)\r
-#define SYSCON_AHBCLKCTRL0_FLASH_SHIFT           (7U)\r
+#define SYSCON_AHBCLKCTRL0_SRAM_CTRL4(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_SRAM_CTRL4_SHIFT)) & SYSCON_AHBCLKCTRL0_SRAM_CTRL4_MASK)\r
+#define SYSCON_AHBCLKCTRL0_FLASH_MASK (0x80U)\r
+#define SYSCON_AHBCLKCTRL0_FLASH_SHIFT (7U)\r
 /*! FLASH - Enables the clock for the Flash controller.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL0_FLASH(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_FLASH_SHIFT)) & SYSCON_AHBCLKCTRL0_FLASH_MASK)\r
-#define SYSCON_AHBCLKCTRL0_FMC_MASK              (0x100U)\r
-#define SYSCON_AHBCLKCTRL0_FMC_SHIFT             (8U)\r
+#define SYSCON_AHBCLKCTRL0_FLASH(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_FLASH_SHIFT)) & SYSCON_AHBCLKCTRL0_FLASH_MASK)\r
+#define SYSCON_AHBCLKCTRL0_FMC_MASK (0x100U)\r
+#define SYSCON_AHBCLKCTRL0_FMC_SHIFT (8U)\r
 /*! FMC - Enables the clock for the FMC controller.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL0_FMC(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_FMC_SHIFT)) & SYSCON_AHBCLKCTRL0_FMC_MASK)\r
-#define SYSCON_AHBCLKCTRL0_MUX0_MASK             (0x800U)\r
-#define SYSCON_AHBCLKCTRL0_MUX0_SHIFT            (11U)\r
-/*! MUX0 - Enables the clock for the Input Mux 0.\r
+#define SYSCON_AHBCLKCTRL0_FMC(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_FMC_SHIFT)) & SYSCON_AHBCLKCTRL0_FMC_MASK)\r
+#define SYSCON_AHBCLKCTRL0_MUX_MASK (0x800U)\r
+#define SYSCON_AHBCLKCTRL0_MUX_SHIFT (11U)\r
+/*! MUX - Enables the clock for the Input Mux.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL0_MUX0(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_MUX0_SHIFT)) & SYSCON_AHBCLKCTRL0_MUX0_MASK)\r
-#define SYSCON_AHBCLKCTRL0_IOCON_MASK            (0x2000U)\r
-#define SYSCON_AHBCLKCTRL0_IOCON_SHIFT           (13U)\r
+#define SYSCON_AHBCLKCTRL0_MUX(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_MUX_SHIFT)) & SYSCON_AHBCLKCTRL0_MUX_MASK)\r
+#define SYSCON_AHBCLKCTRL0_IOCON_MASK (0x2000U)\r
+#define SYSCON_AHBCLKCTRL0_IOCON_SHIFT (13U)\r
 /*! IOCON - Enables the clock for the I/O controller.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL0_IOCON(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_IOCON_SHIFT)) & SYSCON_AHBCLKCTRL0_IOCON_MASK)\r
-#define SYSCON_AHBCLKCTRL0_GPIO0_MASK            (0x4000U)\r
-#define SYSCON_AHBCLKCTRL0_GPIO0_SHIFT           (14U)\r
+#define SYSCON_AHBCLKCTRL0_IOCON(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_IOCON_SHIFT)) & SYSCON_AHBCLKCTRL0_IOCON_MASK)\r
+#define SYSCON_AHBCLKCTRL0_GPIO0_MASK (0x4000U)\r
+#define SYSCON_AHBCLKCTRL0_GPIO0_SHIFT (14U)\r
 /*! GPIO0 - Enables the clock for the GPIO0.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL0_GPIO0(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_GPIO0_SHIFT)) & SYSCON_AHBCLKCTRL0_GPIO0_MASK)\r
-#define SYSCON_AHBCLKCTRL0_GPIO1_MASK            (0x8000U)\r
-#define SYSCON_AHBCLKCTRL0_GPIO1_SHIFT           (15U)\r
+#define SYSCON_AHBCLKCTRL0_GPIO0(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_GPIO0_SHIFT)) & SYSCON_AHBCLKCTRL0_GPIO0_MASK)\r
+#define SYSCON_AHBCLKCTRL0_GPIO1_MASK (0x8000U)\r
+#define SYSCON_AHBCLKCTRL0_GPIO1_SHIFT (15U)\r
 /*! GPIO1 - Enables the clock for the GPIO1.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL0_GPIO1(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_GPIO1_SHIFT)) & SYSCON_AHBCLKCTRL0_GPIO1_MASK)\r
-#define SYSCON_AHBCLKCTRL0_GPIO2_MASK            (0x10000U)\r
-#define SYSCON_AHBCLKCTRL0_GPIO2_SHIFT           (16U)\r
+#define SYSCON_AHBCLKCTRL0_GPIO1(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_GPIO1_SHIFT)) & SYSCON_AHBCLKCTRL0_GPIO1_MASK)\r
+#define SYSCON_AHBCLKCTRL0_GPIO2_MASK (0x10000U)\r
+#define SYSCON_AHBCLKCTRL0_GPIO2_SHIFT (16U)\r
 /*! GPIO2 - Enables the clock for the GPIO2.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL0_GPIO2(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_GPIO2_SHIFT)) & SYSCON_AHBCLKCTRL0_GPIO2_MASK)\r
-#define SYSCON_AHBCLKCTRL0_GPIO3_MASK            (0x20000U)\r
-#define SYSCON_AHBCLKCTRL0_GPIO3_SHIFT           (17U)\r
+#define SYSCON_AHBCLKCTRL0_GPIO2(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_GPIO2_SHIFT)) & SYSCON_AHBCLKCTRL0_GPIO2_MASK)\r
+#define SYSCON_AHBCLKCTRL0_GPIO3_MASK (0x20000U)\r
+#define SYSCON_AHBCLKCTRL0_GPIO3_SHIFT (17U)\r
 /*! GPIO3 - Enables the clock for the GPIO3.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL0_GPIO3(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_GPIO3_SHIFT)) & SYSCON_AHBCLKCTRL0_GPIO3_MASK)\r
-#define SYSCON_AHBCLKCTRL0_PINT_MASK             (0x40000U)\r
-#define SYSCON_AHBCLKCTRL0_PINT_SHIFT            (18U)\r
+#define SYSCON_AHBCLKCTRL0_GPIO3(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_GPIO3_SHIFT)) & SYSCON_AHBCLKCTRL0_GPIO3_MASK)\r
+#define SYSCON_AHBCLKCTRL0_PINT_MASK (0x40000U)\r
+#define SYSCON_AHBCLKCTRL0_PINT_SHIFT (18U)\r
 /*! PINT - Enables the clock for the Pin interrupt (PINT).\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL0_PINT(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_PINT_SHIFT)) & SYSCON_AHBCLKCTRL0_PINT_MASK)\r
-#define SYSCON_AHBCLKCTRL0_GINT_MASK             (0x80000U)\r
-#define SYSCON_AHBCLKCTRL0_GINT_SHIFT            (19U)\r
+#define SYSCON_AHBCLKCTRL0_PINT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_PINT_SHIFT)) & SYSCON_AHBCLKCTRL0_PINT_MASK)\r
+#define SYSCON_AHBCLKCTRL0_GINT_MASK (0x80000U)\r
+#define SYSCON_AHBCLKCTRL0_GINT_SHIFT (19U)\r
 /*! GINT - Enables the clock for the Group interrupt (GINT).\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL0_GINT(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_GINT_SHIFT)) & SYSCON_AHBCLKCTRL0_GINT_MASK)\r
-#define SYSCON_AHBCLKCTRL0_DMA0_MASK             (0x100000U)\r
-#define SYSCON_AHBCLKCTRL0_DMA0_SHIFT            (20U)\r
+#define SYSCON_AHBCLKCTRL0_GINT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_GINT_SHIFT)) & SYSCON_AHBCLKCTRL0_GINT_MASK)\r
+#define SYSCON_AHBCLKCTRL0_DMA0_MASK (0x100000U)\r
+#define SYSCON_AHBCLKCTRL0_DMA0_SHIFT (20U)\r
 /*! DMA0 - Enables the clock for the DMA0.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL0_DMA0(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_DMA0_SHIFT)) & SYSCON_AHBCLKCTRL0_DMA0_MASK)\r
-#define SYSCON_AHBCLKCTRL0_CRCGEN_MASK           (0x200000U)\r
-#define SYSCON_AHBCLKCTRL0_CRCGEN_SHIFT          (21U)\r
+#define SYSCON_AHBCLKCTRL0_DMA0(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_DMA0_SHIFT)) & SYSCON_AHBCLKCTRL0_DMA0_MASK)\r
+#define SYSCON_AHBCLKCTRL0_CRCGEN_MASK (0x200000U)\r
+#define SYSCON_AHBCLKCTRL0_CRCGEN_SHIFT (21U)\r
 /*! CRCGEN - Enables the clock for the CRCGEN.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL0_CRCGEN(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_CRCGEN_SHIFT)) & SYSCON_AHBCLKCTRL0_CRCGEN_MASK)\r
-#define SYSCON_AHBCLKCTRL0_WWDT_MASK             (0x400000U)\r
-#define SYSCON_AHBCLKCTRL0_WWDT_SHIFT            (22U)\r
+#define SYSCON_AHBCLKCTRL0_CRCGEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_CRCGEN_SHIFT)) & SYSCON_AHBCLKCTRL0_CRCGEN_MASK)\r
+#define SYSCON_AHBCLKCTRL0_WWDT_MASK (0x400000U)\r
+#define SYSCON_AHBCLKCTRL0_WWDT_SHIFT (22U)\r
 /*! WWDT - Enables the clock for the Watchdog Timer.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL0_WWDT(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_WWDT_SHIFT)) & SYSCON_AHBCLKCTRL0_WWDT_MASK)\r
-#define SYSCON_AHBCLKCTRL0_RTC_MASK              (0x800000U)\r
-#define SYSCON_AHBCLKCTRL0_RTC_SHIFT             (23U)\r
+#define SYSCON_AHBCLKCTRL0_WWDT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_WWDT_SHIFT)) & SYSCON_AHBCLKCTRL0_WWDT_MASK)\r
+#define SYSCON_AHBCLKCTRL0_RTC_MASK (0x800000U)\r
+#define SYSCON_AHBCLKCTRL0_RTC_SHIFT (23U)\r
 /*! RTC - Enables the clock for the Real Time Clock (RTC).\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL0_RTC(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_RTC_SHIFT)) & SYSCON_AHBCLKCTRL0_RTC_MASK)\r
-#define SYSCON_AHBCLKCTRL0_MAILBOX_MASK          (0x4000000U)\r
-#define SYSCON_AHBCLKCTRL0_MAILBOX_SHIFT         (26U)\r
+#define SYSCON_AHBCLKCTRL0_RTC(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_RTC_SHIFT)) & SYSCON_AHBCLKCTRL0_RTC_MASK)\r
+#define SYSCON_AHBCLKCTRL0_MAILBOX_MASK (0x4000000U)\r
+#define SYSCON_AHBCLKCTRL0_MAILBOX_SHIFT (26U)\r
 /*! MAILBOX - Enables the clock for the Inter CPU communication Mailbox.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL0_MAILBOX(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_MAILBOX_SHIFT)) & SYSCON_AHBCLKCTRL0_MAILBOX_MASK)\r
-#define SYSCON_AHBCLKCTRL0_ADC_MASK              (0x8000000U)\r
-#define SYSCON_AHBCLKCTRL0_ADC_SHIFT             (27U)\r
+#define SYSCON_AHBCLKCTRL0_MAILBOX(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_MAILBOX_SHIFT)) & SYSCON_AHBCLKCTRL0_MAILBOX_MASK)\r
+#define SYSCON_AHBCLKCTRL0_ADC_MASK (0x8000000U)\r
+#define SYSCON_AHBCLKCTRL0_ADC_SHIFT (27U)\r
 /*! ADC - Enables the clock for the ADC.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL0_ADC(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_ADC_SHIFT)) & SYSCON_AHBCLKCTRL0_ADC_MASK)\r
+#define SYSCON_AHBCLKCTRL0_ADC(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL0_ADC_SHIFT)) & SYSCON_AHBCLKCTRL0_ADC_MASK)\r
 /*! @} */\r
 \r
 /*! @name AHBCLKCTRL1 - AHB Clock control 1 */\r
 /*! @{ */\r
-#define SYSCON_AHBCLKCTRL1_MRT_MASK              (0x1U)\r
-#define SYSCON_AHBCLKCTRL1_MRT_SHIFT             (0U)\r
+#define SYSCON_AHBCLKCTRL1_MRT_MASK (0x1U)\r
+#define SYSCON_AHBCLKCTRL1_MRT_SHIFT (0U)\r
 /*! MRT - Enables the clock for the MRT.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL1_MRT(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL1_MRT_SHIFT)) & SYSCON_AHBCLKCTRL1_MRT_MASK)\r
-#define SYSCON_AHBCLKCTRL1_OSTIMER0_MASK         (0x2U)\r
-#define SYSCON_AHBCLKCTRL1_OSTIMER0_SHIFT        (1U)\r
-/*! OSTIMER0 - Enables the clock for the OS Timer 0.\r
+#define SYSCON_AHBCLKCTRL1_MRT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL1_MRT_SHIFT)) & SYSCON_AHBCLKCTRL1_MRT_MASK)\r
+#define SYSCON_AHBCLKCTRL1_OSTIMER_MASK (0x2U)\r
+#define SYSCON_AHBCLKCTRL1_OSTIMER_SHIFT (1U)\r
+/*! OSTIMER - Enables the clock for the OS Event Timer.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL1_OSTIMER0(x)           (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL1_OSTIMER0_SHIFT)) & SYSCON_AHBCLKCTRL1_OSTIMER0_MASK)\r
-#define SYSCON_AHBCLKCTRL1_SCT0_MASK             (0x4U)\r
-#define SYSCON_AHBCLKCTRL1_SCT0_SHIFT            (2U)\r
-/*! SCT0 - Enables the clock for the SCT0.\r
+#define SYSCON_AHBCLKCTRL1_OSTIMER(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL1_OSTIMER_SHIFT)) & SYSCON_AHBCLKCTRL1_OSTIMER_MASK)\r
+#define SYSCON_AHBCLKCTRL1_SCT_MASK (0x4U)\r
+#define SYSCON_AHBCLKCTRL1_SCT_SHIFT (2U)\r
+/*! SCT - Enables the clock for the SCT.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL1_SCT0(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL1_SCT0_SHIFT)) & SYSCON_AHBCLKCTRL1_SCT0_MASK)\r
-#define SYSCON_AHBCLKCTRL1_SCTIPU_MASK           (0x40U)\r
-#define SYSCON_AHBCLKCTRL1_SCTIPU_SHIFT          (6U)\r
-/*! SCTIPU - Enables the clock for the SCTIPU.\r
+#define SYSCON_AHBCLKCTRL1_SCT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL1_SCT_SHIFT)) & SYSCON_AHBCLKCTRL1_SCT_MASK)\r
+#define SYSCON_AHBCLKCTRL1_UTICK_MASK (0x400U)\r
+#define SYSCON_AHBCLKCTRL1_UTICK_SHIFT (10U)\r
+/*! UTICK - Enables the clock for the UTICK.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL1_SCTIPU(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL1_SCTIPU_SHIFT)) & SYSCON_AHBCLKCTRL1_SCTIPU_MASK)\r
-#define SYSCON_AHBCLKCTRL1_UTICK0_MASK           (0x400U)\r
-#define SYSCON_AHBCLKCTRL1_UTICK0_SHIFT          (10U)\r
-/*! UTICK0 - Enables the clock for the UTICK0.\r
- *  0b1..Enable Clock.\r
- *  0b0..Disable Clock.\r
- */\r
-#define SYSCON_AHBCLKCTRL1_UTICK0(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL1_UTICK0_SHIFT)) & SYSCON_AHBCLKCTRL1_UTICK0_MASK)\r
-#define SYSCON_AHBCLKCTRL1_FC0_MASK              (0x800U)\r
-#define SYSCON_AHBCLKCTRL1_FC0_SHIFT             (11U)\r
+#define SYSCON_AHBCLKCTRL1_UTICK(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL1_UTICK_SHIFT)) & SYSCON_AHBCLKCTRL1_UTICK_MASK)\r
+#define SYSCON_AHBCLKCTRL1_FC0_MASK (0x800U)\r
+#define SYSCON_AHBCLKCTRL1_FC0_SHIFT (11U)\r
 /*! FC0 - Enables the clock for the FC0.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL1_FC0(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL1_FC0_SHIFT)) & SYSCON_AHBCLKCTRL1_FC0_MASK)\r
-#define SYSCON_AHBCLKCTRL1_FC1_MASK              (0x1000U)\r
-#define SYSCON_AHBCLKCTRL1_FC1_SHIFT             (12U)\r
+#define SYSCON_AHBCLKCTRL1_FC0(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL1_FC0_SHIFT)) & SYSCON_AHBCLKCTRL1_FC0_MASK)\r
+#define SYSCON_AHBCLKCTRL1_FC1_MASK (0x1000U)\r
+#define SYSCON_AHBCLKCTRL1_FC1_SHIFT (12U)\r
 /*! FC1 - Enables the clock for the FC1.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL1_FC1(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL1_FC1_SHIFT)) & SYSCON_AHBCLKCTRL1_FC1_MASK)\r
-#define SYSCON_AHBCLKCTRL1_FC2_MASK              (0x2000U)\r
-#define SYSCON_AHBCLKCTRL1_FC2_SHIFT             (13U)\r
+#define SYSCON_AHBCLKCTRL1_FC1(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL1_FC1_SHIFT)) & SYSCON_AHBCLKCTRL1_FC1_MASK)\r
+#define SYSCON_AHBCLKCTRL1_FC2_MASK (0x2000U)\r
+#define SYSCON_AHBCLKCTRL1_FC2_SHIFT (13U)\r
 /*! FC2 - Enables the clock for the FC2.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL1_FC2(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL1_FC2_SHIFT)) & SYSCON_AHBCLKCTRL1_FC2_MASK)\r
-#define SYSCON_AHBCLKCTRL1_FC3_MASK              (0x4000U)\r
-#define SYSCON_AHBCLKCTRL1_FC3_SHIFT             (14U)\r
+#define SYSCON_AHBCLKCTRL1_FC2(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL1_FC2_SHIFT)) & SYSCON_AHBCLKCTRL1_FC2_MASK)\r
+#define SYSCON_AHBCLKCTRL1_FC3_MASK (0x4000U)\r
+#define SYSCON_AHBCLKCTRL1_FC3_SHIFT (14U)\r
 /*! FC3 - Enables the clock for the FC3.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL1_FC3(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL1_FC3_SHIFT)) & SYSCON_AHBCLKCTRL1_FC3_MASK)\r
-#define SYSCON_AHBCLKCTRL1_FC4_MASK              (0x8000U)\r
-#define SYSCON_AHBCLKCTRL1_FC4_SHIFT             (15U)\r
+#define SYSCON_AHBCLKCTRL1_FC3(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL1_FC3_SHIFT)) & SYSCON_AHBCLKCTRL1_FC3_MASK)\r
+#define SYSCON_AHBCLKCTRL1_FC4_MASK (0x8000U)\r
+#define SYSCON_AHBCLKCTRL1_FC4_SHIFT (15U)\r
 /*! FC4 - Enables the clock for the FC4.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL1_FC4(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL1_FC4_SHIFT)) & SYSCON_AHBCLKCTRL1_FC4_MASK)\r
-#define SYSCON_AHBCLKCTRL1_FC5_MASK              (0x10000U)\r
-#define SYSCON_AHBCLKCTRL1_FC5_SHIFT             (16U)\r
+#define SYSCON_AHBCLKCTRL1_FC4(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL1_FC4_SHIFT)) & SYSCON_AHBCLKCTRL1_FC4_MASK)\r
+#define SYSCON_AHBCLKCTRL1_FC5_MASK (0x10000U)\r
+#define SYSCON_AHBCLKCTRL1_FC5_SHIFT (16U)\r
 /*! FC5 - Enables the clock for the FC5.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL1_FC5(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL1_FC5_SHIFT)) & SYSCON_AHBCLKCTRL1_FC5_MASK)\r
-#define SYSCON_AHBCLKCTRL1_FC6_MASK              (0x20000U)\r
-#define SYSCON_AHBCLKCTRL1_FC6_SHIFT             (17U)\r
+#define SYSCON_AHBCLKCTRL1_FC5(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL1_FC5_SHIFT)) & SYSCON_AHBCLKCTRL1_FC5_MASK)\r
+#define SYSCON_AHBCLKCTRL1_FC6_MASK (0x20000U)\r
+#define SYSCON_AHBCLKCTRL1_FC6_SHIFT (17U)\r
 /*! FC6 - Enables the clock for the FC6.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL1_FC6(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL1_FC6_SHIFT)) & SYSCON_AHBCLKCTRL1_FC6_MASK)\r
-#define SYSCON_AHBCLKCTRL1_FC7_MASK              (0x40000U)\r
-#define SYSCON_AHBCLKCTRL1_FC7_SHIFT             (18U)\r
+#define SYSCON_AHBCLKCTRL1_FC6(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL1_FC6_SHIFT)) & SYSCON_AHBCLKCTRL1_FC6_MASK)\r
+#define SYSCON_AHBCLKCTRL1_FC7_MASK (0x40000U)\r
+#define SYSCON_AHBCLKCTRL1_FC7_SHIFT (18U)\r
 /*! FC7 - Enables the clock for the FC7.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL1_FC7(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL1_FC7_SHIFT)) & SYSCON_AHBCLKCTRL1_FC7_MASK)\r
-#define SYSCON_AHBCLKCTRL1_TIMER2_MASK           (0x400000U)\r
-#define SYSCON_AHBCLKCTRL1_TIMER2_SHIFT          (22U)\r
+#define SYSCON_AHBCLKCTRL1_FC7(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL1_FC7_SHIFT)) & SYSCON_AHBCLKCTRL1_FC7_MASK)\r
+#define SYSCON_AHBCLKCTRL1_TIMER2_MASK (0x400000U)\r
+#define SYSCON_AHBCLKCTRL1_TIMER2_SHIFT (22U)\r
 /*! TIMER2 - Enables the clock for the Timer 2.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL1_TIMER2(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL1_TIMER2_SHIFT)) & SYSCON_AHBCLKCTRL1_TIMER2_MASK)\r
-#define SYSCON_AHBCLKCTRL1_USB0_DEV_MASK         (0x2000000U)\r
-#define SYSCON_AHBCLKCTRL1_USB0_DEV_SHIFT        (25U)\r
+#define SYSCON_AHBCLKCTRL1_TIMER2(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL1_TIMER2_SHIFT)) & SYSCON_AHBCLKCTRL1_TIMER2_MASK)\r
+#define SYSCON_AHBCLKCTRL1_USB0_DEV_MASK (0x2000000U)\r
+#define SYSCON_AHBCLKCTRL1_USB0_DEV_SHIFT (25U)\r
 /*! USB0_DEV - Enables the clock for the USB0 DEV.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL1_USB0_DEV(x)           (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL1_USB0_DEV_SHIFT)) & SYSCON_AHBCLKCTRL1_USB0_DEV_MASK)\r
-#define SYSCON_AHBCLKCTRL1_TIMER0_MASK           (0x4000000U)\r
-#define SYSCON_AHBCLKCTRL1_TIMER0_SHIFT          (26U)\r
+#define SYSCON_AHBCLKCTRL1_USB0_DEV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL1_USB0_DEV_SHIFT)) & SYSCON_AHBCLKCTRL1_USB0_DEV_MASK)\r
+#define SYSCON_AHBCLKCTRL1_TIMER0_MASK (0x4000000U)\r
+#define SYSCON_AHBCLKCTRL1_TIMER0_SHIFT (26U)\r
 /*! TIMER0 - Enables the clock for the Timer 0.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL1_TIMER0(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL1_TIMER0_SHIFT)) & SYSCON_AHBCLKCTRL1_TIMER0_MASK)\r
-#define SYSCON_AHBCLKCTRL1_TIMER1_MASK           (0x8000000U)\r
-#define SYSCON_AHBCLKCTRL1_TIMER1_SHIFT          (27U)\r
+#define SYSCON_AHBCLKCTRL1_TIMER0(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL1_TIMER0_SHIFT)) & SYSCON_AHBCLKCTRL1_TIMER0_MASK)\r
+#define SYSCON_AHBCLKCTRL1_TIMER1_MASK (0x8000000U)\r
+#define SYSCON_AHBCLKCTRL1_TIMER1_SHIFT (27U)\r
 /*! TIMER1 - Enables the clock for the Timer 1.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL1_TIMER1(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL1_TIMER1_SHIFT)) & SYSCON_AHBCLKCTRL1_TIMER1_MASK)\r
-#define SYSCON_AHBCLKCTRL1_PVT_MASK              (0x10000000U)\r
-#define SYSCON_AHBCLKCTRL1_PVT_SHIFT             (28U)\r
-/*! PVT - Enables the clock for the PVT.\r
- *  0b1..Enable Clock.\r
- *  0b0..Disable Clock.\r
- */\r
-#define SYSCON_AHBCLKCTRL1_PVT(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL1_PVT_SHIFT)) & SYSCON_AHBCLKCTRL1_PVT_MASK)\r
-#define SYSCON_AHBCLKCTRL1_EZHA_MASK             (0x40000000U)\r
-#define SYSCON_AHBCLKCTRL1_EZHA_SHIFT            (30U)\r
-/*! EZHA - Enables the clock for the EZH a.\r
- *  0b1..Enable Clock.\r
- *  0b0..Disable Clock.\r
- */\r
-#define SYSCON_AHBCLKCTRL1_EZHA(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL1_EZHA_SHIFT)) & SYSCON_AHBCLKCTRL1_EZHA_MASK)\r
-#define SYSCON_AHBCLKCTRL1_EZHB_MASK             (0x80000000U)\r
-#define SYSCON_AHBCLKCTRL1_EZHB_SHIFT            (31U)\r
-/*! EZHB - Enables the clock for the EZH b.\r
- *  0b1..Enable Clock.\r
- *  0b0..Disable Clock.\r
- */\r
-#define SYSCON_AHBCLKCTRL1_EZHB(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL1_EZHB_SHIFT)) & SYSCON_AHBCLKCTRL1_EZHB_MASK)\r
+#define SYSCON_AHBCLKCTRL1_TIMER1(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL1_TIMER1_SHIFT)) & SYSCON_AHBCLKCTRL1_TIMER1_MASK)\r
 /*! @} */\r
 \r
 /*! @name AHBCLKCTRL2 - AHB Clock control 2 */\r
 /*! @{ */\r
-#define SYSCON_AHBCLKCTRL2_DMA1_MASK             (0x2U)\r
-#define SYSCON_AHBCLKCTRL2_DMA1_SHIFT            (1U)\r
+#define SYSCON_AHBCLKCTRL2_DMA1_MASK (0x2U)\r
+#define SYSCON_AHBCLKCTRL2_DMA1_SHIFT (1U)\r
 /*! DMA1 - Enables the clock for the DMA1.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL2_DMA1(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_DMA1_SHIFT)) & SYSCON_AHBCLKCTRL2_DMA1_MASK)\r
-#define SYSCON_AHBCLKCTRL2_COMP_MASK             (0x4U)\r
-#define SYSCON_AHBCLKCTRL2_COMP_SHIFT            (2U)\r
+#define SYSCON_AHBCLKCTRL2_DMA1(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_DMA1_SHIFT)) & SYSCON_AHBCLKCTRL2_DMA1_MASK)\r
+#define SYSCON_AHBCLKCTRL2_COMP_MASK (0x4U)\r
+#define SYSCON_AHBCLKCTRL2_COMP_SHIFT (2U)\r
 /*! COMP - Enables the clock for the Comparator.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL2_COMP(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_COMP_SHIFT)) & SYSCON_AHBCLKCTRL2_COMP_MASK)\r
-#define SYSCON_AHBCLKCTRL2_SDIO_MASK             (0x8U)\r
-#define SYSCON_AHBCLKCTRL2_SDIO_SHIFT            (3U)\r
+#define SYSCON_AHBCLKCTRL2_COMP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_COMP_SHIFT)) & SYSCON_AHBCLKCTRL2_COMP_MASK)\r
+#define SYSCON_AHBCLKCTRL2_SDIO_MASK (0x8U)\r
+#define SYSCON_AHBCLKCTRL2_SDIO_SHIFT (3U)\r
 /*! SDIO - Enables the clock for the SDIO.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL2_SDIO(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_SDIO_SHIFT)) & SYSCON_AHBCLKCTRL2_SDIO_MASK)\r
-#define SYSCON_AHBCLKCTRL2_USB1_HOST_MASK        (0x10U)\r
-#define SYSCON_AHBCLKCTRL2_USB1_HOST_SHIFT       (4U)\r
+#define SYSCON_AHBCLKCTRL2_SDIO(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_SDIO_SHIFT)) & SYSCON_AHBCLKCTRL2_SDIO_MASK)\r
+#define SYSCON_AHBCLKCTRL2_USB1_HOST_MASK (0x10U)\r
+#define SYSCON_AHBCLKCTRL2_USB1_HOST_SHIFT (4U)\r
 /*! USB1_HOST - Enables the clock for the USB1 Host.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL2_USB1_HOST(x)          (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_USB1_HOST_SHIFT)) & SYSCON_AHBCLKCTRL2_USB1_HOST_MASK)\r
-#define SYSCON_AHBCLKCTRL2_USB1_DEV_MASK         (0x20U)\r
-#define SYSCON_AHBCLKCTRL2_USB1_DEV_SHIFT        (5U)\r
+#define SYSCON_AHBCLKCTRL2_USB1_HOST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_USB1_HOST_SHIFT)) & SYSCON_AHBCLKCTRL2_USB1_HOST_MASK)\r
+#define SYSCON_AHBCLKCTRL2_USB1_DEV_MASK (0x20U)\r
+#define SYSCON_AHBCLKCTRL2_USB1_DEV_SHIFT (5U)\r
 /*! USB1_DEV - Enables the clock for the USB1 dev.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL2_USB1_DEV(x)           (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_USB1_DEV_SHIFT)) & SYSCON_AHBCLKCTRL2_USB1_DEV_MASK)\r
-#define SYSCON_AHBCLKCTRL2_USB1_RAM_MASK         (0x40U)\r
-#define SYSCON_AHBCLKCTRL2_USB1_RAM_SHIFT        (6U)\r
+#define SYSCON_AHBCLKCTRL2_USB1_DEV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_USB1_DEV_SHIFT)) & SYSCON_AHBCLKCTRL2_USB1_DEV_MASK)\r
+#define SYSCON_AHBCLKCTRL2_USB1_RAM_MASK (0x40U)\r
+#define SYSCON_AHBCLKCTRL2_USB1_RAM_SHIFT (6U)\r
 /*! USB1_RAM - Enables the clock for the USB1 RAM.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL2_USB1_RAM(x)           (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_USB1_RAM_SHIFT)) & SYSCON_AHBCLKCTRL2_USB1_RAM_MASK)\r
-#define SYSCON_AHBCLKCTRL2_USB1_PHY_MASK         (0x80U)\r
-#define SYSCON_AHBCLKCTRL2_USB1_PHY_SHIFT        (7U)\r
+#define SYSCON_AHBCLKCTRL2_USB1_RAM(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_USB1_RAM_SHIFT)) & SYSCON_AHBCLKCTRL2_USB1_RAM_MASK)\r
+#define SYSCON_AHBCLKCTRL2_USB1_PHY_MASK (0x80U)\r
+#define SYSCON_AHBCLKCTRL2_USB1_PHY_SHIFT (7U)\r
 /*! USB1_PHY - Enables the clock for the USB1 PHY.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL2_USB1_PHY(x)           (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_USB1_PHY_SHIFT)) & SYSCON_AHBCLKCTRL2_USB1_PHY_MASK)\r
-#define SYSCON_AHBCLKCTRL2_FREQME_MASK           (0x100U)\r
-#define SYSCON_AHBCLKCTRL2_FREQME_SHIFT          (8U)\r
+#define SYSCON_AHBCLKCTRL2_USB1_PHY(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_USB1_PHY_SHIFT)) & SYSCON_AHBCLKCTRL2_USB1_PHY_MASK)\r
+#define SYSCON_AHBCLKCTRL2_FREQME_MASK (0x100U)\r
+#define SYSCON_AHBCLKCTRL2_FREQME_SHIFT (8U)\r
 /*! FREQME - Enables the clock for the Frequency meter.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL2_FREQME(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_FREQME_SHIFT)) & SYSCON_AHBCLKCTRL2_FREQME_MASK)\r
-#define SYSCON_AHBCLKCTRL2_GPIO4_MASK            (0x200U)\r
-#define SYSCON_AHBCLKCTRL2_GPIO4_SHIFT           (9U)\r
-/*! GPIO4 - Enables the clock for the GPIO4.\r
- *  0b1..Enable Clock.\r
- *  0b0..Disable Clock.\r
- */\r
-#define SYSCON_AHBCLKCTRL2_GPIO4(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_GPIO4_SHIFT)) & SYSCON_AHBCLKCTRL2_GPIO4_MASK)\r
-#define SYSCON_AHBCLKCTRL2_GPIO5_MASK            (0x400U)\r
-#define SYSCON_AHBCLKCTRL2_GPIO5_SHIFT           (10U)\r
-/*! GPIO5 - Enables the clock for the GPIO5.\r
- *  0b1..Enable Clock.\r
- *  0b0..Disable Clock.\r
- */\r
-#define SYSCON_AHBCLKCTRL2_GPIO5(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_GPIO5_SHIFT)) & SYSCON_AHBCLKCTRL2_GPIO5_MASK)\r
-#define SYSCON_AHBCLKCTRL2_OTP_MASK              (0x1000U)\r
-#define SYSCON_AHBCLKCTRL2_OTP_SHIFT             (12U)\r
-/*! OTP - Enables the clock for the OTP.\r
- *  0b1..Enable Clock.\r
- *  0b0..Disable Clock.\r
- */\r
-#define SYSCON_AHBCLKCTRL2_OTP(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_OTP_SHIFT)) & SYSCON_AHBCLKCTRL2_OTP_MASK)\r
-#define SYSCON_AHBCLKCTRL2_RNG_MASK              (0x2000U)\r
-#define SYSCON_AHBCLKCTRL2_RNG_SHIFT             (13U)\r
+#define SYSCON_AHBCLKCTRL2_FREQME(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_FREQME_SHIFT)) & SYSCON_AHBCLKCTRL2_FREQME_MASK)\r
+#define SYSCON_AHBCLKCTRL2_RNG_MASK (0x2000U)\r
+#define SYSCON_AHBCLKCTRL2_RNG_SHIFT (13U)\r
 /*! RNG - Enables the clock for the RNG.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL2_RNG(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_RNG_SHIFT)) & SYSCON_AHBCLKCTRL2_RNG_MASK)\r
-#define SYSCON_AHBCLKCTRL2_MUX1_MASK             (0x4000U)\r
-#define SYSCON_AHBCLKCTRL2_MUX1_SHIFT            (14U)\r
-/*! MUX1 - Enables the clock for the Peripheral Input Mux 1.\r
+#define SYSCON_AHBCLKCTRL2_RNG(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_RNG_SHIFT)) & SYSCON_AHBCLKCTRL2_RNG_MASK)\r
+#define SYSCON_AHBCLKCTRL2_SYSCTL_MASK (0x8000U)\r
+#define SYSCON_AHBCLKCTRL2_SYSCTL_SHIFT (15U)\r
+/*! SYSCTL - SYSCTL block clock.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL2_MUX1(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_MUX1_SHIFT)) & SYSCON_AHBCLKCTRL2_MUX1_MASK)\r
-#define SYSCON_AHBCLKCTRL2_USB0_HOSTM_MASK       (0x10000U)\r
-#define SYSCON_AHBCLKCTRL2_USB0_HOSTM_SHIFT      (16U)\r
+#define SYSCON_AHBCLKCTRL2_SYSCTL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_SYSCTL_SHIFT)) & SYSCON_AHBCLKCTRL2_SYSCTL_MASK)\r
+#define SYSCON_AHBCLKCTRL2_USB0_HOSTM_MASK (0x10000U)\r
+#define SYSCON_AHBCLKCTRL2_USB0_HOSTM_SHIFT (16U)\r
 /*! USB0_HOSTM - Enables the clock for the USB0 Host Master.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL2_USB0_HOSTM(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_USB0_HOSTM_SHIFT)) & SYSCON_AHBCLKCTRL2_USB0_HOSTM_MASK)\r
-#define SYSCON_AHBCLKCTRL2_USB0_HOSTS_MASK       (0x20000U)\r
-#define SYSCON_AHBCLKCTRL2_USB0_HOSTS_SHIFT      (17U)\r
+#define SYSCON_AHBCLKCTRL2_USB0_HOSTM(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_USB0_HOSTM_SHIFT)) & SYSCON_AHBCLKCTRL2_USB0_HOSTM_MASK)\r
+#define SYSCON_AHBCLKCTRL2_USB0_HOSTS_MASK (0x20000U)\r
+#define SYSCON_AHBCLKCTRL2_USB0_HOSTS_SHIFT (17U)\r
 /*! USB0_HOSTS - Enables the clock for the USB0 Host Slave.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL2_USB0_HOSTS(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_USB0_HOSTS_SHIFT)) & SYSCON_AHBCLKCTRL2_USB0_HOSTS_MASK)\r
-#define SYSCON_AHBCLKCTRL2_HASH0_MASK            (0x40000U)\r
-#define SYSCON_AHBCLKCTRL2_HASH0_SHIFT           (18U)\r
-/*! HASH0 - Enables the clock for the HASH0.\r
+#define SYSCON_AHBCLKCTRL2_USB0_HOSTS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_USB0_HOSTS_SHIFT)) & SYSCON_AHBCLKCTRL2_USB0_HOSTS_MASK)\r
+#define SYSCON_AHBCLKCTRL2_HASH_AES_MASK (0x40000U)\r
+#define SYSCON_AHBCLKCTRL2_HASH_AES_SHIFT (18U)\r
+/*! HASH_AES - Enables the clock for the HASH_AES.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL2_HASH0(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_HASH0_SHIFT)) & SYSCON_AHBCLKCTRL2_HASH0_MASK)\r
-#define SYSCON_AHBCLKCTRL2_PQ_MASK               (0x80000U)\r
-#define SYSCON_AHBCLKCTRL2_PQ_SHIFT              (19U)\r
+#define SYSCON_AHBCLKCTRL2_HASH_AES(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_HASH_AES_SHIFT)) & SYSCON_AHBCLKCTRL2_HASH_AES_MASK)\r
+#define SYSCON_AHBCLKCTRL2_PQ_MASK (0x80000U)\r
+#define SYSCON_AHBCLKCTRL2_PQ_SHIFT (19U)\r
 /*! PQ - Enables the clock for the Power Quad.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL2_PQ(x)                 (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_PQ_SHIFT)) & SYSCON_AHBCLKCTRL2_PQ_MASK)\r
-#define SYSCON_AHBCLKCTRL2_PLULUT_MASK           (0x100000U)\r
-#define SYSCON_AHBCLKCTRL2_PLULUT_SHIFT          (20U)\r
+#define SYSCON_AHBCLKCTRL2_PQ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_PQ_SHIFT)) & SYSCON_AHBCLKCTRL2_PQ_MASK)\r
+#define SYSCON_AHBCLKCTRL2_PLULUT_MASK (0x100000U)\r
+#define SYSCON_AHBCLKCTRL2_PLULUT_SHIFT (20U)\r
 /*! PLULUT - Enables the clock for the PLU LUT.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL2_PLULUT(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_PLULUT_SHIFT)) & SYSCON_AHBCLKCTRL2_PLULUT_MASK)\r
-#define SYSCON_AHBCLKCTRL2_TIMER3_MASK           (0x200000U)\r
-#define SYSCON_AHBCLKCTRL2_TIMER3_SHIFT          (21U)\r
+#define SYSCON_AHBCLKCTRL2_PLULUT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_PLULUT_SHIFT)) & SYSCON_AHBCLKCTRL2_PLULUT_MASK)\r
+#define SYSCON_AHBCLKCTRL2_TIMER3_MASK (0x200000U)\r
+#define SYSCON_AHBCLKCTRL2_TIMER3_SHIFT (21U)\r
 /*! TIMER3 - Enables the clock for the Timer 3.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL2_TIMER3(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_TIMER3_SHIFT)) & SYSCON_AHBCLKCTRL2_TIMER3_MASK)\r
-#define SYSCON_AHBCLKCTRL2_TIMER4_MASK           (0x400000U)\r
-#define SYSCON_AHBCLKCTRL2_TIMER4_SHIFT          (22U)\r
+#define SYSCON_AHBCLKCTRL2_TIMER3(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_TIMER3_SHIFT)) & SYSCON_AHBCLKCTRL2_TIMER3_MASK)\r
+#define SYSCON_AHBCLKCTRL2_TIMER4_MASK (0x400000U)\r
+#define SYSCON_AHBCLKCTRL2_TIMER4_SHIFT (22U)\r
 /*! TIMER4 - Enables the clock for the Timer 4.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL2_TIMER4(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_TIMER4_SHIFT)) & SYSCON_AHBCLKCTRL2_TIMER4_MASK)\r
-#define SYSCON_AHBCLKCTRL2_PUF_MASK              (0x800000U)\r
-#define SYSCON_AHBCLKCTRL2_PUF_SHIFT             (23U)\r
+#define SYSCON_AHBCLKCTRL2_TIMER4(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_TIMER4_SHIFT)) & SYSCON_AHBCLKCTRL2_TIMER4_MASK)\r
+#define SYSCON_AHBCLKCTRL2_PUF_MASK (0x800000U)\r
+#define SYSCON_AHBCLKCTRL2_PUF_SHIFT (23U)\r
 /*! PUF - Enables the clock for the PUF reset control.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL2_PUF(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_PUF_SHIFT)) & SYSCON_AHBCLKCTRL2_PUF_MASK)\r
-#define SYSCON_AHBCLKCTRL2_CASPER_MASK           (0x1000000U)\r
-#define SYSCON_AHBCLKCTRL2_CASPER_SHIFT          (24U)\r
+#define SYSCON_AHBCLKCTRL2_PUF(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_PUF_SHIFT)) & SYSCON_AHBCLKCTRL2_PUF_MASK)\r
+#define SYSCON_AHBCLKCTRL2_CASPER_MASK (0x1000000U)\r
+#define SYSCON_AHBCLKCTRL2_CASPER_SHIFT (24U)\r
 /*! CASPER - Enables the clock for the Casper.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL2_CASPER(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_CASPER_SHIFT)) & SYSCON_AHBCLKCTRL2_CASPER_MASK)\r
-#define SYSCON_AHBCLKCTRL2_CAPT0_MASK            (0x2000000U)\r
-#define SYSCON_AHBCLKCTRL2_CAPT0_SHIFT           (25U)\r
-/*! CAPT0 - Enables the clock for the CAPT0.\r
- *  0b1..Enable Clock.\r
- *  0b0..Disable Clock.\r
- */\r
-#define SYSCON_AHBCLKCTRL2_CAPT0(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_CAPT0_SHIFT)) & SYSCON_AHBCLKCTRL2_CAPT0_MASK)\r
-#define SYSCON_AHBCLKCTRL2_ANALOG_CTRL_MASK      (0x8000000U)\r
-#define SYSCON_AHBCLKCTRL2_ANALOG_CTRL_SHIFT     (27U)\r
+#define SYSCON_AHBCLKCTRL2_CASPER(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_CASPER_SHIFT)) & SYSCON_AHBCLKCTRL2_CASPER_MASK)\r
+#define SYSCON_AHBCLKCTRL2_ANALOG_CTRL_MASK (0x8000000U)\r
+#define SYSCON_AHBCLKCTRL2_ANALOG_CTRL_SHIFT (27U)\r
 /*! ANALOG_CTRL - Enables the clock for the analog control.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL2_ANALOG_CTRL(x)        (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_ANALOG_CTRL_SHIFT)) & SYSCON_AHBCLKCTRL2_ANALOG_CTRL_MASK)\r
-#define SYSCON_AHBCLKCTRL2_HS_LSPI_MASK          (0x10000000U)\r
-#define SYSCON_AHBCLKCTRL2_HS_LSPI_SHIFT         (28U)\r
+#define SYSCON_AHBCLKCTRL2_ANALOG_CTRL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_ANALOG_CTRL_SHIFT)) & SYSCON_AHBCLKCTRL2_ANALOG_CTRL_MASK)\r
+#define SYSCON_AHBCLKCTRL2_HS_LSPI_MASK (0x10000000U)\r
+#define SYSCON_AHBCLKCTRL2_HS_LSPI_SHIFT (28U)\r
 /*! HS_LSPI - Enables the clock for the HS LSPI.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL2_HS_LSPI(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_HS_LSPI_SHIFT)) & SYSCON_AHBCLKCTRL2_HS_LSPI_MASK)\r
-#define SYSCON_AHBCLKCTRL2_GPIO_SEC_MASK         (0x20000000U)\r
-#define SYSCON_AHBCLKCTRL2_GPIO_SEC_SHIFT        (29U)\r
+#define SYSCON_AHBCLKCTRL2_HS_LSPI(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_HS_LSPI_SHIFT)) & SYSCON_AHBCLKCTRL2_HS_LSPI_MASK)\r
+#define SYSCON_AHBCLKCTRL2_GPIO_SEC_MASK (0x20000000U)\r
+#define SYSCON_AHBCLKCTRL2_GPIO_SEC_SHIFT (29U)\r
 /*! GPIO_SEC - Enables the clock for the GPIO secure.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL2_GPIO_SEC(x)           (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_GPIO_SEC_SHIFT)) & SYSCON_AHBCLKCTRL2_GPIO_SEC_MASK)\r
-#define SYSCON_AHBCLKCTRL2_GPIO_SEC_INT_MASK     (0x40000000U)\r
-#define SYSCON_AHBCLKCTRL2_GPIO_SEC_INT_SHIFT    (30U)\r
+#define SYSCON_AHBCLKCTRL2_GPIO_SEC(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_GPIO_SEC_SHIFT)) & SYSCON_AHBCLKCTRL2_GPIO_SEC_MASK)\r
+#define SYSCON_AHBCLKCTRL2_GPIO_SEC_INT_MASK (0x40000000U)\r
+#define SYSCON_AHBCLKCTRL2_GPIO_SEC_INT_SHIFT (30U)\r
 /*! GPIO_SEC_INT - Enables the clock for the GPIO secure int.\r
  *  0b1..Enable Clock.\r
  *  0b0..Disable Clock.\r
  */\r
-#define SYSCON_AHBCLKCTRL2_GPIO_SEC_INT(x)       (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_GPIO_SEC_INT_SHIFT)) & SYSCON_AHBCLKCTRL2_GPIO_SEC_INT_MASK)\r
+#define SYSCON_AHBCLKCTRL2_GPIO_SEC_INT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRL2_GPIO_SEC_INT_SHIFT)) & SYSCON_AHBCLKCTRL2_GPIO_SEC_INT_MASK)\r
 /*! @} */\r
 \r
 /*! @name AHBCLKCTRLX - Peripheral reset control register */\r
 /*! @{ */\r
-#define SYSCON_AHBCLKCTRLX_DATA_MASK             (0xFFFFFFFFU)\r
-#define SYSCON_AHBCLKCTRLX_DATA_SHIFT            (0U)\r
-#define SYSCON_AHBCLKCTRLX_DATA(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRLX_DATA_SHIFT)) & SYSCON_AHBCLKCTRLX_DATA_MASK)\r
+#define SYSCON_AHBCLKCTRLX_DATA_MASK (0xFFFFFFFFU)\r
+#define SYSCON_AHBCLKCTRLX_DATA_SHIFT (0U)\r
+/*! DATA - Data array value\r
+ */\r
+#define SYSCON_AHBCLKCTRLX_DATA(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRLX_DATA_SHIFT)) & SYSCON_AHBCLKCTRLX_DATA_MASK)\r
 /*! @} */\r
 \r
 /* The count of SYSCON_AHBCLKCTRLX */\r
-#define SYSCON_AHBCLKCTRLX_COUNT                 (3U)\r
+#define SYSCON_AHBCLKCTRLX_COUNT (3U)\r
 \r
 /*! @name AHBCLKCTRLSET - Peripheral reset control register */\r
 /*! @{ */\r
-#define SYSCON_AHBCLKCTRLSET_DATA_MASK           (0xFFFFFFFFU)\r
-#define SYSCON_AHBCLKCTRLSET_DATA_SHIFT          (0U)\r
-#define SYSCON_AHBCLKCTRLSET_DATA(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRLSET_DATA_SHIFT)) & SYSCON_AHBCLKCTRLSET_DATA_MASK)\r
+#define SYSCON_AHBCLKCTRLSET_DATA_MASK (0xFFFFFFFFU)\r
+#define SYSCON_AHBCLKCTRLSET_DATA_SHIFT (0U)\r
+/*! DATA - Data array value\r
+ */\r
+#define SYSCON_AHBCLKCTRLSET_DATA(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRLSET_DATA_SHIFT)) & SYSCON_AHBCLKCTRLSET_DATA_MASK)\r
 /*! @} */\r
 \r
 /* The count of SYSCON_AHBCLKCTRLSET */\r
-#define SYSCON_AHBCLKCTRLSET_COUNT               (3U)\r
+#define SYSCON_AHBCLKCTRLSET_COUNT (3U)\r
 \r
 /*! @name AHBCLKCTRLCLR - Peripheral reset control register */\r
 /*! @{ */\r
-#define SYSCON_AHBCLKCTRLCLR_DATA_MASK           (0xFFFFFFFFU)\r
-#define SYSCON_AHBCLKCTRLCLR_DATA_SHIFT          (0U)\r
-#define SYSCON_AHBCLKCTRLCLR_DATA(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRLCLR_DATA_SHIFT)) & SYSCON_AHBCLKCTRLCLR_DATA_MASK)\r
+#define SYSCON_AHBCLKCTRLCLR_DATA_MASK (0xFFFFFFFFU)\r
+#define SYSCON_AHBCLKCTRLCLR_DATA_SHIFT (0U)\r
+/*! DATA - Data array value\r
+ */\r
+#define SYSCON_AHBCLKCTRLCLR_DATA(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKCTRLCLR_DATA_SHIFT)) & SYSCON_AHBCLKCTRLCLR_DATA_MASK)\r
 /*! @} */\r
 \r
 /* The count of SYSCON_AHBCLKCTRLCLR */\r
-#define SYSCON_AHBCLKCTRLCLR_COUNT               (3U)\r
+#define SYSCON_AHBCLKCTRLCLR_COUNT (3U)\r
 \r
 /*! @name SYSTICKCLKSEL0 - System Tick Timer for CPU0 source select */\r
 /*! @{ */\r
-#define SYSCON_SYSTICKCLKSEL0_SEL_MASK           (0x7U)\r
-#define SYSCON_SYSTICKCLKSEL0_SEL_SHIFT          (0U)\r
+#define SYSCON_SYSTICKCLKSEL0_SEL_MASK (0x7U)\r
+#define SYSCON_SYSTICKCLKSEL0_SEL_SHIFT (0U)\r
 /*! SEL - System Tick Timer for CPU0 source select.\r
  *  0b000..System Tick 0 divided clock.\r
  *  0b001..FRO 1MHz clock.\r
@@ -17312,13 +22528,14 @@ typedef struct {
  *  0b110..No clock.\r
  *  0b111..No clock.\r
  */\r
-#define SYSCON_SYSTICKCLKSEL0_SEL(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_SYSTICKCLKSEL0_SEL_SHIFT)) & SYSCON_SYSTICKCLKSEL0_SEL_MASK)\r
+#define SYSCON_SYSTICKCLKSEL0_SEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_SYSTICKCLKSEL0_SEL_SHIFT)) & SYSCON_SYSTICKCLKSEL0_SEL_MASK)\r
 /*! @} */\r
 \r
 /*! @name SYSTICKCLKSEL1 - System Tick Timer for CPU1 source select */\r
 /*! @{ */\r
-#define SYSCON_SYSTICKCLKSEL1_SEL_MASK           (0x7U)\r
-#define SYSCON_SYSTICKCLKSEL1_SEL_SHIFT          (0U)\r
+#define SYSCON_SYSTICKCLKSEL1_SEL_MASK (0x7U)\r
+#define SYSCON_SYSTICKCLKSEL1_SEL_SHIFT (0U)\r
 /*! SEL - System Tick Timer for CPU1 source select.\r
  *  0b000..System Tick 1 divided clock.\r
  *  0b001..FRO 1MHz clock.\r
@@ -17329,23 +22546,27 @@ typedef struct {
  *  0b110..No clock.\r
  *  0b111..No clock.\r
  */\r
-#define SYSCON_SYSTICKCLKSEL1_SEL(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_SYSTICKCLKSEL1_SEL_SHIFT)) & SYSCON_SYSTICKCLKSEL1_SEL_MASK)\r
+#define SYSCON_SYSTICKCLKSEL1_SEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_SYSTICKCLKSEL1_SEL_SHIFT)) & SYSCON_SYSTICKCLKSEL1_SEL_MASK)\r
 /*! @} */\r
 \r
 /*! @name SYSTICKCLKSELX - Peripheral reset control register */\r
 /*! @{ */\r
-#define SYSCON_SYSTICKCLKSELX_DATA_MASK          (0xFFFFFFFFU)\r
-#define SYSCON_SYSTICKCLKSELX_DATA_SHIFT         (0U)\r
-#define SYSCON_SYSTICKCLKSELX_DATA(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_SYSTICKCLKSELX_DATA_SHIFT)) & SYSCON_SYSTICKCLKSELX_DATA_MASK)\r
+#define SYSCON_SYSTICKCLKSELX_DATA_MASK (0xFFFFFFFFU)\r
+#define SYSCON_SYSTICKCLKSELX_DATA_SHIFT (0U)\r
+/*! DATA - Data array value\r
+ */\r
+#define SYSCON_SYSTICKCLKSELX_DATA(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_SYSTICKCLKSELX_DATA_SHIFT)) & SYSCON_SYSTICKCLKSELX_DATA_MASK)\r
 /*! @} */\r
 \r
 /* The count of SYSCON_SYSTICKCLKSELX */\r
-#define SYSCON_SYSTICKCLKSELX_COUNT              (2U)\r
+#define SYSCON_SYSTICKCLKSELX_COUNT (2U)\r
 \r
 /*! @name TRACECLKSEL - Trace clock source select */\r
 /*! @{ */\r
-#define SYSCON_TRACECLKSEL_SEL_MASK              (0x7U)\r
-#define SYSCON_TRACECLKSEL_SEL_SHIFT             (0U)\r
+#define SYSCON_TRACECLKSEL_SEL_MASK (0x7U)\r
+#define SYSCON_TRACECLKSEL_SEL_SHIFT (0U)\r
 /*! SEL - Trace clock source select.\r
  *  0b000..Trace divided clock.\r
  *  0b001..FRO 1MHz clock.\r
@@ -17356,13 +22577,14 @@ typedef struct {
  *  0b110..No clock.\r
  *  0b111..No clock.\r
  */\r
-#define SYSCON_TRACECLKSEL_SEL(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_TRACECLKSEL_SEL_SHIFT)) & SYSCON_TRACECLKSEL_SEL_MASK)\r
+#define SYSCON_TRACECLKSEL_SEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_TRACECLKSEL_SEL_SHIFT)) & SYSCON_TRACECLKSEL_SEL_MASK)\r
 /*! @} */\r
 \r
 /*! @name CTIMERCLKSEL0 - CTimer 0 clock source select */\r
 /*! @{ */\r
-#define SYSCON_CTIMERCLKSEL0_SEL_MASK            (0x7U)\r
-#define SYSCON_CTIMERCLKSEL0_SEL_SHIFT           (0U)\r
+#define SYSCON_CTIMERCLKSEL0_SEL_MASK (0x7U)\r
+#define SYSCON_CTIMERCLKSEL0_SEL_SHIFT (0U)\r
 /*! SEL - CTimer 0 clock source select.\r
  *  0b000..Main clock.\r
  *  0b001..PLL0 clock.\r
@@ -17373,13 +22595,14 @@ typedef struct {
  *  0b110..Oscillator 32kHz clock.\r
  *  0b111..No clock.\r
  */\r
-#define SYSCON_CTIMERCLKSEL0_SEL(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_CTIMERCLKSEL0_SEL_SHIFT)) & SYSCON_CTIMERCLKSEL0_SEL_MASK)\r
+#define SYSCON_CTIMERCLKSEL0_SEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_CTIMERCLKSEL0_SEL_SHIFT)) & SYSCON_CTIMERCLKSEL0_SEL_MASK)\r
 /*! @} */\r
 \r
 /*! @name CTIMERCLKSEL1 - CTimer 1 clock source select */\r
 /*! @{ */\r
-#define SYSCON_CTIMERCLKSEL1_SEL_MASK            (0x7U)\r
-#define SYSCON_CTIMERCLKSEL1_SEL_SHIFT           (0U)\r
+#define SYSCON_CTIMERCLKSEL1_SEL_MASK (0x7U)\r
+#define SYSCON_CTIMERCLKSEL1_SEL_SHIFT (0U)\r
 /*! SEL - CTimer 1 clock source select.\r
  *  0b000..Main clock.\r
  *  0b001..PLL0 clock.\r
@@ -17390,13 +22613,14 @@ typedef struct {
  *  0b110..Oscillator 32kHz clock.\r
  *  0b111..No clock.\r
  */\r
-#define SYSCON_CTIMERCLKSEL1_SEL(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_CTIMERCLKSEL1_SEL_SHIFT)) & SYSCON_CTIMERCLKSEL1_SEL_MASK)\r
+#define SYSCON_CTIMERCLKSEL1_SEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_CTIMERCLKSEL1_SEL_SHIFT)) & SYSCON_CTIMERCLKSEL1_SEL_MASK)\r
 /*! @} */\r
 \r
 /*! @name CTIMERCLKSEL2 - CTimer 2 clock source select */\r
 /*! @{ */\r
-#define SYSCON_CTIMERCLKSEL2_SEL_MASK            (0x7U)\r
-#define SYSCON_CTIMERCLKSEL2_SEL_SHIFT           (0U)\r
+#define SYSCON_CTIMERCLKSEL2_SEL_MASK (0x7U)\r
+#define SYSCON_CTIMERCLKSEL2_SEL_SHIFT (0U)\r
 /*! SEL - CTimer 2 clock source select.\r
  *  0b000..Main clock.\r
  *  0b001..PLL0 clock.\r
@@ -17407,13 +22631,14 @@ typedef struct {
  *  0b110..Oscillator 32kHz clock.\r
  *  0b111..No clock.\r
  */\r
-#define SYSCON_CTIMERCLKSEL2_SEL(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_CTIMERCLKSEL2_SEL_SHIFT)) & SYSCON_CTIMERCLKSEL2_SEL_MASK)\r
+#define SYSCON_CTIMERCLKSEL2_SEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_CTIMERCLKSEL2_SEL_SHIFT)) & SYSCON_CTIMERCLKSEL2_SEL_MASK)\r
 /*! @} */\r
 \r
 /*! @name CTIMERCLKSEL3 - CTimer 3 clock source select */\r
 /*! @{ */\r
-#define SYSCON_CTIMERCLKSEL3_SEL_MASK            (0x7U)\r
-#define SYSCON_CTIMERCLKSEL3_SEL_SHIFT           (0U)\r
+#define SYSCON_CTIMERCLKSEL3_SEL_MASK (0x7U)\r
+#define SYSCON_CTIMERCLKSEL3_SEL_SHIFT (0U)\r
 /*! SEL - CTimer 3 clock source select.\r
  *  0b000..Main clock.\r
  *  0b001..PLL0 clock.\r
@@ -17424,13 +22649,14 @@ typedef struct {
  *  0b110..Oscillator 32kHz clock.\r
  *  0b111..No clock.\r
  */\r
-#define SYSCON_CTIMERCLKSEL3_SEL(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_CTIMERCLKSEL3_SEL_SHIFT)) & SYSCON_CTIMERCLKSEL3_SEL_MASK)\r
+#define SYSCON_CTIMERCLKSEL3_SEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_CTIMERCLKSEL3_SEL_SHIFT)) & SYSCON_CTIMERCLKSEL3_SEL_MASK)\r
 /*! @} */\r
 \r
 /*! @name CTIMERCLKSEL4 - CTimer 4 clock source select */\r
 /*! @{ */\r
-#define SYSCON_CTIMERCLKSEL4_SEL_MASK            (0x7U)\r
-#define SYSCON_CTIMERCLKSEL4_SEL_SHIFT           (0U)\r
+#define SYSCON_CTIMERCLKSEL4_SEL_MASK (0x7U)\r
+#define SYSCON_CTIMERCLKSEL4_SEL_SHIFT (0U)\r
 /*! SEL - CTimer 4 clock source select.\r
  *  0b000..Main clock.\r
  *  0b001..PLL0 clock.\r
@@ -17441,57 +22667,63 @@ typedef struct {
  *  0b110..Oscillator 32kHz clock.\r
  *  0b111..No clock.\r
  */\r
-#define SYSCON_CTIMERCLKSEL4_SEL(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_CTIMERCLKSEL4_SEL_SHIFT)) & SYSCON_CTIMERCLKSEL4_SEL_MASK)\r
+#define SYSCON_CTIMERCLKSEL4_SEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_CTIMERCLKSEL4_SEL_SHIFT)) & SYSCON_CTIMERCLKSEL4_SEL_MASK)\r
 /*! @} */\r
 \r
 /*! @name CTIMERCLKSELX - Peripheral reset control register */\r
 /*! @{ */\r
-#define SYSCON_CTIMERCLKSELX_DATA_MASK           (0xFFFFFFFFU)\r
-#define SYSCON_CTIMERCLKSELX_DATA_SHIFT          (0U)\r
-#define SYSCON_CTIMERCLKSELX_DATA(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_CTIMERCLKSELX_DATA_SHIFT)) & SYSCON_CTIMERCLKSELX_DATA_MASK)\r
+#define SYSCON_CTIMERCLKSELX_DATA_MASK (0xFFFFFFFFU)\r
+#define SYSCON_CTIMERCLKSELX_DATA_SHIFT (0U)\r
+/*! DATA - Data array value\r
+ */\r
+#define SYSCON_CTIMERCLKSELX_DATA(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_CTIMERCLKSELX_DATA_SHIFT)) & SYSCON_CTIMERCLKSELX_DATA_MASK)\r
 /*! @} */\r
 \r
 /* The count of SYSCON_CTIMERCLKSELX */\r
-#define SYSCON_CTIMERCLKSELX_COUNT               (5U)\r
+#define SYSCON_CTIMERCLKSELX_COUNT (5U)\r
 \r
 /*! @name MAINCLKSELA - Main clock A source select */\r
 /*! @{ */\r
-#define SYSCON_MAINCLKSELA_SEL_MASK              (0x7U)\r
-#define SYSCON_MAINCLKSELA_SEL_SHIFT             (0U)\r
+#define SYSCON_MAINCLKSELA_SEL_MASK (0x7U)\r
+#define SYSCON_MAINCLKSELA_SEL_SHIFT (0U)\r
 /*! SEL - Main clock A source select.\r
  *  0b000..FRO 12 MHz clock.\r
  *  0b001..CLKIN clock.\r
  *  0b010..FRO 1MHz clock.\r
  *  0b011..FRO 96 MHz clock.\r
- *  0b100..No clock.\r
- *  0b101..No clock.\r
- *  0b110..No clock.\r
- *  0b111..No clock.\r
+ *  0b100..Reserved.\r
+ *  0b101..Reserved.\r
+ *  0b110..Reserved.\r
+ *  0b111..Reserved.\r
  */\r
-#define SYSCON_MAINCLKSELA_SEL(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_MAINCLKSELA_SEL_SHIFT)) & SYSCON_MAINCLKSELA_SEL_MASK)\r
+#define SYSCON_MAINCLKSELA_SEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_MAINCLKSELA_SEL_SHIFT)) & SYSCON_MAINCLKSELA_SEL_MASK)\r
 /*! @} */\r
 \r
 /*! @name MAINCLKSELB - Main clock source select */\r
 /*! @{ */\r
-#define SYSCON_MAINCLKSELB_SEL_MASK              (0x7U)\r
-#define SYSCON_MAINCLKSELB_SEL_SHIFT             (0U)\r
+#define SYSCON_MAINCLKSELB_SEL_MASK (0x7U)\r
+#define SYSCON_MAINCLKSELB_SEL_SHIFT (0U)\r
 /*! SEL - Main clock source select.\r
  *  0b000..Main Clock A.\r
  *  0b001..PLL0 clock.\r
  *  0b010..PLL1 clock.\r
  *  0b011..Oscillator 32 kHz clock.\r
- *  0b100..No clock.\r
- *  0b101..No clock.\r
- *  0b110..No clock.\r
- *  0b111..No clock.\r
+ *  0b100..Reserved.\r
+ *  0b101..Reserved.\r
+ *  0b110..Reserved.\r
+ *  0b111..Reserved.\r
  */\r
-#define SYSCON_MAINCLKSELB_SEL(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_MAINCLKSELB_SEL_SHIFT)) & SYSCON_MAINCLKSELB_SEL_MASK)\r
+#define SYSCON_MAINCLKSELB_SEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_MAINCLKSELB_SEL_SHIFT)) & SYSCON_MAINCLKSELB_SEL_MASK)\r
 /*! @} */\r
 \r
 /*! @name CLKOUTSEL - CLKOUT clock source select */\r
 /*! @{ */\r
-#define SYSCON_CLKOUTSEL_SEL_MASK                (0x7U)\r
-#define SYSCON_CLKOUTSEL_SEL_SHIFT               (0U)\r
+#define SYSCON_CLKOUTSEL_SEL_MASK (0x7U)\r
+#define SYSCON_CLKOUTSEL_SEL_SHIFT (0U)\r
 /*! SEL - CLKOUT clock source select.\r
  *  0b000..Main clock.\r
  *  0b001..PLL0 clock.\r
@@ -17502,13 +22734,14 @@ typedef struct {
  *  0b110..Oscillator 32kHz clock.\r
  *  0b111..No clock.\r
  */\r
-#define SYSCON_CLKOUTSEL_SEL(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_CLKOUTSEL_SEL_SHIFT)) & SYSCON_CLKOUTSEL_SEL_MASK)\r
+#define SYSCON_CLKOUTSEL_SEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_CLKOUTSEL_SEL_SHIFT)) & SYSCON_CLKOUTSEL_SEL_MASK)\r
 /*! @} */\r
 \r
 /*! @name PLL0CLKSEL - PLL0 clock source select */\r
 /*! @{ */\r
-#define SYSCON_PLL0CLKSEL_SEL_MASK               (0x7U)\r
-#define SYSCON_PLL0CLKSEL_SEL_SHIFT              (0U)\r
+#define SYSCON_PLL0CLKSEL_SEL_MASK (0x7U)\r
+#define SYSCON_PLL0CLKSEL_SEL_SHIFT (0U)\r
 /*! SEL - PLL0 clock source select.\r
  *  0b000..FRO 12 MHz clock.\r
  *  0b001..CLKIN clock.\r
@@ -17519,13 +22752,14 @@ typedef struct {
  *  0b110..No clock.\r
  *  0b111..No clock.\r
  */\r
-#define SYSCON_PLL0CLKSEL_SEL(x)                 (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0CLKSEL_SEL_SHIFT)) & SYSCON_PLL0CLKSEL_SEL_MASK)\r
+#define SYSCON_PLL0CLKSEL_SEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0CLKSEL_SEL_SHIFT)) & SYSCON_PLL0CLKSEL_SEL_MASK)\r
 /*! @} */\r
 \r
 /*! @name PLL1CLKSEL - PLL1 clock source select */\r
 /*! @{ */\r
-#define SYSCON_PLL1CLKSEL_SEL_MASK               (0x7U)\r
-#define SYSCON_PLL1CLKSEL_SEL_SHIFT              (0U)\r
+#define SYSCON_PLL1CLKSEL_SEL_MASK (0x7U)\r
+#define SYSCON_PLL1CLKSEL_SEL_SHIFT (0U)\r
 /*! SEL - PLL1 clock source select.\r
  *  0b000..FRO 12 MHz clock.\r
  *  0b001..CLKIN clock.\r
@@ -17536,30 +22770,32 @@ typedef struct {
  *  0b110..No clock.\r
  *  0b111..No clock.\r
  */\r
-#define SYSCON_PLL1CLKSEL_SEL(x)                 (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1CLKSEL_SEL_SHIFT)) & SYSCON_PLL1CLKSEL_SEL_MASK)\r
+#define SYSCON_PLL1CLKSEL_SEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1CLKSEL_SEL_SHIFT)) & SYSCON_PLL1CLKSEL_SEL_MASK)\r
 /*! @} */\r
 \r
 /*! @name ADCCLKSEL - ADC clock source select */\r
 /*! @{ */\r
-#define SYSCON_ADCCLKSEL_SEL_MASK                (0x7U)\r
-#define SYSCON_ADCCLKSEL_SEL_SHIFT               (0U)\r
+#define SYSCON_ADCCLKSEL_SEL_MASK (0x7U)\r
+#define SYSCON_ADCCLKSEL_SEL_SHIFT (0U)\r
 /*! SEL - ADC clock source select.\r
  *  0b000..Main clock.\r
  *  0b001..PLL0 clock.\r
  *  0b010..FRO 96 MHz clock.\r
- *  0b011..No clock.\r
+ *  0b011..Reserved.\r
  *  0b100..No clock.\r
  *  0b101..No clock.\r
  *  0b110..No clock.\r
  *  0b111..No clock.\r
  */\r
-#define SYSCON_ADCCLKSEL_SEL(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_ADCCLKSEL_SEL_SHIFT)) & SYSCON_ADCCLKSEL_SEL_MASK)\r
+#define SYSCON_ADCCLKSEL_SEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_ADCCLKSEL_SEL_SHIFT)) & SYSCON_ADCCLKSEL_SEL_MASK)\r
 /*! @} */\r
 \r
 /*! @name USB0CLKSEL - FS USB clock source select */\r
 /*! @{ */\r
-#define SYSCON_USB0CLKSEL_SEL_MASK               (0x7U)\r
-#define SYSCON_USB0CLKSEL_SEL_SHIFT              (0U)\r
+#define SYSCON_USB0CLKSEL_SEL_MASK (0x7U)\r
+#define SYSCON_USB0CLKSEL_SEL_SHIFT (0U)\r
 /*! SEL - FS USB clock source select.\r
  *  0b000..Main clock.\r
  *  0b001..PLL0 clock.\r
@@ -17570,30 +22806,14 @@ typedef struct {
  *  0b110..No clock.\r
  *  0b111..No clock.\r
  */\r
-#define SYSCON_USB0CLKSEL_SEL(x)                 (((uint32_t)(((uint32_t)(x)) << SYSCON_USB0CLKSEL_SEL_SHIFT)) & SYSCON_USB0CLKSEL_SEL_MASK)\r
-/*! @} */\r
-\r
-/*! @name USB1CLKSEL - HS USB clock source select - NOT USED */\r
-/*! @{ */\r
-#define SYSCON_USB1CLKSEL_SEL_MASK               (0x7U)\r
-#define SYSCON_USB1CLKSEL_SEL_SHIFT              (0U)\r
-/*! SEL - HS USB clock source select.\r
- *  0b000..Main clock.\r
- *  0b001..PLL0 clock.\r
- *  0b010..CLKIN clock.\r
- *  0b011..No clock.\r
- *  0b100..No clock.\r
- *  0b101..PLL1 clock.\r
- *  0b110..No clock.\r
- *  0b111..No clock.\r
- */\r
-#define SYSCON_USB1CLKSEL_SEL(x)                 (((uint32_t)(((uint32_t)(x)) << SYSCON_USB1CLKSEL_SEL_SHIFT)) & SYSCON_USB1CLKSEL_SEL_MASK)\r
+#define SYSCON_USB0CLKSEL_SEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_USB0CLKSEL_SEL_SHIFT)) & SYSCON_USB0CLKSEL_SEL_MASK)\r
 /*! @} */\r
 \r
 /*! @name FCCLKSEL0 - Flexcomm Interface 0 clock source select for Fractional Rate Divider */\r
 /*! @{ */\r
-#define SYSCON_FCCLKSEL0_SEL_MASK                (0x7U)\r
-#define SYSCON_FCCLKSEL0_SEL_SHIFT               (0U)\r
+#define SYSCON_FCCLKSEL0_SEL_MASK (0x7U)\r
+#define SYSCON_FCCLKSEL0_SEL_SHIFT (0U)\r
 /*! SEL - Flexcomm Interface 0 clock source select for Fractional Rate Divider.\r
  *  0b000..Main clock.\r
  *  0b001..system PLL divided clock.\r
@@ -17604,13 +22824,14 @@ typedef struct {
  *  0b110..Oscillator 32 kHz clock.\r
  *  0b111..No clock.\r
  */\r
-#define SYSCON_FCCLKSEL0_SEL(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_FCCLKSEL0_SEL_SHIFT)) & SYSCON_FCCLKSEL0_SEL_MASK)\r
+#define SYSCON_FCCLKSEL0_SEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_FCCLKSEL0_SEL_SHIFT)) & SYSCON_FCCLKSEL0_SEL_MASK)\r
 /*! @} */\r
 \r
 /*! @name FCCLKSEL1 - Flexcomm Interface 1 clock source select for Fractional Rate Divider */\r
 /*! @{ */\r
-#define SYSCON_FCCLKSEL1_SEL_MASK                (0x7U)\r
-#define SYSCON_FCCLKSEL1_SEL_SHIFT               (0U)\r
+#define SYSCON_FCCLKSEL1_SEL_MASK (0x7U)\r
+#define SYSCON_FCCLKSEL1_SEL_SHIFT (0U)\r
 /*! SEL - Flexcomm Interface 1 clock source select for Fractional Rate Divider.\r
  *  0b000..Main clock.\r
  *  0b001..system PLL divided clock.\r
@@ -17621,13 +22842,14 @@ typedef struct {
  *  0b110..Oscillator 32 kHz clock.\r
  *  0b111..No clock.\r
  */\r
-#define SYSCON_FCCLKSEL1_SEL(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_FCCLKSEL1_SEL_SHIFT)) & SYSCON_FCCLKSEL1_SEL_MASK)\r
+#define SYSCON_FCCLKSEL1_SEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_FCCLKSEL1_SEL_SHIFT)) & SYSCON_FCCLKSEL1_SEL_MASK)\r
 /*! @} */\r
 \r
 /*! @name FCCLKSEL2 - Flexcomm Interface 2 clock source select for Fractional Rate Divider */\r
 /*! @{ */\r
-#define SYSCON_FCCLKSEL2_SEL_MASK                (0x7U)\r
-#define SYSCON_FCCLKSEL2_SEL_SHIFT               (0U)\r
+#define SYSCON_FCCLKSEL2_SEL_MASK (0x7U)\r
+#define SYSCON_FCCLKSEL2_SEL_SHIFT (0U)\r
 /*! SEL - Flexcomm Interface 2 clock source select for Fractional Rate Divider.\r
  *  0b000..Main clock.\r
  *  0b001..system PLL divided clock.\r
@@ -17638,13 +22860,14 @@ typedef struct {
  *  0b110..Oscillator 32 kHz clock.\r
  *  0b111..No clock.\r
  */\r
-#define SYSCON_FCCLKSEL2_SEL(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_FCCLKSEL2_SEL_SHIFT)) & SYSCON_FCCLKSEL2_SEL_MASK)\r
+#define SYSCON_FCCLKSEL2_SEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_FCCLKSEL2_SEL_SHIFT)) & SYSCON_FCCLKSEL2_SEL_MASK)\r
 /*! @} */\r
 \r
 /*! @name FCCLKSEL3 - Flexcomm Interface 3 clock source select for Fractional Rate Divider */\r
 /*! @{ */\r
-#define SYSCON_FCCLKSEL3_SEL_MASK                (0x7U)\r
-#define SYSCON_FCCLKSEL3_SEL_SHIFT               (0U)\r
+#define SYSCON_FCCLKSEL3_SEL_MASK (0x7U)\r
+#define SYSCON_FCCLKSEL3_SEL_SHIFT (0U)\r
 /*! SEL - Flexcomm Interface 3 clock source select for Fractional Rate Divider.\r
  *  0b000..Main clock.\r
  *  0b001..system PLL divided clock.\r
@@ -17655,13 +22878,14 @@ typedef struct {
  *  0b110..Oscillator 32 kHz clock.\r
  *  0b111..No clock.\r
  */\r
-#define SYSCON_FCCLKSEL3_SEL(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_FCCLKSEL3_SEL_SHIFT)) & SYSCON_FCCLKSEL3_SEL_MASK)\r
+#define SYSCON_FCCLKSEL3_SEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_FCCLKSEL3_SEL_SHIFT)) & SYSCON_FCCLKSEL3_SEL_MASK)\r
 /*! @} */\r
 \r
 /*! @name FCCLKSEL4 - Flexcomm Interface 4 clock source select for Fractional Rate Divider */\r
 /*! @{ */\r
-#define SYSCON_FCCLKSEL4_SEL_MASK                (0x7U)\r
-#define SYSCON_FCCLKSEL4_SEL_SHIFT               (0U)\r
+#define SYSCON_FCCLKSEL4_SEL_MASK (0x7U)\r
+#define SYSCON_FCCLKSEL4_SEL_SHIFT (0U)\r
 /*! SEL - Flexcomm Interface 4 clock source select for Fractional Rate Divider.\r
  *  0b000..Main clock.\r
  *  0b001..system PLL divided clock.\r
@@ -17672,13 +22896,14 @@ typedef struct {
  *  0b110..Oscillator 32 kHz clock.\r
  *  0b111..No clock.\r
  */\r
-#define SYSCON_FCCLKSEL4_SEL(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_FCCLKSEL4_SEL_SHIFT)) & SYSCON_FCCLKSEL4_SEL_MASK)\r
+#define SYSCON_FCCLKSEL4_SEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_FCCLKSEL4_SEL_SHIFT)) & SYSCON_FCCLKSEL4_SEL_MASK)\r
 /*! @} */\r
 \r
 /*! @name FCCLKSEL5 - Flexcomm Interface 5 clock source select for Fractional Rate Divider */\r
 /*! @{ */\r
-#define SYSCON_FCCLKSEL5_SEL_MASK                (0x7U)\r
-#define SYSCON_FCCLKSEL5_SEL_SHIFT               (0U)\r
+#define SYSCON_FCCLKSEL5_SEL_MASK (0x7U)\r
+#define SYSCON_FCCLKSEL5_SEL_SHIFT (0U)\r
 /*! SEL - Flexcomm Interface 5 clock source select for Fractional Rate Divider.\r
  *  0b000..Main clock.\r
  *  0b001..system PLL divided clock.\r
@@ -17689,13 +22914,14 @@ typedef struct {
  *  0b110..Oscillator 32 kHz clock.\r
  *  0b111..No clock.\r
  */\r
-#define SYSCON_FCCLKSEL5_SEL(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_FCCLKSEL5_SEL_SHIFT)) & SYSCON_FCCLKSEL5_SEL_MASK)\r
+#define SYSCON_FCCLKSEL5_SEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_FCCLKSEL5_SEL_SHIFT)) & SYSCON_FCCLKSEL5_SEL_MASK)\r
 /*! @} */\r
 \r
 /*! @name FCCLKSEL6 - Flexcomm Interface 6 clock source select for Fractional Rate Divider */\r
 /*! @{ */\r
-#define SYSCON_FCCLKSEL6_SEL_MASK                (0x7U)\r
-#define SYSCON_FCCLKSEL6_SEL_SHIFT               (0U)\r
+#define SYSCON_FCCLKSEL6_SEL_MASK (0x7U)\r
+#define SYSCON_FCCLKSEL6_SEL_SHIFT (0U)\r
 /*! SEL - Flexcomm Interface 6 clock source select for Fractional Rate Divider.\r
  *  0b000..Main clock.\r
  *  0b001..system PLL divided clock.\r
@@ -17706,13 +22932,14 @@ typedef struct {
  *  0b110..Oscillator 32 kHz clock.\r
  *  0b111..No clock.\r
  */\r
-#define SYSCON_FCCLKSEL6_SEL(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_FCCLKSEL6_SEL_SHIFT)) & SYSCON_FCCLKSEL6_SEL_MASK)\r
+#define SYSCON_FCCLKSEL6_SEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_FCCLKSEL6_SEL_SHIFT)) & SYSCON_FCCLKSEL6_SEL_MASK)\r
 /*! @} */\r
 \r
 /*! @name FCCLKSEL7 - Flexcomm Interface 7 clock source select for Fractional Rate Divider */\r
 /*! @{ */\r
-#define SYSCON_FCCLKSEL7_SEL_MASK                (0x7U)\r
-#define SYSCON_FCCLKSEL7_SEL_SHIFT               (0U)\r
+#define SYSCON_FCCLKSEL7_SEL_MASK (0x7U)\r
+#define SYSCON_FCCLKSEL7_SEL_SHIFT (0U)\r
 /*! SEL - Flexcomm Interface 7 clock source select for Fractional Rate Divider.\r
  *  0b000..Main clock.\r
  *  0b001..system PLL divided clock.\r
@@ -17723,23 +22950,27 @@ typedef struct {
  *  0b110..Oscillator 32 kHz clock.\r
  *  0b111..No clock.\r
  */\r
-#define SYSCON_FCCLKSEL7_SEL(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_FCCLKSEL7_SEL_SHIFT)) & SYSCON_FCCLKSEL7_SEL_MASK)\r
+#define SYSCON_FCCLKSEL7_SEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_FCCLKSEL7_SEL_SHIFT)) & SYSCON_FCCLKSEL7_SEL_MASK)\r
 /*! @} */\r
 \r
 /*! @name FCCLKSELX - Peripheral reset control register */\r
 /*! @{ */\r
-#define SYSCON_FCCLKSELX_DATA_MASK               (0xFFFFFFFFU)\r
-#define SYSCON_FCCLKSELX_DATA_SHIFT              (0U)\r
-#define SYSCON_FCCLKSELX_DATA(x)                 (((uint32_t)(((uint32_t)(x)) << SYSCON_FCCLKSELX_DATA_SHIFT)) & SYSCON_FCCLKSELX_DATA_MASK)\r
+#define SYSCON_FCCLKSELX_DATA_MASK (0xFFFFFFFFU)\r
+#define SYSCON_FCCLKSELX_DATA_SHIFT (0U)\r
+/*! DATA - Data array value\r
+ */\r
+#define SYSCON_FCCLKSELX_DATA(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_FCCLKSELX_DATA_SHIFT)) & SYSCON_FCCLKSELX_DATA_MASK)\r
 /*! @} */\r
 \r
 /* The count of SYSCON_FCCLKSELX */\r
-#define SYSCON_FCCLKSELX_COUNT                   (8U)\r
+#define SYSCON_FCCLKSELX_COUNT (8U)\r
 \r
 /*! @name HSLSPICLKSEL - HS LSPI clock source select */\r
 /*! @{ */\r
-#define SYSCON_HSLSPICLKSEL_SEL_MASK             (0x7U)\r
-#define SYSCON_HSLSPICLKSEL_SEL_SHIFT            (0U)\r
+#define SYSCON_HSLSPICLKSEL_SEL_MASK (0x7U)\r
+#define SYSCON_HSLSPICLKSEL_SEL_SHIFT (0U)\r
 /*! SEL - HS LSPI clock source select.\r
  *  0b000..Main clock.\r
  *  0b001..system PLL divided clock.\r
@@ -17750,30 +22981,32 @@ typedef struct {
  *  0b110..Oscillator 32 kHz clock.\r
  *  0b111..No clock.\r
  */\r
-#define SYSCON_HSLSPICLKSEL_SEL(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_HSLSPICLKSEL_SEL_SHIFT)) & SYSCON_HSLSPICLKSEL_SEL_MASK)\r
+#define SYSCON_HSLSPICLKSEL_SEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_HSLSPICLKSEL_SEL_SHIFT)) & SYSCON_HSLSPICLKSEL_SEL_MASK)\r
 /*! @} */\r
 \r
 /*! @name MCLKCLKSEL - MCLK clock source select */\r
 /*! @{ */\r
-#define SYSCON_MCLKCLKSEL_SEL_MASK               (0x7U)\r
-#define SYSCON_MCLKCLKSEL_SEL_SHIFT              (0U)\r
+#define SYSCON_MCLKCLKSEL_SEL_MASK (0x7U)\r
+#define SYSCON_MCLKCLKSEL_SEL_SHIFT (0U)\r
 /*! SEL - MCLK clock source select.\r
  *  0b000..FRO 96 MHz clock.\r
  *  0b001..PLL0 clock.\r
- *  0b010..No clock.\r
- *  0b011..No clock.\r
+ *  0b010..Reserved.\r
+ *  0b011..Reserved.\r
  *  0b100..No clock.\r
  *  0b101..No clock.\r
  *  0b110..No clock.\r
  *  0b111..No clock.\r
  */\r
-#define SYSCON_MCLKCLKSEL_SEL(x)                 (((uint32_t)(((uint32_t)(x)) << SYSCON_MCLKCLKSEL_SEL_SHIFT)) & SYSCON_MCLKCLKSEL_SEL_MASK)\r
+#define SYSCON_MCLKCLKSEL_SEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_MCLKCLKSEL_SEL_SHIFT)) & SYSCON_MCLKCLKSEL_SEL_MASK)\r
 /*! @} */\r
 \r
 /*! @name SCTCLKSEL - SCTimer/PWM clock source select */\r
 /*! @{ */\r
-#define SYSCON_SCTCLKSEL_SEL_MASK                (0x7U)\r
-#define SYSCON_SCTCLKSEL_SEL_SHIFT               (0U)\r
+#define SYSCON_SCTCLKSEL_SEL_MASK (0x7U)\r
+#define SYSCON_SCTCLKSEL_SEL_SHIFT (0U)\r
 /*! SEL - SCTimer/PWM clock source select.\r
  *  0b000..Main clock.\r
  *  0b001..PLL0 clock.\r
@@ -17784,13 +23017,14 @@ typedef struct {
  *  0b110..No clock.\r
  *  0b111..No clock.\r
  */\r
-#define SYSCON_SCTCLKSEL_SEL(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_SCTCLKSEL_SEL_SHIFT)) & SYSCON_SCTCLKSEL_SEL_MASK)\r
+#define SYSCON_SCTCLKSEL_SEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_SCTCLKSEL_SEL_SHIFT)) & SYSCON_SCTCLKSEL_SEL_MASK)\r
 /*! @} */\r
 \r
 /*! @name SDIOCLKSEL - SDIO clock source select */\r
 /*! @{ */\r
-#define SYSCON_SDIOCLKSEL_SEL_MASK               (0x7U)\r
-#define SYSCON_SDIOCLKSEL_SEL_SHIFT              (0U)\r
+#define SYSCON_SDIOCLKSEL_SEL_MASK (0x7U)\r
+#define SYSCON_SDIOCLKSEL_SEL_SHIFT (0U)\r
 /*! SEL - SDIO clock source select.\r
  *  0b000..Main clock.\r
  *  0b001..PLL0 clock.\r
@@ -17801,461 +23035,588 @@ typedef struct {
  *  0b110..No clock.\r
  *  0b111..No clock.\r
  */\r
-#define SYSCON_SDIOCLKSEL_SEL(x)                 (((uint32_t)(((uint32_t)(x)) << SYSCON_SDIOCLKSEL_SEL_SHIFT)) & SYSCON_SDIOCLKSEL_SEL_MASK)\r
+#define SYSCON_SDIOCLKSEL_SEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_SDIOCLKSEL_SEL_SHIFT)) & SYSCON_SDIOCLKSEL_SEL_MASK)\r
 /*! @} */\r
 \r
 /*! @name SYSTICKCLKDIV0 - System Tick Timer divider for CPU0 */\r
 /*! @{ */\r
-#define SYSCON_SYSTICKCLKDIV0_DIV_MASK           (0xFFU)\r
-#define SYSCON_SYSTICKCLKDIV0_DIV_SHIFT          (0U)\r
-#define SYSCON_SYSTICKCLKDIV0_DIV(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_SYSTICKCLKDIV0_DIV_SHIFT)) & SYSCON_SYSTICKCLKDIV0_DIV_MASK)\r
-#define SYSCON_SYSTICKCLKDIV0_RESET_MASK         (0x20000000U)\r
-#define SYSCON_SYSTICKCLKDIV0_RESET_SHIFT        (29U)\r
+#define SYSCON_SYSTICKCLKDIV0_DIV_MASK (0xFFU)\r
+#define SYSCON_SYSTICKCLKDIV0_DIV_SHIFT (0U)\r
+/*! DIV - Clock divider value.\r
+ */\r
+#define SYSCON_SYSTICKCLKDIV0_DIV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_SYSTICKCLKDIV0_DIV_SHIFT)) & SYSCON_SYSTICKCLKDIV0_DIV_MASK)\r
+#define SYSCON_SYSTICKCLKDIV0_RESET_MASK (0x20000000U)\r
+#define SYSCON_SYSTICKCLKDIV0_RESET_SHIFT (29U)\r
 /*! RESET - Resets the divider counter.\r
  *  0b1..Divider is reset.\r
  *  0b0..Divider is not reset.\r
  */\r
-#define SYSCON_SYSTICKCLKDIV0_RESET(x)           (((uint32_t)(((uint32_t)(x)) << SYSCON_SYSTICKCLKDIV0_RESET_SHIFT)) & SYSCON_SYSTICKCLKDIV0_RESET_MASK)\r
-#define SYSCON_SYSTICKCLKDIV0_HALT_MASK          (0x40000000U)\r
-#define SYSCON_SYSTICKCLKDIV0_HALT_SHIFT         (30U)\r
+#define SYSCON_SYSTICKCLKDIV0_RESET(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_SYSTICKCLKDIV0_RESET_SHIFT)) & SYSCON_SYSTICKCLKDIV0_RESET_MASK)\r
+#define SYSCON_SYSTICKCLKDIV0_HALT_MASK (0x40000000U)\r
+#define SYSCON_SYSTICKCLKDIV0_HALT_SHIFT (30U)\r
 /*! HALT - Halts the divider counter.\r
  *  0b1..Divider clock is stoped.\r
  *  0b0..Divider clock is running.\r
  */\r
-#define SYSCON_SYSTICKCLKDIV0_HALT(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_SYSTICKCLKDIV0_HALT_SHIFT)) & SYSCON_SYSTICKCLKDIV0_HALT_MASK)\r
-#define SYSCON_SYSTICKCLKDIV0_REQFLAG_MASK       (0x80000000U)\r
-#define SYSCON_SYSTICKCLKDIV0_REQFLAG_SHIFT      (31U)\r
+#define SYSCON_SYSTICKCLKDIV0_HALT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_SYSTICKCLKDIV0_HALT_SHIFT)) & SYSCON_SYSTICKCLKDIV0_HALT_MASK)\r
+#define SYSCON_SYSTICKCLKDIV0_REQFLAG_MASK (0x80000000U)\r
+#define SYSCON_SYSTICKCLKDIV0_REQFLAG_SHIFT (31U)\r
 /*! REQFLAG - Divider status flag.\r
  *  0b1..Clock frequency is not stable.\r
  *  0b0..Divider clock is stable.\r
  */\r
-#define SYSCON_SYSTICKCLKDIV0_REQFLAG(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_SYSTICKCLKDIV0_REQFLAG_SHIFT)) & SYSCON_SYSTICKCLKDIV0_REQFLAG_MASK)\r
+#define SYSCON_SYSTICKCLKDIV0_REQFLAG(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_SYSTICKCLKDIV0_REQFLAG_SHIFT)) & SYSCON_SYSTICKCLKDIV0_REQFLAG_MASK)\r
 /*! @} */\r
 \r
 /*! @name SYSTICKCLKDIV1 - System Tick Timer divider for CPU1 */\r
 /*! @{ */\r
-#define SYSCON_SYSTICKCLKDIV1_DIV_MASK           (0xFFU)\r
-#define SYSCON_SYSTICKCLKDIV1_DIV_SHIFT          (0U)\r
-#define SYSCON_SYSTICKCLKDIV1_DIV(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_SYSTICKCLKDIV1_DIV_SHIFT)) & SYSCON_SYSTICKCLKDIV1_DIV_MASK)\r
-#define SYSCON_SYSTICKCLKDIV1_RESET_MASK         (0x20000000U)\r
-#define SYSCON_SYSTICKCLKDIV1_RESET_SHIFT        (29U)\r
+#define SYSCON_SYSTICKCLKDIV1_DIV_MASK (0xFFU)\r
+#define SYSCON_SYSTICKCLKDIV1_DIV_SHIFT (0U)\r
+/*! DIV - Clock divider value.\r
+ */\r
+#define SYSCON_SYSTICKCLKDIV1_DIV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_SYSTICKCLKDIV1_DIV_SHIFT)) & SYSCON_SYSTICKCLKDIV1_DIV_MASK)\r
+#define SYSCON_SYSTICKCLKDIV1_RESET_MASK (0x20000000U)\r
+#define SYSCON_SYSTICKCLKDIV1_RESET_SHIFT (29U)\r
 /*! RESET - Resets the divider counter.\r
  *  0b1..Divider is reset.\r
  *  0b0..Divider is not reset.\r
  */\r
-#define SYSCON_SYSTICKCLKDIV1_RESET(x)           (((uint32_t)(((uint32_t)(x)) << SYSCON_SYSTICKCLKDIV1_RESET_SHIFT)) & SYSCON_SYSTICKCLKDIV1_RESET_MASK)\r
-#define SYSCON_SYSTICKCLKDIV1_HALT_MASK          (0x40000000U)\r
-#define SYSCON_SYSTICKCLKDIV1_HALT_SHIFT         (30U)\r
+#define SYSCON_SYSTICKCLKDIV1_RESET(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_SYSTICKCLKDIV1_RESET_SHIFT)) & SYSCON_SYSTICKCLKDIV1_RESET_MASK)\r
+#define SYSCON_SYSTICKCLKDIV1_HALT_MASK (0x40000000U)\r
+#define SYSCON_SYSTICKCLKDIV1_HALT_SHIFT (30U)\r
 /*! HALT - Halts the divider counter.\r
  *  0b1..Divider clock is stoped.\r
  *  0b0..Divider clock is running.\r
  */\r
-#define SYSCON_SYSTICKCLKDIV1_HALT(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_SYSTICKCLKDIV1_HALT_SHIFT)) & SYSCON_SYSTICKCLKDIV1_HALT_MASK)\r
-#define SYSCON_SYSTICKCLKDIV1_REQFLAG_MASK       (0x80000000U)\r
-#define SYSCON_SYSTICKCLKDIV1_REQFLAG_SHIFT      (31U)\r
+#define SYSCON_SYSTICKCLKDIV1_HALT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_SYSTICKCLKDIV1_HALT_SHIFT)) & SYSCON_SYSTICKCLKDIV1_HALT_MASK)\r
+#define SYSCON_SYSTICKCLKDIV1_REQFLAG_MASK (0x80000000U)\r
+#define SYSCON_SYSTICKCLKDIV1_REQFLAG_SHIFT (31U)\r
 /*! REQFLAG - Divider status flag.\r
  *  0b1..Clock frequency is not stable.\r
  *  0b0..Divider clock is stable.\r
  */\r
-#define SYSCON_SYSTICKCLKDIV1_REQFLAG(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_SYSTICKCLKDIV1_REQFLAG_SHIFT)) & SYSCON_SYSTICKCLKDIV1_REQFLAG_MASK)\r
+#define SYSCON_SYSTICKCLKDIV1_REQFLAG(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_SYSTICKCLKDIV1_REQFLAG_SHIFT)) & SYSCON_SYSTICKCLKDIV1_REQFLAG_MASK)\r
 /*! @} */\r
 \r
 /*! @name TRACECLKDIV - TRACE clock divider */\r
 /*! @{ */\r
-#define SYSCON_TRACECLKDIV_DIV_MASK              (0xFFU)\r
-#define SYSCON_TRACECLKDIV_DIV_SHIFT             (0U)\r
-#define SYSCON_TRACECLKDIV_DIV(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_TRACECLKDIV_DIV_SHIFT)) & SYSCON_TRACECLKDIV_DIV_MASK)\r
-#define SYSCON_TRACECLKDIV_RESET_MASK            (0x20000000U)\r
-#define SYSCON_TRACECLKDIV_RESET_SHIFT           (29U)\r
+#define SYSCON_TRACECLKDIV_DIV_MASK (0xFFU)\r
+#define SYSCON_TRACECLKDIV_DIV_SHIFT (0U)\r
+/*! DIV - Clock divider value.\r
+ */\r
+#define SYSCON_TRACECLKDIV_DIV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_TRACECLKDIV_DIV_SHIFT)) & SYSCON_TRACECLKDIV_DIV_MASK)\r
+#define SYSCON_TRACECLKDIV_RESET_MASK (0x20000000U)\r
+#define SYSCON_TRACECLKDIV_RESET_SHIFT (29U)\r
 /*! RESET - Resets the divider counter.\r
  *  0b1..Divider is reset.\r
  *  0b0..Divider is not reset.\r
  */\r
-#define SYSCON_TRACECLKDIV_RESET(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_TRACECLKDIV_RESET_SHIFT)) & SYSCON_TRACECLKDIV_RESET_MASK)\r
-#define SYSCON_TRACECLKDIV_HALT_MASK             (0x40000000U)\r
-#define SYSCON_TRACECLKDIV_HALT_SHIFT            (30U)\r
+#define SYSCON_TRACECLKDIV_RESET(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_TRACECLKDIV_RESET_SHIFT)) & SYSCON_TRACECLKDIV_RESET_MASK)\r
+#define SYSCON_TRACECLKDIV_HALT_MASK (0x40000000U)\r
+#define SYSCON_TRACECLKDIV_HALT_SHIFT (30U)\r
 /*! HALT - Halts the divider counter.\r
  *  0b1..Divider clock is stoped.\r
  *  0b0..Divider clock is running.\r
  */\r
-#define SYSCON_TRACECLKDIV_HALT(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_TRACECLKDIV_HALT_SHIFT)) & SYSCON_TRACECLKDIV_HALT_MASK)\r
-#define SYSCON_TRACECLKDIV_REQFLAG_MASK          (0x80000000U)\r
-#define SYSCON_TRACECLKDIV_REQFLAG_SHIFT         (31U)\r
+#define SYSCON_TRACECLKDIV_HALT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_TRACECLKDIV_HALT_SHIFT)) & SYSCON_TRACECLKDIV_HALT_MASK)\r
+#define SYSCON_TRACECLKDIV_REQFLAG_MASK (0x80000000U)\r
+#define SYSCON_TRACECLKDIV_REQFLAG_SHIFT (31U)\r
 /*! REQFLAG - Divider status flag.\r
  *  0b1..Clock frequency is not stable.\r
  *  0b0..Divider clock is stable.\r
  */\r
-#define SYSCON_TRACECLKDIV_REQFLAG(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_TRACECLKDIV_REQFLAG_SHIFT)) & SYSCON_TRACECLKDIV_REQFLAG_MASK)\r
+#define SYSCON_TRACECLKDIV_REQFLAG(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_TRACECLKDIV_REQFLAG_SHIFT)) & SYSCON_TRACECLKDIV_REQFLAG_MASK)\r
 /*! @} */\r
 \r
 /*! @name FLEXFRG0CTRL - Fractional rate divider for flexcomm 0 */\r
 /*! @{ */\r
-#define SYSCON_FLEXFRG0CTRL_DIV_MASK             (0xFFU)\r
-#define SYSCON_FLEXFRG0CTRL_DIV_SHIFT            (0U)\r
-#define SYSCON_FLEXFRG0CTRL_DIV(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_FLEXFRG0CTRL_DIV_SHIFT)) & SYSCON_FLEXFRG0CTRL_DIV_MASK)\r
-#define SYSCON_FLEXFRG0CTRL_MULT_MASK            (0xFF00U)\r
-#define SYSCON_FLEXFRG0CTRL_MULT_SHIFT           (8U)\r
-#define SYSCON_FLEXFRG0CTRL_MULT(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_FLEXFRG0CTRL_MULT_SHIFT)) & SYSCON_FLEXFRG0CTRL_MULT_MASK)\r
+#define SYSCON_FLEXFRG0CTRL_DIV_MASK (0xFFU)\r
+#define SYSCON_FLEXFRG0CTRL_DIV_SHIFT (0U)\r
+/*! DIV - Denominator of the fractional rate divider.\r
+ */\r
+#define SYSCON_FLEXFRG0CTRL_DIV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_FLEXFRG0CTRL_DIV_SHIFT)) & SYSCON_FLEXFRG0CTRL_DIV_MASK)\r
+#define SYSCON_FLEXFRG0CTRL_MULT_MASK (0xFF00U)\r
+#define SYSCON_FLEXFRG0CTRL_MULT_SHIFT (8U)\r
+/*! MULT - Numerator of the fractional rate divider.\r
+ */\r
+#define SYSCON_FLEXFRG0CTRL_MULT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_FLEXFRG0CTRL_MULT_SHIFT)) & SYSCON_FLEXFRG0CTRL_MULT_MASK)\r
 /*! @} */\r
 \r
 /*! @name FLEXFRG1CTRL - Fractional rate divider for flexcomm 1 */\r
 /*! @{ */\r
-#define SYSCON_FLEXFRG1CTRL_DIV_MASK             (0xFFU)\r
-#define SYSCON_FLEXFRG1CTRL_DIV_SHIFT            (0U)\r
-#define SYSCON_FLEXFRG1CTRL_DIV(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_FLEXFRG1CTRL_DIV_SHIFT)) & SYSCON_FLEXFRG1CTRL_DIV_MASK)\r
-#define SYSCON_FLEXFRG1CTRL_MULT_MASK            (0xFF00U)\r
-#define SYSCON_FLEXFRG1CTRL_MULT_SHIFT           (8U)\r
-#define SYSCON_FLEXFRG1CTRL_MULT(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_FLEXFRG1CTRL_MULT_SHIFT)) & SYSCON_FLEXFRG1CTRL_MULT_MASK)\r
+#define SYSCON_FLEXFRG1CTRL_DIV_MASK (0xFFU)\r
+#define SYSCON_FLEXFRG1CTRL_DIV_SHIFT (0U)\r
+/*! DIV - Denominator of the fractional rate divider.\r
+ */\r
+#define SYSCON_FLEXFRG1CTRL_DIV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_FLEXFRG1CTRL_DIV_SHIFT)) & SYSCON_FLEXFRG1CTRL_DIV_MASK)\r
+#define SYSCON_FLEXFRG1CTRL_MULT_MASK (0xFF00U)\r
+#define SYSCON_FLEXFRG1CTRL_MULT_SHIFT (8U)\r
+/*! MULT - Numerator of the fractional rate divider.\r
+ */\r
+#define SYSCON_FLEXFRG1CTRL_MULT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_FLEXFRG1CTRL_MULT_SHIFT)) & SYSCON_FLEXFRG1CTRL_MULT_MASK)\r
 /*! @} */\r
 \r
 /*! @name FLEXFRG2CTRL - Fractional rate divider for flexcomm 2 */\r
 /*! @{ */\r
-#define SYSCON_FLEXFRG2CTRL_DIV_MASK             (0xFFU)\r
-#define SYSCON_FLEXFRG2CTRL_DIV_SHIFT            (0U)\r
-#define SYSCON_FLEXFRG2CTRL_DIV(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_FLEXFRG2CTRL_DIV_SHIFT)) & SYSCON_FLEXFRG2CTRL_DIV_MASK)\r
-#define SYSCON_FLEXFRG2CTRL_MULT_MASK            (0xFF00U)\r
-#define SYSCON_FLEXFRG2CTRL_MULT_SHIFT           (8U)\r
-#define SYSCON_FLEXFRG2CTRL_MULT(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_FLEXFRG2CTRL_MULT_SHIFT)) & SYSCON_FLEXFRG2CTRL_MULT_MASK)\r
+#define SYSCON_FLEXFRG2CTRL_DIV_MASK (0xFFU)\r
+#define SYSCON_FLEXFRG2CTRL_DIV_SHIFT (0U)\r
+/*! DIV - Denominator of the fractional rate divider.\r
+ */\r
+#define SYSCON_FLEXFRG2CTRL_DIV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_FLEXFRG2CTRL_DIV_SHIFT)) & SYSCON_FLEXFRG2CTRL_DIV_MASK)\r
+#define SYSCON_FLEXFRG2CTRL_MULT_MASK (0xFF00U)\r
+#define SYSCON_FLEXFRG2CTRL_MULT_SHIFT (8U)\r
+/*! MULT - Numerator of the fractional rate divider.\r
+ */\r
+#define SYSCON_FLEXFRG2CTRL_MULT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_FLEXFRG2CTRL_MULT_SHIFT)) & SYSCON_FLEXFRG2CTRL_MULT_MASK)\r
 /*! @} */\r
 \r
 /*! @name FLEXFRG3CTRL - Fractional rate divider for flexcomm 3 */\r
 /*! @{ */\r
-#define SYSCON_FLEXFRG3CTRL_DIV_MASK             (0xFFU)\r
-#define SYSCON_FLEXFRG3CTRL_DIV_SHIFT            (0U)\r
-#define SYSCON_FLEXFRG3CTRL_DIV(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_FLEXFRG3CTRL_DIV_SHIFT)) & SYSCON_FLEXFRG3CTRL_DIV_MASK)\r
-#define SYSCON_FLEXFRG3CTRL_MULT_MASK            (0xFF00U)\r
-#define SYSCON_FLEXFRG3CTRL_MULT_SHIFT           (8U)\r
-#define SYSCON_FLEXFRG3CTRL_MULT(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_FLEXFRG3CTRL_MULT_SHIFT)) & SYSCON_FLEXFRG3CTRL_MULT_MASK)\r
+#define SYSCON_FLEXFRG3CTRL_DIV_MASK (0xFFU)\r
+#define SYSCON_FLEXFRG3CTRL_DIV_SHIFT (0U)\r
+/*! DIV - Denominator of the fractional rate divider.\r
+ */\r
+#define SYSCON_FLEXFRG3CTRL_DIV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_FLEXFRG3CTRL_DIV_SHIFT)) & SYSCON_FLEXFRG3CTRL_DIV_MASK)\r
+#define SYSCON_FLEXFRG3CTRL_MULT_MASK (0xFF00U)\r
+#define SYSCON_FLEXFRG3CTRL_MULT_SHIFT (8U)\r
+/*! MULT - Numerator of the fractional rate divider.\r
+ */\r
+#define SYSCON_FLEXFRG3CTRL_MULT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_FLEXFRG3CTRL_MULT_SHIFT)) & SYSCON_FLEXFRG3CTRL_MULT_MASK)\r
 /*! @} */\r
 \r
 /*! @name FLEXFRG4CTRL - Fractional rate divider for flexcomm 4 */\r
 /*! @{ */\r
-#define SYSCON_FLEXFRG4CTRL_DIV_MASK             (0xFFU)\r
-#define SYSCON_FLEXFRG4CTRL_DIV_SHIFT            (0U)\r
-#define SYSCON_FLEXFRG4CTRL_DIV(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_FLEXFRG4CTRL_DIV_SHIFT)) & SYSCON_FLEXFRG4CTRL_DIV_MASK)\r
-#define SYSCON_FLEXFRG4CTRL_MULT_MASK            (0xFF00U)\r
-#define SYSCON_FLEXFRG4CTRL_MULT_SHIFT           (8U)\r
-#define SYSCON_FLEXFRG4CTRL_MULT(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_FLEXFRG4CTRL_MULT_SHIFT)) & SYSCON_FLEXFRG4CTRL_MULT_MASK)\r
+#define SYSCON_FLEXFRG4CTRL_DIV_MASK (0xFFU)\r
+#define SYSCON_FLEXFRG4CTRL_DIV_SHIFT (0U)\r
+/*! DIV - Denominator of the fractional rate divider.\r
+ */\r
+#define SYSCON_FLEXFRG4CTRL_DIV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_FLEXFRG4CTRL_DIV_SHIFT)) & SYSCON_FLEXFRG4CTRL_DIV_MASK)\r
+#define SYSCON_FLEXFRG4CTRL_MULT_MASK (0xFF00U)\r
+#define SYSCON_FLEXFRG4CTRL_MULT_SHIFT (8U)\r
+/*! MULT - Numerator of the fractional rate divider.\r
+ */\r
+#define SYSCON_FLEXFRG4CTRL_MULT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_FLEXFRG4CTRL_MULT_SHIFT)) & SYSCON_FLEXFRG4CTRL_MULT_MASK)\r
 /*! @} */\r
 \r
 /*! @name FLEXFRG5CTRL - Fractional rate divider for flexcomm 5 */\r
 /*! @{ */\r
-#define SYSCON_FLEXFRG5CTRL_DIV_MASK             (0xFFU)\r
-#define SYSCON_FLEXFRG5CTRL_DIV_SHIFT            (0U)\r
-#define SYSCON_FLEXFRG5CTRL_DIV(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_FLEXFRG5CTRL_DIV_SHIFT)) & SYSCON_FLEXFRG5CTRL_DIV_MASK)\r
-#define SYSCON_FLEXFRG5CTRL_MULT_MASK            (0xFF00U)\r
-#define SYSCON_FLEXFRG5CTRL_MULT_SHIFT           (8U)\r
-#define SYSCON_FLEXFRG5CTRL_MULT(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_FLEXFRG5CTRL_MULT_SHIFT)) & SYSCON_FLEXFRG5CTRL_MULT_MASK)\r
+#define SYSCON_FLEXFRG5CTRL_DIV_MASK (0xFFU)\r
+#define SYSCON_FLEXFRG5CTRL_DIV_SHIFT (0U)\r
+/*! DIV - Denominator of the fractional rate divider.\r
+ */\r
+#define SYSCON_FLEXFRG5CTRL_DIV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_FLEXFRG5CTRL_DIV_SHIFT)) & SYSCON_FLEXFRG5CTRL_DIV_MASK)\r
+#define SYSCON_FLEXFRG5CTRL_MULT_MASK (0xFF00U)\r
+#define SYSCON_FLEXFRG5CTRL_MULT_SHIFT (8U)\r
+/*! MULT - Numerator of the fractional rate divider.\r
+ */\r
+#define SYSCON_FLEXFRG5CTRL_MULT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_FLEXFRG5CTRL_MULT_SHIFT)) & SYSCON_FLEXFRG5CTRL_MULT_MASK)\r
 /*! @} */\r
 \r
 /*! @name FLEXFRG6CTRL - Fractional rate divider for flexcomm 6 */\r
 /*! @{ */\r
-#define SYSCON_FLEXFRG6CTRL_DIV_MASK             (0xFFU)\r
-#define SYSCON_FLEXFRG6CTRL_DIV_SHIFT            (0U)\r
-#define SYSCON_FLEXFRG6CTRL_DIV(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_FLEXFRG6CTRL_DIV_SHIFT)) & SYSCON_FLEXFRG6CTRL_DIV_MASK)\r
-#define SYSCON_FLEXFRG6CTRL_MULT_MASK            (0xFF00U)\r
-#define SYSCON_FLEXFRG6CTRL_MULT_SHIFT           (8U)\r
-#define SYSCON_FLEXFRG6CTRL_MULT(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_FLEXFRG6CTRL_MULT_SHIFT)) & SYSCON_FLEXFRG6CTRL_MULT_MASK)\r
+#define SYSCON_FLEXFRG6CTRL_DIV_MASK (0xFFU)\r
+#define SYSCON_FLEXFRG6CTRL_DIV_SHIFT (0U)\r
+/*! DIV - Denominator of the fractional rate divider.\r
+ */\r
+#define SYSCON_FLEXFRG6CTRL_DIV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_FLEXFRG6CTRL_DIV_SHIFT)) & SYSCON_FLEXFRG6CTRL_DIV_MASK)\r
+#define SYSCON_FLEXFRG6CTRL_MULT_MASK (0xFF00U)\r
+#define SYSCON_FLEXFRG6CTRL_MULT_SHIFT (8U)\r
+/*! MULT - Numerator of the fractional rate divider.\r
+ */\r
+#define SYSCON_FLEXFRG6CTRL_MULT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_FLEXFRG6CTRL_MULT_SHIFT)) & SYSCON_FLEXFRG6CTRL_MULT_MASK)\r
 /*! @} */\r
 \r
 /*! @name FLEXFRG7CTRL - Fractional rate divider for flexcomm 7 */\r
 /*! @{ */\r
-#define SYSCON_FLEXFRG7CTRL_DIV_MASK             (0xFFU)\r
-#define SYSCON_FLEXFRG7CTRL_DIV_SHIFT            (0U)\r
-#define SYSCON_FLEXFRG7CTRL_DIV(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_FLEXFRG7CTRL_DIV_SHIFT)) & SYSCON_FLEXFRG7CTRL_DIV_MASK)\r
-#define SYSCON_FLEXFRG7CTRL_MULT_MASK            (0xFF00U)\r
-#define SYSCON_FLEXFRG7CTRL_MULT_SHIFT           (8U)\r
-#define SYSCON_FLEXFRG7CTRL_MULT(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_FLEXFRG7CTRL_MULT_SHIFT)) & SYSCON_FLEXFRG7CTRL_MULT_MASK)\r
+#define SYSCON_FLEXFRG7CTRL_DIV_MASK (0xFFU)\r
+#define SYSCON_FLEXFRG7CTRL_DIV_SHIFT (0U)\r
+/*! DIV - Denominator of the fractional rate divider.\r
+ */\r
+#define SYSCON_FLEXFRG7CTRL_DIV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_FLEXFRG7CTRL_DIV_SHIFT)) & SYSCON_FLEXFRG7CTRL_DIV_MASK)\r
+#define SYSCON_FLEXFRG7CTRL_MULT_MASK (0xFF00U)\r
+#define SYSCON_FLEXFRG7CTRL_MULT_SHIFT (8U)\r
+/*! MULT - Numerator of the fractional rate divider.\r
+ */\r
+#define SYSCON_FLEXFRG7CTRL_MULT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_FLEXFRG7CTRL_MULT_SHIFT)) & SYSCON_FLEXFRG7CTRL_MULT_MASK)\r
 /*! @} */\r
 \r
 /*! @name FLEXFRGXCTRL - Peripheral reset control register */\r
 /*! @{ */\r
-#define SYSCON_FLEXFRGXCTRL_DATA_MASK            (0xFFFFFFFFU)\r
-#define SYSCON_FLEXFRGXCTRL_DATA_SHIFT           (0U)\r
-#define SYSCON_FLEXFRGXCTRL_DATA(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_FLEXFRGXCTRL_DATA_SHIFT)) & SYSCON_FLEXFRGXCTRL_DATA_MASK)\r
+#define SYSCON_FLEXFRGXCTRL_DATA_MASK (0xFFFFFFFFU)\r
+#define SYSCON_FLEXFRGXCTRL_DATA_SHIFT (0U)\r
+/*! DATA - Data array value\r
+ */\r
+#define SYSCON_FLEXFRGXCTRL_DATA(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_FLEXFRGXCTRL_DATA_SHIFT)) & SYSCON_FLEXFRGXCTRL_DATA_MASK)\r
 /*! @} */\r
 \r
 /* The count of SYSCON_FLEXFRGXCTRL */\r
-#define SYSCON_FLEXFRGXCTRL_COUNT                (8U)\r
+#define SYSCON_FLEXFRGXCTRL_COUNT (8U)\r
 \r
 /*! @name AHBCLKDIV - System clock divider */\r
 /*! @{ */\r
-#define SYSCON_AHBCLKDIV_DIV_MASK                (0xFFU)\r
-#define SYSCON_AHBCLKDIV_DIV_SHIFT               (0U)\r
-#define SYSCON_AHBCLKDIV_DIV(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKDIV_DIV_SHIFT)) & SYSCON_AHBCLKDIV_DIV_MASK)\r
-#define SYSCON_AHBCLKDIV_RESET_MASK              (0x20000000U)\r
-#define SYSCON_AHBCLKDIV_RESET_SHIFT             (29U)\r
+#define SYSCON_AHBCLKDIV_DIV_MASK (0xFFU)\r
+#define SYSCON_AHBCLKDIV_DIV_SHIFT (0U)\r
+/*! DIV - Clock divider value.\r
+ */\r
+#define SYSCON_AHBCLKDIV_DIV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKDIV_DIV_SHIFT)) & SYSCON_AHBCLKDIV_DIV_MASK)\r
+#define SYSCON_AHBCLKDIV_RESET_MASK (0x20000000U)\r
+#define SYSCON_AHBCLKDIV_RESET_SHIFT (29U)\r
 /*! RESET - Resets the divider counter.\r
  *  0b1..Divider is reset.\r
  *  0b0..Divider is not reset.\r
  */\r
-#define SYSCON_AHBCLKDIV_RESET(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKDIV_RESET_SHIFT)) & SYSCON_AHBCLKDIV_RESET_MASK)\r
-#define SYSCON_AHBCLKDIV_HALT_MASK               (0x40000000U)\r
-#define SYSCON_AHBCLKDIV_HALT_SHIFT              (30U)\r
+#define SYSCON_AHBCLKDIV_RESET(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKDIV_RESET_SHIFT)) & SYSCON_AHBCLKDIV_RESET_MASK)\r
+#define SYSCON_AHBCLKDIV_HALT_MASK (0x40000000U)\r
+#define SYSCON_AHBCLKDIV_HALT_SHIFT (30U)\r
 /*! HALT - Halts the divider counter.\r
  *  0b1..Divider clock is stoped.\r
  *  0b0..Divider clock is running.\r
  */\r
-#define SYSCON_AHBCLKDIV_HALT(x)                 (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKDIV_HALT_SHIFT)) & SYSCON_AHBCLKDIV_HALT_MASK)\r
-#define SYSCON_AHBCLKDIV_REQFLAG_MASK            (0x80000000U)\r
-#define SYSCON_AHBCLKDIV_REQFLAG_SHIFT           (31U)\r
+#define SYSCON_AHBCLKDIV_HALT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKDIV_HALT_SHIFT)) & SYSCON_AHBCLKDIV_HALT_MASK)\r
+#define SYSCON_AHBCLKDIV_REQFLAG_MASK (0x80000000U)\r
+#define SYSCON_AHBCLKDIV_REQFLAG_SHIFT (31U)\r
 /*! REQFLAG - Divider status flag.\r
  *  0b1..Clock frequency is not stable.\r
  *  0b0..Divider clock is stable.\r
  */\r
-#define SYSCON_AHBCLKDIV_REQFLAG(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKDIV_REQFLAG_SHIFT)) & SYSCON_AHBCLKDIV_REQFLAG_MASK)\r
+#define SYSCON_AHBCLKDIV_REQFLAG(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AHBCLKDIV_REQFLAG_SHIFT)) & SYSCON_AHBCLKDIV_REQFLAG_MASK)\r
 /*! @} */\r
 \r
 /*! @name CLKOUTDIV - CLKOUT clock divider */\r
 /*! @{ */\r
-#define SYSCON_CLKOUTDIV_DIV_MASK                (0xFFU)\r
-#define SYSCON_CLKOUTDIV_DIV_SHIFT               (0U)\r
-#define SYSCON_CLKOUTDIV_DIV(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_CLKOUTDIV_DIV_SHIFT)) & SYSCON_CLKOUTDIV_DIV_MASK)\r
-#define SYSCON_CLKOUTDIV_RESET_MASK              (0x20000000U)\r
-#define SYSCON_CLKOUTDIV_RESET_SHIFT             (29U)\r
+#define SYSCON_CLKOUTDIV_DIV_MASK (0xFFU)\r
+#define SYSCON_CLKOUTDIV_DIV_SHIFT (0U)\r
+/*! DIV - Clock divider value.\r
+ */\r
+#define SYSCON_CLKOUTDIV_DIV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_CLKOUTDIV_DIV_SHIFT)) & SYSCON_CLKOUTDIV_DIV_MASK)\r
+#define SYSCON_CLKOUTDIV_RESET_MASK (0x20000000U)\r
+#define SYSCON_CLKOUTDIV_RESET_SHIFT (29U)\r
 /*! RESET - Resets the divider counter.\r
  *  0b1..Divider is reset.\r
  *  0b0..Divider is not reset.\r
  */\r
-#define SYSCON_CLKOUTDIV_RESET(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_CLKOUTDIV_RESET_SHIFT)) & SYSCON_CLKOUTDIV_RESET_MASK)\r
-#define SYSCON_CLKOUTDIV_HALT_MASK               (0x40000000U)\r
-#define SYSCON_CLKOUTDIV_HALT_SHIFT              (30U)\r
+#define SYSCON_CLKOUTDIV_RESET(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_CLKOUTDIV_RESET_SHIFT)) & SYSCON_CLKOUTDIV_RESET_MASK)\r
+#define SYSCON_CLKOUTDIV_HALT_MASK (0x40000000U)\r
+#define SYSCON_CLKOUTDIV_HALT_SHIFT (30U)\r
 /*! HALT - Halts the divider counter.\r
  *  0b1..Divider clock is stoped.\r
  *  0b0..Divider clock is running.\r
  */\r
-#define SYSCON_CLKOUTDIV_HALT(x)                 (((uint32_t)(((uint32_t)(x)) << SYSCON_CLKOUTDIV_HALT_SHIFT)) & SYSCON_CLKOUTDIV_HALT_MASK)\r
-#define SYSCON_CLKOUTDIV_REQFLAG_MASK            (0x80000000U)\r
-#define SYSCON_CLKOUTDIV_REQFLAG_SHIFT           (31U)\r
+#define SYSCON_CLKOUTDIV_HALT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_CLKOUTDIV_HALT_SHIFT)) & SYSCON_CLKOUTDIV_HALT_MASK)\r
+#define SYSCON_CLKOUTDIV_REQFLAG_MASK (0x80000000U)\r
+#define SYSCON_CLKOUTDIV_REQFLAG_SHIFT (31U)\r
 /*! REQFLAG - Divider status flag.\r
  *  0b1..Clock frequency is not stable.\r
  *  0b0..Divider clock is stable.\r
  */\r
-#define SYSCON_CLKOUTDIV_REQFLAG(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_CLKOUTDIV_REQFLAG_SHIFT)) & SYSCON_CLKOUTDIV_REQFLAG_MASK)\r
+#define SYSCON_CLKOUTDIV_REQFLAG(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_CLKOUTDIV_REQFLAG_SHIFT)) & SYSCON_CLKOUTDIV_REQFLAG_MASK)\r
 /*! @} */\r
 \r
 /*! @name FROHFDIV - FRO_HF (96MHz) clock divider */\r
 /*! @{ */\r
-#define SYSCON_FROHFDIV_DIV_MASK                 (0xFFU)\r
-#define SYSCON_FROHFDIV_DIV_SHIFT                (0U)\r
-#define SYSCON_FROHFDIV_DIV(x)                   (((uint32_t)(((uint32_t)(x)) << SYSCON_FROHFDIV_DIV_SHIFT)) & SYSCON_FROHFDIV_DIV_MASK)\r
-#define SYSCON_FROHFDIV_RESET_MASK               (0x20000000U)\r
-#define SYSCON_FROHFDIV_RESET_SHIFT              (29U)\r
+#define SYSCON_FROHFDIV_DIV_MASK (0xFFU)\r
+#define SYSCON_FROHFDIV_DIV_SHIFT (0U)\r
+/*! DIV - Clock divider value.\r
+ */\r
+#define SYSCON_FROHFDIV_DIV(x) (((uint32_t)(((uint32_t)(x)) << SYSCON_FROHFDIV_DIV_SHIFT)) & SYSCON_FROHFDIV_DIV_MASK)\r
+#define SYSCON_FROHFDIV_RESET_MASK (0x20000000U)\r
+#define SYSCON_FROHFDIV_RESET_SHIFT (29U)\r
 /*! RESET - Resets the divider counter.\r
  *  0b1..Divider is reset.\r
  *  0b0..Divider is not reset.\r
  */\r
-#define SYSCON_FROHFDIV_RESET(x)                 (((uint32_t)(((uint32_t)(x)) << SYSCON_FROHFDIV_RESET_SHIFT)) & SYSCON_FROHFDIV_RESET_MASK)\r
-#define SYSCON_FROHFDIV_HALT_MASK                (0x40000000U)\r
-#define SYSCON_FROHFDIV_HALT_SHIFT               (30U)\r
+#define SYSCON_FROHFDIV_RESET(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_FROHFDIV_RESET_SHIFT)) & SYSCON_FROHFDIV_RESET_MASK)\r
+#define SYSCON_FROHFDIV_HALT_MASK (0x40000000U)\r
+#define SYSCON_FROHFDIV_HALT_SHIFT (30U)\r
 /*! HALT - Halts the divider counter.\r
  *  0b1..Divider clock is stoped.\r
  *  0b0..Divider clock is running.\r
  */\r
-#define SYSCON_FROHFDIV_HALT(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_FROHFDIV_HALT_SHIFT)) & SYSCON_FROHFDIV_HALT_MASK)\r
-#define SYSCON_FROHFDIV_REQFLAG_MASK             (0x80000000U)\r
-#define SYSCON_FROHFDIV_REQFLAG_SHIFT            (31U)\r
+#define SYSCON_FROHFDIV_HALT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_FROHFDIV_HALT_SHIFT)) & SYSCON_FROHFDIV_HALT_MASK)\r
+#define SYSCON_FROHFDIV_REQFLAG_MASK (0x80000000U)\r
+#define SYSCON_FROHFDIV_REQFLAG_SHIFT (31U)\r
 /*! REQFLAG - Divider status flag.\r
  *  0b1..Clock frequency is not stable.\r
  *  0b0..Divider clock is stable.\r
  */\r
-#define SYSCON_FROHFDIV_REQFLAG(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_FROHFDIV_REQFLAG_SHIFT)) & SYSCON_FROHFDIV_REQFLAG_MASK)\r
+#define SYSCON_FROHFDIV_REQFLAG(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_FROHFDIV_REQFLAG_SHIFT)) & SYSCON_FROHFDIV_REQFLAG_MASK)\r
 /*! @} */\r
 \r
 /*! @name WDTCLKDIV - WDT clock divider */\r
 /*! @{ */\r
-#define SYSCON_WDTCLKDIV_DIV_MASK                (0x3FU)\r
-#define SYSCON_WDTCLKDIV_DIV_SHIFT               (0U)\r
-#define SYSCON_WDTCLKDIV_DIV(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_WDTCLKDIV_DIV_SHIFT)) & SYSCON_WDTCLKDIV_DIV_MASK)\r
-#define SYSCON_WDTCLKDIV_RESET_MASK              (0x20000000U)\r
-#define SYSCON_WDTCLKDIV_RESET_SHIFT             (29U)\r
+#define SYSCON_WDTCLKDIV_DIV_MASK (0x3FU)\r
+#define SYSCON_WDTCLKDIV_DIV_SHIFT (0U)\r
+/*! DIV - Clock divider value.\r
+ */\r
+#define SYSCON_WDTCLKDIV_DIV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_WDTCLKDIV_DIV_SHIFT)) & SYSCON_WDTCLKDIV_DIV_MASK)\r
+#define SYSCON_WDTCLKDIV_RESET_MASK (0x20000000U)\r
+#define SYSCON_WDTCLKDIV_RESET_SHIFT (29U)\r
 /*! RESET - Resets the divider counter.\r
  *  0b1..Divider is reset.\r
  *  0b0..Divider is not reset.\r
  */\r
-#define SYSCON_WDTCLKDIV_RESET(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_WDTCLKDIV_RESET_SHIFT)) & SYSCON_WDTCLKDIV_RESET_MASK)\r
-#define SYSCON_WDTCLKDIV_HALT_MASK               (0x40000000U)\r
-#define SYSCON_WDTCLKDIV_HALT_SHIFT              (30U)\r
+#define SYSCON_WDTCLKDIV_RESET(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_WDTCLKDIV_RESET_SHIFT)) & SYSCON_WDTCLKDIV_RESET_MASK)\r
+#define SYSCON_WDTCLKDIV_HALT_MASK (0x40000000U)\r
+#define SYSCON_WDTCLKDIV_HALT_SHIFT (30U)\r
 /*! HALT - Halts the divider counter.\r
  *  0b1..Divider clock is stoped.\r
  *  0b0..Divider clock is running.\r
  */\r
-#define SYSCON_WDTCLKDIV_HALT(x)                 (((uint32_t)(((uint32_t)(x)) << SYSCON_WDTCLKDIV_HALT_SHIFT)) & SYSCON_WDTCLKDIV_HALT_MASK)\r
-#define SYSCON_WDTCLKDIV_REQFLAG_MASK            (0x80000000U)\r
-#define SYSCON_WDTCLKDIV_REQFLAG_SHIFT           (31U)\r
+#define SYSCON_WDTCLKDIV_HALT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_WDTCLKDIV_HALT_SHIFT)) & SYSCON_WDTCLKDIV_HALT_MASK)\r
+#define SYSCON_WDTCLKDIV_REQFLAG_MASK (0x80000000U)\r
+#define SYSCON_WDTCLKDIV_REQFLAG_SHIFT (31U)\r
 /*! REQFLAG - Divider status flag.\r
  *  0b1..Clock frequency is not stable.\r
  *  0b0..Divider clock is stable.\r
  */\r
-#define SYSCON_WDTCLKDIV_REQFLAG(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_WDTCLKDIV_REQFLAG_SHIFT)) & SYSCON_WDTCLKDIV_REQFLAG_MASK)\r
+#define SYSCON_WDTCLKDIV_REQFLAG(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_WDTCLKDIV_REQFLAG_SHIFT)) & SYSCON_WDTCLKDIV_REQFLAG_MASK)\r
 /*! @} */\r
 \r
 /*! @name ADCCLKDIV - ADC clock divider */\r
 /*! @{ */\r
-#define SYSCON_ADCCLKDIV_DIV_MASK                (0x7U)\r
-#define SYSCON_ADCCLKDIV_DIV_SHIFT               (0U)\r
-#define SYSCON_ADCCLKDIV_DIV(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_ADCCLKDIV_DIV_SHIFT)) & SYSCON_ADCCLKDIV_DIV_MASK)\r
-#define SYSCON_ADCCLKDIV_RESET_MASK              (0x20000000U)\r
-#define SYSCON_ADCCLKDIV_RESET_SHIFT             (29U)\r
+#define SYSCON_ADCCLKDIV_DIV_MASK (0x7U)\r
+#define SYSCON_ADCCLKDIV_DIV_SHIFT (0U)\r
+/*! DIV - Clock divider value.\r
+ */\r
+#define SYSCON_ADCCLKDIV_DIV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_ADCCLKDIV_DIV_SHIFT)) & SYSCON_ADCCLKDIV_DIV_MASK)\r
+#define SYSCON_ADCCLKDIV_RESET_MASK (0x20000000U)\r
+#define SYSCON_ADCCLKDIV_RESET_SHIFT (29U)\r
 /*! RESET - Resets the divider counter.\r
  *  0b1..Divider is reset.\r
  *  0b0..Divider is not reset.\r
  */\r
-#define SYSCON_ADCCLKDIV_RESET(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_ADCCLKDIV_RESET_SHIFT)) & SYSCON_ADCCLKDIV_RESET_MASK)\r
-#define SYSCON_ADCCLKDIV_HALT_MASK               (0x40000000U)\r
-#define SYSCON_ADCCLKDIV_HALT_SHIFT              (30U)\r
+#define SYSCON_ADCCLKDIV_RESET(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_ADCCLKDIV_RESET_SHIFT)) & SYSCON_ADCCLKDIV_RESET_MASK)\r
+#define SYSCON_ADCCLKDIV_HALT_MASK (0x40000000U)\r
+#define SYSCON_ADCCLKDIV_HALT_SHIFT (30U)\r
 /*! HALT - Halts the divider counter.\r
  *  0b1..Divider clock is stoped.\r
  *  0b0..Divider clock is running.\r
  */\r
-#define SYSCON_ADCCLKDIV_HALT(x)                 (((uint32_t)(((uint32_t)(x)) << SYSCON_ADCCLKDIV_HALT_SHIFT)) & SYSCON_ADCCLKDIV_HALT_MASK)\r
-#define SYSCON_ADCCLKDIV_REQFLAG_MASK            (0x80000000U)\r
-#define SYSCON_ADCCLKDIV_REQFLAG_SHIFT           (31U)\r
+#define SYSCON_ADCCLKDIV_HALT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_ADCCLKDIV_HALT_SHIFT)) & SYSCON_ADCCLKDIV_HALT_MASK)\r
+#define SYSCON_ADCCLKDIV_REQFLAG_MASK (0x80000000U)\r
+#define SYSCON_ADCCLKDIV_REQFLAG_SHIFT (31U)\r
 /*! REQFLAG - Divider status flag.\r
  *  0b1..Clock frequency is not stable.\r
  *  0b0..Divider clock is stable.\r
  */\r
-#define SYSCON_ADCCLKDIV_REQFLAG(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_ADCCLKDIV_REQFLAG_SHIFT)) & SYSCON_ADCCLKDIV_REQFLAG_MASK)\r
+#define SYSCON_ADCCLKDIV_REQFLAG(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_ADCCLKDIV_REQFLAG_SHIFT)) & SYSCON_ADCCLKDIV_REQFLAG_MASK)\r
 /*! @} */\r
 \r
 /*! @name USB0CLKDIV - USB0 Clock divider */\r
 /*! @{ */\r
-#define SYSCON_USB0CLKDIV_DIV_MASK               (0xFFU)\r
-#define SYSCON_USB0CLKDIV_DIV_SHIFT              (0U)\r
-#define SYSCON_USB0CLKDIV_DIV(x)                 (((uint32_t)(((uint32_t)(x)) << SYSCON_USB0CLKDIV_DIV_SHIFT)) & SYSCON_USB0CLKDIV_DIV_MASK)\r
-#define SYSCON_USB0CLKDIV_RESET_MASK             (0x20000000U)\r
-#define SYSCON_USB0CLKDIV_RESET_SHIFT            (29U)\r
+#define SYSCON_USB0CLKDIV_DIV_MASK (0xFFU)\r
+#define SYSCON_USB0CLKDIV_DIV_SHIFT (0U)\r
+/*! DIV - Clock divider value.\r
+ */\r
+#define SYSCON_USB0CLKDIV_DIV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_USB0CLKDIV_DIV_SHIFT)) & SYSCON_USB0CLKDIV_DIV_MASK)\r
+#define SYSCON_USB0CLKDIV_RESET_MASK (0x20000000U)\r
+#define SYSCON_USB0CLKDIV_RESET_SHIFT (29U)\r
 /*! RESET - Resets the divider counter.\r
  *  0b1..Divider is reset.\r
  *  0b0..Divider is not reset.\r
  */\r
-#define SYSCON_USB0CLKDIV_RESET(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_USB0CLKDIV_RESET_SHIFT)) & SYSCON_USB0CLKDIV_RESET_MASK)\r
-#define SYSCON_USB0CLKDIV_HALT_MASK              (0x40000000U)\r
-#define SYSCON_USB0CLKDIV_HALT_SHIFT             (30U)\r
+#define SYSCON_USB0CLKDIV_RESET(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_USB0CLKDIV_RESET_SHIFT)) & SYSCON_USB0CLKDIV_RESET_MASK)\r
+#define SYSCON_USB0CLKDIV_HALT_MASK (0x40000000U)\r
+#define SYSCON_USB0CLKDIV_HALT_SHIFT (30U)\r
 /*! HALT - Halts the divider counter.\r
  *  0b1..Divider clock is stoped.\r
  *  0b0..Divider clock is running.\r
  */\r
-#define SYSCON_USB0CLKDIV_HALT(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_USB0CLKDIV_HALT_SHIFT)) & SYSCON_USB0CLKDIV_HALT_MASK)\r
-#define SYSCON_USB0CLKDIV_REQFLAG_MASK           (0x80000000U)\r
-#define SYSCON_USB0CLKDIV_REQFLAG_SHIFT          (31U)\r
+#define SYSCON_USB0CLKDIV_HALT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_USB0CLKDIV_HALT_SHIFT)) & SYSCON_USB0CLKDIV_HALT_MASK)\r
+#define SYSCON_USB0CLKDIV_REQFLAG_MASK (0x80000000U)\r
+#define SYSCON_USB0CLKDIV_REQFLAG_SHIFT (31U)\r
 /*! REQFLAG - Divider status flag.\r
  *  0b1..Clock frequency is not stable.\r
  *  0b0..Divider clock is stable.\r
  */\r
-#define SYSCON_USB0CLKDIV_REQFLAG(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_USB0CLKDIV_REQFLAG_SHIFT)) & SYSCON_USB0CLKDIV_REQFLAG_MASK)\r
+#define SYSCON_USB0CLKDIV_REQFLAG(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_USB0CLKDIV_REQFLAG_SHIFT)) & SYSCON_USB0CLKDIV_REQFLAG_MASK)\r
 /*! @} */\r
 \r
 /*! @name MCLKDIV - I2S MCLK clock divider */\r
 /*! @{ */\r
-#define SYSCON_MCLKDIV_DIV_MASK                  (0xFFU)\r
-#define SYSCON_MCLKDIV_DIV_SHIFT                 (0U)\r
-#define SYSCON_MCLKDIV_DIV(x)                    (((uint32_t)(((uint32_t)(x)) << SYSCON_MCLKDIV_DIV_SHIFT)) & SYSCON_MCLKDIV_DIV_MASK)\r
-#define SYSCON_MCLKDIV_RESET_MASK                (0x20000000U)\r
-#define SYSCON_MCLKDIV_RESET_SHIFT               (29U)\r
+#define SYSCON_MCLKDIV_DIV_MASK (0xFFU)\r
+#define SYSCON_MCLKDIV_DIV_SHIFT (0U)\r
+/*! DIV - Clock divider value.\r
+ */\r
+#define SYSCON_MCLKDIV_DIV(x) (((uint32_t)(((uint32_t)(x)) << SYSCON_MCLKDIV_DIV_SHIFT)) & SYSCON_MCLKDIV_DIV_MASK)\r
+#define SYSCON_MCLKDIV_RESET_MASK (0x20000000U)\r
+#define SYSCON_MCLKDIV_RESET_SHIFT (29U)\r
 /*! RESET - Resets the divider counter.\r
  *  0b1..Divider is reset.\r
  *  0b0..Divider is not reset.\r
  */\r
-#define SYSCON_MCLKDIV_RESET(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_MCLKDIV_RESET_SHIFT)) & SYSCON_MCLKDIV_RESET_MASK)\r
-#define SYSCON_MCLKDIV_HALT_MASK                 (0x40000000U)\r
-#define SYSCON_MCLKDIV_HALT_SHIFT                (30U)\r
+#define SYSCON_MCLKDIV_RESET(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_MCLKDIV_RESET_SHIFT)) & SYSCON_MCLKDIV_RESET_MASK)\r
+#define SYSCON_MCLKDIV_HALT_MASK (0x40000000U)\r
+#define SYSCON_MCLKDIV_HALT_SHIFT (30U)\r
 /*! HALT - Halts the divider counter.\r
  *  0b1..Divider clock is stoped.\r
  *  0b0..Divider clock is running.\r
  */\r
-#define SYSCON_MCLKDIV_HALT(x)                   (((uint32_t)(((uint32_t)(x)) << SYSCON_MCLKDIV_HALT_SHIFT)) & SYSCON_MCLKDIV_HALT_MASK)\r
-#define SYSCON_MCLKDIV_REQFLAG_MASK              (0x80000000U)\r
-#define SYSCON_MCLKDIV_REQFLAG_SHIFT             (31U)\r
+#define SYSCON_MCLKDIV_HALT(x) (((uint32_t)(((uint32_t)(x)) << SYSCON_MCLKDIV_HALT_SHIFT)) & SYSCON_MCLKDIV_HALT_MASK)\r
+#define SYSCON_MCLKDIV_REQFLAG_MASK (0x80000000U)\r
+#define SYSCON_MCLKDIV_REQFLAG_SHIFT (31U)\r
 /*! REQFLAG - Divider status flag.\r
  *  0b1..Clock frequency is not stable.\r
  *  0b0..Divider clock is stable.\r
  */\r
-#define SYSCON_MCLKDIV_REQFLAG(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_MCLKDIV_REQFLAG_SHIFT)) & SYSCON_MCLKDIV_REQFLAG_MASK)\r
+#define SYSCON_MCLKDIV_REQFLAG(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_MCLKDIV_REQFLAG_SHIFT)) & SYSCON_MCLKDIV_REQFLAG_MASK)\r
 /*! @} */\r
 \r
 /*! @name SCTCLKDIV - SCT/PWM clock divider */\r
 /*! @{ */\r
-#define SYSCON_SCTCLKDIV_DIV_MASK                (0xFFU)\r
-#define SYSCON_SCTCLKDIV_DIV_SHIFT               (0U)\r
-#define SYSCON_SCTCLKDIV_DIV(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_SCTCLKDIV_DIV_SHIFT)) & SYSCON_SCTCLKDIV_DIV_MASK)\r
-#define SYSCON_SCTCLKDIV_RESET_MASK              (0x20000000U)\r
-#define SYSCON_SCTCLKDIV_RESET_SHIFT             (29U)\r
+#define SYSCON_SCTCLKDIV_DIV_MASK (0xFFU)\r
+#define SYSCON_SCTCLKDIV_DIV_SHIFT (0U)\r
+/*! DIV - Clock divider value.\r
+ */\r
+#define SYSCON_SCTCLKDIV_DIV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_SCTCLKDIV_DIV_SHIFT)) & SYSCON_SCTCLKDIV_DIV_MASK)\r
+#define SYSCON_SCTCLKDIV_RESET_MASK (0x20000000U)\r
+#define SYSCON_SCTCLKDIV_RESET_SHIFT (29U)\r
 /*! RESET - Resets the divider counter.\r
  *  0b1..Divider is reset.\r
  *  0b0..Divider is not reset.\r
  */\r
-#define SYSCON_SCTCLKDIV_RESET(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_SCTCLKDIV_RESET_SHIFT)) & SYSCON_SCTCLKDIV_RESET_MASK)\r
-#define SYSCON_SCTCLKDIV_HALT_MASK               (0x40000000U)\r
-#define SYSCON_SCTCLKDIV_HALT_SHIFT              (30U)\r
+#define SYSCON_SCTCLKDIV_RESET(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_SCTCLKDIV_RESET_SHIFT)) & SYSCON_SCTCLKDIV_RESET_MASK)\r
+#define SYSCON_SCTCLKDIV_HALT_MASK (0x40000000U)\r
+#define SYSCON_SCTCLKDIV_HALT_SHIFT (30U)\r
 /*! HALT - Halts the divider counter.\r
  *  0b1..Divider clock is stoped.\r
  *  0b0..Divider clock is running.\r
  */\r
-#define SYSCON_SCTCLKDIV_HALT(x)                 (((uint32_t)(((uint32_t)(x)) << SYSCON_SCTCLKDIV_HALT_SHIFT)) & SYSCON_SCTCLKDIV_HALT_MASK)\r
-#define SYSCON_SCTCLKDIV_REQFLAG_MASK            (0x80000000U)\r
-#define SYSCON_SCTCLKDIV_REQFLAG_SHIFT           (31U)\r
+#define SYSCON_SCTCLKDIV_HALT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_SCTCLKDIV_HALT_SHIFT)) & SYSCON_SCTCLKDIV_HALT_MASK)\r
+#define SYSCON_SCTCLKDIV_REQFLAG_MASK (0x80000000U)\r
+#define SYSCON_SCTCLKDIV_REQFLAG_SHIFT (31U)\r
 /*! REQFLAG - Divider status flag.\r
  *  0b1..Clock frequency is not stable.\r
  *  0b0..Divider clock is stable.\r
  */\r
-#define SYSCON_SCTCLKDIV_REQFLAG(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_SCTCLKDIV_REQFLAG_SHIFT)) & SYSCON_SCTCLKDIV_REQFLAG_MASK)\r
+#define SYSCON_SCTCLKDIV_REQFLAG(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_SCTCLKDIV_REQFLAG_SHIFT)) & SYSCON_SCTCLKDIV_REQFLAG_MASK)\r
 /*! @} */\r
 \r
 /*! @name SDIOCLKDIV - SDIO clock divider */\r
 /*! @{ */\r
-#define SYSCON_SDIOCLKDIV_DIV_MASK               (0xFFU)\r
-#define SYSCON_SDIOCLKDIV_DIV_SHIFT              (0U)\r
-#define SYSCON_SDIOCLKDIV_DIV(x)                 (((uint32_t)(((uint32_t)(x)) << SYSCON_SDIOCLKDIV_DIV_SHIFT)) & SYSCON_SDIOCLKDIV_DIV_MASK)\r
-#define SYSCON_SDIOCLKDIV_RESET_MASK             (0x20000000U)\r
-#define SYSCON_SDIOCLKDIV_RESET_SHIFT            (29U)\r
+#define SYSCON_SDIOCLKDIV_DIV_MASK (0xFFU)\r
+#define SYSCON_SDIOCLKDIV_DIV_SHIFT (0U)\r
+/*! DIV - Clock divider value.\r
+ */\r
+#define SYSCON_SDIOCLKDIV_DIV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_SDIOCLKDIV_DIV_SHIFT)) & SYSCON_SDIOCLKDIV_DIV_MASK)\r
+#define SYSCON_SDIOCLKDIV_RESET_MASK (0x20000000U)\r
+#define SYSCON_SDIOCLKDIV_RESET_SHIFT (29U)\r
 /*! RESET - Resets the divider counter.\r
  *  0b1..Divider is reset.\r
  *  0b0..Divider is not reset.\r
  */\r
-#define SYSCON_SDIOCLKDIV_RESET(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_SDIOCLKDIV_RESET_SHIFT)) & SYSCON_SDIOCLKDIV_RESET_MASK)\r
-#define SYSCON_SDIOCLKDIV_HALT_MASK              (0x40000000U)\r
-#define SYSCON_SDIOCLKDIV_HALT_SHIFT             (30U)\r
+#define SYSCON_SDIOCLKDIV_RESET(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_SDIOCLKDIV_RESET_SHIFT)) & SYSCON_SDIOCLKDIV_RESET_MASK)\r
+#define SYSCON_SDIOCLKDIV_HALT_MASK (0x40000000U)\r
+#define SYSCON_SDIOCLKDIV_HALT_SHIFT (30U)\r
 /*! HALT - Halts the divider counter.\r
  *  0b1..Divider clock is stoped.\r
  *  0b0..Divider clock is running.\r
  */\r
-#define SYSCON_SDIOCLKDIV_HALT(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_SDIOCLKDIV_HALT_SHIFT)) & SYSCON_SDIOCLKDIV_HALT_MASK)\r
-#define SYSCON_SDIOCLKDIV_REQFLAG_MASK           (0x80000000U)\r
-#define SYSCON_SDIOCLKDIV_REQFLAG_SHIFT          (31U)\r
+#define SYSCON_SDIOCLKDIV_HALT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_SDIOCLKDIV_HALT_SHIFT)) & SYSCON_SDIOCLKDIV_HALT_MASK)\r
+#define SYSCON_SDIOCLKDIV_REQFLAG_MASK (0x80000000U)\r
+#define SYSCON_SDIOCLKDIV_REQFLAG_SHIFT (31U)\r
 /*! REQFLAG - Divider status flag.\r
  *  0b1..Clock frequency is not stable.\r
  *  0b0..Divider clock is stable.\r
  */\r
-#define SYSCON_SDIOCLKDIV_REQFLAG(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_SDIOCLKDIV_REQFLAG_SHIFT)) & SYSCON_SDIOCLKDIV_REQFLAG_MASK)\r
+#define SYSCON_SDIOCLKDIV_REQFLAG(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_SDIOCLKDIV_REQFLAG_SHIFT)) & SYSCON_SDIOCLKDIV_REQFLAG_MASK)\r
 /*! @} */\r
 \r
 /*! @name PLL0CLKDIV - PLL0 clock divider */\r
 /*! @{ */\r
-#define SYSCON_PLL0CLKDIV_DIV_MASK               (0xFFU)\r
-#define SYSCON_PLL0CLKDIV_DIV_SHIFT              (0U)\r
-#define SYSCON_PLL0CLKDIV_DIV(x)                 (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0CLKDIV_DIV_SHIFT)) & SYSCON_PLL0CLKDIV_DIV_MASK)\r
-#define SYSCON_PLL0CLKDIV_RESET_MASK             (0x20000000U)\r
-#define SYSCON_PLL0CLKDIV_RESET_SHIFT            (29U)\r
+#define SYSCON_PLL0CLKDIV_DIV_MASK (0xFFU)\r
+#define SYSCON_PLL0CLKDIV_DIV_SHIFT (0U)\r
+/*! DIV - Clock divider value.\r
+ */\r
+#define SYSCON_PLL0CLKDIV_DIV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0CLKDIV_DIV_SHIFT)) & SYSCON_PLL0CLKDIV_DIV_MASK)\r
+#define SYSCON_PLL0CLKDIV_RESET_MASK (0x20000000U)\r
+#define SYSCON_PLL0CLKDIV_RESET_SHIFT (29U)\r
 /*! RESET - Resets the divider counter.\r
  *  0b1..Divider is reset.\r
  *  0b0..Divider is not reset.\r
  */\r
-#define SYSCON_PLL0CLKDIV_RESET(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0CLKDIV_RESET_SHIFT)) & SYSCON_PLL0CLKDIV_RESET_MASK)\r
-#define SYSCON_PLL0CLKDIV_HALT_MASK              (0x40000000U)\r
-#define SYSCON_PLL0CLKDIV_HALT_SHIFT             (30U)\r
+#define SYSCON_PLL0CLKDIV_RESET(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0CLKDIV_RESET_SHIFT)) & SYSCON_PLL0CLKDIV_RESET_MASK)\r
+#define SYSCON_PLL0CLKDIV_HALT_MASK (0x40000000U)\r
+#define SYSCON_PLL0CLKDIV_HALT_SHIFT (30U)\r
 /*! HALT - Halts the divider counter.\r
  *  0b1..Divider clock is stoped.\r
  *  0b0..Divider clock is running.\r
  */\r
-#define SYSCON_PLL0CLKDIV_HALT(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0CLKDIV_HALT_SHIFT)) & SYSCON_PLL0CLKDIV_HALT_MASK)\r
-#define SYSCON_PLL0CLKDIV_REQFLAG_MASK           (0x80000000U)\r
-#define SYSCON_PLL0CLKDIV_REQFLAG_SHIFT          (31U)\r
+#define SYSCON_PLL0CLKDIV_HALT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0CLKDIV_HALT_SHIFT)) & SYSCON_PLL0CLKDIV_HALT_MASK)\r
+#define SYSCON_PLL0CLKDIV_REQFLAG_MASK (0x80000000U)\r
+#define SYSCON_PLL0CLKDIV_REQFLAG_SHIFT (31U)\r
 /*! REQFLAG - Divider status flag.\r
  *  0b1..Clock frequency is not stable.\r
  *  0b0..Divider clock is stable.\r
  */\r
-#define SYSCON_PLL0CLKDIV_REQFLAG(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0CLKDIV_REQFLAG_SHIFT)) & SYSCON_PLL0CLKDIV_REQFLAG_MASK)\r
+#define SYSCON_PLL0CLKDIV_REQFLAG(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0CLKDIV_REQFLAG_SHIFT)) & SYSCON_PLL0CLKDIV_REQFLAG_MASK)\r
 /*! @} */\r
 \r
 /*! @name CLOCKGENUPDATELOCKOUT - Control clock configuration registers access (like xxxDIV, xxxSEL) */\r
@@ -18266,218 +23627,199 @@ typedef struct {
  *  0b00000000000000000000000000000001..update all clock configuration.\r
  *  0b00000000000000000000000000000000..all hardware clock configruration are freeze.\r
  */\r
-#define SYSCON_CLOCKGENUPDATELOCKOUT_CLOCKGENUPDATELOCKOUT(x) (((uint32_t)(((uint32_t)(x)) << SYSCON_CLOCKGENUPDATELOCKOUT_CLOCKGENUPDATELOCKOUT_SHIFT)) & SYSCON_CLOCKGENUPDATELOCKOUT_CLOCKGENUPDATELOCKOUT_MASK)\r
-/*! @} */\r
-\r
-/*! @name FMCCR - FMC configuration register - INTERNAL USE ONLY */\r
-/*! @{ */\r
-#define SYSCON_FMCCR_FETCHCTL_MASK               (0x3U)\r
-#define SYSCON_FMCCR_FETCHCTL_SHIFT              (0U)\r
-/*! FETCHCTL - Fetch control\r
- *  0b00..No buffering (bypass always used) for Fetch cycles\r
- *  0b01..One buffer is used for all Fetch cycles\r
- *  0b10..All buffers can be used for Fetch cycles\r
- */\r
-#define SYSCON_FMCCR_FETCHCTL(x)                 (((uint32_t)(((uint32_t)(x)) << SYSCON_FMCCR_FETCHCTL_SHIFT)) & SYSCON_FMCCR_FETCHCTL_MASK)\r
-#define SYSCON_FMCCR_DATACTL_MASK                (0xCU)\r
-#define SYSCON_FMCCR_DATACTL_SHIFT               (2U)\r
-/*! DATACTL - Data control\r
- *  0b00..No buffering (bypass always used) for Data cycles\r
- *  0b01..One buffer is used for all Data cycles\r
- *  0b10..All buffers can be used for Data cycles\r
- */\r
-#define SYSCON_FMCCR_DATACTL(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_FMCCR_DATACTL_SHIFT)) & SYSCON_FMCCR_DATACTL_MASK)\r
-#define SYSCON_FMCCR_ACCEL_MASK                  (0x10U)\r
-#define SYSCON_FMCCR_ACCEL_SHIFT                 (4U)\r
-#define SYSCON_FMCCR_ACCEL(x)                    (((uint32_t)(((uint32_t)(x)) << SYSCON_FMCCR_ACCEL_SHIFT)) & SYSCON_FMCCR_ACCEL_MASK)\r
-#define SYSCON_FMCCR_PREFEN_MASK                 (0x20U)\r
-#define SYSCON_FMCCR_PREFEN_SHIFT                (5U)\r
-#define SYSCON_FMCCR_PREFEN(x)                   (((uint32_t)(((uint32_t)(x)) << SYSCON_FMCCR_PREFEN_SHIFT)) & SYSCON_FMCCR_PREFEN_MASK)\r
-#define SYSCON_FMCCR_PREFOVR_MASK                (0x40U)\r
-#define SYSCON_FMCCR_PREFOVR_SHIFT               (6U)\r
-#define SYSCON_FMCCR_PREFOVR(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_FMCCR_PREFOVR_SHIFT)) & SYSCON_FMCCR_PREFOVR_MASK)\r
-#define SYSCON_FMCCR_PREFCRI_MASK                (0x700U)\r
-#define SYSCON_FMCCR_PREFCRI_SHIFT               (8U)\r
-#define SYSCON_FMCCR_PREFCRI(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_FMCCR_PREFCRI_SHIFT)) & SYSCON_FMCCR_PREFCRI_MASK)\r
-#define SYSCON_FMCCR_FMCTIM_MASK                 (0x1F000U)\r
-#define SYSCON_FMCCR_FMCTIM_SHIFT                (12U)\r
-#define SYSCON_FMCCR_FMCTIM(x)                   (((uint32_t)(((uint32_t)(x)) << SYSCON_FMCCR_FMCTIM_SHIFT)) & SYSCON_FMCCR_FMCTIM_MASK)\r
-#define SYSCON_FMCCR_PFISLRU_MASK                (0x20000U)\r
-#define SYSCON_FMCCR_PFISLRU_SHIFT               (17U)\r
-#define SYSCON_FMCCR_PFISLRU(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_FMCCR_PFISLRU_SHIFT)) & SYSCON_FMCCR_PFISLRU_MASK)\r
-#define SYSCON_FMCCR_PFADAP_MASK                 (0x40000U)\r
-#define SYSCON_FMCCR_PFADAP_SHIFT                (18U)\r
-#define SYSCON_FMCCR_PFADAP(x)                   (((uint32_t)(((uint32_t)(x)) << SYSCON_FMCCR_PFADAP_SHIFT)) & SYSCON_FMCCR_PFADAP_MASK)\r
-/*! @} */\r
-\r
-/*! @name USB0CLKCTRL - USB0 clock control */\r
-/*! @{ */\r
-#define SYSCON_USB0CLKCTRL_AP_FS_DEV_CLK_MASK    (0x1U)\r
-#define SYSCON_USB0CLKCTRL_AP_FS_DEV_CLK_SHIFT   (0U)\r
-/*! AP_FS_DEV_CLK - USB0 Device USB0_NEEDCLK signal control:.\r
+#define SYSCON_CLOCKGENUPDATELOCKOUT_CLOCKGENUPDATELOCKOUT(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_CLOCKGENUPDATELOCKOUT_CLOCKGENUPDATELOCKOUT_SHIFT)) & \\r
+     SYSCON_CLOCKGENUPDATELOCKOUT_CLOCKGENUPDATELOCKOUT_MASK)\r
+/*! @} */\r
+\r
+/*! @name FMCCR - FMC configuration register */\r
+/*! @{ */\r
+#define SYSCON_FMCCR_FLASHTIM_MASK (0xF000U)\r
+#define SYSCON_FMCCR_FLASHTIM_SHIFT (12U)\r
+/*! FLASHTIM - Flash memory access time.\r
+ *  0b0000..1 system clock flash access time (for system clock rates up to 11 MHz).\r
+ *  0b0001..2 system clocks flash access time (for system clock rates up to 22 MHz).\r
+ *  0b0010..3 system clocks flash access time (for system clock rates up to 33 MHz).\r
+ *  0b0011..4 system clocks flash access time (for system clock rates up to 44 MHz).\r
+ *  0b0100..5 system clocks flash access time (for system clock rates up to 55 MHz).\r
+ *  0b0101..6 system clocks flash access time (for system clock rates up to 66 MHz).\r
+ *  0b0110..7 system clocks flash access time (for system clock rates up to 77 MHz).\r
+ *  0b0111..8 system clocks flash access time (for system clock rates up to 88 MHz).\r
+ *  0b1000..9 system clocks flash access time (for system clock rates up to 100 MHz).\r
+ */\r
+#define SYSCON_FMCCR_FLASHTIM(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_FMCCR_FLASHTIM_SHIFT)) & SYSCON_FMCCR_FLASHTIM_MASK)\r
+/*! @} */\r
+\r
+/*! @name USB0NEEDCLKCTRL - USB0 need clock control */\r
+/*! @{ */\r
+#define SYSCON_USB0NEEDCLKCTRL_AP_FS_DEV_NEEDCLK_MASK (0x1U)\r
+#define SYSCON_USB0NEEDCLKCTRL_AP_FS_DEV_NEEDCLK_SHIFT (0U)\r
+/*! AP_FS_DEV_NEEDCLK - USB0 Device USB0_NEEDCLK signal control:.\r
  *  0b0..Under hardware control.\r
  *  0b1..Forced high.\r
  */\r
-#define SYSCON_USB0CLKCTRL_AP_FS_DEV_CLK(x)      (((uint32_t)(((uint32_t)(x)) << SYSCON_USB0CLKCTRL_AP_FS_DEV_CLK_SHIFT)) & SYSCON_USB0CLKCTRL_AP_FS_DEV_CLK_MASK)\r
-#define SYSCON_USB0CLKCTRL_POL_FS_DEV_CLK_MASK   (0x2U)\r
-#define SYSCON_USB0CLKCTRL_POL_FS_DEV_CLK_SHIFT  (1U)\r
-/*! POL_FS_DEV_CLK - USB0 Device USB0_NEEDCLK polarity for triggering the USB0 wake-up interrupt:.\r
+#define SYSCON_USB0NEEDCLKCTRL_AP_FS_DEV_NEEDCLK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_USB0NEEDCLKCTRL_AP_FS_DEV_NEEDCLK_SHIFT)) & \\r
+     SYSCON_USB0NEEDCLKCTRL_AP_FS_DEV_NEEDCLK_MASK)\r
+#define SYSCON_USB0NEEDCLKCTRL_POL_FS_DEV_NEEDCLK_MASK (0x2U)\r
+#define SYSCON_USB0NEEDCLKCTRL_POL_FS_DEV_NEEDCLK_SHIFT (1U)\r
+/*! POL_FS_DEV_NEEDCLK - USB0 Device USB0_NEEDCLK polarity for triggering the USB0 wake-up interrupt:.\r
  *  0b0..Falling edge of device USB0_NEEDCLK triggers wake-up.\r
  *  0b1..Rising edge of device USB0_NEEDCLK triggers wake-up.\r
  */\r
-#define SYSCON_USB0CLKCTRL_POL_FS_DEV_CLK(x)     (((uint32_t)(((uint32_t)(x)) << SYSCON_USB0CLKCTRL_POL_FS_DEV_CLK_SHIFT)) & SYSCON_USB0CLKCTRL_POL_FS_DEV_CLK_MASK)\r
-#define SYSCON_USB0CLKCTRL_AP_FS_HOST_CLK_MASK   (0x4U)\r
-#define SYSCON_USB0CLKCTRL_AP_FS_HOST_CLK_SHIFT  (2U)\r
-/*! AP_FS_HOST_CLK - USB0 Host USB0_NEEDCLK signal control:.\r
+#define SYSCON_USB0NEEDCLKCTRL_POL_FS_DEV_NEEDCLK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_USB0NEEDCLKCTRL_POL_FS_DEV_NEEDCLK_SHIFT)) & \\r
+     SYSCON_USB0NEEDCLKCTRL_POL_FS_DEV_NEEDCLK_MASK)\r
+#define SYSCON_USB0NEEDCLKCTRL_AP_FS_HOST_NEEDCLK_MASK (0x4U)\r
+#define SYSCON_USB0NEEDCLKCTRL_AP_FS_HOST_NEEDCLK_SHIFT (2U)\r
+/*! AP_FS_HOST_NEEDCLK - USB0 Host USB0_NEEDCLK signal control:.\r
  *  0b0..Under hardware control.\r
  *  0b1..Forced high.\r
  */\r
-#define SYSCON_USB0CLKCTRL_AP_FS_HOST_CLK(x)     (((uint32_t)(((uint32_t)(x)) << SYSCON_USB0CLKCTRL_AP_FS_HOST_CLK_SHIFT)) & SYSCON_USB0CLKCTRL_AP_FS_HOST_CLK_MASK)\r
-#define SYSCON_USB0CLKCTRL_POL_FS_HOST_CLK_MASK  (0x8U)\r
-#define SYSCON_USB0CLKCTRL_POL_FS_HOST_CLK_SHIFT (3U)\r
-/*! POL_FS_HOST_CLK - USB0 Host USB0_NEEDCLK polarity for triggering the USB0 wake-up interrupt:.\r
+#define SYSCON_USB0NEEDCLKCTRL_AP_FS_HOST_NEEDCLK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_USB0NEEDCLKCTRL_AP_FS_HOST_NEEDCLK_SHIFT)) & \\r
+     SYSCON_USB0NEEDCLKCTRL_AP_FS_HOST_NEEDCLK_MASK)\r
+#define SYSCON_USB0NEEDCLKCTRL_POL_FS_HOST_NEEDCLK_MASK (0x8U)\r
+#define SYSCON_USB0NEEDCLKCTRL_POL_FS_HOST_NEEDCLK_SHIFT (3U)\r
+/*! POL_FS_HOST_NEEDCLK - USB0 Host USB0_NEEDCLK polarity for triggering the USB0 wake-up interrupt:.\r
  *  0b0..Falling edge of device USB0_NEEDCLK triggers wake-up.\r
  *  0b1..Rising edge of device USB0_NEEDCLK triggers wake-up.\r
  */\r
-#define SYSCON_USB0CLKCTRL_POL_FS_HOST_CLK(x)    (((uint32_t)(((uint32_t)(x)) << SYSCON_USB0CLKCTRL_POL_FS_HOST_CLK_SHIFT)) & SYSCON_USB0CLKCTRL_POL_FS_HOST_CLK_MASK)\r
-#define SYSCON_USB0CLKCTRL_PU_DISABLE_MASK       (0x10U)\r
-#define SYSCON_USB0CLKCTRL_PU_DISABLE_SHIFT      (4U)\r
-/*! PU_DISABLE - Internal pull-up disable control.\r
- *  0b1..Internal pull-up disable.\r
- *  0b0..Internal pull-up enable.\r
- */\r
-#define SYSCON_USB0CLKCTRL_PU_DISABLE(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_USB0CLKCTRL_PU_DISABLE_SHIFT)) & SYSCON_USB0CLKCTRL_PU_DISABLE_MASK)\r
+#define SYSCON_USB0NEEDCLKCTRL_POL_FS_HOST_NEEDCLK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_USB0NEEDCLKCTRL_POL_FS_HOST_NEEDCLK_SHIFT)) & \\r
+     SYSCON_USB0NEEDCLKCTRL_POL_FS_HOST_NEEDCLK_MASK)\r
 /*! @} */\r
 \r
-/*! @name USB0CLKSTAT - USB0 clock status */\r
+/*! @name USB0NEEDCLKSTAT - USB0 need clock status */\r
 /*! @{ */\r
-#define SYSCON_USB0CLKSTAT_DEV_NEED_CLKST_MASK   (0x1U)\r
-#define SYSCON_USB0CLKSTAT_DEV_NEED_CLKST_SHIFT  (0U)\r
-/*! DEV_NEED_CLKST - USB0 Device USB0_NEEDCLK signal status:.\r
+#define SYSCON_USB0NEEDCLKSTAT_DEV_NEEDCLK_MASK (0x1U)\r
+#define SYSCON_USB0NEEDCLKSTAT_DEV_NEEDCLK_SHIFT (0U)\r
+/*! DEV_NEEDCLK - USB0 Device USB0_NEEDCLK signal status:.\r
  *  0b1..USB0 Device clock is high.\r
  *  0b0..USB0 Device clock is low.\r
  */\r
-#define SYSCON_USB0CLKSTAT_DEV_NEED_CLKST(x)     (((uint32_t)(((uint32_t)(x)) << SYSCON_USB0CLKSTAT_DEV_NEED_CLKST_SHIFT)) & SYSCON_USB0CLKSTAT_DEV_NEED_CLKST_MASK)\r
-#define SYSCON_USB0CLKSTAT_HOST_NEED_CLKST_MASK  (0x2U)\r
-#define SYSCON_USB0CLKSTAT_HOST_NEED_CLKST_SHIFT (1U)\r
-/*! HOST_NEED_CLKST - USB0 Host USB0_NEEDCLK signal status:.\r
+#define SYSCON_USB0NEEDCLKSTAT_DEV_NEEDCLK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_USB0NEEDCLKSTAT_DEV_NEEDCLK_SHIFT)) & \\r
+     SYSCON_USB0NEEDCLKSTAT_DEV_NEEDCLK_MASK)\r
+#define SYSCON_USB0NEEDCLKSTAT_HOST_NEEDCLK_MASK (0x2U)\r
+#define SYSCON_USB0NEEDCLKSTAT_HOST_NEEDCLK_SHIFT (1U)\r
+/*! HOST_NEEDCLK - USB0 Host USB0_NEEDCLK signal status:.\r
  *  0b1..USB0 Host clock is high.\r
  *  0b0..USB0 Host clock is low.\r
  */\r
-#define SYSCON_USB0CLKSTAT_HOST_NEED_CLKST(x)    (((uint32_t)(((uint32_t)(x)) << SYSCON_USB0CLKSTAT_HOST_NEED_CLKST_SHIFT)) & SYSCON_USB0CLKSTAT_HOST_NEED_CLKST_MASK)\r
+#define SYSCON_USB0NEEDCLKSTAT_HOST_NEEDCLK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_USB0NEEDCLKSTAT_HOST_NEEDCLK_SHIFT)) & \\r
+     SYSCON_USB0NEEDCLKSTAT_HOST_NEEDCLK_MASK)\r
 /*! @} */\r
 \r
 /*! @name FMCFLUSH - FMCflush control */\r
 /*! @{ */\r
-#define SYSCON_FMCFLUSH_FLUSH_MASK               (0x1U)\r
-#define SYSCON_FMCFLUSH_FLUSH_SHIFT              (0U)\r
-#define SYSCON_FMCFLUSH_FLUSH(x)                 (((uint32_t)(((uint32_t)(x)) << SYSCON_FMCFLUSH_FLUSH_SHIFT)) & SYSCON_FMCFLUSH_FLUSH_MASK)\r
+#define SYSCON_FMCFLUSH_FLUSH_MASK (0x1U)\r
+#define SYSCON_FMCFLUSH_FLUSH_SHIFT (0U)\r
+/*! FLUSH - Flush control\r
+ *  0b1..Flush the FMC buffer contents.\r
+ *  0b0..No action is performed.\r
+ */\r
+#define SYSCON_FMCFLUSH_FLUSH(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_FMCFLUSH_FLUSH_SHIFT)) & SYSCON_FMCFLUSH_FLUSH_MASK)\r
 /*! @} */\r
 \r
 /*! @name MCLKIO - MCLK control */\r
 /*! @{ */\r
-#define SYSCON_MCLKIO_MCLKIO_MASK                (0xFFFFFFFFU)\r
-#define SYSCON_MCLKIO_MCLKIO_SHIFT               (0U)\r
+#define SYSCON_MCLKIO_MCLKIO_MASK (0x1U)\r
+#define SYSCON_MCLKIO_MCLKIO_SHIFT (0U)\r
 /*! MCLKIO - MCLK control.\r
- *  0b00000000000000000000000000000000..input mode.\r
- *  0b00000000000000000000000000000001..output mode.\r
- */\r
-#define SYSCON_MCLKIO_MCLKIO(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_MCLKIO_MCLKIO_SHIFT)) & SYSCON_MCLKIO_MCLKIO_MASK)\r
-/*! @} */\r
-\r
-/*! @name USB1CLKCTRL - USB1 clock control */\r
-/*! @{ */\r
-#define SYSCON_USB1CLKCTRL_AP_HS_DEV_CLK_MASK    (0x1U)\r
-#define SYSCON_USB1CLKCTRL_AP_HS_DEV_CLK_SHIFT   (0U)\r
-/*! AP_HS_DEV_CLK - USB1 Device need_clock signal control:.\r
- *  0b0..Under hardware control.\r
- *  0b1..Forced high.\r
- */\r
-#define SYSCON_USB1CLKCTRL_AP_HS_DEV_CLK(x)      (((uint32_t)(((uint32_t)(x)) << SYSCON_USB1CLKCTRL_AP_HS_DEV_CLK_SHIFT)) & SYSCON_USB1CLKCTRL_AP_HS_DEV_CLK_MASK)\r
-#define SYSCON_USB1CLKCTRL_POL_HS_DEV_CLK_MASK   (0x2U)\r
-#define SYSCON_USB1CLKCTRL_POL_HS_DEV_CLK_SHIFT  (1U)\r
-/*! POL_HS_DEV_CLK - USB1 Device need_clock polarity for triggering the USB1 wake-up interrupt:.\r
- *  0b0..Falling edge of device need_clock triggers wake-up.\r
- *  0b1..Rising edge of device need_clock triggers wake-up.\r
- */\r
-#define SYSCON_USB1CLKCTRL_POL_HS_DEV_CLK(x)     (((uint32_t)(((uint32_t)(x)) << SYSCON_USB1CLKCTRL_POL_HS_DEV_CLK_SHIFT)) & SYSCON_USB1CLKCTRL_POL_HS_DEV_CLK_MASK)\r
-#define SYSCON_USB1CLKCTRL_AP_HS_HOST_CLK_MASK   (0x4U)\r
-#define SYSCON_USB1CLKCTRL_AP_HS_HOST_CLK_SHIFT  (2U)\r
-/*! AP_HS_HOST_CLK - USB1 Host need_clock signal control:.\r
- *  0b0..Under hardware control.\r
- *  0b1..Forced high.\r
- */\r
-#define SYSCON_USB1CLKCTRL_AP_HS_HOST_CLK(x)     (((uint32_t)(((uint32_t)(x)) << SYSCON_USB1CLKCTRL_AP_HS_HOST_CLK_SHIFT)) & SYSCON_USB1CLKCTRL_AP_HS_HOST_CLK_MASK)\r
-#define SYSCON_USB1CLKCTRL_POL_HS_HOST_CLK_MASK  (0x8U)\r
-#define SYSCON_USB1CLKCTRL_POL_HS_HOST_CLK_SHIFT (3U)\r
-/*! POL_HS_HOST_CLK - USB1 Host need_clock polarity for triggering the USB1 wake-up interrupt: 0 Falling edge of device need_clock triggers wake-up.\r
- *  0b0..Falling edge of device need_clock triggers wake-up.\r
- *  0b1..Rising edge of device need_clock triggers wake-up.\r
- */\r
-#define SYSCON_USB1CLKCTRL_POL_HS_HOST_CLK(x)    (((uint32_t)(((uint32_t)(x)) << SYSCON_USB1CLKCTRL_POL_HS_HOST_CLK_SHIFT)) & SYSCON_USB1CLKCTRL_POL_HS_HOST_CLK_MASK)\r
-#define SYSCON_USB1CLKCTRL_HS_DEV_WAKEUP_N_MASK  (0x10U)\r
-#define SYSCON_USB1CLKCTRL_HS_DEV_WAKEUP_N_SHIFT (4U)\r
-/*! HS_DEV_WAKEUP_N - External user wake-up signal for device mode; asserting this signal (active low) will result in exiting the low power mode; input to synchronous control logic:.\r
- *  0b0..Forces USB1 PHY to wake-up.\r
- *  0b1..Normal USB1 PHY behavior.\r
- */\r
-#define SYSCON_USB1CLKCTRL_HS_DEV_WAKEUP_N(x)    (((uint32_t)(((uint32_t)(x)) << SYSCON_USB1CLKCTRL_HS_DEV_WAKEUP_N_SHIFT)) & SYSCON_USB1CLKCTRL_HS_DEV_WAKEUP_N_MASK)\r
-/*! @} */\r
-\r
-/*! @name USB1CLKSTAT - USB1 clock status */\r
-/*! @{ */\r
-#define SYSCON_USB1CLKSTAT_DEV_NEED_CLKST_MASK   (0x1U)\r
-#define SYSCON_USB1CLKSTAT_DEV_NEED_CLKST_SHIFT  (0U)\r
-/*! DEV_NEED_CLKST - USB1 Device need_clock signal status:.\r
- *  0b1..USB1 Device clock is high.\r
- *  0b0..USB1 Device clock is low.\r
- */\r
-#define SYSCON_USB1CLKSTAT_DEV_NEED_CLKST(x)     (((uint32_t)(((uint32_t)(x)) << SYSCON_USB1CLKSTAT_DEV_NEED_CLKST_SHIFT)) & SYSCON_USB1CLKSTAT_DEV_NEED_CLKST_MASK)\r
-#define SYSCON_USB1CLKSTAT_HOST_NEED_CLKST_MASK  (0x2U)\r
-#define SYSCON_USB1CLKSTAT_HOST_NEED_CLKST_SHIFT (1U)\r
-/*! HOST_NEED_CLKST - USB1 Host need_clock signal status:.\r
- *  0b1..USB1 Host clock is high.\r
- *  0b0..USB1 Host clock is low.\r
- */\r
-#define SYSCON_USB1CLKSTAT_HOST_NEED_CLKST(x)    (((uint32_t)(((uint32_t)(x)) << SYSCON_USB1CLKSTAT_HOST_NEED_CLKST_SHIFT)) & SYSCON_USB1CLKSTAT_HOST_NEED_CLKST_MASK)\r
-/*! @} */\r
-\r
-/*! @name FLASHBANKENABLE - Flash Banks control */\r
-/*! @{ */\r
-#define SYSCON_FLASHBANKENABLE_BANK0_MASK        (0xFU)\r
-#define SYSCON_FLASHBANKENABLE_BANK0_SHIFT       (0U)\r
-/*! BANK0 - Flash Bank0 control.\r
- *  0b0000..Flash BANK0 checker is enabled (all Flash pages inside this bank cannot be erased nor programmed).\r
- *  0b1010..1010: Flash BANK0 checker is disabled (all Flash pages inside this bank can be erased and programmed).\r
- */\r
-#define SYSCON_FLASHBANKENABLE_BANK0(x)          (((uint32_t)(((uint32_t)(x)) << SYSCON_FLASHBANKENABLE_BANK0_SHIFT)) & SYSCON_FLASHBANKENABLE_BANK0_MASK)\r
-#define SYSCON_FLASHBANKENABLE_BANK1_MASK        (0xF0U)\r
-#define SYSCON_FLASHBANKENABLE_BANK1_SHIFT       (4U)\r
-/*! BANK1 - Flash Bank1 control.\r
- *  0b0000..Flash BANK1 checker is enabled (all Flash pages inside this bank cannot be erased nor programmed).\r
- *  0b1010..1010: Flash BANK1 checker is disabled (all Flash pages inside this bank can be erased and programmed).\r
- */\r
-#define SYSCON_FLASHBANKENABLE_BANK1(x)          (((uint32_t)(((uint32_t)(x)) << SYSCON_FLASHBANKENABLE_BANK1_SHIFT)) & SYSCON_FLASHBANKENABLE_BANK1_MASK)\r
-#define SYSCON_FLASHBANKENABLE_BANK2_MASK        (0xF00U)\r
-#define SYSCON_FLASHBANKENABLE_BANK2_SHIFT       (8U)\r
-/*! BANK2 - Flash Bank2 control.\r
- *  0b0000..Flash BANK2 checker is enabled (all Flash pages inside this bank cannot be erased nor programmed).\r
- *  0b1010..1010: Flash BANK2 checker is disabled (all Flash pages inside this bank can be erased and programmed).\r
- */\r
-#define SYSCON_FLASHBANKENABLE_BANK2(x)          (((uint32_t)(((uint32_t)(x)) << SYSCON_FLASHBANKENABLE_BANK2_SHIFT)) & SYSCON_FLASHBANKENABLE_BANK2_MASK)\r
+ *  0b0..input mode.\r
+ *  0b1..output mode.\r
+ */\r
+#define SYSCON_MCLKIO_MCLKIO(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_MCLKIO_MCLKIO_SHIFT)) & SYSCON_MCLKIO_MCLKIO_MASK)\r
+/*! @} */\r
+\r
+/*! @name USB1NEEDCLKCTRL - USB1 need clock control */\r
+/*! @{ */\r
+#define SYSCON_USB1NEEDCLKCTRL_AP_HS_DEV_NEEDCLK_MASK (0x1U)\r
+#define SYSCON_USB1NEEDCLKCTRL_AP_HS_DEV_NEEDCLK_SHIFT (0U)\r
+/*! AP_HS_DEV_NEEDCLK - USB1 Device need_clock signal control:\r
+ *  0b0..HOST_NEEDCLK is under hardware control.\r
+ *  0b1..HOST_NEEDCLK is forced high.\r
+ */\r
+#define SYSCON_USB1NEEDCLKCTRL_AP_HS_DEV_NEEDCLK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_USB1NEEDCLKCTRL_AP_HS_DEV_NEEDCLK_SHIFT)) & \\r
+     SYSCON_USB1NEEDCLKCTRL_AP_HS_DEV_NEEDCLK_MASK)\r
+#define SYSCON_USB1NEEDCLKCTRL_POL_HS_DEV_NEEDCLK_MASK (0x2U)\r
+#define SYSCON_USB1NEEDCLKCTRL_POL_HS_DEV_NEEDCLK_SHIFT (1U)\r
+/*! POL_HS_DEV_NEEDCLK - USB1 device need clock polarity for triggering the USB1_NEEDCLK wake-up interrupt:\r
+ *  0b0..Falling edge of DEV_NEEDCLK triggers wake-up.\r
+ *  0b1..Rising edge of DEV_NEEDCLK triggers wake-up.\r
+ */\r
+#define SYSCON_USB1NEEDCLKCTRL_POL_HS_DEV_NEEDCLK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_USB1NEEDCLKCTRL_POL_HS_DEV_NEEDCLK_SHIFT)) & \\r
+     SYSCON_USB1NEEDCLKCTRL_POL_HS_DEV_NEEDCLK_MASK)\r
+#define SYSCON_USB1NEEDCLKCTRL_AP_HS_HOST_NEEDCLK_MASK (0x4U)\r
+#define SYSCON_USB1NEEDCLKCTRL_AP_HS_HOST_NEEDCLK_SHIFT (2U)\r
+/*! AP_HS_HOST_NEEDCLK - USB1 Host need clock signal control:\r
+ *  0b0..HOST_NEEDCLK is under hardware control.\r
+ *  0b1..HOST_NEEDCLK is forced high.\r
+ */\r
+#define SYSCON_USB1NEEDCLKCTRL_AP_HS_HOST_NEEDCLK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_USB1NEEDCLKCTRL_AP_HS_HOST_NEEDCLK_SHIFT)) & \\r
+     SYSCON_USB1NEEDCLKCTRL_AP_HS_HOST_NEEDCLK_MASK)\r
+#define SYSCON_USB1NEEDCLKCTRL_POL_HS_HOST_NEEDCLK_MASK (0x8U)\r
+#define SYSCON_USB1NEEDCLKCTRL_POL_HS_HOST_NEEDCLK_SHIFT (3U)\r
+/*! POL_HS_HOST_NEEDCLK - USB1 host need clock polarity for triggering the USB1_NEEDCLK wake-up interrupt.\r
+ *  0b0..Falling edge of HOST_NEEDCLK triggers wake-up.\r
+ *  0b1..Rising edge of HOST_NEEDCLK triggers wake-up.\r
+ */\r
+#define SYSCON_USB1NEEDCLKCTRL_POL_HS_HOST_NEEDCLK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_USB1NEEDCLKCTRL_POL_HS_HOST_NEEDCLK_SHIFT)) & \\r
+     SYSCON_USB1NEEDCLKCTRL_POL_HS_HOST_NEEDCLK_MASK)\r
+#define SYSCON_USB1NEEDCLKCTRL_HS_DEV_WAKEUP_N_MASK (0x10U)\r
+#define SYSCON_USB1NEEDCLKCTRL_HS_DEV_WAKEUP_N_SHIFT (4U)\r
+/*! HS_DEV_WAKEUP_N - Software override of device controller PHY wake up logic.\r
+ *  0b0..Forces USB1_PHY to wake-up.\r
+ *  0b1..Normal USB1_PHY behavior.\r
+ */\r
+#define SYSCON_USB1NEEDCLKCTRL_HS_DEV_WAKEUP_N(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_USB1NEEDCLKCTRL_HS_DEV_WAKEUP_N_SHIFT)) & \\r
+     SYSCON_USB1NEEDCLKCTRL_HS_DEV_WAKEUP_N_MASK)\r
+/*! @} */\r
+\r
+/*! @name USB1NEEDCLKSTAT - USB1 need clock status */\r
+/*! @{ */\r
+#define SYSCON_USB1NEEDCLKSTAT_DEV_NEEDCLK_MASK (0x1U)\r
+#define SYSCON_USB1NEEDCLKSTAT_DEV_NEEDCLK_SHIFT (0U)\r
+/*! DEV_NEEDCLK - USB1 Device need_clock signal status:.\r
+ *  0b1..DEV_NEEDCLK is high.\r
+ *  0b0..DEV_NEEDCLK is low.\r
+ */\r
+#define SYSCON_USB1NEEDCLKSTAT_DEV_NEEDCLK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_USB1NEEDCLKSTAT_DEV_NEEDCLK_SHIFT)) & \\r
+     SYSCON_USB1NEEDCLKSTAT_DEV_NEEDCLK_MASK)\r
+#define SYSCON_USB1NEEDCLKSTAT_HOST_NEEDCLK_MASK (0x2U)\r
+#define SYSCON_USB1NEEDCLKSTAT_HOST_NEEDCLK_SHIFT (1U)\r
+/*! HOST_NEEDCLK - USB1 Host need_clock signal status:.\r
+ *  0b1..HOST_NEEDCLK is high.\r
+ *  0b0..HOST_NEEDCLK is low.\r
+ */\r
+#define SYSCON_USB1NEEDCLKSTAT_HOST_NEEDCLK(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_USB1NEEDCLKSTAT_HOST_NEEDCLK_SHIFT)) & \\r
+     SYSCON_USB1NEEDCLKSTAT_HOST_NEEDCLK_MASK)\r
 /*! @} */\r
 \r
 /*! @name SDIOCLKCTRL - SDIO CCLKIN phase and delay control */\r
 /*! @{ */\r
-#define SYSCON_SDIOCLKCTRL_CCLK_DRV_PHASE_MASK   (0x3U)\r
-#define SYSCON_SDIOCLKCTRL_CCLK_DRV_PHASE_SHIFT  (0U)\r
+#define SYSCON_SDIOCLKCTRL_CCLK_DRV_PHASE_MASK (0x3U)\r
+#define SYSCON_SDIOCLKCTRL_CCLK_DRV_PHASE_SHIFT (0U)\r
 /*! CCLK_DRV_PHASE - Programmable delay value by which cclk_in_drv is phase-shifted with regard to cclk_in.\r
  *  0b00..0 degree shift.\r
  *  0b01..90 degree shift.\r
  *  0b10..180 degree shift.\r
  *  0b11..270 degree shift.\r
  */\r
-#define SYSCON_SDIOCLKCTRL_CCLK_DRV_PHASE(x)     (((uint32_t)(((uint32_t)(x)) << SYSCON_SDIOCLKCTRL_CCLK_DRV_PHASE_SHIFT)) & SYSCON_SDIOCLKCTRL_CCLK_DRV_PHASE_MASK)\r
+#define SYSCON_SDIOCLKCTRL_CCLK_DRV_PHASE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_SDIOCLKCTRL_CCLK_DRV_PHASE_SHIFT)) & SYSCON_SDIOCLKCTRL_CCLK_DRV_PHASE_MASK)\r
 #define SYSCON_SDIOCLKCTRL_CCLK_SAMPLE_PHASE_MASK (0xCU)\r
 #define SYSCON_SDIOCLKCTRL_CCLK_SAMPLE_PHASE_SHIFT (2U)\r
 /*! CCLK_SAMPLE_PHASE - Programmable delay value by which cclk_in_sample is delayed with regard to cclk_in.\r
@@ -18486,1223 +23828,634 @@ typedef struct {
  *  0b10..180 degree shift.\r
  *  0b11..270 degree shift.\r
  */\r
-#define SYSCON_SDIOCLKCTRL_CCLK_SAMPLE_PHASE(x)  (((uint32_t)(((uint32_t)(x)) << SYSCON_SDIOCLKCTRL_CCLK_SAMPLE_PHASE_SHIFT)) & SYSCON_SDIOCLKCTRL_CCLK_SAMPLE_PHASE_MASK)\r
-#define SYSCON_SDIOCLKCTRL_PHASE_ACTIVE_MASK     (0x80U)\r
-#define SYSCON_SDIOCLKCTRL_PHASE_ACTIVE_SHIFT    (7U)\r
+#define SYSCON_SDIOCLKCTRL_CCLK_SAMPLE_PHASE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_SDIOCLKCTRL_CCLK_SAMPLE_PHASE_SHIFT)) & \\r
+     SYSCON_SDIOCLKCTRL_CCLK_SAMPLE_PHASE_MASK)\r
+#define SYSCON_SDIOCLKCTRL_PHASE_ACTIVE_MASK (0x80U)\r
+#define SYSCON_SDIOCLKCTRL_PHASE_ACTIVE_SHIFT (7U)\r
 /*! PHASE_ACTIVE - Enables the delays CCLK_DRV_PHASE and CCLK_SAMPLE_PHASE.\r
  *  0b0..Bypassed.\r
  *  0b1..Activates phase shift logic. When active, the clock divider is active and phase delays are enabled.\r
  */\r
-#define SYSCON_SDIOCLKCTRL_PHASE_ACTIVE(x)       (((uint32_t)(((uint32_t)(x)) << SYSCON_SDIOCLKCTRL_PHASE_ACTIVE_SHIFT)) & SYSCON_SDIOCLKCTRL_PHASE_ACTIVE_MASK)\r
-#define SYSCON_SDIOCLKCTRL_CCLK_DRV_DELAY_MASK   (0x1F0000U)\r
-#define SYSCON_SDIOCLKCTRL_CCLK_DRV_DELAY_SHIFT  (16U)\r
-#define SYSCON_SDIOCLKCTRL_CCLK_DRV_DELAY(x)     (((uint32_t)(((uint32_t)(x)) << SYSCON_SDIOCLKCTRL_CCLK_DRV_DELAY_SHIFT)) & SYSCON_SDIOCLKCTRL_CCLK_DRV_DELAY_MASK)\r
+#define SYSCON_SDIOCLKCTRL_PHASE_ACTIVE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_SDIOCLKCTRL_PHASE_ACTIVE_SHIFT)) & SYSCON_SDIOCLKCTRL_PHASE_ACTIVE_MASK)\r
+#define SYSCON_SDIOCLKCTRL_CCLK_DRV_DELAY_MASK (0x1F0000U)\r
+#define SYSCON_SDIOCLKCTRL_CCLK_DRV_DELAY_SHIFT (16U)\r
+/*! CCLK_DRV_DELAY - Programmable delay value by which cclk_in_drv is delayed with regard to cclk_in.\r
+ */\r
+#define SYSCON_SDIOCLKCTRL_CCLK_DRV_DELAY(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_SDIOCLKCTRL_CCLK_DRV_DELAY_SHIFT)) & SYSCON_SDIOCLKCTRL_CCLK_DRV_DELAY_MASK)\r
 #define SYSCON_SDIOCLKCTRL_CCLK_DRV_DELAY_ACTIVE_MASK (0x800000U)\r
 #define SYSCON_SDIOCLKCTRL_CCLK_DRV_DELAY_ACTIVE_SHIFT (23U)\r
 /*! CCLK_DRV_DELAY_ACTIVE - Enables drive delay, as controlled by the CCLK_DRV_DELAY field.\r
  *  0b1..Enable drive delay.\r
  *  0b0..Disable drive delay.\r
  */\r
-#define SYSCON_SDIOCLKCTRL_CCLK_DRV_DELAY_ACTIVE(x) (((uint32_t)(((uint32_t)(x)) << SYSCON_SDIOCLKCTRL_CCLK_DRV_DELAY_ACTIVE_SHIFT)) & SYSCON_SDIOCLKCTRL_CCLK_DRV_DELAY_ACTIVE_MASK)\r
+#define SYSCON_SDIOCLKCTRL_CCLK_DRV_DELAY_ACTIVE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_SDIOCLKCTRL_CCLK_DRV_DELAY_ACTIVE_SHIFT)) & \\r
+     SYSCON_SDIOCLKCTRL_CCLK_DRV_DELAY_ACTIVE_MASK)\r
 #define SYSCON_SDIOCLKCTRL_CCLK_SAMPLE_DELAY_MASK (0x1F000000U)\r
 #define SYSCON_SDIOCLKCTRL_CCLK_SAMPLE_DELAY_SHIFT (24U)\r
-#define SYSCON_SDIOCLKCTRL_CCLK_SAMPLE_DELAY(x)  (((uint32_t)(((uint32_t)(x)) << SYSCON_SDIOCLKCTRL_CCLK_SAMPLE_DELAY_SHIFT)) & SYSCON_SDIOCLKCTRL_CCLK_SAMPLE_DELAY_MASK)\r
+/*! CCLK_SAMPLE_DELAY - Programmable delay value by which cclk_in_sample is delayed with regard to cclk_in.\r
+ */\r
+#define SYSCON_SDIOCLKCTRL_CCLK_SAMPLE_DELAY(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_SDIOCLKCTRL_CCLK_SAMPLE_DELAY_SHIFT)) & \\r
+     SYSCON_SDIOCLKCTRL_CCLK_SAMPLE_DELAY_MASK)\r
 #define SYSCON_SDIOCLKCTRL_CCLK_SAMPLE_DELAY_ACTIVE_MASK (0x80000000U)\r
 #define SYSCON_SDIOCLKCTRL_CCLK_SAMPLE_DELAY_ACTIVE_SHIFT (31U)\r
 /*! CCLK_SAMPLE_DELAY_ACTIVE - Enables sample delay, as controlled by the CCLK_SAMPLE_DELAY field.\r
  *  0b1..Enables sample delay.\r
  *  0b0..Disables sample delay.\r
  */\r
-#define SYSCON_SDIOCLKCTRL_CCLK_SAMPLE_DELAY_ACTIVE(x) (((uint32_t)(((uint32_t)(x)) << SYSCON_SDIOCLKCTRL_CCLK_SAMPLE_DELAY_ACTIVE_SHIFT)) & SYSCON_SDIOCLKCTRL_CCLK_SAMPLE_DELAY_ACTIVE_MASK)\r
+#define SYSCON_SDIOCLKCTRL_CCLK_SAMPLE_DELAY_ACTIVE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_SDIOCLKCTRL_CCLK_SAMPLE_DELAY_ACTIVE_SHIFT)) & \\r
+     SYSCON_SDIOCLKCTRL_CCLK_SAMPLE_DELAY_ACTIVE_MASK)\r
 /*! @} */\r
 \r
 /*! @name PLL1CTRL - PLL1 550m control */\r
 /*! @{ */\r
-#define SYSCON_PLL1CTRL_SELR_MASK                (0xFU)\r
-#define SYSCON_PLL1CTRL_SELR_SHIFT               (0U)\r
-#define SYSCON_PLL1CTRL_SELR(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1CTRL_SELR_SHIFT)) & SYSCON_PLL1CTRL_SELR_MASK)\r
-#define SYSCON_PLL1CTRL_SELI_MASK                (0x3F0U)\r
-#define SYSCON_PLL1CTRL_SELI_SHIFT               (4U)\r
-#define SYSCON_PLL1CTRL_SELI(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1CTRL_SELI_SHIFT)) & SYSCON_PLL1CTRL_SELI_MASK)\r
-#define SYSCON_PLL1CTRL_SELP_MASK                (0x7C00U)\r
-#define SYSCON_PLL1CTRL_SELP_SHIFT               (10U)\r
-#define SYSCON_PLL1CTRL_SELP(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1CTRL_SELP_SHIFT)) & SYSCON_PLL1CTRL_SELP_MASK)\r
-#define SYSCON_PLL1CTRL_BYPASSPLL_MASK           (0x8000U)\r
-#define SYSCON_PLL1CTRL_BYPASSPLL_SHIFT          (15U)\r
+#define SYSCON_PLL1CTRL_SELR_MASK (0xFU)\r
+#define SYSCON_PLL1CTRL_SELR_SHIFT (0U)\r
+/*! SELR - Bandwidth select R value.\r
+ */\r
+#define SYSCON_PLL1CTRL_SELR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1CTRL_SELR_SHIFT)) & SYSCON_PLL1CTRL_SELR_MASK)\r
+#define SYSCON_PLL1CTRL_SELI_MASK (0x3F0U)\r
+#define SYSCON_PLL1CTRL_SELI_SHIFT (4U)\r
+/*! SELI - Bandwidth select I value.\r
+ */\r
+#define SYSCON_PLL1CTRL_SELI(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1CTRL_SELI_SHIFT)) & SYSCON_PLL1CTRL_SELI_MASK)\r
+#define SYSCON_PLL1CTRL_SELP_MASK (0x7C00U)\r
+#define SYSCON_PLL1CTRL_SELP_SHIFT (10U)\r
+/*! SELP - Bandwidth select P value.\r
+ */\r
+#define SYSCON_PLL1CTRL_SELP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1CTRL_SELP_SHIFT)) & SYSCON_PLL1CTRL_SELP_MASK)\r
+#define SYSCON_PLL1CTRL_BYPASSPLL_MASK (0x8000U)\r
+#define SYSCON_PLL1CTRL_BYPASSPLL_SHIFT (15U)\r
 /*! BYPASSPLL - Bypass PLL input clock is sent directly to the PLL output (default).\r
  *  0b1..PLL input clock is sent directly to the PLL output.\r
  *  0b0..use PLL.\r
  */\r
-#define SYSCON_PLL1CTRL_BYPASSPLL(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1CTRL_BYPASSPLL_SHIFT)) & SYSCON_PLL1CTRL_BYPASSPLL_MASK)\r
-#define SYSCON_PLL1CTRL_BYPASSPOSTDIV2_MASK      (0x10000U)\r
-#define SYSCON_PLL1CTRL_BYPASSPOSTDIV2_SHIFT     (16U)\r
+#define SYSCON_PLL1CTRL_BYPASSPLL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1CTRL_BYPASSPLL_SHIFT)) & SYSCON_PLL1CTRL_BYPASSPLL_MASK)\r
+#define SYSCON_PLL1CTRL_BYPASSPOSTDIV2_MASK (0x10000U)\r
+#define SYSCON_PLL1CTRL_BYPASSPOSTDIV2_SHIFT (16U)\r
 /*! BYPASSPOSTDIV2 - bypass of the divide-by-2 divider in the post-divider.\r
  *  0b1..bypass of the divide-by-2 divider in the post-divider.\r
  *  0b0..use the divide-by-2 divider in the post-divider.\r
  */\r
-#define SYSCON_PLL1CTRL_BYPASSPOSTDIV2(x)        (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1CTRL_BYPASSPOSTDIV2_SHIFT)) & SYSCON_PLL1CTRL_BYPASSPOSTDIV2_MASK)\r
-#define SYSCON_PLL1CTRL_LIMUPOFF_MASK            (0x20000U)\r
-#define SYSCON_PLL1CTRL_LIMUPOFF_SHIFT           (17U)\r
-#define SYSCON_PLL1CTRL_LIMUPOFF(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1CTRL_LIMUPOFF_SHIFT)) & SYSCON_PLL1CTRL_LIMUPOFF_MASK)\r
-#define SYSCON_PLL1CTRL_BWDIRECT_MASK            (0x40000U)\r
-#define SYSCON_PLL1CTRL_BWDIRECT_SHIFT           (18U)\r
+#define SYSCON_PLL1CTRL_BYPASSPOSTDIV2(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1CTRL_BYPASSPOSTDIV2_SHIFT)) & SYSCON_PLL1CTRL_BYPASSPOSTDIV2_MASK)\r
+#define SYSCON_PLL1CTRL_LIMUPOFF_MASK (0x20000U)\r
+#define SYSCON_PLL1CTRL_LIMUPOFF_SHIFT (17U)\r
+/*! LIMUPOFF - limup_off = 1 in spread spectrum and fractional PLL applications.\r
+ */\r
+#define SYSCON_PLL1CTRL_LIMUPOFF(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1CTRL_LIMUPOFF_SHIFT)) & SYSCON_PLL1CTRL_LIMUPOFF_MASK)\r
+#define SYSCON_PLL1CTRL_BWDIRECT_MASK (0x40000U)\r
+#define SYSCON_PLL1CTRL_BWDIRECT_SHIFT (18U)\r
 /*! BWDIRECT - control of the bandwidth of the PLL.\r
  *  0b1..modify the bandwidth of the PLL directly.\r
  *  0b0..the bandwidth is changed synchronously with the feedback-divider.\r
  */\r
-#define SYSCON_PLL1CTRL_BWDIRECT(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1CTRL_BWDIRECT_SHIFT)) & SYSCON_PLL1CTRL_BWDIRECT_MASK)\r
-#define SYSCON_PLL1CTRL_BYPASSPREDIV_MASK        (0x80000U)\r
-#define SYSCON_PLL1CTRL_BYPASSPREDIV_SHIFT       (19U)\r
+#define SYSCON_PLL1CTRL_BWDIRECT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1CTRL_BWDIRECT_SHIFT)) & SYSCON_PLL1CTRL_BWDIRECT_MASK)\r
+#define SYSCON_PLL1CTRL_BYPASSPREDIV_MASK (0x80000U)\r
+#define SYSCON_PLL1CTRL_BYPASSPREDIV_SHIFT (19U)\r
 /*! BYPASSPREDIV - bypass of the pre-divider.\r
  *  0b1..bypass of the pre-divider.\r
  *  0b0..use the pre-divider.\r
  */\r
-#define SYSCON_PLL1CTRL_BYPASSPREDIV(x)          (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1CTRL_BYPASSPREDIV_SHIFT)) & SYSCON_PLL1CTRL_BYPASSPREDIV_MASK)\r
-#define SYSCON_PLL1CTRL_BYPASSPOSTDIV_MASK       (0x100000U)\r
-#define SYSCON_PLL1CTRL_BYPASSPOSTDIV_SHIFT      (20U)\r
+#define SYSCON_PLL1CTRL_BYPASSPREDIV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1CTRL_BYPASSPREDIV_SHIFT)) & SYSCON_PLL1CTRL_BYPASSPREDIV_MASK)\r
+#define SYSCON_PLL1CTRL_BYPASSPOSTDIV_MASK (0x100000U)\r
+#define SYSCON_PLL1CTRL_BYPASSPOSTDIV_SHIFT (20U)\r
 /*! BYPASSPOSTDIV - bypass of the post-divider.\r
  *  0b1..bypass of the post-divider.\r
  *  0b0..use the post-divider.\r
  */\r
-#define SYSCON_PLL1CTRL_BYPASSPOSTDIV(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1CTRL_BYPASSPOSTDIV_SHIFT)) & SYSCON_PLL1CTRL_BYPASSPOSTDIV_MASK)\r
-#define SYSCON_PLL1CTRL_CLKEN_MASK               (0x200000U)\r
-#define SYSCON_PLL1CTRL_CLKEN_SHIFT              (21U)\r
+#define SYSCON_PLL1CTRL_BYPASSPOSTDIV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1CTRL_BYPASSPOSTDIV_SHIFT)) & SYSCON_PLL1CTRL_BYPASSPOSTDIV_MASK)\r
+#define SYSCON_PLL1CTRL_CLKEN_MASK (0x200000U)\r
+#define SYSCON_PLL1CTRL_CLKEN_SHIFT (21U)\r
 /*! CLKEN - enable the output clock.\r
  *  0b1..Enable the output clock.\r
  *  0b0..Disable the output clock.\r
  */\r
-#define SYSCON_PLL1CTRL_CLKEN(x)                 (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1CTRL_CLKEN_SHIFT)) & SYSCON_PLL1CTRL_CLKEN_MASK)\r
-#define SYSCON_PLL1CTRL_FRMEN_MASK               (0x400000U)\r
-#define SYSCON_PLL1CTRL_FRMEN_SHIFT              (22U)\r
-#define SYSCON_PLL1CTRL_FRMEN(x)                 (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1CTRL_FRMEN_SHIFT)) & SYSCON_PLL1CTRL_FRMEN_MASK)\r
-#define SYSCON_PLL1CTRL_FRMCLKSTABLE_MASK        (0x800000U)\r
-#define SYSCON_PLL1CTRL_FRMCLKSTABLE_SHIFT       (23U)\r
-#define SYSCON_PLL1CTRL_FRMCLKSTABLE(x)          (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1CTRL_FRMCLKSTABLE_SHIFT)) & SYSCON_PLL1CTRL_FRMCLKSTABLE_MASK)\r
-#define SYSCON_PLL1CTRL_SKEWEN_MASK              (0x1000000U)\r
-#define SYSCON_PLL1CTRL_SKEWEN_SHIFT             (24U)\r
+#define SYSCON_PLL1CTRL_CLKEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1CTRL_CLKEN_SHIFT)) & SYSCON_PLL1CTRL_CLKEN_MASK)\r
+#define SYSCON_PLL1CTRL_FRMEN_MASK (0x400000U)\r
+#define SYSCON_PLL1CTRL_FRMEN_SHIFT (22U)\r
+/*! FRMEN - 1: free running mode.\r
+ */\r
+#define SYSCON_PLL1CTRL_FRMEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1CTRL_FRMEN_SHIFT)) & SYSCON_PLL1CTRL_FRMEN_MASK)\r
+#define SYSCON_PLL1CTRL_FRMCLKSTABLE_MASK (0x800000U)\r
+#define SYSCON_PLL1CTRL_FRMCLKSTABLE_SHIFT (23U)\r
+/*! FRMCLKSTABLE - free running mode clockstable: Warning: Only make frm_clockstable = 1 after the PLL output frequency\r
+ * is stable.\r
+ */\r
+#define SYSCON_PLL1CTRL_FRMCLKSTABLE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1CTRL_FRMCLKSTABLE_SHIFT)) & SYSCON_PLL1CTRL_FRMCLKSTABLE_MASK)\r
+#define SYSCON_PLL1CTRL_SKEWEN_MASK (0x1000000U)\r
+#define SYSCON_PLL1CTRL_SKEWEN_SHIFT (24U)\r
 /*! SKEWEN - Skew mode.\r
  *  0b1..skewmode is enable.\r
  *  0b0..skewmode is disable.\r
  */\r
-#define SYSCON_PLL1CTRL_SKEWEN(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1CTRL_SKEWEN_SHIFT)) & SYSCON_PLL1CTRL_SKEWEN_MASK)\r
+#define SYSCON_PLL1CTRL_SKEWEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1CTRL_SKEWEN_SHIFT)) & SYSCON_PLL1CTRL_SKEWEN_MASK)\r
 /*! @} */\r
 \r
 /*! @name PLL1STAT - PLL1 550m status */\r
 /*! @{ */\r
-#define SYSCON_PLL1STAT_LOCK_MASK                (0x1U)\r
-#define SYSCON_PLL1STAT_LOCK_SHIFT               (0U)\r
-#define SYSCON_PLL1STAT_LOCK(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1STAT_LOCK_SHIFT)) & SYSCON_PLL1STAT_LOCK_MASK)\r
-#define SYSCON_PLL1STAT_PREDIVACK_MASK           (0x2U)\r
-#define SYSCON_PLL1STAT_PREDIVACK_SHIFT          (1U)\r
-#define SYSCON_PLL1STAT_PREDIVACK(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1STAT_PREDIVACK_SHIFT)) & SYSCON_PLL1STAT_PREDIVACK_MASK)\r
-#define SYSCON_PLL1STAT_FEEDDIVACK_MASK          (0x4U)\r
-#define SYSCON_PLL1STAT_FEEDDIVACK_SHIFT         (2U)\r
-#define SYSCON_PLL1STAT_FEEDDIVACK(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1STAT_FEEDDIVACK_SHIFT)) & SYSCON_PLL1STAT_FEEDDIVACK_MASK)\r
-#define SYSCON_PLL1STAT_POSTDIVACK_MASK          (0x8U)\r
-#define SYSCON_PLL1STAT_POSTDIVACK_SHIFT         (3U)\r
-#define SYSCON_PLL1STAT_POSTDIVACK(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1STAT_POSTDIVACK_SHIFT)) & SYSCON_PLL1STAT_POSTDIVACK_MASK)\r
-#define SYSCON_PLL1STAT_FRMDET_MASK              (0x10U)\r
-#define SYSCON_PLL1STAT_FRMDET_SHIFT             (4U)\r
-#define SYSCON_PLL1STAT_FRMDET(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1STAT_FRMDET_SHIFT)) & SYSCON_PLL1STAT_FRMDET_MASK)\r
+#define SYSCON_PLL1STAT_LOCK_MASK (0x1U)\r
+#define SYSCON_PLL1STAT_LOCK_SHIFT (0U)\r
+/*! LOCK - lock detector output (active high) Warning: The lock signal is only reliable between fref[2] :100 kHz to 20\r
+ * MHz.\r
+ */\r
+#define SYSCON_PLL1STAT_LOCK(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1STAT_LOCK_SHIFT)) & SYSCON_PLL1STAT_LOCK_MASK)\r
+#define SYSCON_PLL1STAT_PREDIVACK_MASK (0x2U)\r
+#define SYSCON_PLL1STAT_PREDIVACK_SHIFT (1U)\r
+/*! PREDIVACK - pre-divider ratio change acknowledge.\r
+ */\r
+#define SYSCON_PLL1STAT_PREDIVACK(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1STAT_PREDIVACK_SHIFT)) & SYSCON_PLL1STAT_PREDIVACK_MASK)\r
+#define SYSCON_PLL1STAT_FEEDDIVACK_MASK (0x4U)\r
+#define SYSCON_PLL1STAT_FEEDDIVACK_SHIFT (2U)\r
+/*! FEEDDIVACK - feedback divider ratio change acknowledge.\r
+ */\r
+#define SYSCON_PLL1STAT_FEEDDIVACK(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1STAT_FEEDDIVACK_SHIFT)) & SYSCON_PLL1STAT_FEEDDIVACK_MASK)\r
+#define SYSCON_PLL1STAT_POSTDIVACK_MASK (0x8U)\r
+#define SYSCON_PLL1STAT_POSTDIVACK_SHIFT (3U)\r
+/*! POSTDIVACK - post-divider ratio change acknowledge.\r
+ */\r
+#define SYSCON_PLL1STAT_POSTDIVACK(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1STAT_POSTDIVACK_SHIFT)) & SYSCON_PLL1STAT_POSTDIVACK_MASK)\r
+#define SYSCON_PLL1STAT_FRMDET_MASK (0x10U)\r
+#define SYSCON_PLL1STAT_FRMDET_SHIFT (4U)\r
+/*! FRMDET - free running detector output (active high).\r
+ */\r
+#define SYSCON_PLL1STAT_FRMDET(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1STAT_FRMDET_SHIFT)) & SYSCON_PLL1STAT_FRMDET_MASK)\r
 /*! @} */\r
 \r
 /*! @name PLL1NDEC - PLL1 550m N divider */\r
 /*! @{ */\r
-#define SYSCON_PLL1NDEC_NDIV_MASK                (0xFFU)\r
-#define SYSCON_PLL1NDEC_NDIV_SHIFT               (0U)\r
-#define SYSCON_PLL1NDEC_NDIV(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1NDEC_NDIV_SHIFT)) & SYSCON_PLL1NDEC_NDIV_MASK)\r
-#define SYSCON_PLL1NDEC_NREQ_MASK                (0x100U)\r
-#define SYSCON_PLL1NDEC_NREQ_SHIFT               (8U)\r
-#define SYSCON_PLL1NDEC_NREQ(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1NDEC_NREQ_SHIFT)) & SYSCON_PLL1NDEC_NREQ_MASK)\r
+#define SYSCON_PLL1NDEC_NDIV_MASK (0xFFU)\r
+#define SYSCON_PLL1NDEC_NDIV_SHIFT (0U)\r
+/*! NDIV - pre-divider divider ratio (N-divider).\r
+ */\r
+#define SYSCON_PLL1NDEC_NDIV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1NDEC_NDIV_SHIFT)) & SYSCON_PLL1NDEC_NDIV_MASK)\r
+#define SYSCON_PLL1NDEC_NREQ_MASK (0x100U)\r
+#define SYSCON_PLL1NDEC_NREQ_SHIFT (8U)\r
+/*! NREQ - pre-divider ratio change request.\r
+ */\r
+#define SYSCON_PLL1NDEC_NREQ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1NDEC_NREQ_SHIFT)) & SYSCON_PLL1NDEC_NREQ_MASK)\r
 /*! @} */\r
 \r
 /*! @name PLL1MDEC - PLL1 550m M divider */\r
 /*! @{ */\r
-#define SYSCON_PLL1MDEC_MDIV_MASK                (0xFFFFU)\r
-#define SYSCON_PLL1MDEC_MDIV_SHIFT               (0U)\r
-#define SYSCON_PLL1MDEC_MDIV(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1MDEC_MDIV_SHIFT)) & SYSCON_PLL1MDEC_MDIV_MASK)\r
-#define SYSCON_PLL1MDEC_MREQ_MASK                (0x10000U)\r
-#define SYSCON_PLL1MDEC_MREQ_SHIFT               (16U)\r
-#define SYSCON_PLL1MDEC_MREQ(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1MDEC_MREQ_SHIFT)) & SYSCON_PLL1MDEC_MREQ_MASK)\r
+#define SYSCON_PLL1MDEC_MDIV_MASK (0xFFFFU)\r
+#define SYSCON_PLL1MDEC_MDIV_SHIFT (0U)\r
+/*! MDIV - feedback divider divider ratio (M-divider).\r
+ */\r
+#define SYSCON_PLL1MDEC_MDIV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1MDEC_MDIV_SHIFT)) & SYSCON_PLL1MDEC_MDIV_MASK)\r
+#define SYSCON_PLL1MDEC_MREQ_MASK (0x10000U)\r
+#define SYSCON_PLL1MDEC_MREQ_SHIFT (16U)\r
+/*! MREQ - feedback ratio change request.\r
+ */\r
+#define SYSCON_PLL1MDEC_MREQ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1MDEC_MREQ_SHIFT)) & SYSCON_PLL1MDEC_MREQ_MASK)\r
 /*! @} */\r
 \r
 /*! @name PLL1PDEC - PLL1 550m P divider */\r
 /*! @{ */\r
-#define SYSCON_PLL1PDEC_PDIV_MASK                (0x1FU)\r
-#define SYSCON_PLL1PDEC_PDIV_SHIFT               (0U)\r
-#define SYSCON_PLL1PDEC_PDIV(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1PDEC_PDIV_SHIFT)) & SYSCON_PLL1PDEC_PDIV_MASK)\r
-#define SYSCON_PLL1PDEC_PREQ_MASK                (0x20U)\r
-#define SYSCON_PLL1PDEC_PREQ_SHIFT               (5U)\r
-#define SYSCON_PLL1PDEC_PREQ(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1PDEC_PREQ_SHIFT)) & SYSCON_PLL1PDEC_PREQ_MASK)\r
+#define SYSCON_PLL1PDEC_PDIV_MASK (0x1FU)\r
+#define SYSCON_PLL1PDEC_PDIV_SHIFT (0U)\r
+/*! PDIV - post-divider divider ratio (P-divider)\r
+ */\r
+#define SYSCON_PLL1PDEC_PDIV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1PDEC_PDIV_SHIFT)) & SYSCON_PLL1PDEC_PDIV_MASK)\r
+#define SYSCON_PLL1PDEC_PREQ_MASK (0x20U)\r
+#define SYSCON_PLL1PDEC_PREQ_SHIFT (5U)\r
+/*! PREQ - feedback ratio change request.\r
+ */\r
+#define SYSCON_PLL1PDEC_PREQ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL1PDEC_PREQ_SHIFT)) & SYSCON_PLL1PDEC_PREQ_MASK)\r
 /*! @} */\r
 \r
 /*! @name PLL0CTRL - PLL0 550m control */\r
 /*! @{ */\r
-#define SYSCON_PLL0CTRL_SELR_MASK                (0xFU)\r
-#define SYSCON_PLL0CTRL_SELR_SHIFT               (0U)\r
-#define SYSCON_PLL0CTRL_SELR(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0CTRL_SELR_SHIFT)) & SYSCON_PLL0CTRL_SELR_MASK)\r
-#define SYSCON_PLL0CTRL_SELI_MASK                (0x3F0U)\r
-#define SYSCON_PLL0CTRL_SELI_SHIFT               (4U)\r
-#define SYSCON_PLL0CTRL_SELI(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0CTRL_SELI_SHIFT)) & SYSCON_PLL0CTRL_SELI_MASK)\r
-#define SYSCON_PLL0CTRL_SELP_MASK                (0x7C00U)\r
-#define SYSCON_PLL0CTRL_SELP_SHIFT               (10U)\r
-#define SYSCON_PLL0CTRL_SELP(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0CTRL_SELP_SHIFT)) & SYSCON_PLL0CTRL_SELP_MASK)\r
-#define SYSCON_PLL0CTRL_BYPASSPLL_MASK           (0x8000U)\r
-#define SYSCON_PLL0CTRL_BYPASSPLL_SHIFT          (15U)\r
+#define SYSCON_PLL0CTRL_SELR_MASK (0xFU)\r
+#define SYSCON_PLL0CTRL_SELR_SHIFT (0U)\r
+/*! SELR - Bandwidth select R value.\r
+ */\r
+#define SYSCON_PLL0CTRL_SELR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0CTRL_SELR_SHIFT)) & SYSCON_PLL0CTRL_SELR_MASK)\r
+#define SYSCON_PLL0CTRL_SELI_MASK (0x3F0U)\r
+#define SYSCON_PLL0CTRL_SELI_SHIFT (4U)\r
+/*! SELI - Bandwidth select I value.\r
+ */\r
+#define SYSCON_PLL0CTRL_SELI(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0CTRL_SELI_SHIFT)) & SYSCON_PLL0CTRL_SELI_MASK)\r
+#define SYSCON_PLL0CTRL_SELP_MASK (0x7C00U)\r
+#define SYSCON_PLL0CTRL_SELP_SHIFT (10U)\r
+/*! SELP - Bandwidth select P value.\r
+ */\r
+#define SYSCON_PLL0CTRL_SELP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0CTRL_SELP_SHIFT)) & SYSCON_PLL0CTRL_SELP_MASK)\r
+#define SYSCON_PLL0CTRL_BYPASSPLL_MASK (0x8000U)\r
+#define SYSCON_PLL0CTRL_BYPASSPLL_SHIFT (15U)\r
 /*! BYPASSPLL - Bypass PLL input clock is sent directly to the PLL output (default).\r
  *  0b1..Bypass PLL input clock is sent directly to the PLL output.\r
  *  0b0..use PLL.\r
  */\r
-#define SYSCON_PLL0CTRL_BYPASSPLL(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0CTRL_BYPASSPLL_SHIFT)) & SYSCON_PLL0CTRL_BYPASSPLL_MASK)\r
-#define SYSCON_PLL0CTRL_BYPASSPOSTDIV2_MASK      (0x10000U)\r
-#define SYSCON_PLL0CTRL_BYPASSPOSTDIV2_SHIFT     (16U)\r
+#define SYSCON_PLL0CTRL_BYPASSPLL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0CTRL_BYPASSPLL_SHIFT)) & SYSCON_PLL0CTRL_BYPASSPLL_MASK)\r
+#define SYSCON_PLL0CTRL_BYPASSPOSTDIV2_MASK (0x10000U)\r
+#define SYSCON_PLL0CTRL_BYPASSPOSTDIV2_SHIFT (16U)\r
 /*! BYPASSPOSTDIV2 - bypass of the divide-by-2 divider in the post-divider.\r
  *  0b1..bypass of the divide-by-2 divider in the post-divider.\r
  *  0b0..use the divide-by-2 divider in the post-divider.\r
  */\r
-#define SYSCON_PLL0CTRL_BYPASSPOSTDIV2(x)        (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0CTRL_BYPASSPOSTDIV2_SHIFT)) & SYSCON_PLL0CTRL_BYPASSPOSTDIV2_MASK)\r
-#define SYSCON_PLL0CTRL_LIMUPOFF_MASK            (0x20000U)\r
-#define SYSCON_PLL0CTRL_LIMUPOFF_SHIFT           (17U)\r
-#define SYSCON_PLL0CTRL_LIMUPOFF(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0CTRL_LIMUPOFF_SHIFT)) & SYSCON_PLL0CTRL_LIMUPOFF_MASK)\r
-#define SYSCON_PLL0CTRL_BWDIRECT_MASK            (0x40000U)\r
-#define SYSCON_PLL0CTRL_BWDIRECT_SHIFT           (18U)\r
+#define SYSCON_PLL0CTRL_BYPASSPOSTDIV2(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0CTRL_BYPASSPOSTDIV2_SHIFT)) & SYSCON_PLL0CTRL_BYPASSPOSTDIV2_MASK)\r
+#define SYSCON_PLL0CTRL_LIMUPOFF_MASK (0x20000U)\r
+#define SYSCON_PLL0CTRL_LIMUPOFF_SHIFT (17U)\r
+/*! LIMUPOFF - limup_off = 1 in spread spectrum and fractional PLL applications.\r
+ */\r
+#define SYSCON_PLL0CTRL_LIMUPOFF(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0CTRL_LIMUPOFF_SHIFT)) & SYSCON_PLL0CTRL_LIMUPOFF_MASK)\r
+#define SYSCON_PLL0CTRL_BWDIRECT_MASK (0x40000U)\r
+#define SYSCON_PLL0CTRL_BWDIRECT_SHIFT (18U)\r
 /*! BWDIRECT - Control of the bandwidth of the PLL.\r
  *  0b1..modify the bandwidth of the PLL directly.\r
  *  0b0..the bandwidth is changed synchronously with the feedback-divider.\r
  */\r
-#define SYSCON_PLL0CTRL_BWDIRECT(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0CTRL_BWDIRECT_SHIFT)) & SYSCON_PLL0CTRL_BWDIRECT_MASK)\r
-#define SYSCON_PLL0CTRL_BYPASSPREDIV_MASK        (0x80000U)\r
-#define SYSCON_PLL0CTRL_BYPASSPREDIV_SHIFT       (19U)\r
+#define SYSCON_PLL0CTRL_BWDIRECT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0CTRL_BWDIRECT_SHIFT)) & SYSCON_PLL0CTRL_BWDIRECT_MASK)\r
+#define SYSCON_PLL0CTRL_BYPASSPREDIV_MASK (0x80000U)\r
+#define SYSCON_PLL0CTRL_BYPASSPREDIV_SHIFT (19U)\r
 /*! BYPASSPREDIV - bypass of the pre-divider.\r
  *  0b1..bypass of the pre-divider.\r
  *  0b0..use the pre-divider.\r
  */\r
-#define SYSCON_PLL0CTRL_BYPASSPREDIV(x)          (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0CTRL_BYPASSPREDIV_SHIFT)) & SYSCON_PLL0CTRL_BYPASSPREDIV_MASK)\r
-#define SYSCON_PLL0CTRL_BYPASSPOSTDIV_MASK       (0x100000U)\r
-#define SYSCON_PLL0CTRL_BYPASSPOSTDIV_SHIFT      (20U)\r
+#define SYSCON_PLL0CTRL_BYPASSPREDIV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0CTRL_BYPASSPREDIV_SHIFT)) & SYSCON_PLL0CTRL_BYPASSPREDIV_MASK)\r
+#define SYSCON_PLL0CTRL_BYPASSPOSTDIV_MASK (0x100000U)\r
+#define SYSCON_PLL0CTRL_BYPASSPOSTDIV_SHIFT (20U)\r
 /*! BYPASSPOSTDIV - bypass of the post-divider.\r
  *  0b1..bypass of the post-divider.\r
  *  0b0..use the post-divider.\r
  */\r
-#define SYSCON_PLL0CTRL_BYPASSPOSTDIV(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0CTRL_BYPASSPOSTDIV_SHIFT)) & SYSCON_PLL0CTRL_BYPASSPOSTDIV_MASK)\r
-#define SYSCON_PLL0CTRL_CLKEN_MASK               (0x200000U)\r
-#define SYSCON_PLL0CTRL_CLKEN_SHIFT              (21U)\r
+#define SYSCON_PLL0CTRL_BYPASSPOSTDIV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0CTRL_BYPASSPOSTDIV_SHIFT)) & SYSCON_PLL0CTRL_BYPASSPOSTDIV_MASK)\r
+#define SYSCON_PLL0CTRL_CLKEN_MASK (0x200000U)\r
+#define SYSCON_PLL0CTRL_CLKEN_SHIFT (21U)\r
 /*! CLKEN - enable the output clock.\r
  *  0b1..enable the output clock.\r
  *  0b0..disable the output clock.\r
  */\r
-#define SYSCON_PLL0CTRL_CLKEN(x)                 (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0CTRL_CLKEN_SHIFT)) & SYSCON_PLL0CTRL_CLKEN_MASK)\r
-#define SYSCON_PLL0CTRL_FRMEN_MASK               (0x400000U)\r
-#define SYSCON_PLL0CTRL_FRMEN_SHIFT              (22U)\r
+#define SYSCON_PLL0CTRL_CLKEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0CTRL_CLKEN_SHIFT)) & SYSCON_PLL0CTRL_CLKEN_MASK)\r
+#define SYSCON_PLL0CTRL_FRMEN_MASK (0x400000U)\r
+#define SYSCON_PLL0CTRL_FRMEN_SHIFT (22U)\r
 /*! FRMEN - free running mode.\r
  *  0b1..free running mode is enable.\r
  *  0b0..free running mode is disable.\r
  */\r
-#define SYSCON_PLL0CTRL_FRMEN(x)                 (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0CTRL_FRMEN_SHIFT)) & SYSCON_PLL0CTRL_FRMEN_MASK)\r
-#define SYSCON_PLL0CTRL_FRMCLKSTABLE_MASK        (0x800000U)\r
-#define SYSCON_PLL0CTRL_FRMCLKSTABLE_SHIFT       (23U)\r
-#define SYSCON_PLL0CTRL_FRMCLKSTABLE(x)          (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0CTRL_FRMCLKSTABLE_SHIFT)) & SYSCON_PLL0CTRL_FRMCLKSTABLE_MASK)\r
-#define SYSCON_PLL0CTRL_SKEWEN_MASK              (0x1000000U)\r
-#define SYSCON_PLL0CTRL_SKEWEN_SHIFT             (24U)\r
+#define SYSCON_PLL0CTRL_FRMEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0CTRL_FRMEN_SHIFT)) & SYSCON_PLL0CTRL_FRMEN_MASK)\r
+#define SYSCON_PLL0CTRL_FRMCLKSTABLE_MASK (0x800000U)\r
+#define SYSCON_PLL0CTRL_FRMCLKSTABLE_SHIFT (23U)\r
+/*! FRMCLKSTABLE - free running mode clockstable: Warning: Only make frm_clockstable =1 after the PLL output frequency\r
+ * is stable.\r
+ */\r
+#define SYSCON_PLL0CTRL_FRMCLKSTABLE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0CTRL_FRMCLKSTABLE_SHIFT)) & SYSCON_PLL0CTRL_FRMCLKSTABLE_MASK)\r
+#define SYSCON_PLL0CTRL_SKEWEN_MASK (0x1000000U)\r
+#define SYSCON_PLL0CTRL_SKEWEN_SHIFT (24U)\r
 /*! SKEWEN - skew mode.\r
  *  0b1..skew mode is enable.\r
  *  0b0..skew mode is disable.\r
  */\r
-#define SYSCON_PLL0CTRL_SKEWEN(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0CTRL_SKEWEN_SHIFT)) & SYSCON_PLL0CTRL_SKEWEN_MASK)\r
+#define SYSCON_PLL0CTRL_SKEWEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0CTRL_SKEWEN_SHIFT)) & SYSCON_PLL0CTRL_SKEWEN_MASK)\r
 /*! @} */\r
 \r
 /*! @name PLL0STAT - PLL0 550m status */\r
 /*! @{ */\r
-#define SYSCON_PLL0STAT_LOCK_MASK                (0x1U)\r
-#define SYSCON_PLL0STAT_LOCK_SHIFT               (0U)\r
-#define SYSCON_PLL0STAT_LOCK(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0STAT_LOCK_SHIFT)) & SYSCON_PLL0STAT_LOCK_MASK)\r
-#define SYSCON_PLL0STAT_PREDIVACK_MASK           (0x2U)\r
-#define SYSCON_PLL0STAT_PREDIVACK_SHIFT          (1U)\r
-#define SYSCON_PLL0STAT_PREDIVACK(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0STAT_PREDIVACK_SHIFT)) & SYSCON_PLL0STAT_PREDIVACK_MASK)\r
-#define SYSCON_PLL0STAT_FEEDDIVACK_MASK          (0x4U)\r
-#define SYSCON_PLL0STAT_FEEDDIVACK_SHIFT         (2U)\r
-#define SYSCON_PLL0STAT_FEEDDIVACK(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0STAT_FEEDDIVACK_SHIFT)) & SYSCON_PLL0STAT_FEEDDIVACK_MASK)\r
-#define SYSCON_PLL0STAT_POSTDIVACK_MASK          (0x8U)\r
-#define SYSCON_PLL0STAT_POSTDIVACK_SHIFT         (3U)\r
-#define SYSCON_PLL0STAT_POSTDIVACK(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0STAT_POSTDIVACK_SHIFT)) & SYSCON_PLL0STAT_POSTDIVACK_MASK)\r
-#define SYSCON_PLL0STAT_FRMDET_MASK              (0x10U)\r
-#define SYSCON_PLL0STAT_FRMDET_SHIFT             (4U)\r
-#define SYSCON_PLL0STAT_FRMDET(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0STAT_FRMDET_SHIFT)) & SYSCON_PLL0STAT_FRMDET_MASK)\r
+#define SYSCON_PLL0STAT_LOCK_MASK (0x1U)\r
+#define SYSCON_PLL0STAT_LOCK_SHIFT (0U)\r
+/*! LOCK - lock detector output (active high) Warning: The lock signal is only reliable between fref[2] :100 kHz to 20\r
+ * MHz.\r
+ */\r
+#define SYSCON_PLL0STAT_LOCK(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0STAT_LOCK_SHIFT)) & SYSCON_PLL0STAT_LOCK_MASK)\r
+#define SYSCON_PLL0STAT_PREDIVACK_MASK (0x2U)\r
+#define SYSCON_PLL0STAT_PREDIVACK_SHIFT (1U)\r
+/*! PREDIVACK - pre-divider ratio change acknowledge.\r
+ */\r
+#define SYSCON_PLL0STAT_PREDIVACK(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0STAT_PREDIVACK_SHIFT)) & SYSCON_PLL0STAT_PREDIVACK_MASK)\r
+#define SYSCON_PLL0STAT_FEEDDIVACK_MASK (0x4U)\r
+#define SYSCON_PLL0STAT_FEEDDIVACK_SHIFT (2U)\r
+/*! FEEDDIVACK - feedback divider ratio change acknowledge.\r
+ */\r
+#define SYSCON_PLL0STAT_FEEDDIVACK(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0STAT_FEEDDIVACK_SHIFT)) & SYSCON_PLL0STAT_FEEDDIVACK_MASK)\r
+#define SYSCON_PLL0STAT_POSTDIVACK_MASK (0x8U)\r
+#define SYSCON_PLL0STAT_POSTDIVACK_SHIFT (3U)\r
+/*! POSTDIVACK - post-divider ratio change acknowledge.\r
+ */\r
+#define SYSCON_PLL0STAT_POSTDIVACK(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0STAT_POSTDIVACK_SHIFT)) & SYSCON_PLL0STAT_POSTDIVACK_MASK)\r
+#define SYSCON_PLL0STAT_FRMDET_MASK (0x10U)\r
+#define SYSCON_PLL0STAT_FRMDET_SHIFT (4U)\r
+/*! FRMDET - free running detector output (active high).\r
+ */\r
+#define SYSCON_PLL0STAT_FRMDET(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0STAT_FRMDET_SHIFT)) & SYSCON_PLL0STAT_FRMDET_MASK)\r
 /*! @} */\r
 \r
 /*! @name PLL0NDEC - PLL0 550m N divider */\r
 /*! @{ */\r
-#define SYSCON_PLL0NDEC_NDIV_MASK                (0xFFU)\r
-#define SYSCON_PLL0NDEC_NDIV_SHIFT               (0U)\r
-#define SYSCON_PLL0NDEC_NDIV(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0NDEC_NDIV_SHIFT)) & SYSCON_PLL0NDEC_NDIV_MASK)\r
-#define SYSCON_PLL0NDEC_NREQ_MASK                (0x100U)\r
-#define SYSCON_PLL0NDEC_NREQ_SHIFT               (8U)\r
-#define SYSCON_PLL0NDEC_NREQ(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0NDEC_NREQ_SHIFT)) & SYSCON_PLL0NDEC_NREQ_MASK)\r
+#define SYSCON_PLL0NDEC_NDIV_MASK (0xFFU)\r
+#define SYSCON_PLL0NDEC_NDIV_SHIFT (0U)\r
+/*! NDIV - pre-divider divider ratio (N-divider).\r
+ */\r
+#define SYSCON_PLL0NDEC_NDIV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0NDEC_NDIV_SHIFT)) & SYSCON_PLL0NDEC_NDIV_MASK)\r
+#define SYSCON_PLL0NDEC_NREQ_MASK (0x100U)\r
+#define SYSCON_PLL0NDEC_NREQ_SHIFT (8U)\r
+/*! NREQ - pre-divider ratio change request.\r
+ */\r
+#define SYSCON_PLL0NDEC_NREQ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0NDEC_NREQ_SHIFT)) & SYSCON_PLL0NDEC_NREQ_MASK)\r
 /*! @} */\r
 \r
 /*! @name PLL0PDEC - PLL0 550m P divider */\r
 /*! @{ */\r
-#define SYSCON_PLL0PDEC_PDIV_MASK                (0x1FU)\r
-#define SYSCON_PLL0PDEC_PDIV_SHIFT               (0U)\r
-#define SYSCON_PLL0PDEC_PDIV(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0PDEC_PDIV_SHIFT)) & SYSCON_PLL0PDEC_PDIV_MASK)\r
-#define SYSCON_PLL0PDEC_PREQ_MASK                (0x20U)\r
-#define SYSCON_PLL0PDEC_PREQ_SHIFT               (5U)\r
-#define SYSCON_PLL0PDEC_PREQ(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0PDEC_PREQ_SHIFT)) & SYSCON_PLL0PDEC_PREQ_MASK)\r
+#define SYSCON_PLL0PDEC_PDIV_MASK (0x1FU)\r
+#define SYSCON_PLL0PDEC_PDIV_SHIFT (0U)\r
+/*! PDIV - post-divider divider ratio (P-divider)\r
+ */\r
+#define SYSCON_PLL0PDEC_PDIV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0PDEC_PDIV_SHIFT)) & SYSCON_PLL0PDEC_PDIV_MASK)\r
+#define SYSCON_PLL0PDEC_PREQ_MASK (0x20U)\r
+#define SYSCON_PLL0PDEC_PREQ_SHIFT (5U)\r
+/*! PREQ - feedback ratio change request.\r
+ */\r
+#define SYSCON_PLL0PDEC_PREQ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0PDEC_PREQ_SHIFT)) & SYSCON_PLL0PDEC_PREQ_MASK)\r
 /*! @} */\r
 \r
 /*! @name PLL0SSCG0 - PLL0 Spread Spectrum Wrapper control register 0 */\r
 /*! @{ */\r
-#define SYSCON_PLL0SSCG0_MD_LBS_MASK             (0xFFFFFFFFU)\r
-#define SYSCON_PLL0SSCG0_MD_LBS_SHIFT            (0U)\r
-#define SYSCON_PLL0SSCG0_MD_LBS(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0SSCG0_MD_LBS_SHIFT)) & SYSCON_PLL0SSCG0_MD_LBS_MASK)\r
+#define SYSCON_PLL0SSCG0_MD_LBS_MASK (0xFFFFFFFFU)\r
+#define SYSCON_PLL0SSCG0_MD_LBS_SHIFT (0U)\r
+/*! MD_LBS - input word of the wrapper bit 31 to 0.\r
+ */\r
+#define SYSCON_PLL0SSCG0_MD_LBS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0SSCG0_MD_LBS_SHIFT)) & SYSCON_PLL0SSCG0_MD_LBS_MASK)\r
 /*! @} */\r
 \r
 /*! @name PLL0SSCG1 - PLL0 Spread Spectrum Wrapper control register 1 */\r
 /*! @{ */\r
-#define SYSCON_PLL0SSCG1_MD_MBS_MASK             (0x1U)\r
-#define SYSCON_PLL0SSCG1_MD_MBS_SHIFT            (0U)\r
-#define SYSCON_PLL0SSCG1_MD_MBS(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0SSCG1_MD_MBS_SHIFT)) & SYSCON_PLL0SSCG1_MD_MBS_MASK)\r
-#define SYSCON_PLL0SSCG1_MD_REQ_MASK             (0x2U)\r
-#define SYSCON_PLL0SSCG1_MD_REQ_SHIFT            (1U)\r
-#define SYSCON_PLL0SSCG1_MD_REQ(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0SSCG1_MD_REQ_SHIFT)) & SYSCON_PLL0SSCG1_MD_REQ_MASK)\r
-#define SYSCON_PLL0SSCG1_MF_MASK                 (0x1CU)\r
-#define SYSCON_PLL0SSCG1_MF_SHIFT                (2U)\r
-#define SYSCON_PLL0SSCG1_MF(x)                   (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0SSCG1_MF_SHIFT)) & SYSCON_PLL0SSCG1_MF_MASK)\r
-#define SYSCON_PLL0SSCG1_MR_MASK                 (0xE0U)\r
-#define SYSCON_PLL0SSCG1_MR_SHIFT                (5U)\r
-#define SYSCON_PLL0SSCG1_MR(x)                   (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0SSCG1_MR_SHIFT)) & SYSCON_PLL0SSCG1_MR_MASK)\r
-#define SYSCON_PLL0SSCG1_MC_MASK                 (0x300U)\r
-#define SYSCON_PLL0SSCG1_MC_SHIFT                (8U)\r
-#define SYSCON_PLL0SSCG1_MC(x)                   (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0SSCG1_MC_SHIFT)) & SYSCON_PLL0SSCG1_MC_MASK)\r
-#define SYSCON_PLL0SSCG1_MDIV_EXT_MASK           (0x3FFFC00U)\r
-#define SYSCON_PLL0SSCG1_MDIV_EXT_SHIFT          (10U)\r
-#define SYSCON_PLL0SSCG1_MDIV_EXT(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0SSCG1_MDIV_EXT_SHIFT)) & SYSCON_PLL0SSCG1_MDIV_EXT_MASK)\r
-#define SYSCON_PLL0SSCG1_MREQ_MASK               (0x4000000U)\r
-#define SYSCON_PLL0SSCG1_MREQ_SHIFT              (26U)\r
-#define SYSCON_PLL0SSCG1_MREQ(x)                 (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0SSCG1_MREQ_SHIFT)) & SYSCON_PLL0SSCG1_MREQ_MASK)\r
-#define SYSCON_PLL0SSCG1_DITHER_MASK             (0x8000000U)\r
-#define SYSCON_PLL0SSCG1_DITHER_SHIFT            (27U)\r
-#define SYSCON_PLL0SSCG1_DITHER(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0SSCG1_DITHER_SHIFT)) & SYSCON_PLL0SSCG1_DITHER_MASK)\r
-#define SYSCON_PLL0SSCG1_SEL_EXT_MASK            (0x10000000U)\r
-#define SYSCON_PLL0SSCG1_SEL_EXT_SHIFT           (28U)\r
-#define SYSCON_PLL0SSCG1_SEL_EXT(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0SSCG1_SEL_EXT_SHIFT)) & SYSCON_PLL0SSCG1_SEL_EXT_MASK)\r
-/*! @} */\r
-\r
-/*! @name EFUSECLKCTRL - eFUSE controller clock enable */\r
-/*! @{ */\r
-#define SYSCON_EFUSECLKCTRL_EFUSECLKENA_MASK     (0x1U)\r
-#define SYSCON_EFUSECLKCTRL_EFUSECLKENA_SHIFT    (0U)\r
-#define SYSCON_EFUSECLKCTRL_EFUSECLKENA(x)       (((uint32_t)(((uint32_t)(x)) << SYSCON_EFUSECLKCTRL_EFUSECLKENA_SHIFT)) & SYSCON_EFUSECLKCTRL_EFUSECLKENA_MASK)\r
-/*! @} */\r
-\r
-/*! @name STARTER - Start logic wake-up enable register */\r
-/*! @{ */\r
-#define SYSCON_STARTER_GPIO_INT04_MASK           (0x1U)\r
-#define SYSCON_STARTER_GPIO_INT04_SHIFT          (0U)\r
-/*! GPIO_INT04 - GPIO_INT04 interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_GPIO_INT04(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_GPIO_INT04_SHIFT)) & SYSCON_STARTER_GPIO_INT04_MASK)\r
-#define SYSCON_STARTER_SYS_MASK                  (0x1U)\r
-#define SYSCON_STARTER_SYS_SHIFT                 (0U)\r
-/*! SYS - SYS interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_SYS(x)                    (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_SYS_SHIFT)) & SYSCON_STARTER_SYS_MASK)\r
-#define SYSCON_STARTER_GPIO_INT05_MASK           (0x2U)\r
-#define SYSCON_STARTER_GPIO_INT05_SHIFT          (1U)\r
-/*! GPIO_INT05 - GPIO_INT05 interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_GPIO_INT05(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_GPIO_INT05_SHIFT)) & SYSCON_STARTER_GPIO_INT05_MASK)\r
-#define SYSCON_STARTER_SDMA0_MASK                (0x2U)\r
-#define SYSCON_STARTER_SDMA0_SHIFT               (1U)\r
-/*! SDMA0 - SDMA0 interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_SDMA0(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_SDMA0_SHIFT)) & SYSCON_STARTER_SDMA0_MASK)\r
-#define SYSCON_STARTER_GINT0_MASK                (0x4U)\r
-#define SYSCON_STARTER_GINT0_SHIFT               (2U)\r
-/*! GINT0 - GINT0 interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_GINT0(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_GINT0_SHIFT)) & SYSCON_STARTER_GINT0_MASK)\r
-#define SYSCON_STARTER_GPIO_INT06_MASK           (0x4U)\r
-#define SYSCON_STARTER_GPIO_INT06_SHIFT          (2U)\r
-/*! GPIO_INT06 - GPIO_INT06 interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_GPIO_INT06(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_GPIO_INT06_SHIFT)) & SYSCON_STARTER_GPIO_INT06_MASK)\r
-#define SYSCON_STARTER_GINT1_MASK                (0x8U)\r
-#define SYSCON_STARTER_GINT1_SHIFT               (3U)\r
-/*! GINT1 - GINT1 interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_GINT1(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_GINT1_SHIFT)) & SYSCON_STARTER_GINT1_MASK)\r
-#define SYSCON_STARTER_GPIO_INT07_MASK           (0x8U)\r
-#define SYSCON_STARTER_GPIO_INT07_SHIFT          (3U)\r
-/*! GPIO_INT07 - GPIO_INT07 interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_GPIO_INT07(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_GPIO_INT07_SHIFT)) & SYSCON_STARTER_GPIO_INT07_MASK)\r
-#define SYSCON_STARTER_CTIMER2_MASK              (0x10U)\r
-#define SYSCON_STARTER_CTIMER2_SHIFT             (4U)\r
-/*! CTIMER2 - CTIMER2 interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_CTIMER2(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_CTIMER2_SHIFT)) & SYSCON_STARTER_CTIMER2_MASK)\r
-#define SYSCON_STARTER_PIO_INT0_MASK             (0x10U)\r
-#define SYSCON_STARTER_PIO_INT0_SHIFT            (4U)\r
-/*! PIO_INT0 - PIO_INT0 interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_PIO_INT0(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_PIO_INT0_SHIFT)) & SYSCON_STARTER_PIO_INT0_MASK)\r
-#define SYSCON_STARTER_CTIMER4_MASK              (0x20U)\r
-#define SYSCON_STARTER_CTIMER4_SHIFT             (5U)\r
-/*! CTIMER4 - CTIMER4 interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_CTIMER4(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_CTIMER4_SHIFT)) & SYSCON_STARTER_CTIMER4_MASK)\r
-#define SYSCON_STARTER_PIO_INT1_MASK             (0x20U)\r
-#define SYSCON_STARTER_PIO_INT1_SHIFT            (5U)\r
-/*! PIO_INT1 - PIO_INT1 interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_PIO_INT1(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_PIO_INT1_SHIFT)) & SYSCON_STARTER_PIO_INT1_MASK)\r
-#define SYSCON_STARTER_OS_EVENT_MASK             (0x40U)\r
-#define SYSCON_STARTER_OS_EVENT_SHIFT            (6U)\r
-/*! OS_EVENT - OS_EVENT interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_OS_EVENT(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_OS_EVENT_SHIFT)) & SYSCON_STARTER_OS_EVENT_MASK)\r
-#define SYSCON_STARTER_PIO_INT2_MASK             (0x40U)\r
-#define SYSCON_STARTER_PIO_INT2_SHIFT            (6U)\r
-/*! PIO_INT2 - PIO_INT2 interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_PIO_INT2(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_PIO_INT2_SHIFT)) & SYSCON_STARTER_PIO_INT2_MASK)\r
-#define SYSCON_STARTER_PIO_INT3_MASK             (0x80U)\r
-#define SYSCON_STARTER_PIO_INT3_SHIFT            (7U)\r
-/*! PIO_INT3 - PIO_INT3 interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_PIO_INT3(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_PIO_INT3_SHIFT)) & SYSCON_STARTER_PIO_INT3_MASK)\r
-#define SYSCON_STARTER_UTICK0_MASK               (0x100U)\r
-#define SYSCON_STARTER_UTICK0_SHIFT              (8U)\r
-/*! UTICK0 - UTICK0 interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_UTICK0(x)                 (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_UTICK0_SHIFT)) & SYSCON_STARTER_UTICK0_MASK)\r
-#define SYSCON_STARTER_MRT0_MASK                 (0x200U)\r
-#define SYSCON_STARTER_MRT0_SHIFT                (9U)\r
-/*! MRT0 - MRT0 interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_MRT0(x)                   (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_MRT0_SHIFT)) & SYSCON_STARTER_MRT0_MASK)\r
-#define SYSCON_STARTER_CTIMER0_MASK              (0x400U)\r
-#define SYSCON_STARTER_CTIMER0_SHIFT             (10U)\r
-/*! CTIMER0 - CTIMER0 interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_CTIMER0(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_CTIMER0_SHIFT)) & SYSCON_STARTER_CTIMER0_MASK)\r
-#define SYSCON_STARTER_SDIO_MASK                 (0x400U)\r
-#define SYSCON_STARTER_SDIO_SHIFT                (10U)\r
-/*! SDIO - SDIO interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_SDIO(x)                   (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_SDIO_SHIFT)) & SYSCON_STARTER_SDIO_MASK)\r
-#define SYSCON_STARTER_CTIMER1_MASK              (0x800U)\r
-#define SYSCON_STARTER_CTIMER1_SHIFT             (11U)\r
-/*! CTIMER1 - CTIMER1 interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_CTIMER1(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_CTIMER1_SHIFT)) & SYSCON_STARTER_CTIMER1_MASK)\r
-#define SYSCON_STARTER_SCT0_MASK                 (0x1000U)\r
-#define SYSCON_STARTER_SCT0_SHIFT                (12U)\r
-/*! SCT0 - SCT0 interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_SCT0(x)                   (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_SCT0_SHIFT)) & SYSCON_STARTER_SCT0_MASK)\r
-#define SYSCON_STARTER_CTIMER3_MASK              (0x2000U)\r
-#define SYSCON_STARTER_CTIMER3_SHIFT             (13U)\r
-/*! CTIMER3 - CTIMER3 interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_CTIMER3(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_CTIMER3_SHIFT)) & SYSCON_STARTER_CTIMER3_MASK)\r
-#define SYSCON_STARTER_FLEXINT0_MASK             (0x4000U)\r
-#define SYSCON_STARTER_FLEXINT0_SHIFT            (14U)\r
-/*! FLEXINT0 - FLEXINT0 interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_FLEXINT0(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_FLEXINT0_SHIFT)) & SYSCON_STARTER_FLEXINT0_MASK)\r
-#define SYSCON_STARTER_FLEXINT1_MASK             (0x8000U)\r
-#define SYSCON_STARTER_FLEXINT1_SHIFT            (15U)\r
-/*! FLEXINT1 - FLEXINT1 interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_FLEXINT1(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_FLEXINT1_SHIFT)) & SYSCON_STARTER_FLEXINT1_MASK)\r
-#define SYSCON_STARTER_USB1_MASK                 (0x8000U)\r
-#define SYSCON_STARTER_USB1_SHIFT                (15U)\r
-/*! USB1 - USB1 interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_USB1(x)                   (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_USB1_SHIFT)) & SYSCON_STARTER_USB1_MASK)\r
-#define SYSCON_STARTER_FLEXINT2_MASK             (0x10000U)\r
-#define SYSCON_STARTER_FLEXINT2_SHIFT            (16U)\r
-/*! FLEXINT2 - FLEXINT2 interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_FLEXINT2(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_FLEXINT2_SHIFT)) & SYSCON_STARTER_FLEXINT2_MASK)\r
-#define SYSCON_STARTER_USB1_NEEDCLK_MASK         (0x10000U)\r
-#define SYSCON_STARTER_USB1_NEEDCLK_SHIFT        (16U)\r
-/*! USB1_NEEDCLK - USB1_NEEDCLK interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_USB1_NEEDCLK(x)           (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_USB1_NEEDCLK_SHIFT)) & SYSCON_STARTER_USB1_NEEDCLK_MASK)\r
-#define SYSCON_STARTER_FLEXINT3_MASK             (0x20000U)\r
-#define SYSCON_STARTER_FLEXINT3_SHIFT            (17U)\r
-/*! FLEXINT3 - FLEXINT3 interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_FLEXINT3(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_FLEXINT3_SHIFT)) & SYSCON_STARTER_FLEXINT3_MASK)\r
-#define SYSCON_STARTER_SEC_HYPERVISOR_CALL_MASK  (0x20000U)\r
-#define SYSCON_STARTER_SEC_HYPERVISOR_CALL_SHIFT (17U)\r
-/*! SEC_HYPERVISOR_CALL - SEC_HYPERVISOR_CALL interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_SEC_HYPERVISOR_CALL(x)    (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_SEC_HYPERVISOR_CALL_SHIFT)) & SYSCON_STARTER_SEC_HYPERVISOR_CALL_MASK)\r
-#define SYSCON_STARTER_FLEXINT4_MASK             (0x40000U)\r
-#define SYSCON_STARTER_FLEXINT4_SHIFT            (18U)\r
-/*! FLEXINT4 - FLEXINT4 interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_FLEXINT4(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_FLEXINT4_SHIFT)) & SYSCON_STARTER_FLEXINT4_MASK)\r
-#define SYSCON_STARTER_SEC_GPIO_INT00_MASK       (0x40000U)\r
-#define SYSCON_STARTER_SEC_GPIO_INT00_SHIFT      (18U)\r
-/*! SEC_GPIO_INT00 - SEC_GPIO_INT00 interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_SEC_GPIO_INT00(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_SEC_GPIO_INT00_SHIFT)) & SYSCON_STARTER_SEC_GPIO_INT00_MASK)\r
-#define SYSCON_STARTER_FLEXINT5_MASK             (0x80000U)\r
-#define SYSCON_STARTER_FLEXINT5_SHIFT            (19U)\r
-/*! FLEXINT5 - FLEXINT5 interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_FLEXINT5(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_FLEXINT5_SHIFT)) & SYSCON_STARTER_FLEXINT5_MASK)\r
-#define SYSCON_STARTER_SEC_GPIO_INT01_MASK       (0x80000U)\r
-#define SYSCON_STARTER_SEC_GPIO_INT01_SHIFT      (19U)\r
-/*! SEC_GPIO_INT01 - SEC_GPIO_INT01 interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_SEC_GPIO_INT01(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_SEC_GPIO_INT01_SHIFT)) & SYSCON_STARTER_SEC_GPIO_INT01_MASK)\r
-#define SYSCON_STARTER_FLEXINT6_MASK             (0x100000U)\r
-#define SYSCON_STARTER_FLEXINT6_SHIFT            (20U)\r
-/*! FLEXINT6 - FLEXINT6 interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_FLEXINT6(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_FLEXINT6_SHIFT)) & SYSCON_STARTER_FLEXINT6_MASK)\r
-#define SYSCON_STARTER_PLU_MASK                  (0x100000U)\r
-#define SYSCON_STARTER_PLU_SHIFT                 (20U)\r
-/*! PLU - PLU interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_PLU(x)                    (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_PLU_SHIFT)) & SYSCON_STARTER_PLU_MASK)\r
-#define SYSCON_STARTER_FLEXINT7_MASK             (0x200000U)\r
-#define SYSCON_STARTER_FLEXINT7_SHIFT            (21U)\r
-/*! FLEXINT7 - FLEXINT7 interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_FLEXINT7(x)               (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_FLEXINT7_SHIFT)) & SYSCON_STARTER_FLEXINT7_MASK)\r
-#define SYSCON_STARTER_SEC_VIO_MASK              (0x200000U)\r
-#define SYSCON_STARTER_SEC_VIO_SHIFT             (21U)\r
-/*! SEC_VIO - SEC_VIO interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_SEC_VIO(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_SEC_VIO_SHIFT)) & SYSCON_STARTER_SEC_VIO_MASK)\r
-#define SYSCON_STARTER_ADC0_MASK                 (0x400000U)\r
-#define SYSCON_STARTER_ADC0_SHIFT                (22U)\r
-/*! ADC0 - ADC0 interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_ADC0(x)                   (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_ADC0_SHIFT)) & SYSCON_STARTER_ADC0_MASK)\r
-#define SYSCON_STARTER_SHA_MASK                  (0x400000U)\r
-#define SYSCON_STARTER_SHA_SHIFT                 (22U)\r
-/*! SHA - SHA interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_SHA(x)                    (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_SHA_SHIFT)) & SYSCON_STARTER_SHA_MASK)\r
-#define SYSCON_STARTER_CASER_MASK                (0x800000U)\r
-#define SYSCON_STARTER_CASER_SHIFT               (23U)\r
-/*! CASER - CASER interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_CASER(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_CASER_SHIFT)) & SYSCON_STARTER_CASER_MASK)\r
-#define SYSCON_STARTER_ADC0_THCMP_OVR_MASK       (0x1000000U)\r
-#define SYSCON_STARTER_ADC0_THCMP_OVR_SHIFT      (24U)\r
-/*! ADC0_THCMP_OVR - ADC0_THCMP_OVR interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_ADC0_THCMP_OVR(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_ADC0_THCMP_OVR_SHIFT)) & SYSCON_STARTER_ADC0_THCMP_OVR_MASK)\r
-#define SYSCON_STARTER_QDDKEY_MASK               (0x1000000U)\r
-#define SYSCON_STARTER_QDDKEY_SHIFT              (24U)\r
-/*! QDDKEY - QDDKEY interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_QDDKEY(x)                 (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_QDDKEY_SHIFT)) & SYSCON_STARTER_QDDKEY_MASK)\r
-#define SYSCON_STARTER_PQ_MASK                   (0x2000000U)\r
-#define SYSCON_STARTER_PQ_SHIFT                  (25U)\r
-/*! PQ - PQ interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_PQ(x)                     (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_PQ_SHIFT)) & SYSCON_STARTER_PQ_MASK)\r
-#define SYSCON_STARTER_SDMA1_MASK                (0x4000000U)\r
-#define SYSCON_STARTER_SDMA1_SHIFT               (26U)\r
-/*! SDMA1 - SDMA1 interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_SDMA1(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_SDMA1_SHIFT)) & SYSCON_STARTER_SDMA1_MASK)\r
-#define SYSCON_STARTER_LSPI_HS_MASK              (0x8000000U)\r
-#define SYSCON_STARTER_LSPI_HS_SHIFT             (27U)\r
-/*! LSPI_HS - LSPI_HS interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_LSPI_HS(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_LSPI_HS_SHIFT)) & SYSCON_STARTER_LSPI_HS_MASK)\r
-#define SYSCON_STARTER_USB0_NEEDCLK_MASK         (0x8000000U)\r
-#define SYSCON_STARTER_USB0_NEEDCLK_SHIFT        (27U)\r
-/*! USB0_NEEDCLK - USB0_NEEDCLK interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_USB0_NEEDCLK(x)           (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_USB0_NEEDCLK_SHIFT)) & SYSCON_STARTER_USB0_NEEDCLK_MASK)\r
-#define SYSCON_STARTER_USB0_MASK                 (0x10000000U)\r
-#define SYSCON_STARTER_USB0_SHIFT                (28U)\r
-/*! USB0 - USB0 interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_USB0(x)                   (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_USB0_SHIFT)) & SYSCON_STARTER_USB0_MASK)\r
-#define SYSCON_STARTER_RTC_LITE0_MASK            (0x20000000U)\r
-#define SYSCON_STARTER_RTC_LITE0_SHIFT           (29U)\r
-/*! RTC_LITE0 - RTC_LITE0 interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_RTC_LITE0(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_RTC_LITE0_SHIFT)) & SYSCON_STARTER_RTC_LITE0_MASK)\r
-#define SYSCON_STARTER_EZH_ARCH_B0_MASK          (0x40000000U)\r
-#define SYSCON_STARTER_EZH_ARCH_B0_SHIFT         (30U)\r
-/*! EZH_ARCH_B0 - EZH_ARCH_B0 interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_EZH_ARCH_B0(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_EZH_ARCH_B0_SHIFT)) & SYSCON_STARTER_EZH_ARCH_B0_MASK)\r
-#define SYSCON_STARTER_WAKEUPPADS_MASK           (0x80000000U)\r
-#define SYSCON_STARTER_WAKEUPPADS_SHIFT          (31U)\r
-#define SYSCON_STARTER_WAKEUPPADS(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_WAKEUPPADS_SHIFT)) & SYSCON_STARTER_WAKEUPPADS_MASK)\r
-#define SYSCON_STARTER_WAKEUP_MAILBOX0_MASK      (0x80000000U)\r
-#define SYSCON_STARTER_WAKEUP_MAILBOX0_SHIFT     (31U)\r
-/*! WAKEUP_MAILBOX0 - WAKEUP_MAILBOX0 interrupt wake-up.\r
- *  0b0..Wake-up disabled.\r
- *  0b1..Wake-up enabled.\r
- */\r
-#define SYSCON_STARTER_WAKEUP_MAILBOX0(x)        (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTER_WAKEUP_MAILBOX0_SHIFT)) & SYSCON_STARTER_WAKEUP_MAILBOX0_MASK)\r
-/*! @} */\r
-\r
-/* The count of SYSCON_STARTER */\r
-#define SYSCON_STARTER_COUNT                     (2U)\r
-\r
-/*! @name STARTERSET - Set bits in STARTER */\r
-/*! @{ */\r
-#define SYSCON_STARTERSET_GPIO_INT04_SET_MASK    (0x1U)\r
-#define SYSCON_STARTERSET_GPIO_INT04_SET_SHIFT   (0U)\r
-#define SYSCON_STARTERSET_GPIO_INT04_SET(x)      (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_GPIO_INT04_SET_SHIFT)) & SYSCON_STARTERSET_GPIO_INT04_SET_MASK)\r
-#define SYSCON_STARTERSET_SYS_SET_MASK           (0x1U)\r
-#define SYSCON_STARTERSET_SYS_SET_SHIFT          (0U)\r
-#define SYSCON_STARTERSET_SYS_SET(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_SYS_SET_SHIFT)) & SYSCON_STARTERSET_SYS_SET_MASK)\r
-#define SYSCON_STARTERSET_GPIO_INT05_SET_MASK    (0x2U)\r
-#define SYSCON_STARTERSET_GPIO_INT05_SET_SHIFT   (1U)\r
-#define SYSCON_STARTERSET_GPIO_INT05_SET(x)      (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_GPIO_INT05_SET_SHIFT)) & SYSCON_STARTERSET_GPIO_INT05_SET_MASK)\r
-#define SYSCON_STARTERSET_SDMA0_SET_MASK         (0x2U)\r
-#define SYSCON_STARTERSET_SDMA0_SET_SHIFT        (1U)\r
-#define SYSCON_STARTERSET_SDMA0_SET(x)           (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_SDMA0_SET_SHIFT)) & SYSCON_STARTERSET_SDMA0_SET_MASK)\r
-#define SYSCON_STARTERSET_GPIO_GLOBALINT0_SET_MASK (0x4U)\r
-#define SYSCON_STARTERSET_GPIO_GLOBALINT0_SET_SHIFT (2U)\r
-#define SYSCON_STARTERSET_GPIO_GLOBALINT0_SET(x) (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_GPIO_GLOBALINT0_SET_SHIFT)) & SYSCON_STARTERSET_GPIO_GLOBALINT0_SET_MASK)\r
-#define SYSCON_STARTERSET_GPIO_INT06_SET_MASK    (0x4U)\r
-#define SYSCON_STARTERSET_GPIO_INT06_SET_SHIFT   (2U)\r
-#define SYSCON_STARTERSET_GPIO_INT06_SET(x)      (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_GPIO_INT06_SET_SHIFT)) & SYSCON_STARTERSET_GPIO_INT06_SET_MASK)\r
-#define SYSCON_STARTERSET_GPIO_GLOBALINT1_SET_MASK (0x8U)\r
-#define SYSCON_STARTERSET_GPIO_GLOBALINT1_SET_SHIFT (3U)\r
-#define SYSCON_STARTERSET_GPIO_GLOBALINT1_SET(x) (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_GPIO_GLOBALINT1_SET_SHIFT)) & SYSCON_STARTERSET_GPIO_GLOBALINT1_SET_MASK)\r
-#define SYSCON_STARTERSET_GPIO_INT07_SET_MASK    (0x8U)\r
-#define SYSCON_STARTERSET_GPIO_INT07_SET_SHIFT   (3U)\r
-#define SYSCON_STARTERSET_GPIO_INT07_SET(x)      (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_GPIO_INT07_SET_SHIFT)) & SYSCON_STARTERSET_GPIO_INT07_SET_MASK)\r
-#define SYSCON_STARTERSET_CTIMER2_SET_MASK       (0x10U)\r
-#define SYSCON_STARTERSET_CTIMER2_SET_SHIFT      (4U)\r
-#define SYSCON_STARTERSET_CTIMER2_SET(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_CTIMER2_SET_SHIFT)) & SYSCON_STARTERSET_CTIMER2_SET_MASK)\r
-#define SYSCON_STARTERSET_GPIO_INT00_SET_MASK    (0x10U)\r
-#define SYSCON_STARTERSET_GPIO_INT00_SET_SHIFT   (4U)\r
-#define SYSCON_STARTERSET_GPIO_INT00_SET(x)      (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_GPIO_INT00_SET_SHIFT)) & SYSCON_STARTERSET_GPIO_INT00_SET_MASK)\r
-#define SYSCON_STARTERSET_CTIMER4_SET_MASK       (0x20U)\r
-#define SYSCON_STARTERSET_CTIMER4_SET_SHIFT      (5U)\r
-#define SYSCON_STARTERSET_CTIMER4_SET(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_CTIMER4_SET_SHIFT)) & SYSCON_STARTERSET_CTIMER4_SET_MASK)\r
-#define SYSCON_STARTERSET_GPIO_INT01_SET_MASK    (0x20U)\r
-#define SYSCON_STARTERSET_GPIO_INT01_SET_SHIFT   (5U)\r
-#define SYSCON_STARTERSET_GPIO_INT01_SET(x)      (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_GPIO_INT01_SET_SHIFT)) & SYSCON_STARTERSET_GPIO_INT01_SET_MASK)\r
-#define SYSCON_STARTERSET_GPIO_INT02_SET_MASK    (0x40U)\r
-#define SYSCON_STARTERSET_GPIO_INT02_SET_SHIFT   (6U)\r
-#define SYSCON_STARTERSET_GPIO_INT02_SET(x)      (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_GPIO_INT02_SET_SHIFT)) & SYSCON_STARTERSET_GPIO_INT02_SET_MASK)\r
-#define SYSCON_STARTERSET_OS_EVENT_SET_MASK      (0x40U)\r
-#define SYSCON_STARTERSET_OS_EVENT_SET_SHIFT     (6U)\r
-#define SYSCON_STARTERSET_OS_EVENT_SET(x)        (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_OS_EVENT_SET_SHIFT)) & SYSCON_STARTERSET_OS_EVENT_SET_MASK)\r
-#define SYSCON_STARTERSET_GPIO_INT03_SET_MASK    (0x80U)\r
-#define SYSCON_STARTERSET_GPIO_INT03_SET_SHIFT   (7U)\r
-#define SYSCON_STARTERSET_GPIO_INT03_SET(x)      (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_GPIO_INT03_SET_SHIFT)) & SYSCON_STARTERSET_GPIO_INT03_SET_MASK)\r
-#define SYSCON_STARTERSET_UTICK0_SET_MASK        (0x100U)\r
-#define SYSCON_STARTERSET_UTICK0_SET_SHIFT       (8U)\r
-#define SYSCON_STARTERSET_UTICK0_SET(x)          (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_UTICK0_SET_SHIFT)) & SYSCON_STARTERSET_UTICK0_SET_MASK)\r
-#define SYSCON_STARTERSET_MRT0_SET_MASK          (0x200U)\r
-#define SYSCON_STARTERSET_MRT0_SET_SHIFT         (9U)\r
-#define SYSCON_STARTERSET_MRT0_SET(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_MRT0_SET_SHIFT)) & SYSCON_STARTERSET_MRT0_SET_MASK)\r
-#define SYSCON_STARTERSET_CTIMER0_SET_MASK       (0x400U)\r
-#define SYSCON_STARTERSET_CTIMER0_SET_SHIFT      (10U)\r
-#define SYSCON_STARTERSET_CTIMER0_SET(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_CTIMER0_SET_SHIFT)) & SYSCON_STARTERSET_CTIMER0_SET_MASK)\r
-#define SYSCON_STARTERSET_SDIO_SET_MASK          (0x400U)\r
-#define SYSCON_STARTERSET_SDIO_SET_SHIFT         (10U)\r
-#define SYSCON_STARTERSET_SDIO_SET(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_SDIO_SET_SHIFT)) & SYSCON_STARTERSET_SDIO_SET_MASK)\r
-#define SYSCON_STARTERSET_CTIMER1_SET_MASK       (0x800U)\r
-#define SYSCON_STARTERSET_CTIMER1_SET_SHIFT      (11U)\r
-#define SYSCON_STARTERSET_CTIMER1_SET(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_CTIMER1_SET_SHIFT)) & SYSCON_STARTERSET_CTIMER1_SET_MASK)\r
-#define SYSCON_STARTERSET_SCT0_SET_MASK          (0x1000U)\r
-#define SYSCON_STARTERSET_SCT0_SET_SHIFT         (12U)\r
-#define SYSCON_STARTERSET_SCT0_SET(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_SCT0_SET_SHIFT)) & SYSCON_STARTERSET_SCT0_SET_MASK)\r
-#define SYSCON_STARTERSET_CTIMER3_SET_MASK       (0x2000U)\r
-#define SYSCON_STARTERSET_CTIMER3_SET_SHIFT      (13U)\r
-#define SYSCON_STARTERSET_CTIMER3_SET(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_CTIMER3_SET_SHIFT)) & SYSCON_STARTERSET_CTIMER3_SET_MASK)\r
-#define SYSCON_STARTERSET_FLEXINT0_SET_MASK      (0x4000U)\r
-#define SYSCON_STARTERSET_FLEXINT0_SET_SHIFT     (14U)\r
-#define SYSCON_STARTERSET_FLEXINT0_SET(x)        (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_FLEXINT0_SET_SHIFT)) & SYSCON_STARTERSET_FLEXINT0_SET_MASK)\r
-#define SYSCON_STARTERSET_FLEXINT1_SET_MASK      (0x8000U)\r
-#define SYSCON_STARTERSET_FLEXINT1_SET_SHIFT     (15U)\r
-#define SYSCON_STARTERSET_FLEXINT1_SET(x)        (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_FLEXINT1_SET_SHIFT)) & SYSCON_STARTERSET_FLEXINT1_SET_MASK)\r
-#define SYSCON_STARTERSET_USB1_SET_MASK          (0x8000U)\r
-#define SYSCON_STARTERSET_USB1_SET_SHIFT         (15U)\r
-#define SYSCON_STARTERSET_USB1_SET(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_USB1_SET_SHIFT)) & SYSCON_STARTERSET_USB1_SET_MASK)\r
-#define SYSCON_STARTERSET_FLEXINT2_SET_MASK      (0x10000U)\r
-#define SYSCON_STARTERSET_FLEXINT2_SET_SHIFT     (16U)\r
-#define SYSCON_STARTERSET_FLEXINT2_SET(x)        (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_FLEXINT2_SET_SHIFT)) & SYSCON_STARTERSET_FLEXINT2_SET_MASK)\r
-#define SYSCON_STARTERSET_USB1_NEEDCLK_SET_MASK  (0x10000U)\r
-#define SYSCON_STARTERSET_USB1_NEEDCLK_SET_SHIFT (16U)\r
-#define SYSCON_STARTERSET_USB1_NEEDCLK_SET(x)    (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_USB1_NEEDCLK_SET_SHIFT)) & SYSCON_STARTERSET_USB1_NEEDCLK_SET_MASK)\r
-#define SYSCON_STARTERSET_FLEXINT3_SET_MASK      (0x20000U)\r
-#define SYSCON_STARTERSET_FLEXINT3_SET_SHIFT     (17U)\r
-#define SYSCON_STARTERSET_FLEXINT3_SET(x)        (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_FLEXINT3_SET_SHIFT)) & SYSCON_STARTERSET_FLEXINT3_SET_MASK)\r
-#define SYSCON_STARTERSET_SEC_HYPERVISOR_CALL_SET_MASK (0x20000U)\r
-#define SYSCON_STARTERSET_SEC_HYPERVISOR_CALL_SET_SHIFT (17U)\r
-#define SYSCON_STARTERSET_SEC_HYPERVISOR_CALL_SET(x) (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_SEC_HYPERVISOR_CALL_SET_SHIFT)) & SYSCON_STARTERSET_SEC_HYPERVISOR_CALL_SET_MASK)\r
-#define SYSCON_STARTERSET_FLEXINT4_SET_MASK      (0x40000U)\r
-#define SYSCON_STARTERSET_FLEXINT4_SET_SHIFT     (18U)\r
-#define SYSCON_STARTERSET_FLEXINT4_SET(x)        (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_FLEXINT4_SET_SHIFT)) & SYSCON_STARTERSET_FLEXINT4_SET_MASK)\r
-#define SYSCON_STARTERSET_SEC_GPIO_INT00_SET_MASK (0x40000U)\r
-#define SYSCON_STARTERSET_SEC_GPIO_INT00_SET_SHIFT (18U)\r
-#define SYSCON_STARTERSET_SEC_GPIO_INT00_SET(x)  (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_SEC_GPIO_INT00_SET_SHIFT)) & SYSCON_STARTERSET_SEC_GPIO_INT00_SET_MASK)\r
-#define SYSCON_STARTERSET_FLEXINT5_SET_MASK      (0x80000U)\r
-#define SYSCON_STARTERSET_FLEXINT5_SET_SHIFT     (19U)\r
-#define SYSCON_STARTERSET_FLEXINT5_SET(x)        (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_FLEXINT5_SET_SHIFT)) & SYSCON_STARTERSET_FLEXINT5_SET_MASK)\r
-#define SYSCON_STARTERSET_SEC_GPIO_INT01_SET_MASK (0x80000U)\r
-#define SYSCON_STARTERSET_SEC_GPIO_INT01_SET_SHIFT (19U)\r
-#define SYSCON_STARTERSET_SEC_GPIO_INT01_SET(x)  (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_SEC_GPIO_INT01_SET_SHIFT)) & SYSCON_STARTERSET_SEC_GPIO_INT01_SET_MASK)\r
-#define SYSCON_STARTERSET_FLEXINT6_SET_MASK      (0x100000U)\r
-#define SYSCON_STARTERSET_FLEXINT6_SET_SHIFT     (20U)\r
-#define SYSCON_STARTERSET_FLEXINT6_SET(x)        (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_FLEXINT6_SET_SHIFT)) & SYSCON_STARTERSET_FLEXINT6_SET_MASK)\r
-#define SYSCON_STARTERSET_PLU_SET_MASK           (0x100000U)\r
-#define SYSCON_STARTERSET_PLU_SET_SHIFT          (20U)\r
-#define SYSCON_STARTERSET_PLU_SET(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_PLU_SET_SHIFT)) & SYSCON_STARTERSET_PLU_SET_MASK)\r
-#define SYSCON_STARTERSET_FLEXINT7_SET_MASK      (0x200000U)\r
-#define SYSCON_STARTERSET_FLEXINT7_SET_SHIFT     (21U)\r
-#define SYSCON_STARTERSET_FLEXINT7_SET(x)        (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_FLEXINT7_SET_SHIFT)) & SYSCON_STARTERSET_FLEXINT7_SET_MASK)\r
-#define SYSCON_STARTERSET_SEC_VIO_SET_MASK       (0x200000U)\r
-#define SYSCON_STARTERSET_SEC_VIO_SET_SHIFT      (21U)\r
-#define SYSCON_STARTERSET_SEC_VIO_SET(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_SEC_VIO_SET_SHIFT)) & SYSCON_STARTERSET_SEC_VIO_SET_MASK)\r
-#define SYSCON_STARTERSET_ADC0_SET_MASK          (0x400000U)\r
-#define SYSCON_STARTERSET_ADC0_SET_SHIFT         (22U)\r
-#define SYSCON_STARTERSET_ADC0_SET(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_ADC0_SET_SHIFT)) & SYSCON_STARTERSET_ADC0_SET_MASK)\r
-#define SYSCON_STARTERSET_SHA_SET_MASK           (0x400000U)\r
-#define SYSCON_STARTERSET_SHA_SET_SHIFT          (22U)\r
-#define SYSCON_STARTERSET_SHA_SET(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_SHA_SET_SHIFT)) & SYSCON_STARTERSET_SHA_SET_MASK)\r
-#define SYSCON_STARTERSET_CASER_SET_MASK         (0x800000U)\r
-#define SYSCON_STARTERSET_CASER_SET_SHIFT        (23U)\r
-#define SYSCON_STARTERSET_CASER_SET(x)           (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_CASER_SET_SHIFT)) & SYSCON_STARTERSET_CASER_SET_MASK)\r
-#define SYSCON_STARTERSET_ADC0_THCMP_OVR_SET_MASK (0x1000000U)\r
-#define SYSCON_STARTERSET_ADC0_THCMP_OVR_SET_SHIFT (24U)\r
-#define SYSCON_STARTERSET_ADC0_THCMP_OVR_SET(x)  (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_ADC0_THCMP_OVR_SET_SHIFT)) & SYSCON_STARTERSET_ADC0_THCMP_OVR_SET_MASK)\r
-#define SYSCON_STARTERSET_QDDKEY_SET_MASK        (0x1000000U)\r
-#define SYSCON_STARTERSET_QDDKEY_SET_SHIFT       (24U)\r
-#define SYSCON_STARTERSET_QDDKEY_SET(x)          (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_QDDKEY_SET_SHIFT)) & SYSCON_STARTERSET_QDDKEY_SET_MASK)\r
-#define SYSCON_STARTERSET_PQ_SET_MASK            (0x2000000U)\r
-#define SYSCON_STARTERSET_PQ_SET_SHIFT           (25U)\r
-#define SYSCON_STARTERSET_PQ_SET(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_PQ_SET_SHIFT)) & SYSCON_STARTERSET_PQ_SET_MASK)\r
-#define SYSCON_STARTERSET_SDMA1_SET_MASK         (0x4000000U)\r
-#define SYSCON_STARTERSET_SDMA1_SET_SHIFT        (26U)\r
-#define SYSCON_STARTERSET_SDMA1_SET(x)           (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_SDMA1_SET_SHIFT)) & SYSCON_STARTERSET_SDMA1_SET_MASK)\r
-#define SYSCON_STARTERSET_LSPI_HS_SET_MASK       (0x8000000U)\r
-#define SYSCON_STARTERSET_LSPI_HS_SET_SHIFT      (27U)\r
-#define SYSCON_STARTERSET_LSPI_HS_SET(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_LSPI_HS_SET_SHIFT)) & SYSCON_STARTERSET_LSPI_HS_SET_MASK)\r
-#define SYSCON_STARTERSET_USB0_NEEDCLK_SET_MASK  (0x8000000U)\r
-#define SYSCON_STARTERSET_USB0_NEEDCLK_SET_SHIFT (27U)\r
-#define SYSCON_STARTERSET_USB0_NEEDCLK_SET(x)    (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_USB0_NEEDCLK_SET_SHIFT)) & SYSCON_STARTERSET_USB0_NEEDCLK_SET_MASK)\r
-#define SYSCON_STARTERSET_USB0_SET_MASK          (0x10000000U)\r
-#define SYSCON_STARTERSET_USB0_SET_SHIFT         (28U)\r
-#define SYSCON_STARTERSET_USB0_SET(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_USB0_SET_SHIFT)) & SYSCON_STARTERSET_USB0_SET_MASK)\r
-#define SYSCON_STARTERSET_RTC_LITE0_SET_MASK     (0x20000000U)\r
-#define SYSCON_STARTERSET_RTC_LITE0_SET_SHIFT    (29U)\r
-#define SYSCON_STARTERSET_RTC_LITE0_SET(x)       (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_RTC_LITE0_SET_SHIFT)) & SYSCON_STARTERSET_RTC_LITE0_SET_MASK)\r
-#define SYSCON_STARTERSET_EZH_ARCH_B0_SET_MASK   (0x40000000U)\r
-#define SYSCON_STARTERSET_EZH_ARCH_B0_SET_SHIFT  (30U)\r
-#define SYSCON_STARTERSET_EZH_ARCH_B0_SET(x)     (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_EZH_ARCH_B0_SET_SHIFT)) & SYSCON_STARTERSET_EZH_ARCH_B0_SET_MASK)\r
-#define SYSCON_STARTERSET_WAKEUPPADS_SET_MASK    (0x80000000U)\r
-#define SYSCON_STARTERSET_WAKEUPPADS_SET_SHIFT   (31U)\r
-#define SYSCON_STARTERSET_WAKEUPPADS_SET(x)      (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_WAKEUPPADS_SET_SHIFT)) & SYSCON_STARTERSET_WAKEUPPADS_SET_MASK)\r
-#define SYSCON_STARTERSET_WAKEUP_MAILBOX0_SET_MASK (0x80000000U)\r
-#define SYSCON_STARTERSET_WAKEUP_MAILBOX0_SET_SHIFT (31U)\r
-#define SYSCON_STARTERSET_WAKEUP_MAILBOX0_SET(x) (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERSET_WAKEUP_MAILBOX0_SET_SHIFT)) & SYSCON_STARTERSET_WAKEUP_MAILBOX0_SET_MASK)\r
-/*! @} */\r
-\r
-/* The count of SYSCON_STARTERSET */\r
-#define SYSCON_STARTERSET_COUNT                  (2U)\r
-\r
-/*! @name STARTERCLR - Clear bits in STARTER */\r
-/*! @{ */\r
-#define SYSCON_STARTERCLR_GPIO_INT04_CLR_MASK    (0x1U)\r
-#define SYSCON_STARTERCLR_GPIO_INT04_CLR_SHIFT   (0U)\r
-#define SYSCON_STARTERCLR_GPIO_INT04_CLR(x)      (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_GPIO_INT04_CLR_SHIFT)) & SYSCON_STARTERCLR_GPIO_INT04_CLR_MASK)\r
-#define SYSCON_STARTERCLR_SYS_CLR_MASK           (0x1U)\r
-#define SYSCON_STARTERCLR_SYS_CLR_SHIFT          (0U)\r
-#define SYSCON_STARTERCLR_SYS_CLR(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_SYS_CLR_SHIFT)) & SYSCON_STARTERCLR_SYS_CLR_MASK)\r
-#define SYSCON_STARTERCLR_GPIO_INT05_CLR_MASK    (0x2U)\r
-#define SYSCON_STARTERCLR_GPIO_INT05_CLR_SHIFT   (1U)\r
-#define SYSCON_STARTERCLR_GPIO_INT05_CLR(x)      (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_GPIO_INT05_CLR_SHIFT)) & SYSCON_STARTERCLR_GPIO_INT05_CLR_MASK)\r
-#define SYSCON_STARTERCLR_SDMA0_CLR_MASK         (0x2U)\r
-#define SYSCON_STARTERCLR_SDMA0_CLR_SHIFT        (1U)\r
-#define SYSCON_STARTERCLR_SDMA0_CLR(x)           (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_SDMA0_CLR_SHIFT)) & SYSCON_STARTERCLR_SDMA0_CLR_MASK)\r
-#define SYSCON_STARTERCLR_GPIO_GLOBALINT0_CLR_MASK (0x4U)\r
-#define SYSCON_STARTERCLR_GPIO_GLOBALINT0_CLR_SHIFT (2U)\r
-#define SYSCON_STARTERCLR_GPIO_GLOBALINT0_CLR(x) (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_GPIO_GLOBALINT0_CLR_SHIFT)) & SYSCON_STARTERCLR_GPIO_GLOBALINT0_CLR_MASK)\r
-#define SYSCON_STARTERCLR_GPIO_INT06_CLR_MASK    (0x4U)\r
-#define SYSCON_STARTERCLR_GPIO_INT06_CLR_SHIFT   (2U)\r
-#define SYSCON_STARTERCLR_GPIO_INT06_CLR(x)      (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_GPIO_INT06_CLR_SHIFT)) & SYSCON_STARTERCLR_GPIO_INT06_CLR_MASK)\r
-#define SYSCON_STARTERCLR_GPIO_GLOBALINT1_CLR_MASK (0x8U)\r
-#define SYSCON_STARTERCLR_GPIO_GLOBALINT1_CLR_SHIFT (3U)\r
-#define SYSCON_STARTERCLR_GPIO_GLOBALINT1_CLR(x) (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_GPIO_GLOBALINT1_CLR_SHIFT)) & SYSCON_STARTERCLR_GPIO_GLOBALINT1_CLR_MASK)\r
-#define SYSCON_STARTERCLR_GPIO_INT07_CLR_MASK    (0x8U)\r
-#define SYSCON_STARTERCLR_GPIO_INT07_CLR_SHIFT   (3U)\r
-#define SYSCON_STARTERCLR_GPIO_INT07_CLR(x)      (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_GPIO_INT07_CLR_SHIFT)) & SYSCON_STARTERCLR_GPIO_INT07_CLR_MASK)\r
-#define SYSCON_STARTERCLR_CTIMER2_CLR_MASK       (0x10U)\r
-#define SYSCON_STARTERCLR_CTIMER2_CLR_SHIFT      (4U)\r
-#define SYSCON_STARTERCLR_CTIMER2_CLR(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_CTIMER2_CLR_SHIFT)) & SYSCON_STARTERCLR_CTIMER2_CLR_MASK)\r
-#define SYSCON_STARTERCLR_GPIO_INT00_CLR_MASK    (0x10U)\r
-#define SYSCON_STARTERCLR_GPIO_INT00_CLR_SHIFT   (4U)\r
-#define SYSCON_STARTERCLR_GPIO_INT00_CLR(x)      (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_GPIO_INT00_CLR_SHIFT)) & SYSCON_STARTERCLR_GPIO_INT00_CLR_MASK)\r
-#define SYSCON_STARTERCLR_CTIMER4_CLR_MASK       (0x20U)\r
-#define SYSCON_STARTERCLR_CTIMER4_CLR_SHIFT      (5U)\r
-#define SYSCON_STARTERCLR_CTIMER4_CLR(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_CTIMER4_CLR_SHIFT)) & SYSCON_STARTERCLR_CTIMER4_CLR_MASK)\r
-#define SYSCON_STARTERCLR_GPIO_INT01_CLR_MASK    (0x20U)\r
-#define SYSCON_STARTERCLR_GPIO_INT01_CLR_SHIFT   (5U)\r
-#define SYSCON_STARTERCLR_GPIO_INT01_CLR(x)      (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_GPIO_INT01_CLR_SHIFT)) & SYSCON_STARTERCLR_GPIO_INT01_CLR_MASK)\r
-#define SYSCON_STARTERCLR_GPIO_INT02_CLR_MASK    (0x40U)\r
-#define SYSCON_STARTERCLR_GPIO_INT02_CLR_SHIFT   (6U)\r
-#define SYSCON_STARTERCLR_GPIO_INT02_CLR(x)      (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_GPIO_INT02_CLR_SHIFT)) & SYSCON_STARTERCLR_GPIO_INT02_CLR_MASK)\r
-#define SYSCON_STARTERCLR_OS_EVENT_CLR_MASK      (0x40U)\r
-#define SYSCON_STARTERCLR_OS_EVENT_CLR_SHIFT     (6U)\r
-#define SYSCON_STARTERCLR_OS_EVENT_CLR(x)        (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_OS_EVENT_CLR_SHIFT)) & SYSCON_STARTERCLR_OS_EVENT_CLR_MASK)\r
-#define SYSCON_STARTERCLR_GPIO_INT03_CLR_MASK    (0x80U)\r
-#define SYSCON_STARTERCLR_GPIO_INT03_CLR_SHIFT   (7U)\r
-#define SYSCON_STARTERCLR_GPIO_INT03_CLR(x)      (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_GPIO_INT03_CLR_SHIFT)) & SYSCON_STARTERCLR_GPIO_INT03_CLR_MASK)\r
-#define SYSCON_STARTERCLR_UTICK0_CLR_MASK        (0x100U)\r
-#define SYSCON_STARTERCLR_UTICK0_CLR_SHIFT       (8U)\r
-#define SYSCON_STARTERCLR_UTICK0_CLR(x)          (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_UTICK0_CLR_SHIFT)) & SYSCON_STARTERCLR_UTICK0_CLR_MASK)\r
-#define SYSCON_STARTERCLR_MRT0_CLR_MASK          (0x200U)\r
-#define SYSCON_STARTERCLR_MRT0_CLR_SHIFT         (9U)\r
-#define SYSCON_STARTERCLR_MRT0_CLR(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_MRT0_CLR_SHIFT)) & SYSCON_STARTERCLR_MRT0_CLR_MASK)\r
-#define SYSCON_STARTERCLR_CTIMER0_CLR_MASK       (0x400U)\r
-#define SYSCON_STARTERCLR_CTIMER0_CLR_SHIFT      (10U)\r
-#define SYSCON_STARTERCLR_CTIMER0_CLR(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_CTIMER0_CLR_SHIFT)) & SYSCON_STARTERCLR_CTIMER0_CLR_MASK)\r
-#define SYSCON_STARTERCLR_SDIO_CLR_MASK          (0x400U)\r
-#define SYSCON_STARTERCLR_SDIO_CLR_SHIFT         (10U)\r
-#define SYSCON_STARTERCLR_SDIO_CLR(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_SDIO_CLR_SHIFT)) & SYSCON_STARTERCLR_SDIO_CLR_MASK)\r
-#define SYSCON_STARTERCLR_CTIMER1_CLR_MASK       (0x800U)\r
-#define SYSCON_STARTERCLR_CTIMER1_CLR_SHIFT      (11U)\r
-#define SYSCON_STARTERCLR_CTIMER1_CLR(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_CTIMER1_CLR_SHIFT)) & SYSCON_STARTERCLR_CTIMER1_CLR_MASK)\r
-#define SYSCON_STARTERCLR_SCT0_CLR_MASK          (0x1000U)\r
-#define SYSCON_STARTERCLR_SCT0_CLR_SHIFT         (12U)\r
-#define SYSCON_STARTERCLR_SCT0_CLR(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_SCT0_CLR_SHIFT)) & SYSCON_STARTERCLR_SCT0_CLR_MASK)\r
-#define SYSCON_STARTERCLR_CTIMER3_CLR_MASK       (0x2000U)\r
-#define SYSCON_STARTERCLR_CTIMER3_CLR_SHIFT      (13U)\r
-#define SYSCON_STARTERCLR_CTIMER3_CLR(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_CTIMER3_CLR_SHIFT)) & SYSCON_STARTERCLR_CTIMER3_CLR_MASK)\r
-#define SYSCON_STARTERCLR_FLEXINT0_CLR_MASK      (0x4000U)\r
-#define SYSCON_STARTERCLR_FLEXINT0_CLR_SHIFT     (14U)\r
-#define SYSCON_STARTERCLR_FLEXINT0_CLR(x)        (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_FLEXINT0_CLR_SHIFT)) & SYSCON_STARTERCLR_FLEXINT0_CLR_MASK)\r
-#define SYSCON_STARTERCLR_FLEXINT1_CLR_MASK      (0x8000U)\r
-#define SYSCON_STARTERCLR_FLEXINT1_CLR_SHIFT     (15U)\r
-#define SYSCON_STARTERCLR_FLEXINT1_CLR(x)        (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_FLEXINT1_CLR_SHIFT)) & SYSCON_STARTERCLR_FLEXINT1_CLR_MASK)\r
-#define SYSCON_STARTERCLR_USB1_CLR_MASK          (0x8000U)\r
-#define SYSCON_STARTERCLR_USB1_CLR_SHIFT         (15U)\r
-#define SYSCON_STARTERCLR_USB1_CLR(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_USB1_CLR_SHIFT)) & SYSCON_STARTERCLR_USB1_CLR_MASK)\r
-#define SYSCON_STARTERCLR_FLEXINT2_CLR_MASK      (0x10000U)\r
-#define SYSCON_STARTERCLR_FLEXINT2_CLR_SHIFT     (16U)\r
-#define SYSCON_STARTERCLR_FLEXINT2_CLR(x)        (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_FLEXINT2_CLR_SHIFT)) & SYSCON_STARTERCLR_FLEXINT2_CLR_MASK)\r
-#define SYSCON_STARTERCLR_USB1_NEEDCLK_CLR_MASK  (0x10000U)\r
-#define SYSCON_STARTERCLR_USB1_NEEDCLK_CLR_SHIFT (16U)\r
-#define SYSCON_STARTERCLR_USB1_NEEDCLK_CLR(x)    (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_USB1_NEEDCLK_CLR_SHIFT)) & SYSCON_STARTERCLR_USB1_NEEDCLK_CLR_MASK)\r
-#define SYSCON_STARTERCLR_FLEXINT3_CLR_MASK      (0x20000U)\r
-#define SYSCON_STARTERCLR_FLEXINT3_CLR_SHIFT     (17U)\r
-#define SYSCON_STARTERCLR_FLEXINT3_CLR(x)        (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_FLEXINT3_CLR_SHIFT)) & SYSCON_STARTERCLR_FLEXINT3_CLR_MASK)\r
-#define SYSCON_STARTERCLR_SEC_HYPERVISOR_CALL_CLR_MASK (0x20000U)\r
-#define SYSCON_STARTERCLR_SEC_HYPERVISOR_CALL_CLR_SHIFT (17U)\r
-#define SYSCON_STARTERCLR_SEC_HYPERVISOR_CALL_CLR(x) (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_SEC_HYPERVISOR_CALL_CLR_SHIFT)) & SYSCON_STARTERCLR_SEC_HYPERVISOR_CALL_CLR_MASK)\r
-#define SYSCON_STARTERCLR_FLEXINT4_CLR_MASK      (0x40000U)\r
-#define SYSCON_STARTERCLR_FLEXINT4_CLR_SHIFT     (18U)\r
-#define SYSCON_STARTERCLR_FLEXINT4_CLR(x)        (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_FLEXINT4_CLR_SHIFT)) & SYSCON_STARTERCLR_FLEXINT4_CLR_MASK)\r
-#define SYSCON_STARTERCLR_SEC_GPIO_INT00_CLR_MASK (0x40000U)\r
-#define SYSCON_STARTERCLR_SEC_GPIO_INT00_CLR_SHIFT (18U)\r
-#define SYSCON_STARTERCLR_SEC_GPIO_INT00_CLR(x)  (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_SEC_GPIO_INT00_CLR_SHIFT)) & SYSCON_STARTERCLR_SEC_GPIO_INT00_CLR_MASK)\r
-#define SYSCON_STARTERCLR_FLEXINT5_CLR_MASK      (0x80000U)\r
-#define SYSCON_STARTERCLR_FLEXINT5_CLR_SHIFT     (19U)\r
-#define SYSCON_STARTERCLR_FLEXINT5_CLR(x)        (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_FLEXINT5_CLR_SHIFT)) & SYSCON_STARTERCLR_FLEXINT5_CLR_MASK)\r
-#define SYSCON_STARTERCLR_SEC_GPIO_INT01_CLR_MASK (0x80000U)\r
-#define SYSCON_STARTERCLR_SEC_GPIO_INT01_CLR_SHIFT (19U)\r
-#define SYSCON_STARTERCLR_SEC_GPIO_INT01_CLR(x)  (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_SEC_GPIO_INT01_CLR_SHIFT)) & SYSCON_STARTERCLR_SEC_GPIO_INT01_CLR_MASK)\r
-#define SYSCON_STARTERCLR_FLEXINT6_CLR_MASK      (0x100000U)\r
-#define SYSCON_STARTERCLR_FLEXINT6_CLR_SHIFT     (20U)\r
-#define SYSCON_STARTERCLR_FLEXINT6_CLR(x)        (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_FLEXINT6_CLR_SHIFT)) & SYSCON_STARTERCLR_FLEXINT6_CLR_MASK)\r
-#define SYSCON_STARTERCLR_PLU_CLR_MASK           (0x100000U)\r
-#define SYSCON_STARTERCLR_PLU_CLR_SHIFT          (20U)\r
-#define SYSCON_STARTERCLR_PLU_CLR(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_PLU_CLR_SHIFT)) & SYSCON_STARTERCLR_PLU_CLR_MASK)\r
-#define SYSCON_STARTERCLR_FLEXINT7_CLR_MASK      (0x200000U)\r
-#define SYSCON_STARTERCLR_FLEXINT7_CLR_SHIFT     (21U)\r
-#define SYSCON_STARTERCLR_FLEXINT7_CLR(x)        (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_FLEXINT7_CLR_SHIFT)) & SYSCON_STARTERCLR_FLEXINT7_CLR_MASK)\r
-#define SYSCON_STARTERCLR_SEC_VIO_CLR_MASK       (0x200000U)\r
-#define SYSCON_STARTERCLR_SEC_VIO_CLR_SHIFT      (21U)\r
-#define SYSCON_STARTERCLR_SEC_VIO_CLR(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_SEC_VIO_CLR_SHIFT)) & SYSCON_STARTERCLR_SEC_VIO_CLR_MASK)\r
-#define SYSCON_STARTERCLR_ADC0_CLR_MASK          (0x400000U)\r
-#define SYSCON_STARTERCLR_ADC0_CLR_SHIFT         (22U)\r
-#define SYSCON_STARTERCLR_ADC0_CLR(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_ADC0_CLR_SHIFT)) & SYSCON_STARTERCLR_ADC0_CLR_MASK)\r
-#define SYSCON_STARTERCLR_SHA_CLR_MASK           (0x400000U)\r
-#define SYSCON_STARTERCLR_SHA_CLR_SHIFT          (22U)\r
-#define SYSCON_STARTERCLR_SHA_CLR(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_SHA_CLR_SHIFT)) & SYSCON_STARTERCLR_SHA_CLR_MASK)\r
-#define SYSCON_STARTERCLR_CASER_CLR_MASK         (0x800000U)\r
-#define SYSCON_STARTERCLR_CASER_CLR_SHIFT        (23U)\r
-#define SYSCON_STARTERCLR_CASER_CLR(x)           (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_CASER_CLR_SHIFT)) & SYSCON_STARTERCLR_CASER_CLR_MASK)\r
-#define SYSCON_STARTERCLR_ADC0_THCMP_OVR_CLR_MASK (0x1000000U)\r
-#define SYSCON_STARTERCLR_ADC0_THCMP_OVR_CLR_SHIFT (24U)\r
-#define SYSCON_STARTERCLR_ADC0_THCMP_OVR_CLR(x)  (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_ADC0_THCMP_OVR_CLR_SHIFT)) & SYSCON_STARTERCLR_ADC0_THCMP_OVR_CLR_MASK)\r
-#define SYSCON_STARTERCLR_QDDKEY_CLR_MASK        (0x1000000U)\r
-#define SYSCON_STARTERCLR_QDDKEY_CLR_SHIFT       (24U)\r
-#define SYSCON_STARTERCLR_QDDKEY_CLR(x)          (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_QDDKEY_CLR_SHIFT)) & SYSCON_STARTERCLR_QDDKEY_CLR_MASK)\r
-#define SYSCON_STARTERCLR_PQ_CLR_MASK            (0x2000000U)\r
-#define SYSCON_STARTERCLR_PQ_CLR_SHIFT           (25U)\r
-#define SYSCON_STARTERCLR_PQ_CLR(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_PQ_CLR_SHIFT)) & SYSCON_STARTERCLR_PQ_CLR_MASK)\r
-#define SYSCON_STARTERCLR_SDMA1_CLR_MASK         (0x4000000U)\r
-#define SYSCON_STARTERCLR_SDMA1_CLR_SHIFT        (26U)\r
-#define SYSCON_STARTERCLR_SDMA1_CLR(x)           (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_SDMA1_CLR_SHIFT)) & SYSCON_STARTERCLR_SDMA1_CLR_MASK)\r
-#define SYSCON_STARTERCLR_LSPI_HS_CLR_MASK       (0x8000000U)\r
-#define SYSCON_STARTERCLR_LSPI_HS_CLR_SHIFT      (27U)\r
-#define SYSCON_STARTERCLR_LSPI_HS_CLR(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_LSPI_HS_CLR_SHIFT)) & SYSCON_STARTERCLR_LSPI_HS_CLR_MASK)\r
-#define SYSCON_STARTERCLR_USB0_NEEDCLK_CLR_MASK  (0x8000000U)\r
-#define SYSCON_STARTERCLR_USB0_NEEDCLK_CLR_SHIFT (27U)\r
-#define SYSCON_STARTERCLR_USB0_NEEDCLK_CLR(x)    (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_USB0_NEEDCLK_CLR_SHIFT)) & SYSCON_STARTERCLR_USB0_NEEDCLK_CLR_MASK)\r
-#define SYSCON_STARTERCLR_USB0_CLR_MASK          (0x10000000U)\r
-#define SYSCON_STARTERCLR_USB0_CLR_SHIFT         (28U)\r
-#define SYSCON_STARTERCLR_USB0_CLR(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_USB0_CLR_SHIFT)) & SYSCON_STARTERCLR_USB0_CLR_MASK)\r
-#define SYSCON_STARTERCLR_RTC_LITE0_CLR_MASK     (0x20000000U)\r
-#define SYSCON_STARTERCLR_RTC_LITE0_CLR_SHIFT    (29U)\r
-#define SYSCON_STARTERCLR_RTC_LITE0_CLR(x)       (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_RTC_LITE0_CLR_SHIFT)) & SYSCON_STARTERCLR_RTC_LITE0_CLR_MASK)\r
-#define SYSCON_STARTERCLR_EZH_ARCH_B0_CLR_MASK   (0x40000000U)\r
-#define SYSCON_STARTERCLR_EZH_ARCH_B0_CLR_SHIFT  (30U)\r
-#define SYSCON_STARTERCLR_EZH_ARCH_B0_CLR(x)     (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_EZH_ARCH_B0_CLR_SHIFT)) & SYSCON_STARTERCLR_EZH_ARCH_B0_CLR_MASK)\r
-#define SYSCON_STARTERCLR_WAKEUPPADS_CLR_MASK    (0x80000000U)\r
-#define SYSCON_STARTERCLR_WAKEUPPADS_CLR_SHIFT   (31U)\r
-#define SYSCON_STARTERCLR_WAKEUPPADS_CLR(x)      (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_WAKEUPPADS_CLR_SHIFT)) & SYSCON_STARTERCLR_WAKEUPPADS_CLR_MASK)\r
-#define SYSCON_STARTERCLR_WAKEUP_MAILBOX0_CLR_MASK (0x80000000U)\r
-#define SYSCON_STARTERCLR_WAKEUP_MAILBOX0_CLR_SHIFT (31U)\r
-#define SYSCON_STARTERCLR_WAKEUP_MAILBOX0_CLR(x) (((uint32_t)(((uint32_t)(x)) << SYSCON_STARTERCLR_WAKEUP_MAILBOX0_CLR_SHIFT)) & SYSCON_STARTERCLR_WAKEUP_MAILBOX0_CLR_MASK)\r
-/*! @} */\r
-\r
-/* The count of SYSCON_STARTERCLR */\r
-#define SYSCON_STARTERCLR_COUNT                  (2U)\r
-\r
-/*! @name HARDWARESLEEP - Hardware Sleep control */\r
-/*! @{ */\r
-#define SYSCON_HARDWARESLEEP_FORCED_MASK         (0x1U)\r
-#define SYSCON_HARDWARESLEEP_FORCED_SHIFT        (0U)\r
-#define SYSCON_HARDWARESLEEP_FORCED(x)           (((uint32_t)(((uint32_t)(x)) << SYSCON_HARDWARESLEEP_FORCED_SHIFT)) & SYSCON_HARDWARESLEEP_FORCED_MASK)\r
-#define SYSCON_HARDWARESLEEP_PERIPHERALS_MASK    (0x2U)\r
-#define SYSCON_HARDWARESLEEP_PERIPHERALS_SHIFT   (1U)\r
-#define SYSCON_HARDWARESLEEP_PERIPHERALS(x)      (((uint32_t)(((uint32_t)(x)) << SYSCON_HARDWARESLEEP_PERIPHERALS_SHIFT)) & SYSCON_HARDWARESLEEP_PERIPHERALS_MASK)\r
-#define SYSCON_HARDWARESLEEP_SDMA0_MASK          (0x8U)\r
-#define SYSCON_HARDWARESLEEP_SDMA0_SHIFT         (3U)\r
-#define SYSCON_HARDWARESLEEP_SDMA0(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_HARDWARESLEEP_SDMA0_SHIFT)) & SYSCON_HARDWARESLEEP_SDMA0_MASK)\r
-#define SYSCON_HARDWARESLEEP_SDMA1_MASK          (0x20U)\r
-#define SYSCON_HARDWARESLEEP_SDMA1_SHIFT         (5U)\r
-#define SYSCON_HARDWARESLEEP_SDMA1(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_HARDWARESLEEP_SDMA1_SHIFT)) & SYSCON_HARDWARESLEEP_SDMA1_MASK)\r
+#define SYSCON_PLL0SSCG1_MD_MBS_MASK (0x1U)\r
+#define SYSCON_PLL0SSCG1_MD_MBS_SHIFT (0U)\r
+/*! MD_MBS - input word of the wrapper bit 32.\r
+ */\r
+#define SYSCON_PLL0SSCG1_MD_MBS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0SSCG1_MD_MBS_SHIFT)) & SYSCON_PLL0SSCG1_MD_MBS_MASK)\r
+#define SYSCON_PLL0SSCG1_MD_REQ_MASK (0x2U)\r
+#define SYSCON_PLL0SSCG1_MD_REQ_SHIFT (1U)\r
+/*! MD_REQ - md change request.\r
+ */\r
+#define SYSCON_PLL0SSCG1_MD_REQ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0SSCG1_MD_REQ_SHIFT)) & SYSCON_PLL0SSCG1_MD_REQ_MASK)\r
+#define SYSCON_PLL0SSCG1_MF_MASK (0x1CU)\r
+#define SYSCON_PLL0SSCG1_MF_SHIFT (2U)\r
+/*! MF - programmable modulation frequency fm = Fref/Nss mf[2:0] = 000 => Nss=512 (fm ~ 3.\r
+ */\r
+#define SYSCON_PLL0SSCG1_MF(x) (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0SSCG1_MF_SHIFT)) & SYSCON_PLL0SSCG1_MF_MASK)\r
+#define SYSCON_PLL0SSCG1_MR_MASK (0xE0U)\r
+#define SYSCON_PLL0SSCG1_MR_SHIFT (5U)\r
+/*! MR - programmable frequency modulation depth Dfmodpk-pk = Fref*kss/Fcco = kss/(2*md[32:25]dec)\r
+ *    mr[2:0] = 000 => kss = 0 (no spread spectrum) mr[2:0] = 001 => kss ~ 1 mr[2:0] = 010 => kss ~ 1.\r
+ */\r
+#define SYSCON_PLL0SSCG1_MR(x) (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0SSCG1_MR_SHIFT)) & SYSCON_PLL0SSCG1_MR_MASK)\r
+#define SYSCON_PLL0SSCG1_MC_MASK (0x300U)\r
+#define SYSCON_PLL0SSCG1_MC_SHIFT (8U)\r
+/*! MC - modulation waveform control Compensation for low pass filtering of the PLL to get a\r
+ *    triangular modulation at the output of the PLL, giving a flat frequency spectrum.\r
+ */\r
+#define SYSCON_PLL0SSCG1_MC(x) (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0SSCG1_MC_SHIFT)) & SYSCON_PLL0SSCG1_MC_MASK)\r
+#define SYSCON_PLL0SSCG1_MDIV_EXT_MASK (0x3FFFC00U)\r
+#define SYSCON_PLL0SSCG1_MDIV_EXT_SHIFT (10U)\r
+/*! MDIV_EXT - to select an external mdiv value.\r
+ */\r
+#define SYSCON_PLL0SSCG1_MDIV_EXT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0SSCG1_MDIV_EXT_SHIFT)) & SYSCON_PLL0SSCG1_MDIV_EXT_MASK)\r
+#define SYSCON_PLL0SSCG1_MREQ_MASK (0x4000000U)\r
+#define SYSCON_PLL0SSCG1_MREQ_SHIFT (26U)\r
+/*! MREQ - to select an external mreq value.\r
+ */\r
+#define SYSCON_PLL0SSCG1_MREQ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0SSCG1_MREQ_SHIFT)) & SYSCON_PLL0SSCG1_MREQ_MASK)\r
+#define SYSCON_PLL0SSCG1_DITHER_MASK (0x8000000U)\r
+#define SYSCON_PLL0SSCG1_DITHER_SHIFT (27U)\r
+/*! DITHER - dithering between two modulation frequencies in a random way or in a pseudo random way\r
+ *    (white noise), in order to decrease the probability that the modulated waveform will occur\r
+ *    with the same phase on a particular point on the screen.\r
+ */\r
+#define SYSCON_PLL0SSCG1_DITHER(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0SSCG1_DITHER_SHIFT)) & SYSCON_PLL0SSCG1_DITHER_MASK)\r
+#define SYSCON_PLL0SSCG1_SEL_EXT_MASK (0x10000000U)\r
+#define SYSCON_PLL0SSCG1_SEL_EXT_SHIFT (28U)\r
+/*! SEL_EXT - to select mdiv_ext and mreq_ext sel_ext = 0: mdiv ~ md[32:0], mreq = 1 sel_ext = 1 : mdiv = mdiv_ext, mreq\r
+ * = mreq_ext.\r
+ */\r
+#define SYSCON_PLL0SSCG1_SEL_EXT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_PLL0SSCG1_SEL_EXT_SHIFT)) & SYSCON_PLL0SSCG1_SEL_EXT_MASK)\r
 /*! @} */\r
 \r
 /*! @name CPUCTRL - CPU Control for multiple processors */\r
 /*! @{ */\r
-#define SYSCON_CPUCTRL_CPU1CLKEN_MASK            (0x8U)\r
-#define SYSCON_CPUCTRL_CPU1CLKEN_SHIFT           (3U)\r
+#define SYSCON_CPUCTRL_CPU1CLKEN_MASK (0x8U)\r
+#define SYSCON_CPUCTRL_CPU1CLKEN_SHIFT (3U)\r
 /*! CPU1CLKEN - CPU1 clock enable.\r
  *  0b1..The CPU1 clock is enabled.\r
  *  0b0..The CPU1 clock is not enabled.\r
  */\r
-#define SYSCON_CPUCTRL_CPU1CLKEN(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_CPUCTRL_CPU1CLKEN_SHIFT)) & SYSCON_CPUCTRL_CPU1CLKEN_MASK)\r
-#define SYSCON_CPUCTRL_CPU1RSTEN_MASK            (0x20U)\r
-#define SYSCON_CPUCTRL_CPU1RSTEN_SHIFT           (5U)\r
+#define SYSCON_CPUCTRL_CPU1CLKEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_CPUCTRL_CPU1CLKEN_SHIFT)) & SYSCON_CPUCTRL_CPU1CLKEN_MASK)\r
+#define SYSCON_CPUCTRL_CPU1RSTEN_MASK (0x20U)\r
+#define SYSCON_CPUCTRL_CPU1RSTEN_SHIFT (5U)\r
 /*! CPU1RSTEN - CPU1 reset.\r
  *  0b1..The CPU1 is being reset.\r
  *  0b0..The CPU1 is not being reset.\r
  */\r
-#define SYSCON_CPUCTRL_CPU1RSTEN(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_CPUCTRL_CPU1RSTEN_SHIFT)) & SYSCON_CPUCTRL_CPU1RSTEN_MASK)\r
+#define SYSCON_CPUCTRL_CPU1RSTEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_CPUCTRL_CPU1RSTEN_SHIFT)) & SYSCON_CPUCTRL_CPU1RSTEN_MASK)\r
 /*! @} */\r
 \r
 /*! @name CPBOOT - Coprocessor Boot Address */\r
 /*! @{ */\r
-#define SYSCON_CPBOOT_CPBOOT_MASK                (0xFFFFFFFFU)\r
-#define SYSCON_CPBOOT_CPBOOT_SHIFT               (0U)\r
-#define SYSCON_CPBOOT_CPBOOT(x)                  (((uint32_t)(((uint32_t)(x)) << SYSCON_CPBOOT_CPBOOT_SHIFT)) & SYSCON_CPBOOT_CPBOOT_MASK)\r
-/*! @} */\r
-\r
-/*! @name CPSTACK - Coprocessor Stack Address */\r
-/*! @{ */\r
-#define SYSCON_CPSTACK_CPSTACK_MASK              (0xFFFFFFFFU)\r
-#define SYSCON_CPSTACK_CPSTACK_SHIFT             (0U)\r
-#define SYSCON_CPSTACK_CPSTACK(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_CPSTACK_CPSTACK_SHIFT)) & SYSCON_CPSTACK_CPSTACK_MASK)\r
+#define SYSCON_CPBOOT_CPBOOT_MASK (0xFFFFFFFFU)\r
+#define SYSCON_CPBOOT_CPBOOT_SHIFT (0U)\r
+/*! CPBOOT - Coprocessor Boot Address for CPU1.\r
+ */\r
+#define SYSCON_CPBOOT_CPBOOT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_CPBOOT_CPBOOT_SHIFT)) & SYSCON_CPBOOT_CPBOOT_MASK)\r
 /*! @} */\r
 \r
 /*! @name CPSTAT - CPU Status */\r
 /*! @{ */\r
-#define SYSCON_CPSTAT_CPU0SLEEPING_MASK          (0x1U)\r
-#define SYSCON_CPSTAT_CPU0SLEEPING_SHIFT         (0U)\r
+#define SYSCON_CPSTAT_CPU0SLEEPING_MASK (0x1U)\r
+#define SYSCON_CPSTAT_CPU0SLEEPING_SHIFT (0U)\r
 /*! CPU0SLEEPING - The CPU0 sleeping state.\r
  *  0b1..the CPU is sleeping.\r
  *  0b0..the CPU is not sleeping.\r
  */\r
-#define SYSCON_CPSTAT_CPU0SLEEPING(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_CPSTAT_CPU0SLEEPING_SHIFT)) & SYSCON_CPSTAT_CPU0SLEEPING_MASK)\r
-#define SYSCON_CPSTAT_CPU1SLEEPING_MASK          (0x2U)\r
-#define SYSCON_CPSTAT_CPU1SLEEPING_SHIFT         (1U)\r
+#define SYSCON_CPSTAT_CPU0SLEEPING(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_CPSTAT_CPU0SLEEPING_SHIFT)) & SYSCON_CPSTAT_CPU0SLEEPING_MASK)\r
+#define SYSCON_CPSTAT_CPU1SLEEPING_MASK (0x2U)\r
+#define SYSCON_CPSTAT_CPU1SLEEPING_SHIFT (1U)\r
 /*! CPU1SLEEPING - The CPU1 sleeping state.\r
  *  0b1..the CPU is sleeping.\r
  *  0b0..the CPU is not sleeping.\r
  */\r
-#define SYSCON_CPSTAT_CPU1SLEEPING(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_CPSTAT_CPU1SLEEPING_SHIFT)) & SYSCON_CPSTAT_CPU1SLEEPING_MASK)\r
-#define SYSCON_CPSTAT_CPU0LOCKUP_MASK            (0x4U)\r
-#define SYSCON_CPSTAT_CPU0LOCKUP_SHIFT           (2U)\r
+#define SYSCON_CPSTAT_CPU1SLEEPING(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_CPSTAT_CPU1SLEEPING_SHIFT)) & SYSCON_CPSTAT_CPU1SLEEPING_MASK)\r
+#define SYSCON_CPSTAT_CPU0LOCKUP_MASK (0x4U)\r
+#define SYSCON_CPSTAT_CPU0LOCKUP_SHIFT (2U)\r
 /*! CPU0LOCKUP - The CPU0 lockup state.\r
  *  0b1..the CPU is in lockup.\r
  *  0b0..the CPU is not in lockup.\r
  */\r
-#define SYSCON_CPSTAT_CPU0LOCKUP(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_CPSTAT_CPU0LOCKUP_SHIFT)) & SYSCON_CPSTAT_CPU0LOCKUP_MASK)\r
-#define SYSCON_CPSTAT_CPU1LOCKUP_MASK            (0x8U)\r
-#define SYSCON_CPSTAT_CPU1LOCKUP_SHIFT           (3U)\r
+#define SYSCON_CPSTAT_CPU0LOCKUP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_CPSTAT_CPU0LOCKUP_SHIFT)) & SYSCON_CPSTAT_CPU0LOCKUP_MASK)\r
+#define SYSCON_CPSTAT_CPU1LOCKUP_MASK (0x8U)\r
+#define SYSCON_CPSTAT_CPU1LOCKUP_SHIFT (3U)\r
 /*! CPU1LOCKUP - The CPU1 lockup state.\r
  *  0b1..the CPU is in lockup.\r
  *  0b0..the CPU is not in lockup.\r
  */\r
-#define SYSCON_CPSTAT_CPU1LOCKUP(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_CPSTAT_CPU1LOCKUP_SHIFT)) & SYSCON_CPSTAT_CPU1LOCKUP_MASK)\r
-/*! @} */\r
-\r
-/*! @name DICE_REG0 - Composite Device Identifier */\r
-/*! @{ */\r
-#define SYSCON_DICE_REG0_DICE_REG0_MASK          (0xFFFFFFFFU)\r
-#define SYSCON_DICE_REG0_DICE_REG0_SHIFT         (0U)\r
-#define SYSCON_DICE_REG0_DICE_REG0(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_DICE_REG0_DICE_REG0_SHIFT)) & SYSCON_DICE_REG0_DICE_REG0_MASK)\r
-/*! @} */\r
-\r
-/*! @name DICE_REG1 - Composite Device Identifier */\r
-/*! @{ */\r
-#define SYSCON_DICE_REG1_DICE_REG1_MASK          (0xFFFFFFFFU)\r
-#define SYSCON_DICE_REG1_DICE_REG1_SHIFT         (0U)\r
-#define SYSCON_DICE_REG1_DICE_REG1(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_DICE_REG1_DICE_REG1_SHIFT)) & SYSCON_DICE_REG1_DICE_REG1_MASK)\r
-/*! @} */\r
-\r
-/*! @name DICE_REG2 - Composite Device Identifier */\r
-/*! @{ */\r
-#define SYSCON_DICE_REG2_DICE_REG2_MASK          (0xFFFFFFFFU)\r
-#define SYSCON_DICE_REG2_DICE_REG2_SHIFT         (0U)\r
-#define SYSCON_DICE_REG2_DICE_REG2(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_DICE_REG2_DICE_REG2_SHIFT)) & SYSCON_DICE_REG2_DICE_REG2_MASK)\r
-/*! @} */\r
-\r
-/*! @name DICE_REG3 - Composite Device Identifier */\r
-/*! @{ */\r
-#define SYSCON_DICE_REG3_DICE_REG3_MASK          (0xFFFFFFFFU)\r
-#define SYSCON_DICE_REG3_DICE_REG3_SHIFT         (0U)\r
-#define SYSCON_DICE_REG3_DICE_REG3(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_DICE_REG3_DICE_REG3_SHIFT)) & SYSCON_DICE_REG3_DICE_REG3_MASK)\r
-/*! @} */\r
-\r
-/*! @name DICE_REG4 - Composite Device Identifier */\r
-/*! @{ */\r
-#define SYSCON_DICE_REG4_DICE_REG4_MASK          (0xFFFFFFFFU)\r
-#define SYSCON_DICE_REG4_DICE_REG4_SHIFT         (0U)\r
-#define SYSCON_DICE_REG4_DICE_REG4(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_DICE_REG4_DICE_REG4_SHIFT)) & SYSCON_DICE_REG4_DICE_REG4_MASK)\r
-/*! @} */\r
-\r
-/*! @name DICE_REG5 - Composite Device Identifier */\r
-/*! @{ */\r
-#define SYSCON_DICE_REG5_DICE_REG5_MASK          (0xFFFFFFFFU)\r
-#define SYSCON_DICE_REG5_DICE_REG5_SHIFT         (0U)\r
-#define SYSCON_DICE_REG5_DICE_REG5(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_DICE_REG5_DICE_REG5_SHIFT)) & SYSCON_DICE_REG5_DICE_REG5_MASK)\r
-/*! @} */\r
-\r
-/*! @name DICE_REG6 - Composite Device Identifier */\r
-/*! @{ */\r
-#define SYSCON_DICE_REG6_DICE_REG6_MASK          (0xFFFFFFFFU)\r
-#define SYSCON_DICE_REG6_DICE_REG6_SHIFT         (0U)\r
-#define SYSCON_DICE_REG6_DICE_REG6(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_DICE_REG6_DICE_REG6_SHIFT)) & SYSCON_DICE_REG6_DICE_REG6_MASK)\r
-/*! @} */\r
-\r
-/*! @name DICE_REG7 - Composite Device Identifier */\r
-/*! @{ */\r
-#define SYSCON_DICE_REG7_DICE_REG7_MASK          (0xFFFFFFFFU)\r
-#define SYSCON_DICE_REG7_DICE_REG7_SHIFT         (0U)\r
-#define SYSCON_DICE_REG7_DICE_REG7(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_DICE_REG7_DICE_REG7_SHIFT)) & SYSCON_DICE_REG7_DICE_REG7_MASK)\r
+#define SYSCON_CPSTAT_CPU1LOCKUP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_CPSTAT_CPU1LOCKUP_SHIFT)) & SYSCON_CPSTAT_CPU1LOCKUP_MASK)\r
 /*! @} */\r
 \r
 /*! @name CLOCK_CTRL - Various system clock controls : Flash clock (48 MHz) control, clocks to Frequency Measures */\r
 /*! @{ */\r
-#define SYSCON_CLOCK_CTRL_FLASH48MHZ_ENA_MASK    (0x1U)\r
-#define SYSCON_CLOCK_CTRL_FLASH48MHZ_ENA_SHIFT   (0U)\r
-/*! FLASH48MHZ_ENA - Enable Flash 48 MHz clock.\r
- *  0b1..The clock is enabled.\r
- *  0b0..The clock is not enabled.\r
- */\r
-#define SYSCON_CLOCK_CTRL_FLASH48MHZ_ENA(x)      (((uint32_t)(((uint32_t)(x)) << SYSCON_CLOCK_CTRL_FLASH48MHZ_ENA_SHIFT)) & SYSCON_CLOCK_CTRL_FLASH48MHZ_ENA_MASK)\r
 #define SYSCON_CLOCK_CTRL_XTAL32MHZ_FREQM_ENA_MASK (0x2U)\r
 #define SYSCON_CLOCK_CTRL_XTAL32MHZ_FREQM_ENA_SHIFT (1U)\r
 /*! XTAL32MHZ_FREQM_ENA - Enable XTAL32MHz clock for Frequency Measure module.\r
  *  0b1..The clock is enabled.\r
  *  0b0..The clock is not enabled.\r
  */\r
-#define SYSCON_CLOCK_CTRL_XTAL32MHZ_FREQM_ENA(x) (((uint32_t)(((uint32_t)(x)) << SYSCON_CLOCK_CTRL_XTAL32MHZ_FREQM_ENA_SHIFT)) & SYSCON_CLOCK_CTRL_XTAL32MHZ_FREQM_ENA_MASK)\r
+#define SYSCON_CLOCK_CTRL_XTAL32MHZ_FREQM_ENA(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_CLOCK_CTRL_XTAL32MHZ_FREQM_ENA_SHIFT)) & \\r
+     SYSCON_CLOCK_CTRL_XTAL32MHZ_FREQM_ENA_MASK)\r
 #define SYSCON_CLOCK_CTRL_FRO1MHZ_UTICK_ENA_MASK (0x4U)\r
 #define SYSCON_CLOCK_CTRL_FRO1MHZ_UTICK_ENA_SHIFT (2U)\r
 /*! FRO1MHZ_UTICK_ENA - Enable FRO 1MHz clock for Frequency Measure module and for UTICK.\r
  *  0b1..The clock is enabled.\r
  *  0b0..The clock is not enabled.\r
  */\r
-#define SYSCON_CLOCK_CTRL_FRO1MHZ_UTICK_ENA(x)   (((uint32_t)(((uint32_t)(x)) << SYSCON_CLOCK_CTRL_FRO1MHZ_UTICK_ENA_SHIFT)) & SYSCON_CLOCK_CTRL_FRO1MHZ_UTICK_ENA_MASK)\r
+#define SYSCON_CLOCK_CTRL_FRO1MHZ_UTICK_ENA(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_CLOCK_CTRL_FRO1MHZ_UTICK_ENA_SHIFT)) & \\r
+     SYSCON_CLOCK_CTRL_FRO1MHZ_UTICK_ENA_MASK)\r
 #define SYSCON_CLOCK_CTRL_FRO12MHZ_FREQM_ENA_MASK (0x8U)\r
 #define SYSCON_CLOCK_CTRL_FRO12MHZ_FREQM_ENA_SHIFT (3U)\r
 /*! FRO12MHZ_FREQM_ENA - Enable FRO 12MHz clock for Frequency Measure module.\r
  *  0b1..The clock is enabled.\r
  *  0b0..The clock is not enabled.\r
  */\r
-#define SYSCON_CLOCK_CTRL_FRO12MHZ_FREQM_ENA(x)  (((uint32_t)(((uint32_t)(x)) << SYSCON_CLOCK_CTRL_FRO12MHZ_FREQM_ENA_SHIFT)) & SYSCON_CLOCK_CTRL_FRO12MHZ_FREQM_ENA_MASK)\r
-#define SYSCON_CLOCK_CTRL_FRO_HF_FREQM_ENA_MASK  (0x10U)\r
+#define SYSCON_CLOCK_CTRL_FRO12MHZ_FREQM_ENA(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_CLOCK_CTRL_FRO12MHZ_FREQM_ENA_SHIFT)) & \\r
+     SYSCON_CLOCK_CTRL_FRO12MHZ_FREQM_ENA_MASK)\r
+#define SYSCON_CLOCK_CTRL_FRO_HF_FREQM_ENA_MASK (0x10U)\r
 #define SYSCON_CLOCK_CTRL_FRO_HF_FREQM_ENA_SHIFT (4U)\r
 /*! FRO_HF_FREQM_ENA - Enable FRO 96MHz clock for Frequency Measure module.\r
  *  0b1..The clock is enabled.\r
  *  0b0..The clock is not enabled.\r
  */\r
-#define SYSCON_CLOCK_CTRL_FRO_HF_FREQM_ENA(x)    (((uint32_t)(((uint32_t)(x)) << SYSCON_CLOCK_CTRL_FRO_HF_FREQM_ENA_SHIFT)) & SYSCON_CLOCK_CTRL_FRO_HF_FREQM_ENA_MASK)\r
-#define SYSCON_CLOCK_CTRL_CLKIN_ENA_MASK         (0x20U)\r
-#define SYSCON_CLOCK_CTRL_CLKIN_ENA_SHIFT        (5U)\r
+#define SYSCON_CLOCK_CTRL_FRO_HF_FREQM_ENA(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_CLOCK_CTRL_FRO_HF_FREQM_ENA_SHIFT)) & \\r
+     SYSCON_CLOCK_CTRL_FRO_HF_FREQM_ENA_MASK)\r
+#define SYSCON_CLOCK_CTRL_CLKIN_ENA_MASK (0x20U)\r
+#define SYSCON_CLOCK_CTRL_CLKIN_ENA_SHIFT (5U)\r
 /*! CLKIN_ENA - Enable clock_in clock for clock module.\r
  *  0b1..The clock is enabled.\r
  *  0b0..The clock is not enabled.\r
  */\r
-#define SYSCON_CLOCK_CTRL_CLKIN_ENA(x)           (((uint32_t)(((uint32_t)(x)) << SYSCON_CLOCK_CTRL_CLKIN_ENA_SHIFT)) & SYSCON_CLOCK_CTRL_CLKIN_ENA_MASK)\r
-#define SYSCON_CLOCK_CTRL_FRO1MHZ_CLK_ENA_MASK   (0x40U)\r
-#define SYSCON_CLOCK_CTRL_FRO1MHZ_CLK_ENA_SHIFT  (6U)\r
+#define SYSCON_CLOCK_CTRL_CLKIN_ENA(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_CLOCK_CTRL_CLKIN_ENA_SHIFT)) & SYSCON_CLOCK_CTRL_CLKIN_ENA_MASK)\r
+#define SYSCON_CLOCK_CTRL_FRO1MHZ_CLK_ENA_MASK (0x40U)\r
+#define SYSCON_CLOCK_CTRL_FRO1MHZ_CLK_ENA_SHIFT (6U)\r
 /*! FRO1MHZ_CLK_ENA - Enable FRO 1MHz clock for clock muxing in clock gen.\r
  *  0b1..The clock is enabled.\r
  *  0b0..The clock is not enabled.\r
  */\r
-#define SYSCON_CLOCK_CTRL_FRO1MHZ_CLK_ENA(x)     (((uint32_t)(((uint32_t)(x)) << SYSCON_CLOCK_CTRL_FRO1MHZ_CLK_ENA_SHIFT)) & SYSCON_CLOCK_CTRL_FRO1MHZ_CLK_ENA_MASK)\r
+#define SYSCON_CLOCK_CTRL_FRO1MHZ_CLK_ENA(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_CLOCK_CTRL_FRO1MHZ_CLK_ENA_SHIFT)) & SYSCON_CLOCK_CTRL_FRO1MHZ_CLK_ENA_MASK)\r
 #define SYSCON_CLOCK_CTRL_ANA_FRO12M_CLK_ENA_MASK (0x80U)\r
 #define SYSCON_CLOCK_CTRL_ANA_FRO12M_CLK_ENA_SHIFT (7U)\r
 /*! ANA_FRO12M_CLK_ENA - Enable FRO 12MHz clock for analog control of the FRO 192MHz.\r
  *  0b1..The clock is enabled.\r
  *  0b0..The clock is not enabled.\r
  */\r
-#define SYSCON_CLOCK_CTRL_ANA_FRO12M_CLK_ENA(x)  (((uint32_t)(((uint32_t)(x)) << SYSCON_CLOCK_CTRL_ANA_FRO12M_CLK_ENA_SHIFT)) & SYSCON_CLOCK_CTRL_ANA_FRO12M_CLK_ENA_MASK)\r
-#define SYSCON_CLOCK_CTRL_XO_CAL_CLK_ENA_MASK    (0x100U)\r
-#define SYSCON_CLOCK_CTRL_XO_CAL_CLK_ENA_SHIFT   (8U)\r
+#define SYSCON_CLOCK_CTRL_ANA_FRO12M_CLK_ENA(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_CLOCK_CTRL_ANA_FRO12M_CLK_ENA_SHIFT)) & \\r
+     SYSCON_CLOCK_CTRL_ANA_FRO12M_CLK_ENA_MASK)\r
+#define SYSCON_CLOCK_CTRL_XO_CAL_CLK_ENA_MASK (0x100U)\r
+#define SYSCON_CLOCK_CTRL_XO_CAL_CLK_ENA_SHIFT (8U)\r
 /*! XO_CAL_CLK_ENA - Enable clock for cristal oscilator calibration.\r
  *  0b1..The clock is enabled.\r
  *  0b0..The clock is not enabled.\r
  */\r
-#define SYSCON_CLOCK_CTRL_XO_CAL_CLK_ENA(x)      (((uint32_t)(((uint32_t)(x)) << SYSCON_CLOCK_CTRL_XO_CAL_CLK_ENA_SHIFT)) & SYSCON_CLOCK_CTRL_XO_CAL_CLK_ENA_MASK)\r
+#define SYSCON_CLOCK_CTRL_XO_CAL_CLK_ENA(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_CLOCK_CTRL_XO_CAL_CLK_ENA_SHIFT)) & SYSCON_CLOCK_CTRL_XO_CAL_CLK_ENA_MASK)\r
 #define SYSCON_CLOCK_CTRL_PLU_DEGLITCH_CLK_ENA_MASK (0x200U)\r
 #define SYSCON_CLOCK_CTRL_PLU_DEGLITCH_CLK_ENA_SHIFT (9U)\r
 /*! PLU_DEGLITCH_CLK_ENA - Enable clocks FRO_1MHz and FRO_12MHz for PLU deglitching.\r
  *  0b1..The clock is enabled.\r
  *  0b0..The clock is not enabled.\r
  */\r
-#define SYSCON_CLOCK_CTRL_PLU_DEGLITCH_CLK_ENA(x) (((uint32_t)(((uint32_t)(x)) << SYSCON_CLOCK_CTRL_PLU_DEGLITCH_CLK_ENA_SHIFT)) & SYSCON_CLOCK_CTRL_PLU_DEGLITCH_CLK_ENA_MASK)\r
+#define SYSCON_CLOCK_CTRL_PLU_DEGLITCH_CLK_ENA(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_CLOCK_CTRL_PLU_DEGLITCH_CLK_ENA_SHIFT)) & \\r
+     SYSCON_CLOCK_CTRL_PLU_DEGLITCH_CLK_ENA_MASK)\r
 /*! @} */\r
 \r
 /*! @name COMP_INT_CTRL - Comparator Interrupt control */\r
 /*! @{ */\r
-#define SYSCON_COMP_INT_CTRL_INT_ENABLE_MASK     (0x1U)\r
-#define SYSCON_COMP_INT_CTRL_INT_ENABLE_SHIFT    (0U)\r
+#define SYSCON_COMP_INT_CTRL_INT_ENABLE_MASK (0x1U)\r
+#define SYSCON_COMP_INT_CTRL_INT_ENABLE_SHIFT (0U)\r
 /*! INT_ENABLE - Analog Comparator interrupt enable control:.\r
  *  0b1..interrupt enable.\r
  *  0b0..interrupt disable.\r
  */\r
-#define SYSCON_COMP_INT_CTRL_INT_ENABLE(x)       (((uint32_t)(((uint32_t)(x)) << SYSCON_COMP_INT_CTRL_INT_ENABLE_SHIFT)) & SYSCON_COMP_INT_CTRL_INT_ENABLE_MASK)\r
-#define SYSCON_COMP_INT_CTRL_INT_CLEAR_MASK      (0x2U)\r
-#define SYSCON_COMP_INT_CTRL_INT_CLEAR_SHIFT     (1U)\r
+#define SYSCON_COMP_INT_CTRL_INT_ENABLE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_COMP_INT_CTRL_INT_ENABLE_SHIFT)) & SYSCON_COMP_INT_CTRL_INT_ENABLE_MASK)\r
+#define SYSCON_COMP_INT_CTRL_INT_CLEAR_MASK (0x2U)\r
+#define SYSCON_COMP_INT_CTRL_INT_CLEAR_SHIFT (1U)\r
 /*! INT_CLEAR - Analog Comparator interrupt clear.\r
  *  0b0..No effect.\r
  *  0b1..Clear the interrupt. Self-cleared bit.\r
  */\r
-#define SYSCON_COMP_INT_CTRL_INT_CLEAR(x)        (((uint32_t)(((uint32_t)(x)) << SYSCON_COMP_INT_CTRL_INT_CLEAR_SHIFT)) & SYSCON_COMP_INT_CTRL_INT_CLEAR_MASK)\r
-#define SYSCON_COMP_INT_CTRL_INT_CTRL_MASK       (0x1CU)\r
-#define SYSCON_COMP_INT_CTRL_INT_CTRL_SHIFT      (2U)\r
+#define SYSCON_COMP_INT_CTRL_INT_CLEAR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_COMP_INT_CTRL_INT_CLEAR_SHIFT)) & SYSCON_COMP_INT_CTRL_INT_CLEAR_MASK)\r
+#define SYSCON_COMP_INT_CTRL_INT_CTRL_MASK (0x1CU)\r
+#define SYSCON_COMP_INT_CTRL_INT_CTRL_SHIFT (2U)\r
 /*! INT_CTRL - Comparator interrupt type selector:.\r
  *  0b000..The analog comparator interrupt edge sensitive is disabled.\r
  *  0b010..analog comparator interrupt is rising edge sensitive.\r
@@ -19713,465 +24466,430 @@ typedef struct {
  *  0b101..Analog Comparator interrupt is low level sensitive.\r
  *  0b111..The analog comparator interrupt level sensitive is disabled.\r
  */\r
-#define SYSCON_COMP_INT_CTRL_INT_CTRL(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_COMP_INT_CTRL_INT_CTRL_SHIFT)) & SYSCON_COMP_INT_CTRL_INT_CTRL_MASK)\r
-#define SYSCON_COMP_INT_CTRL_INT_SOURCE_MASK     (0x20U)\r
-#define SYSCON_COMP_INT_CTRL_INT_SOURCE_SHIFT    (5U)\r
+#define SYSCON_COMP_INT_CTRL_INT_CTRL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_COMP_INT_CTRL_INT_CTRL_SHIFT)) & SYSCON_COMP_INT_CTRL_INT_CTRL_MASK)\r
+#define SYSCON_COMP_INT_CTRL_INT_SOURCE_MASK (0x20U)\r
+#define SYSCON_COMP_INT_CTRL_INT_SOURCE_SHIFT (5U)\r
 /*! INT_SOURCE - Select which Analog comparator output (filtered our un-filtered) is used for interrupt detection.\r
  *  0b0..Select Analog Comparator filtered output as input for interrupt detection.\r
- *  0b1..Select Analog Comparator raw output (unfiltered) as input for interrupt detection. Must be used when Analog comparator is used as wake up source in Power down mode.\r
+ *  0b1..Select Analog Comparator raw output (unfiltered) as input for interrupt detection. Must be used when\r
+ *       Analog comparator is used as wake up source in Power down mode.\r
  */\r
-#define SYSCON_COMP_INT_CTRL_INT_SOURCE(x)       (((uint32_t)(((uint32_t)(x)) << SYSCON_COMP_INT_CTRL_INT_SOURCE_SHIFT)) & SYSCON_COMP_INT_CTRL_INT_SOURCE_MASK)\r
+#define SYSCON_COMP_INT_CTRL_INT_SOURCE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_COMP_INT_CTRL_INT_SOURCE_SHIFT)) & SYSCON_COMP_INT_CTRL_INT_SOURCE_MASK)\r
 /*! @} */\r
 \r
 /*! @name COMP_INT_STATUS - Comparator Interrupt status */\r
 /*! @{ */\r
-#define SYSCON_COMP_INT_STATUS_STATUS_MASK       (0x1U)\r
-#define SYSCON_COMP_INT_STATUS_STATUS_SHIFT      (0U)\r
+#define SYSCON_COMP_INT_STATUS_STATUS_MASK (0x1U)\r
+#define SYSCON_COMP_INT_STATUS_STATUS_SHIFT (0U)\r
 /*! STATUS - Interrupt status BEFORE Interrupt Enable.\r
  *  0b0..no interrupt pending.\r
  *  0b1..interrupt pending.\r
  */\r
-#define SYSCON_COMP_INT_STATUS_STATUS(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_COMP_INT_STATUS_STATUS_SHIFT)) & SYSCON_COMP_INT_STATUS_STATUS_MASK)\r
-#define SYSCON_COMP_INT_STATUS_INT_STATUS_MASK   (0x2U)\r
-#define SYSCON_COMP_INT_STATUS_INT_STATUS_SHIFT  (1U)\r
+#define SYSCON_COMP_INT_STATUS_STATUS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_COMP_INT_STATUS_STATUS_SHIFT)) & SYSCON_COMP_INT_STATUS_STATUS_MASK)\r
+#define SYSCON_COMP_INT_STATUS_INT_STATUS_MASK (0x2U)\r
+#define SYSCON_COMP_INT_STATUS_INT_STATUS_SHIFT (1U)\r
 /*! INT_STATUS - Interrupt status AFTER Interrupt Enable.\r
  *  0b0..no interrupt pending.\r
  *  0b1..interrupt pending.\r
  */\r
-#define SYSCON_COMP_INT_STATUS_INT_STATUS(x)     (((uint32_t)(((uint32_t)(x)) << SYSCON_COMP_INT_STATUS_INT_STATUS_SHIFT)) & SYSCON_COMP_INT_STATUS_INT_STATUS_MASK)\r
-#define SYSCON_COMP_INT_STATUS_VAL_MASK          (0x4U)\r
-#define SYSCON_COMP_INT_STATUS_VAL_SHIFT         (2U)\r
+#define SYSCON_COMP_INT_STATUS_INT_STATUS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_COMP_INT_STATUS_INT_STATUS_SHIFT)) & SYSCON_COMP_INT_STATUS_INT_STATUS_MASK)\r
+#define SYSCON_COMP_INT_STATUS_VAL_MASK (0x4U)\r
+#define SYSCON_COMP_INT_STATUS_VAL_SHIFT (2U)\r
 /*! VAL - comparator analog output.\r
  *  0b1..P+ is greater than P-.\r
  *  0b0..P+ is smaller than P-.\r
  */\r
-#define SYSCON_COMP_INT_STATUS_VAL(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_COMP_INT_STATUS_VAL_SHIFT)) & SYSCON_COMP_INT_STATUS_VAL_MASK)\r
+#define SYSCON_COMP_INT_STATUS_VAL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_COMP_INT_STATUS_VAL_SHIFT)) & SYSCON_COMP_INT_STATUS_VAL_MASK)\r
 /*! @} */\r
 \r
 /*! @name AUTOCLKGATEOVERRIDE - Control automatic clock gating */\r
 /*! @{ */\r
-#define SYSCON_AUTOCLKGATEOVERRIDE_ROM_MASK      (0x1U)\r
-#define SYSCON_AUTOCLKGATEOVERRIDE_ROM_SHIFT     (0U)\r
+#define SYSCON_AUTOCLKGATEOVERRIDE_ROM_MASK (0x1U)\r
+#define SYSCON_AUTOCLKGATEOVERRIDE_ROM_SHIFT (0U)\r
 /*! ROM - Control automatic clock gating of ROM controller.\r
  *  0b1..Automatic clock gating is overridden (Clock gating is disabled).\r
  *  0b0..Automatic clock gating is not overridden.\r
  */\r
-#define SYSCON_AUTOCLKGATEOVERRIDE_ROM(x)        (((uint32_t)(((uint32_t)(x)) << SYSCON_AUTOCLKGATEOVERRIDE_ROM_SHIFT)) & SYSCON_AUTOCLKGATEOVERRIDE_ROM_MASK)\r
+#define SYSCON_AUTOCLKGATEOVERRIDE_ROM(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AUTOCLKGATEOVERRIDE_ROM_SHIFT)) & SYSCON_AUTOCLKGATEOVERRIDE_ROM_MASK)\r
 #define SYSCON_AUTOCLKGATEOVERRIDE_RAMX_CTRL_MASK (0x2U)\r
 #define SYSCON_AUTOCLKGATEOVERRIDE_RAMX_CTRL_SHIFT (1U)\r
 /*! RAMX_CTRL - Control automatic clock gating of RAMX controller.\r
  *  0b1..Automatic clock gating is overridden (Clock gating is disabled).\r
  *  0b0..Automatic clock gating is not overridden.\r
  */\r
-#define SYSCON_AUTOCLKGATEOVERRIDE_RAMX_CTRL(x)  (((uint32_t)(((uint32_t)(x)) << SYSCON_AUTOCLKGATEOVERRIDE_RAMX_CTRL_SHIFT)) & SYSCON_AUTOCLKGATEOVERRIDE_RAMX_CTRL_MASK)\r
+#define SYSCON_AUTOCLKGATEOVERRIDE_RAMX_CTRL(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AUTOCLKGATEOVERRIDE_RAMX_CTRL_SHIFT)) & \\r
+     SYSCON_AUTOCLKGATEOVERRIDE_RAMX_CTRL_MASK)\r
 #define SYSCON_AUTOCLKGATEOVERRIDE_RAM0_CTRL_MASK (0x4U)\r
 #define SYSCON_AUTOCLKGATEOVERRIDE_RAM0_CTRL_SHIFT (2U)\r
 /*! RAM0_CTRL - Control automatic clock gating of RAM0 controller.\r
  *  0b1..Automatic clock gating is overridden (Clock gating is disabled).\r
  *  0b0..Automatic clock gating is not overridden.\r
  */\r
-#define SYSCON_AUTOCLKGATEOVERRIDE_RAM0_CTRL(x)  (((uint32_t)(((uint32_t)(x)) << SYSCON_AUTOCLKGATEOVERRIDE_RAM0_CTRL_SHIFT)) & SYSCON_AUTOCLKGATEOVERRIDE_RAM0_CTRL_MASK)\r
+#define SYSCON_AUTOCLKGATEOVERRIDE_RAM0_CTRL(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AUTOCLKGATEOVERRIDE_RAM0_CTRL_SHIFT)) & \\r
+     SYSCON_AUTOCLKGATEOVERRIDE_RAM0_CTRL_MASK)\r
 #define SYSCON_AUTOCLKGATEOVERRIDE_RAM1_CTRL_MASK (0x8U)\r
 #define SYSCON_AUTOCLKGATEOVERRIDE_RAM1_CTRL_SHIFT (3U)\r
 /*! RAM1_CTRL - Control automatic clock gating of RAM1 controller.\r
  *  0b1..Automatic clock gating is overridden (Clock gating is disabled).\r
  *  0b0..Automatic clock gating is not overridden.\r
  */\r
-#define SYSCON_AUTOCLKGATEOVERRIDE_RAM1_CTRL(x)  (((uint32_t)(((uint32_t)(x)) << SYSCON_AUTOCLKGATEOVERRIDE_RAM1_CTRL_SHIFT)) & SYSCON_AUTOCLKGATEOVERRIDE_RAM1_CTRL_MASK)\r
+#define SYSCON_AUTOCLKGATEOVERRIDE_RAM1_CTRL(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AUTOCLKGATEOVERRIDE_RAM1_CTRL_SHIFT)) & \\r
+     SYSCON_AUTOCLKGATEOVERRIDE_RAM1_CTRL_MASK)\r
 #define SYSCON_AUTOCLKGATEOVERRIDE_RAM2_CTRL_MASK (0x10U)\r
 #define SYSCON_AUTOCLKGATEOVERRIDE_RAM2_CTRL_SHIFT (4U)\r
 /*! RAM2_CTRL - Control automatic clock gating of RAM2 controller.\r
  *  0b1..Automatic clock gating is overridden (Clock gating is disabled).\r
  *  0b0..Automatic clock gating is not overridden.\r
  */\r
-#define SYSCON_AUTOCLKGATEOVERRIDE_RAM2_CTRL(x)  (((uint32_t)(((uint32_t)(x)) << SYSCON_AUTOCLKGATEOVERRIDE_RAM2_CTRL_SHIFT)) & SYSCON_AUTOCLKGATEOVERRIDE_RAM2_CTRL_MASK)\r
+#define SYSCON_AUTOCLKGATEOVERRIDE_RAM2_CTRL(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AUTOCLKGATEOVERRIDE_RAM2_CTRL_SHIFT)) & \\r
+     SYSCON_AUTOCLKGATEOVERRIDE_RAM2_CTRL_MASK)\r
 #define SYSCON_AUTOCLKGATEOVERRIDE_RAM3_CTRL_MASK (0x20U)\r
 #define SYSCON_AUTOCLKGATEOVERRIDE_RAM3_CTRL_SHIFT (5U)\r
 /*! RAM3_CTRL - Control automatic clock gating of RAM3 controller.\r
  *  0b1..Automatic clock gating is overridden (Clock gating is disabled).\r
  *  0b0..Automatic clock gating is not overridden.\r
  */\r
-#define SYSCON_AUTOCLKGATEOVERRIDE_RAM3_CTRL(x)  (((uint32_t)(((uint32_t)(x)) << SYSCON_AUTOCLKGATEOVERRIDE_RAM3_CTRL_SHIFT)) & SYSCON_AUTOCLKGATEOVERRIDE_RAM3_CTRL_MASK)\r
+#define SYSCON_AUTOCLKGATEOVERRIDE_RAM3_CTRL(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AUTOCLKGATEOVERRIDE_RAM3_CTRL_SHIFT)) & \\r
+     SYSCON_AUTOCLKGATEOVERRIDE_RAM3_CTRL_MASK)\r
 #define SYSCON_AUTOCLKGATEOVERRIDE_RAM4_CTRL_MASK (0x40U)\r
 #define SYSCON_AUTOCLKGATEOVERRIDE_RAM4_CTRL_SHIFT (6U)\r
 /*! RAM4_CTRL - Control automatic clock gating of RAM4 controller.\r
  *  0b1..Automatic clock gating is overridden (Clock gating is disabled).\r
  *  0b0..Automatic clock gating is not overridden.\r
  */\r
-#define SYSCON_AUTOCLKGATEOVERRIDE_RAM4_CTRL(x)  (((uint32_t)(((uint32_t)(x)) << SYSCON_AUTOCLKGATEOVERRIDE_RAM4_CTRL_SHIFT)) & SYSCON_AUTOCLKGATEOVERRIDE_RAM4_CTRL_MASK)\r
+#define SYSCON_AUTOCLKGATEOVERRIDE_RAM4_CTRL(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AUTOCLKGATEOVERRIDE_RAM4_CTRL_SHIFT)) & \\r
+     SYSCON_AUTOCLKGATEOVERRIDE_RAM4_CTRL_MASK)\r
 #define SYSCON_AUTOCLKGATEOVERRIDE_SYNC0_APB_MASK (0x80U)\r
 #define SYSCON_AUTOCLKGATEOVERRIDE_SYNC0_APB_SHIFT (7U)\r
 /*! SYNC0_APB - Control automatic clock gating of synchronous bridge controller 0.\r
  *  0b1..Automatic clock gating is overridden (Clock gating is disabled).\r
  *  0b0..Automatic clock gating is not overridden.\r
  */\r
-#define SYSCON_AUTOCLKGATEOVERRIDE_SYNC0_APB(x)  (((uint32_t)(((uint32_t)(x)) << SYSCON_AUTOCLKGATEOVERRIDE_SYNC0_APB_SHIFT)) & SYSCON_AUTOCLKGATEOVERRIDE_SYNC0_APB_MASK)\r
+#define SYSCON_AUTOCLKGATEOVERRIDE_SYNC0_APB(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AUTOCLKGATEOVERRIDE_SYNC0_APB_SHIFT)) & \\r
+     SYSCON_AUTOCLKGATEOVERRIDE_SYNC0_APB_MASK)\r
 #define SYSCON_AUTOCLKGATEOVERRIDE_SYNC1_APB_MASK (0x100U)\r
 #define SYSCON_AUTOCLKGATEOVERRIDE_SYNC1_APB_SHIFT (8U)\r
 /*! SYNC1_APB - Control automatic clock gating of synchronous bridge controller 1.\r
  *  0b1..Automatic clock gating is overridden (Clock gating is disabled).\r
  *  0b0..Automatic clock gating is not overridden.\r
  */\r
-#define SYSCON_AUTOCLKGATEOVERRIDE_SYNC1_APB(x)  (((uint32_t)(((uint32_t)(x)) << SYSCON_AUTOCLKGATEOVERRIDE_SYNC1_APB_SHIFT)) & SYSCON_AUTOCLKGATEOVERRIDE_SYNC1_APB_MASK)\r
-#define SYSCON_AUTOCLKGATEOVERRIDE_FLASH_MASK    (0x200U)\r
-#define SYSCON_AUTOCLKGATEOVERRIDE_FLASH_SHIFT   (9U)\r
-/*! FLASH - Control automatic clock gating of FLASH controller.\r
- *  0b1..Automatic clock gating is overridden (Clock gating is disabled).\r
- *  0b0..Automatic clock gating is not overridden.\r
- */\r
-#define SYSCON_AUTOCLKGATEOVERRIDE_FLASH(x)      (((uint32_t)(((uint32_t)(x)) << SYSCON_AUTOCLKGATEOVERRIDE_FLASH_SHIFT)) & SYSCON_AUTOCLKGATEOVERRIDE_FLASH_MASK)\r
-#define SYSCON_AUTOCLKGATEOVERRIDE_FMC_MASK      (0x400U)\r
-#define SYSCON_AUTOCLKGATEOVERRIDE_FMC_SHIFT     (10U)\r
-/*! FMC - Control automatic clock gating of FMC controller.\r
- *  0b1..Automatic clock gating is overridden (Clock gating is disabled).\r
- *  0b0..Automatic clock gating is not overridden.\r
- */\r
-#define SYSCON_AUTOCLKGATEOVERRIDE_FMC(x)        (((uint32_t)(((uint32_t)(x)) << SYSCON_AUTOCLKGATEOVERRIDE_FMC_SHIFT)) & SYSCON_AUTOCLKGATEOVERRIDE_FMC_MASK)\r
-#define SYSCON_AUTOCLKGATEOVERRIDE_CRCGEN_MASK   (0x800U)\r
-#define SYSCON_AUTOCLKGATEOVERRIDE_CRCGEN_SHIFT  (11U)\r
+#define SYSCON_AUTOCLKGATEOVERRIDE_SYNC1_APB(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AUTOCLKGATEOVERRIDE_SYNC1_APB_SHIFT)) & \\r
+     SYSCON_AUTOCLKGATEOVERRIDE_SYNC1_APB_MASK)\r
+#define SYSCON_AUTOCLKGATEOVERRIDE_CRCGEN_MASK (0x800U)\r
+#define SYSCON_AUTOCLKGATEOVERRIDE_CRCGEN_SHIFT (11U)\r
 /*! CRCGEN - Control automatic clock gating of CRCGEN controller.\r
  *  0b1..Automatic clock gating is overridden (Clock gating is disabled).\r
  *  0b0..Automatic clock gating is not overridden.\r
  */\r
-#define SYSCON_AUTOCLKGATEOVERRIDE_CRCGEN(x)     (((uint32_t)(((uint32_t)(x)) << SYSCON_AUTOCLKGATEOVERRIDE_CRCGEN_SHIFT)) & SYSCON_AUTOCLKGATEOVERRIDE_CRCGEN_MASK)\r
-#define SYSCON_AUTOCLKGATEOVERRIDE_SDMA0_MASK    (0x1000U)\r
-#define SYSCON_AUTOCLKGATEOVERRIDE_SDMA0_SHIFT   (12U)\r
+#define SYSCON_AUTOCLKGATEOVERRIDE_CRCGEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AUTOCLKGATEOVERRIDE_CRCGEN_SHIFT)) & SYSCON_AUTOCLKGATEOVERRIDE_CRCGEN_MASK)\r
+#define SYSCON_AUTOCLKGATEOVERRIDE_SDMA0_MASK (0x1000U)\r
+#define SYSCON_AUTOCLKGATEOVERRIDE_SDMA0_SHIFT (12U)\r
 /*! SDMA0 - Control automatic clock gating of DMA0 controller.\r
  *  0b1..Automatic clock gating is overridden (Clock gating is disabled).\r
  *  0b0..Automatic clock gating is not overridden.\r
  */\r
-#define SYSCON_AUTOCLKGATEOVERRIDE_SDMA0(x)      (((uint32_t)(((uint32_t)(x)) << SYSCON_AUTOCLKGATEOVERRIDE_SDMA0_SHIFT)) & SYSCON_AUTOCLKGATEOVERRIDE_SDMA0_MASK)\r
-#define SYSCON_AUTOCLKGATEOVERRIDE_SDMA1_MASK    (0x2000U)\r
-#define SYSCON_AUTOCLKGATEOVERRIDE_SDMA1_SHIFT   (13U)\r
+#define SYSCON_AUTOCLKGATEOVERRIDE_SDMA0(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AUTOCLKGATEOVERRIDE_SDMA0_SHIFT)) & SYSCON_AUTOCLKGATEOVERRIDE_SDMA0_MASK)\r
+#define SYSCON_AUTOCLKGATEOVERRIDE_SDMA1_MASK (0x2000U)\r
+#define SYSCON_AUTOCLKGATEOVERRIDE_SDMA1_SHIFT (13U)\r
 /*! SDMA1 - Control automatic clock gating of DMA1 controller.\r
  *  0b1..Automatic clock gating is overridden (Clock gating is disabled).\r
  *  0b0..Automatic clock gating is not overridden.\r
  */\r
-#define SYSCON_AUTOCLKGATEOVERRIDE_SDMA1(x)      (((uint32_t)(((uint32_t)(x)) << SYSCON_AUTOCLKGATEOVERRIDE_SDMA1_SHIFT)) & SYSCON_AUTOCLKGATEOVERRIDE_SDMA1_MASK)\r
-#define SYSCON_AUTOCLKGATEOVERRIDE_USB_MASK      (0x4000U)\r
-#define SYSCON_AUTOCLKGATEOVERRIDE_USB_SHIFT     (14U)\r
-/*! USB - Control automatic clock gating of USB controller.\r
+#define SYSCON_AUTOCLKGATEOVERRIDE_SDMA1(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AUTOCLKGATEOVERRIDE_SDMA1_SHIFT)) & SYSCON_AUTOCLKGATEOVERRIDE_SDMA1_MASK)\r
+#define SYSCON_AUTOCLKGATEOVERRIDE_USB0_MASK (0x4000U)\r
+#define SYSCON_AUTOCLKGATEOVERRIDE_USB0_SHIFT (14U)\r
+/*! USB0 - Control automatic clock gating of USB controller.\r
  *  0b1..Automatic clock gating is overridden (Clock gating is disabled).\r
  *  0b0..Automatic clock gating is not overridden.\r
  */\r
-#define SYSCON_AUTOCLKGATEOVERRIDE_USB(x)        (((uint32_t)(((uint32_t)(x)) << SYSCON_AUTOCLKGATEOVERRIDE_USB_SHIFT)) & SYSCON_AUTOCLKGATEOVERRIDE_USB_MASK)\r
-#define SYSCON_AUTOCLKGATEOVERRIDE_SYSCON_MASK   (0x8000U)\r
-#define SYSCON_AUTOCLKGATEOVERRIDE_SYSCON_SHIFT  (15U)\r
+#define SYSCON_AUTOCLKGATEOVERRIDE_USB0(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AUTOCLKGATEOVERRIDE_USB0_SHIFT)) & SYSCON_AUTOCLKGATEOVERRIDE_USB0_MASK)\r
+#define SYSCON_AUTOCLKGATEOVERRIDE_SYSCON_MASK (0x8000U)\r
+#define SYSCON_AUTOCLKGATEOVERRIDE_SYSCON_SHIFT (15U)\r
 /*! SYSCON - Control automatic clock gating of synchronous system controller registers bank.\r
  *  0b1..Automatic clock gating is overridden (Clock gating is disabled).\r
  *  0b0..Automatic clock gating is not overridden.\r
  */\r
-#define SYSCON_AUTOCLKGATEOVERRIDE_SYSCON(x)     (((uint32_t)(((uint32_t)(x)) << SYSCON_AUTOCLKGATEOVERRIDE_SYSCON_SHIFT)) & SYSCON_AUTOCLKGATEOVERRIDE_SYSCON_MASK)\r
+#define SYSCON_AUTOCLKGATEOVERRIDE_SYSCON(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AUTOCLKGATEOVERRIDE_SYSCON_SHIFT)) & SYSCON_AUTOCLKGATEOVERRIDE_SYSCON_MASK)\r
 #define SYSCON_AUTOCLKGATEOVERRIDE_ENABLEUPDATE_MASK (0xFFFF0000U)\r
 #define SYSCON_AUTOCLKGATEOVERRIDE_ENABLEUPDATE_SHIFT (16U)\r
 /*! ENABLEUPDATE - The value 0xC0DE must be written for AUTOCLKGATEOVERRIDE registers fields updates to have effect.\r
- *  0b1100000011011110..Automatic clock gating is overridden (Clock gating is disabled).\r
- *  0b0000000000000000..Automatic clock gating is not overridden.\r
+ *  0b1100000011011110..Bit Fields 0 - 15 of this register are updated\r
+ *  0b0000000000000000..Bit Fields 0 - 15 of this register are not updated\r
  */\r
-#define SYSCON_AUTOCLKGATEOVERRIDE_ENABLEUPDATE(x) (((uint32_t)(((uint32_t)(x)) << SYSCON_AUTOCLKGATEOVERRIDE_ENABLEUPDATE_SHIFT)) & SYSCON_AUTOCLKGATEOVERRIDE_ENABLEUPDATE_MASK)\r
+#define SYSCON_AUTOCLKGATEOVERRIDE_ENABLEUPDATE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_AUTOCLKGATEOVERRIDE_ENABLEUPDATE_SHIFT)) & \\r
+     SYSCON_AUTOCLKGATEOVERRIDE_ENABLEUPDATE_MASK)\r
 /*! @} */\r
 \r
 /*! @name GPIOPSYNC - Enable bypass of the first stage of synchonization inside GPIO_INT module */\r
 /*! @{ */\r
-#define SYSCON_GPIOPSYNC_PSYNC_MASK              (0x1U)\r
-#define SYSCON_GPIOPSYNC_PSYNC_SHIFT             (0U)\r
+#define SYSCON_GPIOPSYNC_PSYNC_MASK (0x1U)\r
+#define SYSCON_GPIOPSYNC_PSYNC_SHIFT (0U)\r
 /*! PSYNC - Enable bypass of the first stage of synchonization inside GPIO_INT module.\r
  *  0b1..bypass of the first stage of synchonization inside GPIO_INT module.\r
  *  0b0..use the first stage of synchonization inside GPIO_INT module.\r
  */\r
-#define SYSCON_GPIOPSYNC_PSYNC(x)                (((uint32_t)(((uint32_t)(x)) << SYSCON_GPIOPSYNC_PSYNC_SHIFT)) & SYSCON_GPIOPSYNC_PSYNC_MASK)\r
+#define SYSCON_GPIOPSYNC_PSYNC(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_GPIOPSYNC_PSYNC_SHIFT)) & SYSCON_GPIOPSYNC_PSYNC_MASK)\r
 /*! @} */\r
 \r
-/*! @name DEBUG_LOCK_EN - Control write access to security registers -- FOR INTERNAl USE ONLY */\r
+/*! @name DEBUG_LOCK_EN - Control write access to security registers. */\r
 /*! @{ */\r
-#define SYSCON_DEBUG_LOCK_EN_LOCK_ALL_MASK       (0xFU)\r
-#define SYSCON_DEBUG_LOCK_EN_LOCK_ALL_SHIFT      (0U)\r
-/*! LOCK_ALL - Control write access to CODESECURITYPROTTEST, CODESECURITYPROTCPU0, CODESECURITYPROTCPU1, CM33_DEBUG_FEATURES, MCM33_DEBUG_FEATURES and DBG_AUTH_SCRATCH registers.\r
+#define SYSCON_DEBUG_LOCK_EN_LOCK_ALL_MASK (0xFU)\r
+#define SYSCON_DEBUG_LOCK_EN_LOCK_ALL_SHIFT (0U)\r
+/*! LOCK_ALL - Control write access to CODESECURITYPROTTEST, CODESECURITYPROTCPU0,\r
+ *    CODESECURITYPROTCPU1, CPU0_DEBUG_FEATURES, CPU1_DEBUG_FEATURES and DBG_AUTH_SCRATCH registers.\r
  *  0b1010..1010: Enable write access to all 6 registers.\r
  *  0b0000..Any other value than b1010: disable write access to all 6 registers.\r
  */\r
-#define SYSCON_DEBUG_LOCK_EN_LOCK_ALL(x)         (((uint32_t)(((uint32_t)(x)) << SYSCON_DEBUG_LOCK_EN_LOCK_ALL_SHIFT)) & SYSCON_DEBUG_LOCK_EN_LOCK_ALL_MASK)\r
+#define SYSCON_DEBUG_LOCK_EN_LOCK_ALL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_DEBUG_LOCK_EN_LOCK_ALL_SHIFT)) & SYSCON_DEBUG_LOCK_EN_LOCK_ALL_MASK)\r
 /*! @} */\r
 \r
-/*! @name DEBUG_FEATURES - Cortex M33 (CPU0) and micro Cortex M33 (CPU1) debug features control -- FOR INTERNAl USE ONLY */\r
+/*! @name DEBUG_FEATURES - Cortex M33 (CPU0) and micro Cortex M33 (CPU1) debug features control. */\r
 /*! @{ */\r
-#define SYSCON_DEBUG_FEATURES_CM33_DBGEN_MASK    (0x3U)\r
-#define SYSCON_DEBUG_FEATURES_CM33_DBGEN_SHIFT   (0U)\r
-/*! CM33_DBGEN - CM33 (CPU0) Invasive debug control:.\r
+#define SYSCON_DEBUG_FEATURES_CPU0_DBGEN_MASK (0x3U)\r
+#define SYSCON_DEBUG_FEATURES_CPU0_DBGEN_SHIFT (0U)\r
+/*! CPU0_DBGEN - CPU0 Invasive debug control:.\r
  *  0b10..10: Invasive debug is enabled.\r
  *  0b01..Any other value than b10: invasive debug is disable.\r
  */\r
-#define SYSCON_DEBUG_FEATURES_CM33_DBGEN(x)      (((uint32_t)(((uint32_t)(x)) << SYSCON_DEBUG_FEATURES_CM33_DBGEN_SHIFT)) & SYSCON_DEBUG_FEATURES_CM33_DBGEN_MASK)\r
-#define SYSCON_DEBUG_FEATURES_CM33_NIDEN_MASK    (0xCU)\r
-#define SYSCON_DEBUG_FEATURES_CM33_NIDEN_SHIFT   (2U)\r
-/*! CM33_NIDEN - CM33 (CPU0) Non Invasive debug control:.\r
+#define SYSCON_DEBUG_FEATURES_CPU0_DBGEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_DEBUG_FEATURES_CPU0_DBGEN_SHIFT)) & SYSCON_DEBUG_FEATURES_CPU0_DBGEN_MASK)\r
+#define SYSCON_DEBUG_FEATURES_CPU0_NIDEN_MASK (0xCU)\r
+#define SYSCON_DEBUG_FEATURES_CPU0_NIDEN_SHIFT (2U)\r
+/*! CPU0_NIDEN - CPU0 Non Invasive debug control:.\r
  *  0b10..10: Invasive debug is enabled.\r
  *  0b01..Any other value than b10: invasive debug is disable.\r
  */\r
-#define SYSCON_DEBUG_FEATURES_CM33_NIDEN(x)      (((uint32_t)(((uint32_t)(x)) << SYSCON_DEBUG_FEATURES_CM33_NIDEN_SHIFT)) & SYSCON_DEBUG_FEATURES_CM33_NIDEN_MASK)\r
-#define SYSCON_DEBUG_FEATURES_CM33_SPIDEN_MASK   (0x30U)\r
-#define SYSCON_DEBUG_FEATURES_CM33_SPIDEN_SHIFT  (4U)\r
-/*! CM33_SPIDEN - CM33 (CPU0) Secure Invasive debug control:.\r
+#define SYSCON_DEBUG_FEATURES_CPU0_NIDEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_DEBUG_FEATURES_CPU0_NIDEN_SHIFT)) & SYSCON_DEBUG_FEATURES_CPU0_NIDEN_MASK)\r
+#define SYSCON_DEBUG_FEATURES_CPU0_SPIDEN_MASK (0x30U)\r
+#define SYSCON_DEBUG_FEATURES_CPU0_SPIDEN_SHIFT (4U)\r
+/*! CPU0_SPIDEN - CPU0 Secure Invasive debug control:.\r
  *  0b10..10: Invasive debug is enabled.\r
  *  0b01..Any other value than b10: invasive debug is disable.\r
  */\r
-#define SYSCON_DEBUG_FEATURES_CM33_SPIDEN(x)     (((uint32_t)(((uint32_t)(x)) << SYSCON_DEBUG_FEATURES_CM33_SPIDEN_SHIFT)) & SYSCON_DEBUG_FEATURES_CM33_SPIDEN_MASK)\r
-#define SYSCON_DEBUG_FEATURES_CM33_SPNIDEN_MASK  (0xC0U)\r
-#define SYSCON_DEBUG_FEATURES_CM33_SPNIDEN_SHIFT (6U)\r
-/*! CM33_SPNIDEN - CM33 (CPU0) Secure Non Invasive debug control:.\r
+#define SYSCON_DEBUG_FEATURES_CPU0_SPIDEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_DEBUG_FEATURES_CPU0_SPIDEN_SHIFT)) & SYSCON_DEBUG_FEATURES_CPU0_SPIDEN_MASK)\r
+#define SYSCON_DEBUG_FEATURES_CPU0_SPNIDEN_MASK (0xC0U)\r
+#define SYSCON_DEBUG_FEATURES_CPU0_SPNIDEN_SHIFT (6U)\r
+/*! CPU0_SPNIDEN - CPU0 Secure Non Invasive debug control:.\r
  *  0b10..10: Invasive debug is enabled.\r
  *  0b01..Any other value than b10: invasive debug is disable.\r
  */\r
-#define SYSCON_DEBUG_FEATURES_CM33_SPNIDEN(x)    (((uint32_t)(((uint32_t)(x)) << SYSCON_DEBUG_FEATURES_CM33_SPNIDEN_SHIFT)) & SYSCON_DEBUG_FEATURES_CM33_SPNIDEN_MASK)\r
-#define SYSCON_DEBUG_FEATURES_MCM33_DBGEN_MASK   (0x300U)\r
-#define SYSCON_DEBUG_FEATURES_MCM33_DBGEN_SHIFT  (8U)\r
-/*! MCM33_DBGEN - Micro-CM33 (CPU1) Invasive debug control:.\r
+#define SYSCON_DEBUG_FEATURES_CPU0_SPNIDEN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_DEBUG_FEATURES_CPU0_SPNIDEN_SHIFT)) & \\r
+     SYSCON_DEBUG_FEATURES_CPU0_SPNIDEN_MASK)\r
+#define SYSCON_DEBUG_FEATURES_CPU1_DBGEN_MASK (0x300U)\r
+#define SYSCON_DEBUG_FEATURES_CPU1_DBGEN_SHIFT (8U)\r
+/*! CPU1_DBGEN - CPU1 Invasive debug control:.\r
  *  0b10..10: Invasive debug is enabled.\r
  *  0b01..Any other value than b10: invasive debug is disable.\r
  */\r
-#define SYSCON_DEBUG_FEATURES_MCM33_DBGEN(x)     (((uint32_t)(((uint32_t)(x)) << SYSCON_DEBUG_FEATURES_MCM33_DBGEN_SHIFT)) & SYSCON_DEBUG_FEATURES_MCM33_DBGEN_MASK)\r
-#define SYSCON_DEBUG_FEATURES_MCM33_NIDEN_MASK   (0xC00U)\r
-#define SYSCON_DEBUG_FEATURES_MCM33_NIDEN_SHIFT  (10U)\r
-/*! MCM33_NIDEN - Micro-CM33 (CPU1) Non Invasive debug control:.\r
+#define SYSCON_DEBUG_FEATURES_CPU1_DBGEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_DEBUG_FEATURES_CPU1_DBGEN_SHIFT)) & SYSCON_DEBUG_FEATURES_CPU1_DBGEN_MASK)\r
+#define SYSCON_DEBUG_FEATURES_CPU1_NIDEN_MASK (0xC00U)\r
+#define SYSCON_DEBUG_FEATURES_CPU1_NIDEN_SHIFT (10U)\r
+/*! CPU1_NIDEN - CPU1 Non Invasive debug control:.\r
  *  0b10..10: Invasive debug is enabled.\r
  *  0b01..Any other value than b10: invasive debug is disable.\r
  */\r
-#define SYSCON_DEBUG_FEATURES_MCM33_NIDEN(x)     (((uint32_t)(((uint32_t)(x)) << SYSCON_DEBUG_FEATURES_MCM33_NIDEN_SHIFT)) & SYSCON_DEBUG_FEATURES_MCM33_NIDEN_MASK)\r
+#define SYSCON_DEBUG_FEATURES_CPU1_NIDEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_DEBUG_FEATURES_CPU1_NIDEN_SHIFT)) & SYSCON_DEBUG_FEATURES_CPU1_NIDEN_MASK)\r
 /*! @} */\r
 \r
-/*! @name DEBUG_FEATURES_DP - Cortex M33 (CPU0) and micro Cortex M33 (CPU1) debug features control DUPLICATE register -- FOR INTERNAl USE ONLY */\r
+/*! @name DEBUG_FEATURES_DP - Cortex M33 (CPU0) and micro Cortex M33 (CPU1) debug features control DUPLICATE register.\r
+ */\r
 /*! @{ */\r
-#define SYSCON_DEBUG_FEATURES_DP_CM33_DBGEN_MASK (0x3U)\r
-#define SYSCON_DEBUG_FEATURES_DP_CM33_DBGEN_SHIFT (0U)\r
-/*! CM33_DBGEN - CM33 (CPU0) Invasive debug control:.\r
+#define SYSCON_DEBUG_FEATURES_DP_CPU0_DBGEN_MASK (0x3U)\r
+#define SYSCON_DEBUG_FEATURES_DP_CPU0_DBGEN_SHIFT (0U)\r
+/*! CPU0_DBGEN - CPU0 (CPU0) Invasive debug control:.\r
  *  0b10..10: Invasive debug is enabled.\r
  *  0b01..Any other value than b10: invasive debug is disable.\r
  */\r
-#define SYSCON_DEBUG_FEATURES_DP_CM33_DBGEN(x)   (((uint32_t)(((uint32_t)(x)) << SYSCON_DEBUG_FEATURES_DP_CM33_DBGEN_SHIFT)) & SYSCON_DEBUG_FEATURES_DP_CM33_DBGEN_MASK)\r
-#define SYSCON_DEBUG_FEATURES_DP_CM33_NIDEN_MASK (0xCU)\r
-#define SYSCON_DEBUG_FEATURES_DP_CM33_NIDEN_SHIFT (2U)\r
-/*! CM33_NIDEN - CM33 (CPU0) Non Invasive debug control:.\r
+#define SYSCON_DEBUG_FEATURES_DP_CPU0_DBGEN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_DEBUG_FEATURES_DP_CPU0_DBGEN_SHIFT)) & \\r
+     SYSCON_DEBUG_FEATURES_DP_CPU0_DBGEN_MASK)\r
+#define SYSCON_DEBUG_FEATURES_DP_CPU0_NIDEN_MASK (0xCU)\r
+#define SYSCON_DEBUG_FEATURES_DP_CPU0_NIDEN_SHIFT (2U)\r
+/*! CPU0_NIDEN - CPU0 Non Invasive debug control:.\r
  *  0b10..10: Invasive debug is enabled.\r
  *  0b01..Any other value than b10: invasive debug is disable.\r
  */\r
-#define SYSCON_DEBUG_FEATURES_DP_CM33_NIDEN(x)   (((uint32_t)(((uint32_t)(x)) << SYSCON_DEBUG_FEATURES_DP_CM33_NIDEN_SHIFT)) & SYSCON_DEBUG_FEATURES_DP_CM33_NIDEN_MASK)\r
-#define SYSCON_DEBUG_FEATURES_DP_CM33_SPIDEN_MASK (0x30U)\r
-#define SYSCON_DEBUG_FEATURES_DP_CM33_SPIDEN_SHIFT (4U)\r
-/*! CM33_SPIDEN - CM33 (CPU0) Secure Invasive debug control:.\r
+#define SYSCON_DEBUG_FEATURES_DP_CPU0_NIDEN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_DEBUG_FEATURES_DP_CPU0_NIDEN_SHIFT)) & \\r
+     SYSCON_DEBUG_FEATURES_DP_CPU0_NIDEN_MASK)\r
+#define SYSCON_DEBUG_FEATURES_DP_CPU0_SPIDEN_MASK (0x30U)\r
+#define SYSCON_DEBUG_FEATURES_DP_CPU0_SPIDEN_SHIFT (4U)\r
+/*! CPU0_SPIDEN - CPU0 Secure Invasive debug control:.\r
  *  0b10..10: Invasive debug is enabled.\r
  *  0b01..Any other value than b10: invasive debug is disable.\r
  */\r
-#define SYSCON_DEBUG_FEATURES_DP_CM33_SPIDEN(x)  (((uint32_t)(((uint32_t)(x)) << SYSCON_DEBUG_FEATURES_DP_CM33_SPIDEN_SHIFT)) & SYSCON_DEBUG_FEATURES_DP_CM33_SPIDEN_MASK)\r
-#define SYSCON_DEBUG_FEATURES_DP_CM33_SPNIDEN_MASK (0xC0U)\r
-#define SYSCON_DEBUG_FEATURES_DP_CM33_SPNIDEN_SHIFT (6U)\r
-/*! CM33_SPNIDEN - CM33 (CPU0) Secure Non Invasive debug control:.\r
+#define SYSCON_DEBUG_FEATURES_DP_CPU0_SPIDEN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_DEBUG_FEATURES_DP_CPU0_SPIDEN_SHIFT)) & \\r
+     SYSCON_DEBUG_FEATURES_DP_CPU0_SPIDEN_MASK)\r
+#define SYSCON_DEBUG_FEATURES_DP_CPU0_SPNIDEN_MASK (0xC0U)\r
+#define SYSCON_DEBUG_FEATURES_DP_CPU0_SPNIDEN_SHIFT (6U)\r
+/*! CPU0_SPNIDEN - CPU0 Secure Non Invasive debug control:.\r
  *  0b10..10: Invasive debug is enabled.\r
  *  0b01..Any other value than b10: invasive debug is disable.\r
  */\r
-#define SYSCON_DEBUG_FEATURES_DP_CM33_SPNIDEN(x) (((uint32_t)(((uint32_t)(x)) << SYSCON_DEBUG_FEATURES_DP_CM33_SPNIDEN_SHIFT)) & SYSCON_DEBUG_FEATURES_DP_CM33_SPNIDEN_MASK)\r
-#define SYSCON_DEBUG_FEATURES_DP_MCM33_DBGEN_MASK (0x300U)\r
-#define SYSCON_DEBUG_FEATURES_DP_MCM33_DBGEN_SHIFT (8U)\r
-/*! MCM33_DBGEN - Micro-CM33 (CPU1) Invasive debug control:.\r
+#define SYSCON_DEBUG_FEATURES_DP_CPU0_SPNIDEN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_DEBUG_FEATURES_DP_CPU0_SPNIDEN_SHIFT)) & \\r
+     SYSCON_DEBUG_FEATURES_DP_CPU0_SPNIDEN_MASK)\r
+#define SYSCON_DEBUG_FEATURES_DP_CPU1_DBGEN_MASK (0x300U)\r
+#define SYSCON_DEBUG_FEATURES_DP_CPU1_DBGEN_SHIFT (8U)\r
+/*! CPU1_DBGEN - CPU1 Invasive debug control:.\r
  *  0b10..10: Invasive debug is enabled.\r
  *  0b01..Any other value than b10: invasive debug is disable.\r
  */\r
-#define SYSCON_DEBUG_FEATURES_DP_MCM33_DBGEN(x)  (((uint32_t)(((uint32_t)(x)) << SYSCON_DEBUG_FEATURES_DP_MCM33_DBGEN_SHIFT)) & SYSCON_DEBUG_FEATURES_DP_MCM33_DBGEN_MASK)\r
-#define SYSCON_DEBUG_FEATURES_DP_MCM33_NIDEN_MASK (0xC00U)\r
-#define SYSCON_DEBUG_FEATURES_DP_MCM33_NIDEN_SHIFT (10U)\r
-/*! MCM33_NIDEN - Micro-CM33 (CPU1) Non Invasive debug control:.\r
+#define SYSCON_DEBUG_FEATURES_DP_CPU1_DBGEN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_DEBUG_FEATURES_DP_CPU1_DBGEN_SHIFT)) & \\r
+     SYSCON_DEBUG_FEATURES_DP_CPU1_DBGEN_MASK)\r
+#define SYSCON_DEBUG_FEATURES_DP_CPU1_NIDEN_MASK (0xC00U)\r
+#define SYSCON_DEBUG_FEATURES_DP_CPU1_NIDEN_SHIFT (10U)\r
+/*! CPU1_NIDEN - CPU1 Non Invasive debug control:.\r
  *  0b10..10: Invasive debug is enabled.\r
  *  0b01..Any other value than b10: invasive debug is disable.\r
  */\r
-#define SYSCON_DEBUG_FEATURES_DP_MCM33_NIDEN(x)  (((uint32_t)(((uint32_t)(x)) << SYSCON_DEBUG_FEATURES_DP_MCM33_NIDEN_SHIFT)) & SYSCON_DEBUG_FEATURES_DP_MCM33_NIDEN_MASK)\r
-/*! @} */\r
-\r
-/*! @name CODESECURITYPROTTEST - Security code to allow test (Design for Testability) access -- FOR INTERNAl USE ONLY */\r
-/*! @{ */\r
-#define SYSCON_CODESECURITYPROTTEST_SEC_CODE_MASK (0xFFFFFFFFU)\r
-#define SYSCON_CODESECURITYPROTTEST_SEC_CODE_SHIFT (0U)\r
-/*! SEC_CODE - Security code to allow test access : 0x12345678.\r
- *  0b00010010001101000101011001111000..Security code to allow test access.\r
- *  0b00000000000000000000000000000000..test access is not allowed.\r
- */\r
-#define SYSCON_CODESECURITYPROTTEST_SEC_CODE(x)  (((uint32_t)(((uint32_t)(x)) << SYSCON_CODESECURITYPROTTEST_SEC_CODE_SHIFT)) & SYSCON_CODESECURITYPROTTEST_SEC_CODE_MASK)\r
+#define SYSCON_DEBUG_FEATURES_DP_CPU1_NIDEN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_DEBUG_FEATURES_DP_CPU1_NIDEN_SHIFT)) & \\r
+     SYSCON_DEBUG_FEATURES_DP_CPU1_NIDEN_MASK)\r
 /*! @} */\r
 \r
-/*! @name CODESECURITYPROTCPU0 - Security code to allow CPU0 (CM33) Debug Access Port (DAP) -- FOR INTERNAl USE ONLY */\r
+/*! @name KEY_BLOCK - block quiddikey/PUF all index. */\r
 /*! @{ */\r
-#define SYSCON_CODESECURITYPROTCPU0_SEC_CODE_MASK (0xFFFFFFFFU)\r
-#define SYSCON_CODESECURITYPROTCPU0_SEC_CODE_SHIFT (0U)\r
-/*! SEC_CODE - Security code to allow CPU0 DAP: 0x12345678.\r
- *  0b00010010001101000101011001111000..Security code to allow CPU0 DAP.\r
- *  0b00000000000000000000000000000000..CPU0 DAP is not allowed.\r
+#define SYSCON_KEY_BLOCK_KEY_BLOCK_MASK (0xFFFFFFFFU)\r
+#define SYSCON_KEY_BLOCK_KEY_BLOCK_SHIFT (0U)\r
+/*! KEY_BLOCK - Write a value to block quiddikey/PUF all index.\r
  */\r
-#define SYSCON_CODESECURITYPROTCPU0_SEC_CODE(x)  (((uint32_t)(((uint32_t)(x)) << SYSCON_CODESECURITYPROTCPU0_SEC_CODE_SHIFT)) & SYSCON_CODESECURITYPROTCPU0_SEC_CODE_MASK)\r
+#define SYSCON_KEY_BLOCK_KEY_BLOCK(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_KEY_BLOCK_KEY_BLOCK_SHIFT)) & SYSCON_KEY_BLOCK_KEY_BLOCK_MASK)\r
 /*! @} */\r
 \r
-/*! @name CODESECURITYPROTCPU1 - Security code to allow CPU1 (Micro CM33) Debug Access Port (DAP) -- FOR INTERNAl USE ONLY */\r
+/*! @name DEBUG_AUTH_BEACON - Debug authentication BEACON register */\r
 /*! @{ */\r
-#define SYSCON_CODESECURITYPROTCPU1_SEC_CODE_MASK (0xFFFFFFFFU)\r
-#define SYSCON_CODESECURITYPROTCPU1_SEC_CODE_SHIFT (0U)\r
-/*! SEC_CODE - Security code to allow CPU1 DAP: 0x12345678.\r
- *  0b00010010001101000101011001111000..Security code to allow CPU1 DAP.\r
- *  0b00000000000000000000000000000000..CPU1 DAP is not allowed.\r
+#define SYSCON_DEBUG_AUTH_BEACON_BEACON_MASK (0xFFFFFFFFU)\r
+#define SYSCON_DEBUG_AUTH_BEACON_BEACON_SHIFT (0U)\r
+/*! BEACON - Set by the debug authentication code in ROM to pass the debug beacons (Credential\r
+ *    Beacon and Authentication Beacon) to application code.\r
  */\r
-#define SYSCON_CODESECURITYPROTCPU1_SEC_CODE(x)  (((uint32_t)(((uint32_t)(x)) << SYSCON_CODESECURITYPROTCPU1_SEC_CODE_SHIFT)) & SYSCON_CODESECURITYPROTCPU1_SEC_CODE_MASK)\r
-/*! @} */\r
-\r
-/*! @name KEY_BLOCK - block quiddikey/PUF all index. -- FOR INTERNAL USE ONLY */\r
-/*! @{ */\r
-#define SYSCON_KEY_BLOCK_KEY_BLOCK_MASK          (0xFFFFFFFFU)\r
-#define SYSCON_KEY_BLOCK_KEY_BLOCK_SHIFT         (0U)\r
-#define SYSCON_KEY_BLOCK_KEY_BLOCK(x)            (((uint32_t)(((uint32_t)(x)) << SYSCON_KEY_BLOCK_KEY_BLOCK_SHIFT)) & SYSCON_KEY_BLOCK_KEY_BLOCK_MASK)\r
-/*! @} */\r
-\r
-/*! @name DEBUG_AUTH_SCRATCH - Debug authentication scratch registers -- FOR INTERNAL USE ONLY */\r
-/*! @{ */\r
-#define SYSCON_DEBUG_AUTH_SCRATCH_SCRATCH_MASK   (0xFFFFFFFFU)\r
-#define SYSCON_DEBUG_AUTH_SCRATCH_SCRATCH_SHIFT  (0U)\r
-#define SYSCON_DEBUG_AUTH_SCRATCH_SCRATCH(x)     (((uint32_t)(((uint32_t)(x)) << SYSCON_DEBUG_AUTH_SCRATCH_SCRATCH_SHIFT)) & SYSCON_DEBUG_AUTH_SCRATCH_SCRATCH_MASK)\r
+#define SYSCON_DEBUG_AUTH_BEACON_BEACON(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_DEBUG_AUTH_BEACON_BEACON_SHIFT)) & SYSCON_DEBUG_AUTH_BEACON_BEACON_MASK)\r
 /*! @} */\r
 \r
 /*! @name CPUCFG - CPUs configuration register */\r
 /*! @{ */\r
-#define SYSCON_CPUCFG_CPU1ENABLE_MASK            (0x4U)\r
-#define SYSCON_CPUCFG_CPU1ENABLE_SHIFT           (2U)\r
+#define SYSCON_CPUCFG_CPU1ENABLE_MASK (0x4U)\r
+#define SYSCON_CPUCFG_CPU1ENABLE_SHIFT (2U)\r
 /*! CPU1ENABLE - Enable CPU1.\r
  *  0b0..CPU1 is disable (Processor in reset).\r
  *  0b1..CPU1 is enable.\r
  */\r
-#define SYSCON_CPUCFG_CPU1ENABLE(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_CPUCFG_CPU1ENABLE_SHIFT)) & SYSCON_CPUCFG_CPU1ENABLE_MASK)\r
-/*! @} */\r
-\r
-/*! @name PERIPHENCFG - peripheral enable configuration -- FOR INTERNAL USE ONLY */\r
-/*! @{ */\r
-#define SYSCON_PERIPHENCFG_SCTEN_MASK            (0x1U)\r
-#define SYSCON_PERIPHENCFG_SCTEN_SHIFT           (0U)\r
-/*! SCTEN - SCT enable.\r
- *  0b1..peripheral is enable.\r
- *  0b0..peripheral is disable.\r
- */\r
-#define SYSCON_PERIPHENCFG_SCTEN(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_PERIPHENCFG_SCTEN_SHIFT)) & SYSCON_PERIPHENCFG_SCTEN_MASK)\r
-#define SYSCON_PERIPHENCFG_ADCEN_MASK            (0x2U)\r
-#define SYSCON_PERIPHENCFG_ADCEN_SHIFT           (1U)\r
-/*! ADCEN - ADC enable.\r
- *  0b1..peripheral is enable.\r
- *  0b0..peripheral is disable.\r
- */\r
-#define SYSCON_PERIPHENCFG_ADCEN(x)              (((uint32_t)(((uint32_t)(x)) << SYSCON_PERIPHENCFG_ADCEN_SHIFT)) & SYSCON_PERIPHENCFG_ADCEN_MASK)\r
-#define SYSCON_PERIPHENCFG_USB0EN_MASK           (0x4U)\r
-#define SYSCON_PERIPHENCFG_USB0EN_SHIFT          (2U)\r
-/*! USB0EN - USB0 enable.\r
- *  0b1..peripheral is enable.\r
- *  0b0..peripheral is disable.\r
- */\r
-#define SYSCON_PERIPHENCFG_USB0EN(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_PERIPHENCFG_USB0EN_SHIFT)) & SYSCON_PERIPHENCFG_USB0EN_MASK)\r
-#define SYSCON_PERIPHENCFG_PUFFEN_MASK           (0x40U)\r
-#define SYSCON_PERIPHENCFG_PUFFEN_SHIFT          (6U)\r
-/*! PUFFEN - Puff enable.\r
- *  0b1..peripheral is enable.\r
- *  0b0..peripheral is disable.\r
- */\r
-#define SYSCON_PERIPHENCFG_PUFFEN(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_PERIPHENCFG_PUFFEN_SHIFT)) & SYSCON_PERIPHENCFG_PUFFEN_MASK)\r
-#define SYSCON_PERIPHENCFG_USB1EN_MASK           (0x400U)\r
-#define SYSCON_PERIPHENCFG_USB1EN_SHIFT          (10U)\r
-/*! USB1EN - USB1 enable.\r
- *  0b1..peripheral is enable.\r
- *  0b0..peripheral is disable.\r
- */\r
-#define SYSCON_PERIPHENCFG_USB1EN(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_PERIPHENCFG_USB1EN_SHIFT)) & SYSCON_PERIPHENCFG_USB1EN_MASK)\r
-#define SYSCON_PERIPHENCFG_SDIOEN_MASK           (0x800U)\r
-#define SYSCON_PERIPHENCFG_SDIOEN_SHIFT          (11U)\r
-/*! SDIOEN - SDIO enable.\r
- *  0b1..peripheral is enable.\r
- *  0b0..peripheral is disable.\r
- */\r
-#define SYSCON_PERIPHENCFG_SDIOEN(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_PERIPHENCFG_SDIOEN_SHIFT)) & SYSCON_PERIPHENCFG_SDIOEN_MASK)\r
-#define SYSCON_PERIPHENCFG_HASHEN_MASK           (0x1000U)\r
-#define SYSCON_PERIPHENCFG_HASHEN_SHIFT          (12U)\r
-/*! HASHEN - HASH enable.\r
- *  0b1..peripheral is enable.\r
- *  0b0..peripheral is disable.\r
- */\r
-#define SYSCON_PERIPHENCFG_HASHEN(x)             (((uint32_t)(((uint32_t)(x)) << SYSCON_PERIPHENCFG_HASHEN_SHIFT)) & SYSCON_PERIPHENCFG_HASHEN_MASK)\r
-#define SYSCON_PERIPHENCFG_PRINCEEN_MASK         (0x4000U)\r
-#define SYSCON_PERIPHENCFG_PRINCEEN_SHIFT        (14U)\r
-/*! PRINCEEN - PRINCE enable.\r
- *  0b1..peripheral is enable.\r
- *  0b0..peripheral is disable.\r
- */\r
-#define SYSCON_PERIPHENCFG_PRINCEEN(x)           (((uint32_t)(((uint32_t)(x)) << SYSCON_PERIPHENCFG_PRINCEEN_SHIFT)) & SYSCON_PERIPHENCFG_PRINCEEN_MASK)\r
+#define SYSCON_CPUCFG_CPU1ENABLE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_CPUCFG_CPU1ENABLE_SHIFT)) & SYSCON_CPUCFG_CPU1ENABLE_MASK)\r
 /*! @} */\r
 \r
 /*! @name DEVICE_ID0 - Device ID */\r
 /*! @{ */\r
-#define SYSCON_DEVICE_ID0_PARTCONFIG_MASK        (0xFFU)\r
-#define SYSCON_DEVICE_ID0_PARTCONFIG_SHIFT       (0U)\r
-#define SYSCON_DEVICE_ID0_PARTCONFIG(x)          (((uint32_t)(((uint32_t)(x)) << SYSCON_DEVICE_ID0_PARTCONFIG_SHIFT)) & SYSCON_DEVICE_ID0_PARTCONFIG_MASK)\r
-#define SYSCON_DEVICE_ID0_SRAM_SIZE_MASK         (0xF00U)\r
-#define SYSCON_DEVICE_ID0_SRAM_SIZE_SHIFT        (8U)\r
-#define SYSCON_DEVICE_ID0_SRAM_SIZE(x)           (((uint32_t)(((uint32_t)(x)) << SYSCON_DEVICE_ID0_SRAM_SIZE_SHIFT)) & SYSCON_DEVICE_ID0_SRAM_SIZE_MASK)\r
-#define SYSCON_DEVICE_ID0_FLASH_SIZE_MASK        (0x7000U)\r
-#define SYSCON_DEVICE_ID0_FLASH_SIZE_SHIFT       (12U)\r
-#define SYSCON_DEVICE_ID0_FLASH_SIZE(x)          (((uint32_t)(((uint32_t)(x)) << SYSCON_DEVICE_ID0_FLASH_SIZE_SHIFT)) & SYSCON_DEVICE_ID0_FLASH_SIZE_MASK)\r
-#define SYSCON_DEVICE_ID0_ROM_REV_MINOR_MASK     (0xF00000U)\r
-#define SYSCON_DEVICE_ID0_ROM_REV_MINOR_SHIFT    (20U)\r
-#define SYSCON_DEVICE_ID0_ROM_REV_MINOR(x)       (((uint32_t)(((uint32_t)(x)) << SYSCON_DEVICE_ID0_ROM_REV_MINOR_SHIFT)) & SYSCON_DEVICE_ID0_ROM_REV_MINOR_MASK)\r
-#define SYSCON_DEVICE_ID0_MODELNUM_EXTENTION_MASK (0x7000000U)\r
-#define SYSCON_DEVICE_ID0_MODELNUM_EXTENTION_SHIFT (24U)\r
-#define SYSCON_DEVICE_ID0_MODELNUM_EXTENTION(x)  (((uint32_t)(((uint32_t)(x)) << SYSCON_DEVICE_ID0_MODELNUM_EXTENTION_SHIFT)) & SYSCON_DEVICE_ID0_MODELNUM_EXTENTION_MASK)\r
+#define SYSCON_DEVICE_ID0_ROM_REV_MINOR_MASK (0xF00000U)\r
+#define SYSCON_DEVICE_ID0_ROM_REV_MINOR_SHIFT (20U)\r
+/*! ROM_REV_MINOR - ROM revision.\r
+ */\r
+#define SYSCON_DEVICE_ID0_ROM_REV_MINOR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_DEVICE_ID0_ROM_REV_MINOR_SHIFT)) & SYSCON_DEVICE_ID0_ROM_REV_MINOR_MASK)\r
 /*! @} */\r
 \r
 /*! @name DIEID - Chip revision ID and Number */\r
 /*! @{ */\r
-#define SYSCON_DIEID_REV_ID_MASK                 (0xFU)\r
-#define SYSCON_DIEID_REV_ID_SHIFT                (0U)\r
-#define SYSCON_DIEID_REV_ID(x)                   (((uint32_t)(((uint32_t)(x)) << SYSCON_DIEID_REV_ID_SHIFT)) & SYSCON_DIEID_REV_ID_MASK)\r
-#define SYSCON_DIEID_MCO_NUM_IN_DIE_ID_MASK      (0xFFFFF0U)\r
-#define SYSCON_DIEID_MCO_NUM_IN_DIE_ID_SHIFT     (4U)\r
-#define SYSCON_DIEID_MCO_NUM_IN_DIE_ID(x)        (((uint32_t)(((uint32_t)(x)) << SYSCON_DIEID_MCO_NUM_IN_DIE_ID_SHIFT)) & SYSCON_DIEID_MCO_NUM_IN_DIE_ID_MASK)\r
+#define SYSCON_DIEID_REV_ID_MASK (0xFU)\r
+#define SYSCON_DIEID_REV_ID_SHIFT (0U)\r
+/*! REV_ID - Chip Metal Revision ID.\r
+ */\r
+#define SYSCON_DIEID_REV_ID(x) (((uint32_t)(((uint32_t)(x)) << SYSCON_DIEID_REV_ID_SHIFT)) & SYSCON_DIEID_REV_ID_MASK)\r
+#define SYSCON_DIEID_MCO_NUM_IN_DIE_ID_MASK (0xFFFFF0U)\r
+#define SYSCON_DIEID_MCO_NUM_IN_DIE_ID_SHIFT (4U)\r
+/*! MCO_NUM_IN_DIE_ID - Chip Number 0x426B.\r
+ */\r
+#define SYSCON_DIEID_MCO_NUM_IN_DIE_ID(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCON_DIEID_MCO_NUM_IN_DIE_ID_SHIFT)) & SYSCON_DIEID_MCO_NUM_IN_DIE_ID_MASK)\r
 /*! @} */\r
 \r
-\r
 /*!\r
  * @}\r
  */ /* end of group SYSCON_Register_Masks */\r
 \r
-\r
 /* SYSCON - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral SYSCON base address */\r
-  #define SYSCON_BASE                              (0x50000000u)\r
-  /** Peripheral SYSCON base address */\r
-  #define SYSCON_BASE_NS                           (0x40000000u)\r
-  /** Peripheral SYSCON base pointer */\r
-  #define SYSCON                                   ((SYSCON_Type *)SYSCON_BASE)\r
-  /** Peripheral SYSCON base pointer */\r
-  #define SYSCON_NS                                ((SYSCON_Type *)SYSCON_BASE_NS)\r
-  /** Array initializer of SYSCON peripheral base addresses */\r
-  #define SYSCON_BASE_ADDRS                        { SYSCON_BASE }\r
-  /** Array initializer of SYSCON peripheral base pointers */\r
-  #define SYSCON_BASE_PTRS                         { SYSCON }\r
-  /** Array initializer of SYSCON peripheral base addresses */\r
-  #define SYSCON_BASE_ADDRS_NS                     { SYSCON_BASE_NS }\r
-  /** Array initializer of SYSCON peripheral base pointers */\r
-  #define SYSCON_BASE_PTRS_NS                      { SYSCON_NS }\r
+/** Peripheral SYSCON base address */\r
+#define SYSCON_BASE (0x50000000u)\r
+/** Peripheral SYSCON base address */\r
+#define SYSCON_BASE_NS (0x40000000u)\r
+/** Peripheral SYSCON base pointer */\r
+#define SYSCON ((SYSCON_Type *)SYSCON_BASE)\r
+/** Peripheral SYSCON base pointer */\r
+#define SYSCON_NS ((SYSCON_Type *)SYSCON_BASE_NS)\r
+/** Array initializer of SYSCON peripheral base addresses */\r
+#define SYSCON_BASE_ADDRS \\r
+    {                     \\r
+        SYSCON_BASE       \\r
+    }\r
+/** Array initializer of SYSCON peripheral base pointers */\r
+#define SYSCON_BASE_PTRS \\r
+    {                    \\r
+        SYSCON           \\r
+    }\r
+/** Array initializer of SYSCON peripheral base addresses */\r
+#define SYSCON_BASE_ADDRS_NS \\r
+    {                        \\r
+        SYSCON_BASE_NS       \\r
+    }\r
+/** Array initializer of SYSCON peripheral base pointers */\r
+#define SYSCON_BASE_PTRS_NS \\r
+    {                       \\r
+        SYSCON_NS           \\r
+    }\r
 #else\r
-  /** Peripheral SYSCON base address */\r
-  #define SYSCON_BASE                              (0x40000000u)\r
-  /** Peripheral SYSCON base pointer */\r
-  #define SYSCON                                   ((SYSCON_Type *)SYSCON_BASE)\r
-  /** Array initializer of SYSCON peripheral base addresses */\r
-  #define SYSCON_BASE_ADDRS                        { SYSCON_BASE }\r
-  /** Array initializer of SYSCON peripheral base pointers */\r
-  #define SYSCON_BASE_PTRS                         { SYSCON }\r
+/** Peripheral SYSCON base address */\r
+#define SYSCON_BASE (0x40000000u)\r
+/** Peripheral SYSCON base pointer */\r
+#define SYSCON ((SYSCON_Type *)SYSCON_BASE)\r
+/** Array initializer of SYSCON peripheral base addresses */\r
+#define SYSCON_BASE_ADDRS \\r
+    {                     \\r
+        SYSCON_BASE       \\r
+    }\r
+/** Array initializer of SYSCON peripheral base pointers */\r
+#define SYSCON_BASE_PTRS \\r
+    {                    \\r
+        SYSCON           \\r
+    }\r
 #endif\r
 \r
 /*!\r
  * @}\r
  */ /* end of group SYSCON_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- SYSCTL Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -20182,14 +24900,18 @@ typedef struct {
  */\r
 \r
 /** SYSCTL - Register Layout Typedef */\r
-typedef struct {\r
-  __IO uint32_t UPDATELCKOUT;                      /**< update lock out control, offset: 0x0 */\r
-       uint8_t RESERVED_0[60];\r
-  __IO uint32_t FCCTRLSEL[8];                      /**< Selects the source for SCK going into Flexcomm 0..Selects the source for SCK going into Flexcomm 7, array offset: 0x40, array step: 0x4 */\r
-       uint8_t RESERVED_1[32];\r
-  __IO uint32_t SHAREDCTRLSET[2];                  /**< Selects sources and data combinations for shared signal set 0...Selects sources and data combinations for shared signal set 1., array offset: 0x80, array step: 0x4 */\r
-       uint8_t RESERVED_2[120];\r
-  __I  uint32_t USB_HS_STATUS;                     /**< Status register for USB HS, offset: 0x100 */\r
+typedef struct\r
+{\r
+    __IO uint32_t UPDATELCKOUT; /**< update lock out control, offset: 0x0 */\r
+    uint8_t RESERVED_0[60];\r
+    __IO uint32_t FCCTRLSEL[8]; /**< Selects the source for SCK going into Flexcomm 0..Selects the source for SCK going\r
+                                   into Flexcomm 7, array offset: 0x40, array step: 0x4 */\r
+    uint8_t RESERVED_1[32];\r
+    __IO uint32_t\r
+        SHAREDCTRLSET[2]; /**< Selects sources and data combinations for shared signal set 0...Selects sources and data\r
+                             combinations for shared signal set 1., array offset: 0x80, array step: 0x4 */\r
+    uint8_t RESERVED_2[120];\r
+    __I uint32_t USB_HS_STATUS; /**< Status register for USB HS, offset: 0x100 */\r
 } SYSCTL_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -20203,62 +24925,69 @@ typedef struct {
 \r
 /*! @name UPDATELCKOUT - update lock out control */\r
 /*! @{ */\r
-#define SYSCTL_UPDATELCKOUT_UPDATELCKOUT_MASK    (0x1U)\r
-#define SYSCTL_UPDATELCKOUT_UPDATELCKOUT_SHIFT   (0U)\r
+#define SYSCTL_UPDATELCKOUT_UPDATELCKOUT_MASK (0x1U)\r
+#define SYSCTL_UPDATELCKOUT_UPDATELCKOUT_SHIFT (0U)\r
 /*! UPDATELCKOUT - All Registers\r
  *  0b0..Normal Mode. Can be written to.\r
  *  0b1..Protected Mode. Cannot be written to.\r
  */\r
-#define SYSCTL_UPDATELCKOUT_UPDATELCKOUT(x)      (((uint32_t)(((uint32_t)(x)) << SYSCTL_UPDATELCKOUT_UPDATELCKOUT_SHIFT)) & SYSCTL_UPDATELCKOUT_UPDATELCKOUT_MASK)\r
+#define SYSCTL_UPDATELCKOUT_UPDATELCKOUT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCTL_UPDATELCKOUT_UPDATELCKOUT_SHIFT)) & SYSCTL_UPDATELCKOUT_UPDATELCKOUT_MASK)\r
 /*! @} */\r
 \r
-/*! @name FCCTRLSEL - Selects the source for SCK going into Flexcomm 0..Selects the source for SCK going into Flexcomm 7 */\r
+/*! @name FCCTRLSEL - Selects the source for SCK going into Flexcomm 0..Selects the source for SCK going into Flexcomm 7\r
+ */\r
 /*! @{ */\r
-#define SYSCTL_FCCTRLSEL_SCKINSEL_MASK           (0x3U)\r
-#define SYSCTL_FCCTRLSEL_SCKINSEL_SHIFT          (0U)\r
+#define SYSCTL_FCCTRLSEL_SCKINSEL_MASK (0x3U)\r
+#define SYSCTL_FCCTRLSEL_SCKINSEL_SHIFT (0U)\r
 /*! SCKINSEL - Selects the source for SCK going into this Flexcomm.\r
  *  0b00..Selects the dedicated FCn_SCK function for this Flexcomm.\r
  *  0b01..SCK is taken from shared signal set 0 (defined by SHAREDCTRLSET0).\r
  *  0b10..SCK is taken from shared signal set 1 (defined by SHAREDCTRLSET1).\r
  *  0b11..Reserved.\r
  */\r
-#define SYSCTL_FCCTRLSEL_SCKINSEL(x)             (((uint32_t)(((uint32_t)(x)) << SYSCTL_FCCTRLSEL_SCKINSEL_SHIFT)) & SYSCTL_FCCTRLSEL_SCKINSEL_MASK)\r
-#define SYSCTL_FCCTRLSEL_WSINSEL_MASK            (0x300U)\r
-#define SYSCTL_FCCTRLSEL_WSINSEL_SHIFT           (8U)\r
+#define SYSCTL_FCCTRLSEL_SCKINSEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCTL_FCCTRLSEL_SCKINSEL_SHIFT)) & SYSCTL_FCCTRLSEL_SCKINSEL_MASK)\r
+#define SYSCTL_FCCTRLSEL_WSINSEL_MASK (0x300U)\r
+#define SYSCTL_FCCTRLSEL_WSINSEL_SHIFT (8U)\r
 /*! WSINSEL - Selects the source for WS going into this Flexcomm.\r
  *  0b00..Selects the dedicated (FCn_TXD_SCL_MISO_WS) function for this Flexcomm.\r
  *  0b01..WS is taken from shared signal set 0 (defined by SHAREDCTRLSET0).\r
  *  0b10..WS is taken from shared signal set 1 (defined by SHAREDCTRLSET1).\r
  *  0b11..Reserved.\r
  */\r
-#define SYSCTL_FCCTRLSEL_WSINSEL(x)              (((uint32_t)(((uint32_t)(x)) << SYSCTL_FCCTRLSEL_WSINSEL_SHIFT)) & SYSCTL_FCCTRLSEL_WSINSEL_MASK)\r
-#define SYSCTL_FCCTRLSEL_DATAINSEL_MASK          (0x30000U)\r
-#define SYSCTL_FCCTRLSEL_DATAINSEL_SHIFT         (16U)\r
+#define SYSCTL_FCCTRLSEL_WSINSEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCTL_FCCTRLSEL_WSINSEL_SHIFT)) & SYSCTL_FCCTRLSEL_WSINSEL_MASK)\r
+#define SYSCTL_FCCTRLSEL_DATAINSEL_MASK (0x30000U)\r
+#define SYSCTL_FCCTRLSEL_DATAINSEL_SHIFT (16U)\r
 /*! DATAINSEL - Selects the source for DATA input to this Flexcomm.\r
  *  0b00..Selects the dedicated FCn_RXD_SDA_MOSI_DATA input for this Flexcomm.\r
  *  0b01..Input data is taken from shared signal set 0 (defined by SHAREDCTRLSET0).\r
  *  0b10..Input data is taken from shared signal set 1 (defined by SHAREDCTRLSET1).\r
  *  0b11..Reserved.\r
  */\r
-#define SYSCTL_FCCTRLSEL_DATAINSEL(x)            (((uint32_t)(((uint32_t)(x)) << SYSCTL_FCCTRLSEL_DATAINSEL_SHIFT)) & SYSCTL_FCCTRLSEL_DATAINSEL_MASK)\r
-#define SYSCTL_FCCTRLSEL_DATAOUTSEL_MASK         (0x3000000U)\r
-#define SYSCTL_FCCTRLSEL_DATAOUTSEL_SHIFT        (24U)\r
+#define SYSCTL_FCCTRLSEL_DATAINSEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCTL_FCCTRLSEL_DATAINSEL_SHIFT)) & SYSCTL_FCCTRLSEL_DATAINSEL_MASK)\r
+#define SYSCTL_FCCTRLSEL_DATAOUTSEL_MASK (0x3000000U)\r
+#define SYSCTL_FCCTRLSEL_DATAOUTSEL_SHIFT (24U)\r
 /*! DATAOUTSEL - Selects the source for DATA output from this Flexcomm.\r
  *  0b00..Selects the dedicated FCn_RXD_SDA_MOSI_DATA output from this Flexcomm.\r
  *  0b01..Output data is taken from shared signal set 0 (defined by SHAREDCTRLSET0).\r
  *  0b10..Output data is taken from shared signal set 1 (defined by SHAREDCTRLSET1).\r
  *  0b11..Reserved.\r
  */\r
-#define SYSCTL_FCCTRLSEL_DATAOUTSEL(x)           (((uint32_t)(((uint32_t)(x)) << SYSCTL_FCCTRLSEL_DATAOUTSEL_SHIFT)) & SYSCTL_FCCTRLSEL_DATAOUTSEL_MASK)\r
+#define SYSCTL_FCCTRLSEL_DATAOUTSEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCTL_FCCTRLSEL_DATAOUTSEL_SHIFT)) & SYSCTL_FCCTRLSEL_DATAOUTSEL_MASK)\r
 /*! @} */\r
 \r
 /* The count of SYSCTL_FCCTRLSEL */\r
-#define SYSCTL_FCCTRLSEL_COUNT                   (8U)\r
+#define SYSCTL_FCCTRLSEL_COUNT (8U)\r
 \r
-/*! @name SHARECTRLSET_SHAREDCTRLSET - Selects sources and data combinations for shared signal set 0...Selects sources and data combinations for shared signal set 1. */\r
+/*! @name SHAREDCTRLSET - Selects sources and data combinations for shared signal set 0...Selects sources and data\r
+ * combinations for shared signal set 1. */\r
 /*! @{ */\r
-#define SYSCTL_SHARECTRLSET_SHAREDCTRLSET_SHAREDSCKSEL_MASK (0x7U)\r
-#define SYSCTL_SHARECTRLSET_SHAREDCTRLSET_SHAREDSCKSEL_SHIFT (0U)\r
+#define SYSCTL_SHAREDCTRLSET_SHAREDSCKSEL_MASK (0x7U)\r
+#define SYSCTL_SHAREDCTRLSET_SHAREDSCKSEL_SHIFT (0U)\r
 /*! SHAREDSCKSEL - Selects the source for SCK of this shared signal set.\r
  *  0b000..SCK for this shared signal set comes from Flexcomm 0.\r
  *  0b001..SCK for this shared signal set comes from Flexcomm 1.\r
@@ -20269,9 +24998,10 @@ typedef struct {
  *  0b110..SCK for this shared signal set comes from Flexcomm 6.\r
  *  0b111..SCK for this shared signal set comes from Flexcomm 7.\r
  */\r
-#define SYSCTL_SHARECTRLSET_SHAREDCTRLSET_SHAREDSCKSEL(x) (((uint32_t)(((uint32_t)(x)) << SYSCTL_SHARECTRLSET_SHAREDCTRLSET_SHAREDSCKSEL_SHIFT)) & SYSCTL_SHARECTRLSET_SHAREDCTRLSET_SHAREDSCKSEL_MASK)\r
-#define SYSCTL_SHARECTRLSET_SHAREDCTRLSET_SHAREDWSSEL_MASK (0x70U)\r
-#define SYSCTL_SHARECTRLSET_SHAREDCTRLSET_SHAREDWSSEL_SHIFT (4U)\r
+#define SYSCTL_SHAREDCTRLSET_SHAREDSCKSEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCTL_SHAREDCTRLSET_SHAREDSCKSEL_SHIFT)) & SYSCTL_SHAREDCTRLSET_SHAREDSCKSEL_MASK)\r
+#define SYSCTL_SHAREDCTRLSET_SHAREDWSSEL_MASK (0x70U)\r
+#define SYSCTL_SHAREDCTRLSET_SHAREDWSSEL_SHIFT (4U)\r
 /*! SHAREDWSSEL - Selects the source for WS of this shared signal set.\r
  *  0b000..WS for this shared signal set comes from Flexcomm 0.\r
  *  0b001..WS for this shared signal set comes from Flexcomm 1.\r
@@ -20282,9 +25012,10 @@ typedef struct {
  *  0b110..WS for this shared signal set comes from Flexcomm 6.\r
  *  0b111..WS for this shared signal set comes from Flexcomm 7.\r
  */\r
-#define SYSCTL_SHARECTRLSET_SHAREDCTRLSET_SHAREDWSSEL(x) (((uint32_t)(((uint32_t)(x)) << SYSCTL_SHARECTRLSET_SHAREDCTRLSET_SHAREDWSSEL_SHIFT)) & SYSCTL_SHARECTRLSET_SHAREDCTRLSET_SHAREDWSSEL_MASK)\r
-#define SYSCTL_SHARECTRLSET_SHAREDCTRLSET_SHAREDDATASEL_MASK (0x700U)\r
-#define SYSCTL_SHARECTRLSET_SHAREDCTRLSET_SHAREDDATASEL_SHIFT (8U)\r
+#define SYSCTL_SHAREDCTRLSET_SHAREDWSSEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCTL_SHAREDCTRLSET_SHAREDWSSEL_SHIFT)) & SYSCTL_SHAREDCTRLSET_SHAREDWSSEL_MASK)\r
+#define SYSCTL_SHAREDCTRLSET_SHAREDDATASEL_MASK (0x700U)\r
+#define SYSCTL_SHAREDCTRLSET_SHAREDDATASEL_SHIFT (8U)\r
 /*! SHAREDDATASEL - Selects the source for DATA input for this shared signal set.\r
  *  0b000..DATA input for this shared signal set comes from Flexcomm 0.\r
  *  0b001..DATA input for this shared signal set comes from Flexcomm 1.\r
@@ -20295,119 +25026,137 @@ typedef struct {
  *  0b110..DATA input for this shared signal set comes from Flexcomm 6.\r
  *  0b111..DATA input for this shared signal set comes from Flexcomm 7.\r
  */\r
-#define SYSCTL_SHARECTRLSET_SHAREDCTRLSET_SHAREDDATASEL(x) (((uint32_t)(((uint32_t)(x)) << SYSCTL_SHARECTRLSET_SHAREDCTRLSET_SHAREDDATASEL_SHIFT)) & SYSCTL_SHARECTRLSET_SHAREDCTRLSET_SHAREDDATASEL_MASK)\r
-#define SYSCTL_SHARECTRLSET_SHAREDCTRLSET_FC0DATAOUTEN_MASK (0x10000U)\r
-#define SYSCTL_SHARECTRLSET_SHAREDCTRLSET_FC0DATAOUTEN_SHIFT (16U)\r
+#define SYSCTL_SHAREDCTRLSET_SHAREDDATASEL(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCTL_SHAREDCTRLSET_SHAREDDATASEL_SHIFT)) & \\r
+     SYSCTL_SHAREDCTRLSET_SHAREDDATASEL_MASK)\r
+#define SYSCTL_SHAREDCTRLSET_FC0DATAOUTEN_MASK (0x10000U)\r
+#define SYSCTL_SHAREDCTRLSET_FC0DATAOUTEN_SHIFT (16U)\r
 /*! FC0DATAOUTEN - Controls FC0 contribution to SHAREDDATAOUT for this shared set.\r
  *  0b0..Data output from FC0 does not contribute to this shared set.\r
  *  0b1..Data output from FC0 does contribute to this shared set.\r
  */\r
-#define SYSCTL_SHARECTRLSET_SHAREDCTRLSET_FC0DATAOUTEN(x) (((uint32_t)(((uint32_t)(x)) << SYSCTL_SHARECTRLSET_SHAREDCTRLSET_FC0DATAOUTEN_SHIFT)) & SYSCTL_SHARECTRLSET_SHAREDCTRLSET_FC0DATAOUTEN_MASK)\r
-#define SYSCTL_SHARECTRLSET_SHAREDCTRLSET_FC1DATAOUTEN_MASK (0x20000U)\r
-#define SYSCTL_SHARECTRLSET_SHAREDCTRLSET_FC1DATAOUTEN_SHIFT (17U)\r
+#define SYSCTL_SHAREDCTRLSET_FC0DATAOUTEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCTL_SHAREDCTRLSET_FC0DATAOUTEN_SHIFT)) & SYSCTL_SHAREDCTRLSET_FC0DATAOUTEN_MASK)\r
+#define SYSCTL_SHAREDCTRLSET_FC1DATAOUTEN_MASK (0x20000U)\r
+#define SYSCTL_SHAREDCTRLSET_FC1DATAOUTEN_SHIFT (17U)\r
 /*! FC1DATAOUTEN - Controls FC1 contribution to SHAREDDATAOUT for this shared set.\r
  *  0b0..Data output from FC1 does not contribute to this shared set.\r
  *  0b1..Data output from FC1 does contribute to this shared set.\r
  */\r
-#define SYSCTL_SHARECTRLSET_SHAREDCTRLSET_FC1DATAOUTEN(x) (((uint32_t)(((uint32_t)(x)) << SYSCTL_SHARECTRLSET_SHAREDCTRLSET_FC1DATAOUTEN_SHIFT)) & SYSCTL_SHARECTRLSET_SHAREDCTRLSET_FC1DATAOUTEN_MASK)\r
-#define SYSCTL_SHARECTRLSET_SHAREDCTRLSET_F20DATAOUTEN_MASK (0x40000U)\r
-#define SYSCTL_SHARECTRLSET_SHAREDCTRLSET_F20DATAOUTEN_SHIFT (18U)\r
-/*! F20DATAOUTEN - Controls FC2 contribution to SHAREDDATAOUT for this shared set.\r
+#define SYSCTL_SHAREDCTRLSET_FC1DATAOUTEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCTL_SHAREDCTRLSET_FC1DATAOUTEN_SHIFT)) & SYSCTL_SHAREDCTRLSET_FC1DATAOUTEN_MASK)\r
+#define SYSCTL_SHAREDCTRLSET_FC2DATAOUTEN_MASK (0x40000U)\r
+#define SYSCTL_SHAREDCTRLSET_FC2DATAOUTEN_SHIFT (18U)\r
+/*! FC2DATAOUTEN - Controls FC2 contribution to SHAREDDATAOUT for this shared set.\r
  *  0b0..Data output from FC2 does not contribute to this shared set.\r
  *  0b1..Data output from FC2 does contribute to this shared set.\r
  */\r
-#define SYSCTL_SHARECTRLSET_SHAREDCTRLSET_F20DATAOUTEN(x) (((uint32_t)(((uint32_t)(x)) << SYSCTL_SHARECTRLSET_SHAREDCTRLSET_F20DATAOUTEN_SHIFT)) & SYSCTL_SHARECTRLSET_SHAREDCTRLSET_F20DATAOUTEN_MASK)\r
-#define SYSCTL_SHARECTRLSET_SHAREDCTRLSET_FC3DATAOUTEN_MASK (0x80000U)\r
-#define SYSCTL_SHARECTRLSET_SHAREDCTRLSET_FC3DATAOUTEN_SHIFT (19U)\r
-/*! FC3DATAOUTEN - Controls FC3 contribution to SHAREDDATAOUT for this shared set.\r
- *  0b0..Data output from FC3 does not contribute to this shared set.\r
- *  0b1..Data output from FC3 does contribute to this shared set.\r
- */\r
-#define SYSCTL_SHARECTRLSET_SHAREDCTRLSET_FC3DATAOUTEN(x) (((uint32_t)(((uint32_t)(x)) << SYSCTL_SHARECTRLSET_SHAREDCTRLSET_FC3DATAOUTEN_SHIFT)) & SYSCTL_SHARECTRLSET_SHAREDCTRLSET_FC3DATAOUTEN_MASK)\r
-#define SYSCTL_SHARECTRLSET_SHAREDCTRLSET_FC4DATAOUTEN_MASK (0x100000U)\r
-#define SYSCTL_SHARECTRLSET_SHAREDCTRLSET_FC4DATAOUTEN_SHIFT (20U)\r
+#define SYSCTL_SHAREDCTRLSET_FC2DATAOUTEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCTL_SHAREDCTRLSET_FC2DATAOUTEN_SHIFT)) & SYSCTL_SHAREDCTRLSET_FC2DATAOUTEN_MASK)\r
+#define SYSCTL_SHAREDCTRLSET_FC4DATAOUTEN_MASK (0x100000U)\r
+#define SYSCTL_SHAREDCTRLSET_FC4DATAOUTEN_SHIFT (20U)\r
 /*! FC4DATAOUTEN - Controls FC4 contribution to SHAREDDATAOUT for this shared set.\r
  *  0b0..Data output from FC4 does not contribute to this shared set.\r
  *  0b1..Data output from FC4 does contribute to this shared set.\r
  */\r
-#define SYSCTL_SHARECTRLSET_SHAREDCTRLSET_FC4DATAOUTEN(x) (((uint32_t)(((uint32_t)(x)) << SYSCTL_SHARECTRLSET_SHAREDCTRLSET_FC4DATAOUTEN_SHIFT)) & SYSCTL_SHARECTRLSET_SHAREDCTRLSET_FC4DATAOUTEN_MASK)\r
-#define SYSCTL_SHARECTRLSET_SHAREDCTRLSET_FC5DATAOUTEN_MASK (0x200000U)\r
-#define SYSCTL_SHARECTRLSET_SHAREDCTRLSET_FC5DATAOUTEN_SHIFT (21U)\r
+#define SYSCTL_SHAREDCTRLSET_FC4DATAOUTEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCTL_SHAREDCTRLSET_FC4DATAOUTEN_SHIFT)) & SYSCTL_SHAREDCTRLSET_FC4DATAOUTEN_MASK)\r
+#define SYSCTL_SHAREDCTRLSET_FC5DATAOUTEN_MASK (0x200000U)\r
+#define SYSCTL_SHAREDCTRLSET_FC5DATAOUTEN_SHIFT (21U)\r
 /*! FC5DATAOUTEN - Controls FC5 contribution to SHAREDDATAOUT for this shared set.\r
  *  0b0..Data output from FC5 does not contribute to this shared set.\r
  *  0b1..Data output from FC5 does contribute to this shared set.\r
  */\r
-#define SYSCTL_SHARECTRLSET_SHAREDCTRLSET_FC5DATAOUTEN(x) (((uint32_t)(((uint32_t)(x)) << SYSCTL_SHARECTRLSET_SHAREDCTRLSET_FC5DATAOUTEN_SHIFT)) & SYSCTL_SHARECTRLSET_SHAREDCTRLSET_FC5DATAOUTEN_MASK)\r
-#define SYSCTL_SHARECTRLSET_SHAREDCTRLSET_FC6DATAOUTEN_MASK (0x400000U)\r
-#define SYSCTL_SHARECTRLSET_SHAREDCTRLSET_FC6DATAOUTEN_SHIFT (22U)\r
+#define SYSCTL_SHAREDCTRLSET_FC5DATAOUTEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCTL_SHAREDCTRLSET_FC5DATAOUTEN_SHIFT)) & SYSCTL_SHAREDCTRLSET_FC5DATAOUTEN_MASK)\r
+#define SYSCTL_SHAREDCTRLSET_FC6DATAOUTEN_MASK (0x400000U)\r
+#define SYSCTL_SHAREDCTRLSET_FC6DATAOUTEN_SHIFT (22U)\r
 /*! FC6DATAOUTEN - Controls FC6 contribution to SHAREDDATAOUT for this shared set.\r
  *  0b0..Data output from FC6 does not contribute to this shared set.\r
  *  0b1..Data output from FC6 does contribute to this shared set.\r
  */\r
-#define SYSCTL_SHARECTRLSET_SHAREDCTRLSET_FC6DATAOUTEN(x) (((uint32_t)(((uint32_t)(x)) << SYSCTL_SHARECTRLSET_SHAREDCTRLSET_FC6DATAOUTEN_SHIFT)) & SYSCTL_SHARECTRLSET_SHAREDCTRLSET_FC6DATAOUTEN_MASK)\r
-#define SYSCTL_SHARECTRLSET_SHAREDCTRLSET_FC7DATAOUTEN_MASK (0x800000U)\r
-#define SYSCTL_SHARECTRLSET_SHAREDCTRLSET_FC7DATAOUTEN_SHIFT (23U)\r
+#define SYSCTL_SHAREDCTRLSET_FC6DATAOUTEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCTL_SHAREDCTRLSET_FC6DATAOUTEN_SHIFT)) & SYSCTL_SHAREDCTRLSET_FC6DATAOUTEN_MASK)\r
+#define SYSCTL_SHAREDCTRLSET_FC7DATAOUTEN_MASK (0x800000U)\r
+#define SYSCTL_SHAREDCTRLSET_FC7DATAOUTEN_SHIFT (23U)\r
 /*! FC7DATAOUTEN - Controls FC7 contribution to SHAREDDATAOUT for this shared set.\r
  *  0b0..Data output from FC7 does not contribute to this shared set.\r
  *  0b1..Data output from FC7 does contribute to this shared set.\r
  */\r
-#define SYSCTL_SHARECTRLSET_SHAREDCTRLSET_FC7DATAOUTEN(x) (((uint32_t)(((uint32_t)(x)) << SYSCTL_SHARECTRLSET_SHAREDCTRLSET_FC7DATAOUTEN_SHIFT)) & SYSCTL_SHARECTRLSET_SHAREDCTRLSET_FC7DATAOUTEN_MASK)\r
+#define SYSCTL_SHAREDCTRLSET_FC7DATAOUTEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCTL_SHAREDCTRLSET_FC7DATAOUTEN_SHIFT)) & SYSCTL_SHAREDCTRLSET_FC7DATAOUTEN_MASK)\r
 /*! @} */\r
 \r
-/* The count of SYSCTL_SHARECTRLSET_SHAREDCTRLSET */\r
-#define SYSCTL_SHARECTRLSET_SHAREDCTRLSET_COUNT  (2U)\r
+/* The count of SYSCTL_SHAREDCTRLSET */\r
+#define SYSCTL_SHAREDCTRLSET_COUNT (2U)\r
 \r
 /*! @name USB_HS_STATUS - Status register for USB HS */\r
 /*! @{ */\r
-#define SYSCTL_USB_HS_STATUS_USBHS_3V_NOK_MASK   (0x1U)\r
-#define SYSCTL_USB_HS_STATUS_USBHS_3V_NOK_SHIFT  (0U)\r
+#define SYSCTL_USB_HS_STATUS_USBHS_3V_NOK_MASK (0x1U)\r
+#define SYSCTL_USB_HS_STATUS_USBHS_3V_NOK_SHIFT (0U)\r
 /*! USBHS_3V_NOK - USB_HS: Low voltage detection on 3.3V supply.\r
  *  0b0..3v3 supply is good.\r
  *  0b1..3v3 supply is too low.\r
  */\r
-#define SYSCTL_USB_HS_STATUS_USBHS_3V_NOK(x)     (((uint32_t)(((uint32_t)(x)) << SYSCTL_USB_HS_STATUS_USBHS_3V_NOK_SHIFT)) & SYSCTL_USB_HS_STATUS_USBHS_3V_NOK_MASK)\r
+#define SYSCTL_USB_HS_STATUS_USBHS_3V_NOK(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << SYSCTL_USB_HS_STATUS_USBHS_3V_NOK_SHIFT)) & SYSCTL_USB_HS_STATUS_USBHS_3V_NOK_MASK)\r
 /*! @} */\r
 \r
-\r
 /*!\r
  * @}\r
  */ /* end of group SYSCTL_Register_Masks */\r
 \r
-\r
 /* SYSCTL - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral SYSCTL base address */\r
-  #define SYSCTL_BASE                              (0x50023000u)\r
-  /** Peripheral SYSCTL base address */\r
-  #define SYSCTL_BASE_NS                           (0x40023000u)\r
-  /** Peripheral SYSCTL base pointer */\r
-  #define SYSCTL                                   ((SYSCTL_Type *)SYSCTL_BASE)\r
-  /** Peripheral SYSCTL base pointer */\r
-  #define SYSCTL_NS                                ((SYSCTL_Type *)SYSCTL_BASE_NS)\r
-  /** Array initializer of SYSCTL peripheral base addresses */\r
-  #define SYSCTL_BASE_ADDRS                        { SYSCTL_BASE }\r
-  /** Array initializer of SYSCTL peripheral base pointers */\r
-  #define SYSCTL_BASE_PTRS                         { SYSCTL }\r
-  /** Array initializer of SYSCTL peripheral base addresses */\r
-  #define SYSCTL_BASE_ADDRS_NS                     { SYSCTL_BASE_NS }\r
-  /** Array initializer of SYSCTL peripheral base pointers */\r
-  #define SYSCTL_BASE_PTRS_NS                      { SYSCTL_NS }\r
+/** Peripheral SYSCTL base address */\r
+#define SYSCTL_BASE (0x50023000u)\r
+/** Peripheral SYSCTL base address */\r
+#define SYSCTL_BASE_NS (0x40023000u)\r
+/** Peripheral SYSCTL base pointer */\r
+#define SYSCTL ((SYSCTL_Type *)SYSCTL_BASE)\r
+/** Peripheral SYSCTL base pointer */\r
+#define SYSCTL_NS ((SYSCTL_Type *)SYSCTL_BASE_NS)\r
+/** Array initializer of SYSCTL peripheral base addresses */\r
+#define SYSCTL_BASE_ADDRS \\r
+    {                     \\r
+        SYSCTL_BASE       \\r
+    }\r
+/** Array initializer of SYSCTL peripheral base pointers */\r
+#define SYSCTL_BASE_PTRS \\r
+    {                    \\r
+        SYSCTL           \\r
+    }\r
+/** Array initializer of SYSCTL peripheral base addresses */\r
+#define SYSCTL_BASE_ADDRS_NS \\r
+    {                        \\r
+        SYSCTL_BASE_NS       \\r
+    }\r
+/** Array initializer of SYSCTL peripheral base pointers */\r
+#define SYSCTL_BASE_PTRS_NS \\r
+    {                       \\r
+        SYSCTL_NS           \\r
+    }\r
 #else\r
-  /** Peripheral SYSCTL base address */\r
-  #define SYSCTL_BASE                              (0x40023000u)\r
-  /** Peripheral SYSCTL base pointer */\r
-  #define SYSCTL                                   ((SYSCTL_Type *)SYSCTL_BASE)\r
-  /** Array initializer of SYSCTL peripheral base addresses */\r
-  #define SYSCTL_BASE_ADDRS                        { SYSCTL_BASE }\r
-  /** Array initializer of SYSCTL peripheral base pointers */\r
-  #define SYSCTL_BASE_PTRS                         { SYSCTL }\r
+/** Peripheral SYSCTL base address */\r
+#define SYSCTL_BASE (0x40023000u)\r
+/** Peripheral SYSCTL base pointer */\r
+#define SYSCTL ((SYSCTL_Type *)SYSCTL_BASE)\r
+/** Array initializer of SYSCTL peripheral base addresses */\r
+#define SYSCTL_BASE_ADDRS \\r
+    {                     \\r
+        SYSCTL_BASE       \\r
+    }\r
+/** Array initializer of SYSCTL peripheral base pointers */\r
+#define SYSCTL_BASE_PTRS \\r
+    {                    \\r
+        SYSCTL           \\r
+    }\r
 #endif\r
 \r
 /*!\r
  * @}\r
  */ /* end of group SYSCTL_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- USART Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -20418,33 +25167,43 @@ typedef struct {
  */\r
 \r
 /** USART - Register Layout Typedef */\r
-typedef struct {\r
-  __IO uint32_t CFG;                               /**< USART Configuration register. Basic USART configuration settings that typically are not changed during operation., offset: 0x0 */\r
-  __IO uint32_t CTL;                               /**< USART Control register. USART control settings that are more likely to change during operation., offset: 0x4 */\r
-  __IO uint32_t STAT;                              /**< USART Status register. The complete status value can be read here. Writing ones clears some bits in the register. Some bits can be cleared by writing a 1 to them., offset: 0x8 */\r
-  __IO uint32_t INTENSET;                          /**< Interrupt Enable read and Set register for USART (not FIFO) status. Contains individual interrupt enable bits for each potential USART interrupt. A complete value may be read from this register. Writing a 1 to any implemented bit position causes that bit to be set., offset: 0xC */\r
-  __O  uint32_t INTENCLR;                          /**< Interrupt Enable Clear register. Allows clearing any combination of bits in the INTENSET register. Writing a 1 to any implemented bit position causes the corresponding bit to be cleared., offset: 0x10 */\r
-       uint8_t RESERVED_0[12];\r
-  __IO uint32_t BRG;                               /**< Baud Rate Generator register. 16-bit integer baud rate divisor value., offset: 0x20 */\r
-  __I  uint32_t INTSTAT;                           /**< Interrupt status register. Reflects interrupts that are currently enabled., offset: 0x24 */\r
-  __IO uint32_t OSR;                               /**< Oversample selection register for asynchronous communication., offset: 0x28 */\r
-  __IO uint32_t ADDR;                              /**< Address register for automatic address matching., offset: 0x2C */\r
-       uint8_t RESERVED_1[3536];\r
-  __IO uint32_t FIFOCFG;                           /**< FIFO configuration and enable register., offset: 0xE00 */\r
-  __IO uint32_t FIFOSTAT;                          /**< FIFO status register., offset: 0xE04 */\r
-  __IO uint32_t FIFOTRIG;                          /**< FIFO trigger settings for interrupt and DMA request., offset: 0xE08 */\r
-       uint8_t RESERVED_2[4];\r
-  __IO uint32_t FIFOINTENSET;                      /**< FIFO interrupt enable set (enable) and read register., offset: 0xE10 */\r
-  __IO uint32_t FIFOINTENCLR;                      /**< FIFO interrupt enable clear (disable) and read register., offset: 0xE14 */\r
-  __I  uint32_t FIFOINTSTAT;                       /**< FIFO interrupt status register., offset: 0xE18 */\r
-       uint8_t RESERVED_3[4];\r
-  __O  uint32_t FIFOWR;                            /**< FIFO write data., offset: 0xE20 */\r
-       uint8_t RESERVED_4[12];\r
-  __I  uint32_t FIFORD;                            /**< FIFO read data., offset: 0xE30 */\r
-       uint8_t RESERVED_5[12];\r
-  __I  uint32_t FIFORDNOPOP;                       /**< FIFO data read with no FIFO pop., offset: 0xE40 */\r
-       uint8_t RESERVED_6[440];\r
-  __I  uint32_t ID;                                /**< Peripheral identification register., offset: 0xFFC */\r
+typedef struct\r
+{\r
+    __IO uint32_t CFG;  /**< USART Configuration register. Basic USART configuration settings that typically are not\r
+                           changed during operation., offset: 0x0 */\r
+    __IO uint32_t CTL;  /**< USART Control register. USART control settings that are more likely to change during\r
+                           operation., offset: 0x4 */\r
+    __IO uint32_t STAT; /**< USART Status register. The complete status value can be read here. Writing ones clears some\r
+                           bits in the register. Some bits can be cleared by writing a 1 to them., offset: 0x8 */\r
+    __IO uint32_t\r
+        INTENSET; /**< Interrupt Enable read and Set register for USART (not FIFO) status. Contains individual interrupt\r
+                     enable bits for each potential USART interrupt. A complete value may be read from this register.\r
+                     Writing a 1 to any implemented bit position causes that bit to be set., offset: 0xC */\r
+    __O uint32_t INTENCLR; /**< Interrupt Enable Clear register. Allows clearing any combination of bits in the INTENSET\r
+                              register. Writing a 1 to any implemented bit position causes the corresponding bit to be\r
+                              cleared., offset: 0x10 */\r
+    uint8_t RESERVED_0[12];\r
+    __IO uint32_t BRG; /**< Baud Rate Generator register. 16-bit integer baud rate divisor value., offset: 0x20 */\r
+    __I uint32_t\r
+        INTSTAT;        /**< Interrupt status register. Reflects interrupts that are currently enabled., offset: 0x24 */\r
+    __IO uint32_t OSR;  /**< Oversample selection register for asynchronous communication., offset: 0x28 */\r
+    __IO uint32_t ADDR; /**< Address register for automatic address matching., offset: 0x2C */\r
+    uint8_t RESERVED_1[3536];\r
+    __IO uint32_t FIFOCFG;  /**< FIFO configuration and enable register., offset: 0xE00 */\r
+    __IO uint32_t FIFOSTAT; /**< FIFO status register., offset: 0xE04 */\r
+    __IO uint32_t FIFOTRIG; /**< FIFO trigger settings for interrupt and DMA request., offset: 0xE08 */\r
+    uint8_t RESERVED_2[4];\r
+    __IO uint32_t FIFOINTENSET; /**< FIFO interrupt enable set (enable) and read register., offset: 0xE10 */\r
+    __IO uint32_t FIFOINTENCLR; /**< FIFO interrupt enable clear (disable) and read register., offset: 0xE14 */\r
+    __I uint32_t FIFOINTSTAT;   /**< FIFO interrupt status register., offset: 0xE18 */\r
+    uint8_t RESERVED_3[4];\r
+    __O uint32_t FIFOWR; /**< FIFO write data., offset: 0xE20 */\r
+    uint8_t RESERVED_4[12];\r
+    __I uint32_t FIFORD; /**< FIFO read data., offset: 0xE30 */\r
+    uint8_t RESERVED_5[12];\r
+    __I uint32_t FIFORDNOPOP; /**< FIFO data read with no FIFO pop., offset: 0xE40 */\r
+    uint8_t RESERVED_6[440];\r
+    __I uint32_t ID; /**< Peripheral identification register., offset: 0xFFC */\r
 } USART_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -20456,698 +25215,1057 @@ typedef struct {
  * @{\r
  */\r
 \r
-/*! @name CFG - USART Configuration register. Basic USART configuration settings that typically are not changed during operation. */\r
+/*! @name CFG - USART Configuration register. Basic USART configuration settings that typically are not changed during\r
+ * operation. */\r
 /*! @{ */\r
-#define USART_CFG_ENABLE_MASK                    (0x1U)\r
-#define USART_CFG_ENABLE_SHIFT                   (0U)\r
+#define USART_CFG_ENABLE_MASK (0x1U)\r
+#define USART_CFG_ENABLE_SHIFT (0U)\r
 /*! ENABLE - USART Enable.\r
- *  0b0..Disabled. The USART is disabled and the internal state machine and counters are reset. While Enable = 0, all USART interrupts and DMA transfers are disabled. When Enable is set again, CFG and most other control bits remain unchanged. When re-enabled, the USART will immediately be ready to transmit because the transmitter has been reset and is therefore available.\r
+ *  0b0..Disabled. The USART is disabled and the internal state machine and counters are reset. While Enable = 0,\r
+ *       all USART interrupts and DMA transfers are disabled. When Enable is set again, CFG and most other control\r
+ *       bits remain unchanged. When re-enabled, the USART will immediately be ready to transmit because the\r
+ *       transmitter has been reset and is therefore available.\r
  *  0b1..Enabled. The USART is enabled for operation.\r
  */\r
-#define USART_CFG_ENABLE(x)                      (((uint32_t)(((uint32_t)(x)) << USART_CFG_ENABLE_SHIFT)) & USART_CFG_ENABLE_MASK)\r
-#define USART_CFG_DATALEN_MASK                   (0xCU)\r
-#define USART_CFG_DATALEN_SHIFT                  (2U)\r
+#define USART_CFG_ENABLE(x) (((uint32_t)(((uint32_t)(x)) << USART_CFG_ENABLE_SHIFT)) & USART_CFG_ENABLE_MASK)\r
+#define USART_CFG_DATALEN_MASK (0xCU)\r
+#define USART_CFG_DATALEN_SHIFT (2U)\r
 /*! DATALEN - Selects the data size for the USART.\r
  *  0b00..7 bit Data length.\r
  *  0b01..8 bit Data length.\r
- *  0b10..9 bit data length. The 9th bit is commonly used for addressing in multidrop mode. See the ADDRDET bit in the CTL register.\r
- *  0b11..Reserved.\r
+ *  0b10..9 bit data length. The 9th bit is commonly used for addressing in multidrop mode. See the ADDRDET bit in the\r
+ * CTL register. 0b11..Reserved.\r
  */\r
-#define USART_CFG_DATALEN(x)                     (((uint32_t)(((uint32_t)(x)) << USART_CFG_DATALEN_SHIFT)) & USART_CFG_DATALEN_MASK)\r
-#define USART_CFG_PARITYSEL_MASK                 (0x30U)\r
-#define USART_CFG_PARITYSEL_SHIFT                (4U)\r
+#define USART_CFG_DATALEN(x) (((uint32_t)(((uint32_t)(x)) << USART_CFG_DATALEN_SHIFT)) & USART_CFG_DATALEN_MASK)\r
+#define USART_CFG_PARITYSEL_MASK (0x30U)\r
+#define USART_CFG_PARITYSEL_SHIFT (4U)\r
 /*! PARITYSEL - Selects what type of parity is used by the USART.\r
  *  0b00..No parity.\r
  *  0b01..Reserved.\r
- *  0b10..Even parity. Adds a bit to each character such that the number of 1s in a transmitted character is even, and the number of 1s in a received character is expected to be even.\r
- *  0b11..Odd parity. Adds a bit to each character such that the number of 1s in a transmitted character is odd, and the number of 1s in a received character is expected to be odd.\r
- */\r
-#define USART_CFG_PARITYSEL(x)                   (((uint32_t)(((uint32_t)(x)) << USART_CFG_PARITYSEL_SHIFT)) & USART_CFG_PARITYSEL_MASK)\r
-#define USART_CFG_STOPLEN_MASK                   (0x40U)\r
-#define USART_CFG_STOPLEN_SHIFT                  (6U)\r
+ *  0b10..Even parity. Adds a bit to each character such that the number of 1s in a transmitted character is even,\r
+ *        and the number of 1s in a received character is expected to be even.\r
+ *  0b11..Odd parity. Adds a bit to each character such that the number of 1s in a transmitted character is odd,\r
+ *        and the number of 1s in a received character is expected to be odd.\r
+ */\r
+#define USART_CFG_PARITYSEL(x) (((uint32_t)(((uint32_t)(x)) << USART_CFG_PARITYSEL_SHIFT)) & USART_CFG_PARITYSEL_MASK)\r
+#define USART_CFG_STOPLEN_MASK (0x40U)\r
+#define USART_CFG_STOPLEN_SHIFT (6U)\r
 /*! STOPLEN - Number of stop bits appended to transmitted data. Only a single stop bit is required for received data.\r
  *  0b0..1 stop bit.\r
  *  0b1..2 stop bits. This setting should only be used for asynchronous communication.\r
  */\r
-#define USART_CFG_STOPLEN(x)                     (((uint32_t)(((uint32_t)(x)) << USART_CFG_STOPLEN_SHIFT)) & USART_CFG_STOPLEN_MASK)\r
-#define USART_CFG_MODE32K_MASK                   (0x80U)\r
-#define USART_CFG_MODE32K_SHIFT                  (7U)\r
+#define USART_CFG_STOPLEN(x) (((uint32_t)(((uint32_t)(x)) << USART_CFG_STOPLEN_SHIFT)) & USART_CFG_STOPLEN_MASK)\r
+#define USART_CFG_MODE32K_MASK (0x80U)\r
+#define USART_CFG_MODE32K_SHIFT (7U)\r
 /*! MODE32K - Selects standard or 32 kHz clocking mode.\r
  *  0b0..Disabled. USART uses standard clocking.\r
- *  0b1..Enabled. USART uses the 32 kHz clock from the RTC oscillator as the clock source to the BRG, and uses a special bit clocking scheme.\r
+ *  0b1..Enabled. USART uses the 32 kHz clock from the RTC oscillator as the clock source to the BRG, and uses a special\r
+ * bit clocking scheme.\r
  */\r
-#define USART_CFG_MODE32K(x)                     (((uint32_t)(((uint32_t)(x)) << USART_CFG_MODE32K_SHIFT)) & USART_CFG_MODE32K_MASK)\r
-#define USART_CFG_LINMODE_MASK                   (0x100U)\r
-#define USART_CFG_LINMODE_SHIFT                  (8U)\r
+#define USART_CFG_MODE32K(x) (((uint32_t)(((uint32_t)(x)) << USART_CFG_MODE32K_SHIFT)) & USART_CFG_MODE32K_MASK)\r
+#define USART_CFG_LINMODE_MASK (0x100U)\r
+#define USART_CFG_LINMODE_SHIFT (8U)\r
 /*! LINMODE - LIN break mode enable.\r
  *  0b0..Disabled. Break detect and generate is configured for normal operation.\r
  *  0b1..Enabled. Break detect and generate is configured for LIN bus operation.\r
  */\r
-#define USART_CFG_LINMODE(x)                     (((uint32_t)(((uint32_t)(x)) << USART_CFG_LINMODE_SHIFT)) & USART_CFG_LINMODE_MASK)\r
-#define USART_CFG_CTSEN_MASK                     (0x200U)\r
-#define USART_CFG_CTSEN_SHIFT                    (9U)\r
-/*! CTSEN - CTS Enable. Determines whether CTS is used for flow control. CTS can be from the input pin, or from the USART's own RTS if loopback mode is enabled.\r
+#define USART_CFG_LINMODE(x) (((uint32_t)(((uint32_t)(x)) << USART_CFG_LINMODE_SHIFT)) & USART_CFG_LINMODE_MASK)\r
+#define USART_CFG_CTSEN_MASK (0x200U)\r
+#define USART_CFG_CTSEN_SHIFT (9U)\r
+/*! CTSEN - CTS Enable. Determines whether CTS is used for flow control. CTS can be from the input\r
+ *    pin, or from the USART's own RTS if loopback mode is enabled.\r
  *  0b0..No flow control. The transmitter does not receive any automatic flow control signal.\r
- *  0b1..Flow control enabled. The transmitter uses the CTS input (or RTS output in loopback mode) for flow control purposes.\r
+ *  0b1..Flow control enabled. The transmitter uses the CTS input (or RTS output in loopback mode) for flow control\r
+ * purposes.\r
  */\r
-#define USART_CFG_CTSEN(x)                       (((uint32_t)(((uint32_t)(x)) << USART_CFG_CTSEN_SHIFT)) & USART_CFG_CTSEN_MASK)\r
-#define USART_CFG_SYNCEN_MASK                    (0x800U)\r
-#define USART_CFG_SYNCEN_SHIFT                   (11U)\r
+#define USART_CFG_CTSEN(x) (((uint32_t)(((uint32_t)(x)) << USART_CFG_CTSEN_SHIFT)) & USART_CFG_CTSEN_MASK)\r
+#define USART_CFG_SYNCEN_MASK (0x800U)\r
+#define USART_CFG_SYNCEN_SHIFT (11U)\r
 /*! SYNCEN - Selects synchronous or asynchronous operation.\r
  *  0b0..Asynchronous mode.\r
  *  0b1..Synchronous mode.\r
  */\r
-#define USART_CFG_SYNCEN(x)                      (((uint32_t)(((uint32_t)(x)) << USART_CFG_SYNCEN_SHIFT)) & USART_CFG_SYNCEN_MASK)\r
-#define USART_CFG_CLKPOL_MASK                    (0x1000U)\r
-#define USART_CFG_CLKPOL_SHIFT                   (12U)\r
+#define USART_CFG_SYNCEN(x) (((uint32_t)(((uint32_t)(x)) << USART_CFG_SYNCEN_SHIFT)) & USART_CFG_SYNCEN_MASK)\r
+#define USART_CFG_CLKPOL_MASK (0x1000U)\r
+#define USART_CFG_CLKPOL_SHIFT (12U)\r
 /*! CLKPOL - Selects the clock polarity and sampling edge of received data in synchronous mode.\r
  *  0b0..Falling edge. Un_RXD is sampled on the falling edge of SCLK.\r
  *  0b1..Rising edge. Un_RXD is sampled on the rising edge of SCLK.\r
  */\r
-#define USART_CFG_CLKPOL(x)                      (((uint32_t)(((uint32_t)(x)) << USART_CFG_CLKPOL_SHIFT)) & USART_CFG_CLKPOL_MASK)\r
-#define USART_CFG_SYNCMST_MASK                   (0x4000U)\r
-#define USART_CFG_SYNCMST_SHIFT                  (14U)\r
+#define USART_CFG_CLKPOL(x) (((uint32_t)(((uint32_t)(x)) << USART_CFG_CLKPOL_SHIFT)) & USART_CFG_CLKPOL_MASK)\r
+#define USART_CFG_SYNCMST_MASK (0x4000U)\r
+#define USART_CFG_SYNCMST_SHIFT (14U)\r
 /*! SYNCMST - Synchronous mode Master select.\r
  *  0b0..Slave. When synchronous mode is enabled, the USART is a slave.\r
  *  0b1..Master. When synchronous mode is enabled, the USART is a master.\r
  */\r
-#define USART_CFG_SYNCMST(x)                     (((uint32_t)(((uint32_t)(x)) << USART_CFG_SYNCMST_SHIFT)) & USART_CFG_SYNCMST_MASK)\r
-#define USART_CFG_LOOP_MASK                      (0x8000U)\r
-#define USART_CFG_LOOP_SHIFT                     (15U)\r
+#define USART_CFG_SYNCMST(x) (((uint32_t)(((uint32_t)(x)) << USART_CFG_SYNCMST_SHIFT)) & USART_CFG_SYNCMST_MASK)\r
+#define USART_CFG_LOOP_MASK (0x8000U)\r
+#define USART_CFG_LOOP_SHIFT (15U)\r
 /*! LOOP - Selects data loopback mode.\r
  *  0b0..Normal operation.\r
- *  0b1..Loopback mode. This provides a mechanism to perform diagnostic loopback testing for USART data. Serial data from the transmitter (Un_TXD) is connected internally to serial input of the receive (Un_RXD). Un_TXD and Un_RTS activity will also appear on external pins if these functions are configured to appear on device pins. The receiver RTS signal is also looped back to CTS and performs flow control if enabled by CTSEN.\r
- */\r
-#define USART_CFG_LOOP(x)                        (((uint32_t)(((uint32_t)(x)) << USART_CFG_LOOP_SHIFT)) & USART_CFG_LOOP_MASK)\r
-#define USART_CFG_OETA_MASK                      (0x40000U)\r
-#define USART_CFG_OETA_SHIFT                     (18U)\r
+ *  0b1..Loopback mode. This provides a mechanism to perform diagnostic loopback testing for USART data. Serial\r
+ *       data from the transmitter (Un_TXD) is connected internally to serial input of the receive (Un_RXD). Un_TXD\r
+ *       and Un_RTS activity will also appear on external pins if these functions are configured to appear on device\r
+ *       pins. The receiver RTS signal is also looped back to CTS and performs flow control if enabled by CTSEN.\r
+ */\r
+#define USART_CFG_LOOP(x) (((uint32_t)(((uint32_t)(x)) << USART_CFG_LOOP_SHIFT)) & USART_CFG_LOOP_MASK)\r
+#define USART_CFG_OETA_MASK (0x40000U)\r
+#define USART_CFG_OETA_SHIFT (18U)\r
 /*! OETA - Output Enable Turnaround time enable for RS-485 operation.\r
- *  0b0..Disabled. If selected by OESEL, the Output Enable signal deasserted at the end of the last stop bit of a transmission.\r
- *  0b1..Enabled. If selected by OESEL, the Output Enable signal remains asserted for one character time after the end of the last stop bit of a transmission. OE will also remain asserted if another transmit begins before it is deasserted.\r
- */\r
-#define USART_CFG_OETA(x)                        (((uint32_t)(((uint32_t)(x)) << USART_CFG_OETA_SHIFT)) & USART_CFG_OETA_MASK)\r
-#define USART_CFG_AUTOADDR_MASK                  (0x80000U)\r
-#define USART_CFG_AUTOADDR_SHIFT                 (19U)\r
+ *  0b0..Disabled. If selected by OESEL, the Output Enable signal deasserted at the end of the last stop bit of a\r
+ * transmission. 0b1..Enabled. If selected by OESEL, the Output Enable signal remains asserted for one character time\r
+ * after the end of the last stop bit of a transmission. OE will also remain asserted if another transmit begins before\r
+ * it is deasserted.\r
+ */\r
+#define USART_CFG_OETA(x) (((uint32_t)(((uint32_t)(x)) << USART_CFG_OETA_SHIFT)) & USART_CFG_OETA_MASK)\r
+#define USART_CFG_AUTOADDR_MASK (0x80000U)\r
+#define USART_CFG_AUTOADDR_SHIFT (19U)\r
 /*! AUTOADDR - Automatic Address matching enable.\r
- *  0b0..Disabled. When addressing is enabled by ADDRDET, address matching is done by software. This provides the possibility of versatile addressing (e.g. respond to more than one address).\r
- *  0b1..Enabled. When addressing is enabled by ADDRDET, address matching is done by hardware, using the value in the ADDR register as the address to match.\r
- */\r
-#define USART_CFG_AUTOADDR(x)                    (((uint32_t)(((uint32_t)(x)) << USART_CFG_AUTOADDR_SHIFT)) & USART_CFG_AUTOADDR_MASK)\r
-#define USART_CFG_OESEL_MASK                     (0x100000U)\r
-#define USART_CFG_OESEL_SHIFT                    (20U)\r
+ *  0b0..Disabled. When addressing is enabled by ADDRDET, address matching is done by software. This provides the\r
+ *       possibility of versatile addressing (e.g. respond to more than one address).\r
+ *  0b1..Enabled. When addressing is enabled by ADDRDET, address matching is done by hardware, using the value in\r
+ *       the ADDR register as the address to match.\r
+ */\r
+#define USART_CFG_AUTOADDR(x) (((uint32_t)(((uint32_t)(x)) << USART_CFG_AUTOADDR_SHIFT)) & USART_CFG_AUTOADDR_MASK)\r
+#define USART_CFG_OESEL_MASK (0x100000U)\r
+#define USART_CFG_OESEL_SHIFT (20U)\r
 /*! OESEL - Output Enable Select.\r
  *  0b0..Standard. The RTS signal is used as the standard flow control function.\r
  *  0b1..RS-485. The RTS signal configured to provide an output enable signal to control an RS-485 transceiver.\r
  */\r
-#define USART_CFG_OESEL(x)                       (((uint32_t)(((uint32_t)(x)) << USART_CFG_OESEL_SHIFT)) & USART_CFG_OESEL_MASK)\r
-#define USART_CFG_OEPOL_MASK                     (0x200000U)\r
-#define USART_CFG_OEPOL_SHIFT                    (21U)\r
+#define USART_CFG_OESEL(x) (((uint32_t)(((uint32_t)(x)) << USART_CFG_OESEL_SHIFT)) & USART_CFG_OESEL_MASK)\r
+#define USART_CFG_OEPOL_MASK (0x200000U)\r
+#define USART_CFG_OEPOL_SHIFT (21U)\r
 /*! OEPOL - Output Enable Polarity.\r
  *  0b0..Low. If selected by OESEL, the output enable is active low.\r
  *  0b1..High. If selected by OESEL, the output enable is active high.\r
  */\r
-#define USART_CFG_OEPOL(x)                       (((uint32_t)(((uint32_t)(x)) << USART_CFG_OEPOL_SHIFT)) & USART_CFG_OEPOL_MASK)\r
-#define USART_CFG_RXPOL_MASK                     (0x400000U)\r
-#define USART_CFG_RXPOL_SHIFT                    (22U)\r
+#define USART_CFG_OEPOL(x) (((uint32_t)(((uint32_t)(x)) << USART_CFG_OEPOL_SHIFT)) & USART_CFG_OEPOL_MASK)\r
+#define USART_CFG_RXPOL_MASK (0x400000U)\r
+#define USART_CFG_RXPOL_SHIFT (22U)\r
 /*! RXPOL - Receive data polarity.\r
- *  0b0..Standard. The RX signal is used as it arrives from the pin. This means that the RX rest value is 1, start bit is 0, data is not inverted, and the stop bit is 1.\r
- *  0b1..Inverted. The RX signal is inverted before being used by the USART. This means that the RX rest value is 0, start bit is 1, data is inverted, and the stop bit is 0.\r
- */\r
-#define USART_CFG_RXPOL(x)                       (((uint32_t)(((uint32_t)(x)) << USART_CFG_RXPOL_SHIFT)) & USART_CFG_RXPOL_MASK)\r
-#define USART_CFG_TXPOL_MASK                     (0x800000U)\r
-#define USART_CFG_TXPOL_SHIFT                    (23U)\r
+ *  0b0..Standard. The RX signal is used as it arrives from the pin. This means that the RX rest value is 1, start\r
+ *       bit is 0, data is not inverted, and the stop bit is 1.\r
+ *  0b1..Inverted. The RX signal is inverted before being used by the USART. This means that the RX rest value is\r
+ *       0, start bit is 1, data is inverted, and the stop bit is 0.\r
+ */\r
+#define USART_CFG_RXPOL(x) (((uint32_t)(((uint32_t)(x)) << USART_CFG_RXPOL_SHIFT)) & USART_CFG_RXPOL_MASK)\r
+#define USART_CFG_TXPOL_MASK (0x800000U)\r
+#define USART_CFG_TXPOL_SHIFT (23U)\r
 /*! TXPOL - Transmit data polarity.\r
- *  0b0..Standard. The TX signal is sent out without change. This means that the TX rest value is 1, start bit is 0, data is not inverted, and the stop bit is 1.\r
- *  0b1..Inverted. The TX signal is inverted by the USART before being sent out. This means that the TX rest value is 0, start bit is 1, data is inverted, and the stop bit is 0.\r
+ *  0b0..Standard. The TX signal is sent out without change. This means that the TX rest value is 1, start bit is\r
+ *       0, data is not inverted, and the stop bit is 1.\r
+ *  0b1..Inverted. The TX signal is inverted by the USART before being sent out. This means that the TX rest value\r
+ *       is 0, start bit is 1, data is inverted, and the stop bit is 0.\r
  */\r
-#define USART_CFG_TXPOL(x)                       (((uint32_t)(((uint32_t)(x)) << USART_CFG_TXPOL_SHIFT)) & USART_CFG_TXPOL_MASK)\r
+#define USART_CFG_TXPOL(x) (((uint32_t)(((uint32_t)(x)) << USART_CFG_TXPOL_SHIFT)) & USART_CFG_TXPOL_MASK)\r
 /*! @} */\r
 \r
 /*! @name CTL - USART Control register. USART control settings that are more likely to change during operation. */\r
 /*! @{ */\r
-#define USART_CTL_TXBRKEN_MASK                   (0x2U)\r
-#define USART_CTL_TXBRKEN_SHIFT                  (1U)\r
+#define USART_CTL_TXBRKEN_MASK (0x2U)\r
+#define USART_CTL_TXBRKEN_SHIFT (1U)\r
 /*! TXBRKEN - Break Enable.\r
  *  0b0..Normal operation.\r
- *  0b1..Continuous break. Continuous break is sent immediately when this bit is set, and remains until this bit is cleared. A break may be sent without danger of corrupting any currently transmitting character if the transmitter is first disabled (TXDIS in CTL is set) and then waiting for the transmitter to be disabled (TXDISINT in STAT = 1) before writing 1 to TXBRKEN.\r
- */\r
-#define USART_CTL_TXBRKEN(x)                     (((uint32_t)(((uint32_t)(x)) << USART_CTL_TXBRKEN_SHIFT)) & USART_CTL_TXBRKEN_MASK)\r
-#define USART_CTL_ADDRDET_MASK                   (0x4U)\r
-#define USART_CTL_ADDRDET_SHIFT                  (2U)\r
+ *  0b1..Continuous break. Continuous break is sent immediately when this bit is set, and remains until this bit\r
+ *       is cleared. A break may be sent without danger of corrupting any currently transmitting character if the\r
+ *       transmitter is first disabled (TXDIS in CTL is set) and then waiting for the transmitter to be disabled\r
+ *       (TXDISINT in STAT = 1) before writing 1 to TXBRKEN.\r
+ */\r
+#define USART_CTL_TXBRKEN(x) (((uint32_t)(((uint32_t)(x)) << USART_CTL_TXBRKEN_SHIFT)) & USART_CTL_TXBRKEN_MASK)\r
+#define USART_CTL_ADDRDET_MASK (0x4U)\r
+#define USART_CTL_ADDRDET_SHIFT (2U)\r
 /*! ADDRDET - Enable address detect mode.\r
  *  0b0..Disabled. The USART presents all incoming data.\r
- *  0b1..Enabled. The USART receiver ignores incoming data that does not have the most significant bit of the data (typically the 9th bit) = 1. When the data MSB bit = 1, the receiver treats the incoming data normally, generating a received data interrupt. Software can then check the data to see if this is an address that should be handled. If it is, the ADDRDET bit is cleared by software and further incoming data is handled normally.\r
- */\r
-#define USART_CTL_ADDRDET(x)                     (((uint32_t)(((uint32_t)(x)) << USART_CTL_ADDRDET_SHIFT)) & USART_CTL_ADDRDET_MASK)\r
-#define USART_CTL_TXDIS_MASK                     (0x40U)\r
-#define USART_CTL_TXDIS_SHIFT                    (6U)\r
+ *  0b1..Enabled. The USART receiver ignores incoming data that does not have the most significant bit of the data\r
+ *       (typically the 9th bit) = 1. When the data MSB bit = 1, the receiver treats the incoming data normally,\r
+ *       generating a received data interrupt. Software can then check the data to see if this is an address that\r
+ *       should be handled. If it is, the ADDRDET bit is cleared by software and further incoming data is handled\r
+ *       normally.\r
+ */\r
+#define USART_CTL_ADDRDET(x) (((uint32_t)(((uint32_t)(x)) << USART_CTL_ADDRDET_SHIFT)) & USART_CTL_ADDRDET_MASK)\r
+#define USART_CTL_TXDIS_MASK (0x40U)\r
+#define USART_CTL_TXDIS_SHIFT (6U)\r
 /*! TXDIS - Transmit Disable.\r
  *  0b0..Not disabled. USART transmitter is not disabled.\r
- *  0b1..Disabled. USART transmitter is disabled after any character currently being transmitted is complete. This feature can be used to facilitate software flow control.\r
- */\r
-#define USART_CTL_TXDIS(x)                       (((uint32_t)(((uint32_t)(x)) << USART_CTL_TXDIS_SHIFT)) & USART_CTL_TXDIS_MASK)\r
-#define USART_CTL_CC_MASK                        (0x100U)\r
-#define USART_CTL_CC_SHIFT                       (8U)\r
-/*! CC - Continuous Clock generation. By default, SCLK is only output while data is being transmitted in synchronous mode.\r
- *  0b0..Clock on character. In synchronous mode, SCLK cycles only when characters are being sent on Un_TXD or to complete a character that is being received.\r
- *  0b1..Continuous clock. SCLK runs continuously in synchronous mode, allowing characters to be received on Un_RxD independently from transmission on Un_TXD).\r
- */\r
-#define USART_CTL_CC(x)                          (((uint32_t)(((uint32_t)(x)) << USART_CTL_CC_SHIFT)) & USART_CTL_CC_MASK)\r
-#define USART_CTL_CLRCCONRX_MASK                 (0x200U)\r
-#define USART_CTL_CLRCCONRX_SHIFT                (9U)\r
+ *  0b1..Disabled. USART transmitter is disabled after any character currently being transmitted is complete. This\r
+ *       feature can be used to facilitate software flow control.\r
+ */\r
+#define USART_CTL_TXDIS(x) (((uint32_t)(((uint32_t)(x)) << USART_CTL_TXDIS_SHIFT)) & USART_CTL_TXDIS_MASK)\r
+#define USART_CTL_CC_MASK (0x100U)\r
+#define USART_CTL_CC_SHIFT (8U)\r
+/*! CC - Continuous Clock generation. By default, SCLK is only output while data is being transmitted in synchronous\r
+ * mode. 0b0..Clock on character. In synchronous mode, SCLK cycles only when characters are being sent on Un_TXD or to\r
+ *       complete a character that is being received.\r
+ *  0b1..Continuous clock. SCLK runs continuously in synchronous mode, allowing characters to be received on\r
+ *       Un_RxD independently from transmission on Un_TXD).\r
+ */\r
+#define USART_CTL_CC(x) (((uint32_t)(((uint32_t)(x)) << USART_CTL_CC_SHIFT)) & USART_CTL_CC_MASK)\r
+#define USART_CTL_CLRCCONRX_MASK (0x200U)\r
+#define USART_CTL_CLRCCONRX_SHIFT (9U)\r
 /*! CLRCCONRX - Clear Continuous Clock.\r
  *  0b0..No effect. No effect on the CC bit.\r
- *  0b1..Auto-clear. The CC bit is automatically cleared when a complete character has been received. This bit is cleared at the same time.\r
+ *  0b1..Auto-clear. The CC bit is automatically cleared when a complete character has been received. This bit is\r
+ * cleared at the same time.\r
  */\r
-#define USART_CTL_CLRCCONRX(x)                   (((uint32_t)(((uint32_t)(x)) << USART_CTL_CLRCCONRX_SHIFT)) & USART_CTL_CLRCCONRX_MASK)\r
-#define USART_CTL_AUTOBAUD_MASK                  (0x10000U)\r
-#define USART_CTL_AUTOBAUD_SHIFT                 (16U)\r
+#define USART_CTL_CLRCCONRX(x) (((uint32_t)(((uint32_t)(x)) << USART_CTL_CLRCCONRX_SHIFT)) & USART_CTL_CLRCCONRX_MASK)\r
+#define USART_CTL_AUTOBAUD_MASK (0x10000U)\r
+#define USART_CTL_AUTOBAUD_SHIFT (16U)\r
 /*! AUTOBAUD - Autobaud enable.\r
  *  0b0..Disabled. USART is in normal operating mode.\r
- *  0b1..Enabled. USART is in autobaud mode. This bit should only be set when the USART receiver is idle. The first start bit of RX is measured and used the update the BRG register to match the received data rate. AUTOBAUD is cleared once this process is complete, or if there is an AERR.\r
- */\r
-#define USART_CTL_AUTOBAUD(x)                    (((uint32_t)(((uint32_t)(x)) << USART_CTL_AUTOBAUD_SHIFT)) & USART_CTL_AUTOBAUD_MASK)\r
-/*! @} */\r
-\r
-/*! @name STAT - USART Status register. The complete status value can be read here. Writing ones clears some bits in the register. Some bits can be cleared by writing a 1 to them. */\r
-/*! @{ */\r
-#define USART_STAT_RXIDLE_MASK                   (0x2U)\r
-#define USART_STAT_RXIDLE_SHIFT                  (1U)\r
-#define USART_STAT_RXIDLE(x)                     (((uint32_t)(((uint32_t)(x)) << USART_STAT_RXIDLE_SHIFT)) & USART_STAT_RXIDLE_MASK)\r
-#define USART_STAT_TXIDLE_MASK                   (0x8U)\r
-#define USART_STAT_TXIDLE_SHIFT                  (3U)\r
-#define USART_STAT_TXIDLE(x)                     (((uint32_t)(((uint32_t)(x)) << USART_STAT_TXIDLE_SHIFT)) & USART_STAT_TXIDLE_MASK)\r
-#define USART_STAT_CTS_MASK                      (0x10U)\r
-#define USART_STAT_CTS_SHIFT                     (4U)\r
-#define USART_STAT_CTS(x)                        (((uint32_t)(((uint32_t)(x)) << USART_STAT_CTS_SHIFT)) & USART_STAT_CTS_MASK)\r
-#define USART_STAT_DELTACTS_MASK                 (0x20U)\r
-#define USART_STAT_DELTACTS_SHIFT                (5U)\r
-#define USART_STAT_DELTACTS(x)                   (((uint32_t)(((uint32_t)(x)) << USART_STAT_DELTACTS_SHIFT)) & USART_STAT_DELTACTS_MASK)\r
-#define USART_STAT_TXDISSTAT_MASK                (0x40U)\r
-#define USART_STAT_TXDISSTAT_SHIFT               (6U)\r
-#define USART_STAT_TXDISSTAT(x)                  (((uint32_t)(((uint32_t)(x)) << USART_STAT_TXDISSTAT_SHIFT)) & USART_STAT_TXDISSTAT_MASK)\r
-#define USART_STAT_RXBRK_MASK                    (0x400U)\r
-#define USART_STAT_RXBRK_SHIFT                   (10U)\r
-#define USART_STAT_RXBRK(x)                      (((uint32_t)(((uint32_t)(x)) << USART_STAT_RXBRK_SHIFT)) & USART_STAT_RXBRK_MASK)\r
-#define USART_STAT_DELTARXBRK_MASK               (0x800U)\r
-#define USART_STAT_DELTARXBRK_SHIFT              (11U)\r
-#define USART_STAT_DELTARXBRK(x)                 (((uint32_t)(((uint32_t)(x)) << USART_STAT_DELTARXBRK_SHIFT)) & USART_STAT_DELTARXBRK_MASK)\r
-#define USART_STAT_START_MASK                    (0x1000U)\r
-#define USART_STAT_START_SHIFT                   (12U)\r
-#define USART_STAT_START(x)                      (((uint32_t)(((uint32_t)(x)) << USART_STAT_START_SHIFT)) & USART_STAT_START_MASK)\r
-#define USART_STAT_FRAMERRINT_MASK               (0x2000U)\r
-#define USART_STAT_FRAMERRINT_SHIFT              (13U)\r
-#define USART_STAT_FRAMERRINT(x)                 (((uint32_t)(((uint32_t)(x)) << USART_STAT_FRAMERRINT_SHIFT)) & USART_STAT_FRAMERRINT_MASK)\r
-#define USART_STAT_PARITYERRINT_MASK             (0x4000U)\r
-#define USART_STAT_PARITYERRINT_SHIFT            (14U)\r
-#define USART_STAT_PARITYERRINT(x)               (((uint32_t)(((uint32_t)(x)) << USART_STAT_PARITYERRINT_SHIFT)) & USART_STAT_PARITYERRINT_MASK)\r
-#define USART_STAT_RXNOISEINT_MASK               (0x8000U)\r
-#define USART_STAT_RXNOISEINT_SHIFT              (15U)\r
-#define USART_STAT_RXNOISEINT(x)                 (((uint32_t)(((uint32_t)(x)) << USART_STAT_RXNOISEINT_SHIFT)) & USART_STAT_RXNOISEINT_MASK)\r
-#define USART_STAT_ABERR_MASK                    (0x10000U)\r
-#define USART_STAT_ABERR_SHIFT                   (16U)\r
-#define USART_STAT_ABERR(x)                      (((uint32_t)(((uint32_t)(x)) << USART_STAT_ABERR_SHIFT)) & USART_STAT_ABERR_MASK)\r
-/*! @} */\r
-\r
-/*! @name INTENSET - Interrupt Enable read and Set register for USART (not FIFO) status. Contains individual interrupt enable bits for each potential USART interrupt. A complete value may be read from this register. Writing a 1 to any implemented bit position causes that bit to be set. */\r
-/*! @{ */\r
-#define USART_INTENSET_TXIDLEEN_MASK             (0x8U)\r
-#define USART_INTENSET_TXIDLEEN_SHIFT            (3U)\r
-#define USART_INTENSET_TXIDLEEN(x)               (((uint32_t)(((uint32_t)(x)) << USART_INTENSET_TXIDLEEN_SHIFT)) & USART_INTENSET_TXIDLEEN_MASK)\r
-#define USART_INTENSET_DELTACTSEN_MASK           (0x20U)\r
-#define USART_INTENSET_DELTACTSEN_SHIFT          (5U)\r
-#define USART_INTENSET_DELTACTSEN(x)             (((uint32_t)(((uint32_t)(x)) << USART_INTENSET_DELTACTSEN_SHIFT)) & USART_INTENSET_DELTACTSEN_MASK)\r
-#define USART_INTENSET_TXDISEN_MASK              (0x40U)\r
-#define USART_INTENSET_TXDISEN_SHIFT             (6U)\r
-#define USART_INTENSET_TXDISEN(x)                (((uint32_t)(((uint32_t)(x)) << USART_INTENSET_TXDISEN_SHIFT)) & USART_INTENSET_TXDISEN_MASK)\r
-#define USART_INTENSET_DELTARXBRKEN_MASK         (0x800U)\r
-#define USART_INTENSET_DELTARXBRKEN_SHIFT        (11U)\r
-#define USART_INTENSET_DELTARXBRKEN(x)           (((uint32_t)(((uint32_t)(x)) << USART_INTENSET_DELTARXBRKEN_SHIFT)) & USART_INTENSET_DELTARXBRKEN_MASK)\r
-#define USART_INTENSET_STARTEN_MASK              (0x1000U)\r
-#define USART_INTENSET_STARTEN_SHIFT             (12U)\r
-#define USART_INTENSET_STARTEN(x)                (((uint32_t)(((uint32_t)(x)) << USART_INTENSET_STARTEN_SHIFT)) & USART_INTENSET_STARTEN_MASK)\r
-#define USART_INTENSET_FRAMERREN_MASK            (0x2000U)\r
-#define USART_INTENSET_FRAMERREN_SHIFT           (13U)\r
-#define USART_INTENSET_FRAMERREN(x)              (((uint32_t)(((uint32_t)(x)) << USART_INTENSET_FRAMERREN_SHIFT)) & USART_INTENSET_FRAMERREN_MASK)\r
-#define USART_INTENSET_PARITYERREN_MASK          (0x4000U)\r
-#define USART_INTENSET_PARITYERREN_SHIFT         (14U)\r
-#define USART_INTENSET_PARITYERREN(x)            (((uint32_t)(((uint32_t)(x)) << USART_INTENSET_PARITYERREN_SHIFT)) & USART_INTENSET_PARITYERREN_MASK)\r
-#define USART_INTENSET_RXNOISEEN_MASK            (0x8000U)\r
-#define USART_INTENSET_RXNOISEEN_SHIFT           (15U)\r
-#define USART_INTENSET_RXNOISEEN(x)              (((uint32_t)(((uint32_t)(x)) << USART_INTENSET_RXNOISEEN_SHIFT)) & USART_INTENSET_RXNOISEEN_MASK)\r
-#define USART_INTENSET_ABERREN_MASK              (0x10000U)\r
-#define USART_INTENSET_ABERREN_SHIFT             (16U)\r
-#define USART_INTENSET_ABERREN(x)                (((uint32_t)(((uint32_t)(x)) << USART_INTENSET_ABERREN_SHIFT)) & USART_INTENSET_ABERREN_MASK)\r
-/*! @} */\r
-\r
-/*! @name INTENCLR - Interrupt Enable Clear register. Allows clearing any combination of bits in the INTENSET register. Writing a 1 to any implemented bit position causes the corresponding bit to be cleared. */\r
-/*! @{ */\r
-#define USART_INTENCLR_TXIDLECLR_MASK            (0x8U)\r
-#define USART_INTENCLR_TXIDLECLR_SHIFT           (3U)\r
-#define USART_INTENCLR_TXIDLECLR(x)              (((uint32_t)(((uint32_t)(x)) << USART_INTENCLR_TXIDLECLR_SHIFT)) & USART_INTENCLR_TXIDLECLR_MASK)\r
-#define USART_INTENCLR_DELTACTSCLR_MASK          (0x20U)\r
-#define USART_INTENCLR_DELTACTSCLR_SHIFT         (5U)\r
-#define USART_INTENCLR_DELTACTSCLR(x)            (((uint32_t)(((uint32_t)(x)) << USART_INTENCLR_DELTACTSCLR_SHIFT)) & USART_INTENCLR_DELTACTSCLR_MASK)\r
-#define USART_INTENCLR_TXDISCLR_MASK             (0x40U)\r
-#define USART_INTENCLR_TXDISCLR_SHIFT            (6U)\r
-#define USART_INTENCLR_TXDISCLR(x)               (((uint32_t)(((uint32_t)(x)) << USART_INTENCLR_TXDISCLR_SHIFT)) & USART_INTENCLR_TXDISCLR_MASK)\r
-#define USART_INTENCLR_DELTARXBRKCLR_MASK        (0x800U)\r
-#define USART_INTENCLR_DELTARXBRKCLR_SHIFT       (11U)\r
-#define USART_INTENCLR_DELTARXBRKCLR(x)          (((uint32_t)(((uint32_t)(x)) << USART_INTENCLR_DELTARXBRKCLR_SHIFT)) & USART_INTENCLR_DELTARXBRKCLR_MASK)\r
-#define USART_INTENCLR_STARTCLR_MASK             (0x1000U)\r
-#define USART_INTENCLR_STARTCLR_SHIFT            (12U)\r
-#define USART_INTENCLR_STARTCLR(x)               (((uint32_t)(((uint32_t)(x)) << USART_INTENCLR_STARTCLR_SHIFT)) & USART_INTENCLR_STARTCLR_MASK)\r
-#define USART_INTENCLR_FRAMERRCLR_MASK           (0x2000U)\r
-#define USART_INTENCLR_FRAMERRCLR_SHIFT          (13U)\r
-#define USART_INTENCLR_FRAMERRCLR(x)             (((uint32_t)(((uint32_t)(x)) << USART_INTENCLR_FRAMERRCLR_SHIFT)) & USART_INTENCLR_FRAMERRCLR_MASK)\r
-#define USART_INTENCLR_PARITYERRCLR_MASK         (0x4000U)\r
-#define USART_INTENCLR_PARITYERRCLR_SHIFT        (14U)\r
-#define USART_INTENCLR_PARITYERRCLR(x)           (((uint32_t)(((uint32_t)(x)) << USART_INTENCLR_PARITYERRCLR_SHIFT)) & USART_INTENCLR_PARITYERRCLR_MASK)\r
-#define USART_INTENCLR_RXNOISECLR_MASK           (0x8000U)\r
-#define USART_INTENCLR_RXNOISECLR_SHIFT          (15U)\r
-#define USART_INTENCLR_RXNOISECLR(x)             (((uint32_t)(((uint32_t)(x)) << USART_INTENCLR_RXNOISECLR_SHIFT)) & USART_INTENCLR_RXNOISECLR_MASK)\r
-#define USART_INTENCLR_ABERRCLR_MASK             (0x10000U)\r
-#define USART_INTENCLR_ABERRCLR_SHIFT            (16U)\r
-#define USART_INTENCLR_ABERRCLR(x)               (((uint32_t)(((uint32_t)(x)) << USART_INTENCLR_ABERRCLR_SHIFT)) & USART_INTENCLR_ABERRCLR_MASK)\r
+ *  0b1..Enabled. USART is in autobaud mode. This bit should only be set when the USART receiver is idle. The\r
+ *       first start bit of RX is measured and used the update the BRG register to match the received data rate.\r
+ *       AUTOBAUD is cleared once this process is complete, or if there is an AERR.\r
+ */\r
+#define USART_CTL_AUTOBAUD(x) (((uint32_t)(((uint32_t)(x)) << USART_CTL_AUTOBAUD_SHIFT)) & USART_CTL_AUTOBAUD_MASK)\r
+/*! @} */\r
+\r
+/*! @name STAT - USART Status register. The complete status value can be read here. Writing ones clears some bits in the\r
+ * register. Some bits can be cleared by writing a 1 to them. */\r
+/*! @{ */\r
+#define USART_STAT_RXIDLE_MASK (0x2U)\r
+#define USART_STAT_RXIDLE_SHIFT (1U)\r
+/*! RXIDLE - Receiver Idle. When 0, indicates that the receiver is currently in the process of\r
+ *    receiving data. When 1, indicates that the receiver is not currently in the process of receiving\r
+ *    data.\r
+ */\r
+#define USART_STAT_RXIDLE(x) (((uint32_t)(((uint32_t)(x)) << USART_STAT_RXIDLE_SHIFT)) & USART_STAT_RXIDLE_MASK)\r
+#define USART_STAT_TXIDLE_MASK (0x8U)\r
+#define USART_STAT_TXIDLE_SHIFT (3U)\r
+/*! TXIDLE - Transmitter Idle. When 0, indicates that the transmitter is currently in the process of\r
+ *    sending data.When 1, indicate that the transmitter is not currently in the process of sending\r
+ *    data.\r
+ */\r
+#define USART_STAT_TXIDLE(x) (((uint32_t)(((uint32_t)(x)) << USART_STAT_TXIDLE_SHIFT)) & USART_STAT_TXIDLE_MASK)\r
+#define USART_STAT_CTS_MASK (0x10U)\r
+#define USART_STAT_CTS_SHIFT (4U)\r
+/*! CTS - This bit reflects the current state of the CTS signal, regardless of the setting of the\r
+ *    CTSEN bit in the CFG register. This will be the value of the CTS input pin unless loopback mode\r
+ *    is enabled.\r
+ */\r
+#define USART_STAT_CTS(x) (((uint32_t)(((uint32_t)(x)) << USART_STAT_CTS_SHIFT)) & USART_STAT_CTS_MASK)\r
+#define USART_STAT_DELTACTS_MASK (0x20U)\r
+#define USART_STAT_DELTACTS_SHIFT (5U)\r
+/*! DELTACTS - This bit is set when a change in the state is detected for the CTS flag above. This bit is cleared by\r
+ * software.\r
+ */\r
+#define USART_STAT_DELTACTS(x) (((uint32_t)(((uint32_t)(x)) << USART_STAT_DELTACTS_SHIFT)) & USART_STAT_DELTACTS_MASK)\r
+#define USART_STAT_TXDISSTAT_MASK (0x40U)\r
+#define USART_STAT_TXDISSTAT_SHIFT (6U)\r
+/*! TXDISSTAT - Transmitter Disabled Status flag. When 1, this bit indicates that the USART\r
+ *    transmitter is fully idle after being disabled via the TXDIS bit in the CFG register (TXDIS = 1).\r
+ */\r
+#define USART_STAT_TXDISSTAT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_STAT_TXDISSTAT_SHIFT)) & USART_STAT_TXDISSTAT_MASK)\r
+#define USART_STAT_RXBRK_MASK (0x400U)\r
+#define USART_STAT_RXBRK_SHIFT (10U)\r
+/*! RXBRK - Received Break. This bit reflects the current state of the receiver break detection\r
+ *    logic. It is set when the Un_RXD pin remains low for 16 bit times. Note that FRAMERRINT will also\r
+ *    be set when this condition occurs because the stop bit(s) for the character would be missing.\r
+ *    RXBRK is cleared when the Un_RXD pin goes high.\r
+ */\r
+#define USART_STAT_RXBRK(x) (((uint32_t)(((uint32_t)(x)) << USART_STAT_RXBRK_SHIFT)) & USART_STAT_RXBRK_MASK)\r
+#define USART_STAT_DELTARXBRK_MASK (0x800U)\r
+#define USART_STAT_DELTARXBRK_SHIFT (11U)\r
+/*! DELTARXBRK - This bit is set when a change in the state of receiver break detection occurs. Cleared by software.\r
+ */\r
+#define USART_STAT_DELTARXBRK(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_STAT_DELTARXBRK_SHIFT)) & USART_STAT_DELTARXBRK_MASK)\r
+#define USART_STAT_START_MASK (0x1000U)\r
+#define USART_STAT_START_SHIFT (12U)\r
+/*! START - This bit is set when a start is detected on the receiver input. Its purpose is primarily\r
+ *    to allow wake-up from Deep-sleep or Power-down mode immediately when a start is detected.\r
+ *    Cleared by software.\r
+ */\r
+#define USART_STAT_START(x) (((uint32_t)(((uint32_t)(x)) << USART_STAT_START_SHIFT)) & USART_STAT_START_MASK)\r
+#define USART_STAT_FRAMERRINT_MASK (0x2000U)\r
+#define USART_STAT_FRAMERRINT_SHIFT (13U)\r
+/*! FRAMERRINT - Framing Error interrupt flag. This flag is set when a character is received with a\r
+ *    missing stop bit at the expected location. This could be an indication of a baud rate or\r
+ *    configuration mismatch with the transmitting source.\r
+ */\r
+#define USART_STAT_FRAMERRINT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_STAT_FRAMERRINT_SHIFT)) & USART_STAT_FRAMERRINT_MASK)\r
+#define USART_STAT_PARITYERRINT_MASK (0x4000U)\r
+#define USART_STAT_PARITYERRINT_SHIFT (14U)\r
+/*! PARITYERRINT - Parity Error interrupt flag. This flag is set when a parity error is detected in a received\r
+ * character.\r
+ */\r
+#define USART_STAT_PARITYERRINT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_STAT_PARITYERRINT_SHIFT)) & USART_STAT_PARITYERRINT_MASK)\r
+#define USART_STAT_RXNOISEINT_MASK (0x8000U)\r
+#define USART_STAT_RXNOISEINT_SHIFT (15U)\r
+/*! RXNOISEINT - Received Noise interrupt flag. Three samples of received data are taken in order to\r
+ *    determine the value of each received data bit, except in synchronous mode. This acts as a\r
+ *    noise filter if one sample disagrees. This flag is set when a received data bit contains one\r
+ *    disagreeing sample. This could indicate line noise, a baud rate or character format mismatch, or\r
+ *    loss of synchronization during data reception.\r
+ */\r
+#define USART_STAT_RXNOISEINT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_STAT_RXNOISEINT_SHIFT)) & USART_STAT_RXNOISEINT_MASK)\r
+#define USART_STAT_ABERR_MASK (0x10000U)\r
+#define USART_STAT_ABERR_SHIFT (16U)\r
+/*! ABERR - Auto baud Error. An auto baud error can occur if the BRG counts to its limit before the\r
+ *    end of the start bit that is being measured, essentially an auto baud time-out.\r
+ */\r
+#define USART_STAT_ABERR(x) (((uint32_t)(((uint32_t)(x)) << USART_STAT_ABERR_SHIFT)) & USART_STAT_ABERR_MASK)\r
+/*! @} */\r
+\r
+/*! @name INTENSET - Interrupt Enable read and Set register for USART (not FIFO) status. Contains individual interrupt\r
+ * enable bits for each potential USART interrupt. A complete value may be read from this register. Writing a 1 to any\r
+ * implemented bit position causes that bit to be set. */\r
+/*! @{ */\r
+#define USART_INTENSET_TXIDLEEN_MASK (0x8U)\r
+#define USART_INTENSET_TXIDLEEN_SHIFT (3U)\r
+/*! TXIDLEEN - When 1, enables an interrupt when the transmitter becomes idle (TXIDLE = 1).\r
+ */\r
+#define USART_INTENSET_TXIDLEEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_INTENSET_TXIDLEEN_SHIFT)) & USART_INTENSET_TXIDLEEN_MASK)\r
+#define USART_INTENSET_DELTACTSEN_MASK (0x20U)\r
+#define USART_INTENSET_DELTACTSEN_SHIFT (5U)\r
+/*! DELTACTSEN - When 1, enables an interrupt when there is a change in the state of the CTS input.\r
+ */\r
+#define USART_INTENSET_DELTACTSEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_INTENSET_DELTACTSEN_SHIFT)) & USART_INTENSET_DELTACTSEN_MASK)\r
+#define USART_INTENSET_TXDISEN_MASK (0x40U)\r
+#define USART_INTENSET_TXDISEN_SHIFT (6U)\r
+/*! TXDISEN - When 1, enables an interrupt when the transmitter is fully disabled as indicated by\r
+ *    the TXDISINT flag in STAT. See description of the TXDISINT bit for details.\r
+ */\r
+#define USART_INTENSET_TXDISEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_INTENSET_TXDISEN_SHIFT)) & USART_INTENSET_TXDISEN_MASK)\r
+#define USART_INTENSET_DELTARXBRKEN_MASK (0x800U)\r
+#define USART_INTENSET_DELTARXBRKEN_SHIFT (11U)\r
+/*! DELTARXBRKEN - When 1, enables an interrupt when a change of state has occurred in the detection\r
+ *    of a received break condition (break condition asserted or deasserted).\r
+ */\r
+#define USART_INTENSET_DELTARXBRKEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_INTENSET_DELTARXBRKEN_SHIFT)) & USART_INTENSET_DELTARXBRKEN_MASK)\r
+#define USART_INTENSET_STARTEN_MASK (0x1000U)\r
+#define USART_INTENSET_STARTEN_SHIFT (12U)\r
+/*! STARTEN - When 1, enables an interrupt when a received start bit has been detected.\r
+ */\r
+#define USART_INTENSET_STARTEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_INTENSET_STARTEN_SHIFT)) & USART_INTENSET_STARTEN_MASK)\r
+#define USART_INTENSET_FRAMERREN_MASK (0x2000U)\r
+#define USART_INTENSET_FRAMERREN_SHIFT (13U)\r
+/*! FRAMERREN - When 1, enables an interrupt when a framing error has been detected.\r
+ */\r
+#define USART_INTENSET_FRAMERREN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_INTENSET_FRAMERREN_SHIFT)) & USART_INTENSET_FRAMERREN_MASK)\r
+#define USART_INTENSET_PARITYERREN_MASK (0x4000U)\r
+#define USART_INTENSET_PARITYERREN_SHIFT (14U)\r
+/*! PARITYERREN - When 1, enables an interrupt when a parity error has been detected.\r
+ */\r
+#define USART_INTENSET_PARITYERREN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_INTENSET_PARITYERREN_SHIFT)) & USART_INTENSET_PARITYERREN_MASK)\r
+#define USART_INTENSET_RXNOISEEN_MASK (0x8000U)\r
+#define USART_INTENSET_RXNOISEEN_SHIFT (15U)\r
+/*! RXNOISEEN - When 1, enables an interrupt when noise is detected. See description of the RXNOISEINT bit in Table 354.\r
+ */\r
+#define USART_INTENSET_RXNOISEEN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_INTENSET_RXNOISEEN_SHIFT)) & USART_INTENSET_RXNOISEEN_MASK)\r
+#define USART_INTENSET_ABERREN_MASK (0x10000U)\r
+#define USART_INTENSET_ABERREN_SHIFT (16U)\r
+/*! ABERREN - When 1, enables an interrupt when an auto baud error occurs.\r
+ */\r
+#define USART_INTENSET_ABERREN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_INTENSET_ABERREN_SHIFT)) & USART_INTENSET_ABERREN_MASK)\r
+/*! @} */\r
+\r
+/*! @name INTENCLR - Interrupt Enable Clear register. Allows clearing any combination of bits in the INTENSET register.\r
+ * Writing a 1 to any implemented bit position causes the corresponding bit to be cleared. */\r
+/*! @{ */\r
+#define USART_INTENCLR_TXIDLECLR_MASK (0x8U)\r
+#define USART_INTENCLR_TXIDLECLR_SHIFT (3U)\r
+/*! TXIDLECLR - Writing 1 clears the corresponding bit in the INTENSET register.\r
+ */\r
+#define USART_INTENCLR_TXIDLECLR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_INTENCLR_TXIDLECLR_SHIFT)) & USART_INTENCLR_TXIDLECLR_MASK)\r
+#define USART_INTENCLR_DELTACTSCLR_MASK (0x20U)\r
+#define USART_INTENCLR_DELTACTSCLR_SHIFT (5U)\r
+/*! DELTACTSCLR - Writing 1 clears the corresponding bit in the INTENSET register.\r
+ */\r
+#define USART_INTENCLR_DELTACTSCLR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_INTENCLR_DELTACTSCLR_SHIFT)) & USART_INTENCLR_DELTACTSCLR_MASK)\r
+#define USART_INTENCLR_TXDISCLR_MASK (0x40U)\r
+#define USART_INTENCLR_TXDISCLR_SHIFT (6U)\r
+/*! TXDISCLR - Writing 1 clears the corresponding bit in the INTENSET register.\r
+ */\r
+#define USART_INTENCLR_TXDISCLR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_INTENCLR_TXDISCLR_SHIFT)) & USART_INTENCLR_TXDISCLR_MASK)\r
+#define USART_INTENCLR_DELTARXBRKCLR_MASK (0x800U)\r
+#define USART_INTENCLR_DELTARXBRKCLR_SHIFT (11U)\r
+/*! DELTARXBRKCLR - Writing 1 clears the corresponding bit in the INTENSET register.\r
+ */\r
+#define USART_INTENCLR_DELTARXBRKCLR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_INTENCLR_DELTARXBRKCLR_SHIFT)) & USART_INTENCLR_DELTARXBRKCLR_MASK)\r
+#define USART_INTENCLR_STARTCLR_MASK (0x1000U)\r
+#define USART_INTENCLR_STARTCLR_SHIFT (12U)\r
+/*! STARTCLR - Writing 1 clears the corresponding bit in the INTENSET register.\r
+ */\r
+#define USART_INTENCLR_STARTCLR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_INTENCLR_STARTCLR_SHIFT)) & USART_INTENCLR_STARTCLR_MASK)\r
+#define USART_INTENCLR_FRAMERRCLR_MASK (0x2000U)\r
+#define USART_INTENCLR_FRAMERRCLR_SHIFT (13U)\r
+/*! FRAMERRCLR - Writing 1 clears the corresponding bit in the INTENSET register.\r
+ */\r
+#define USART_INTENCLR_FRAMERRCLR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_INTENCLR_FRAMERRCLR_SHIFT)) & USART_INTENCLR_FRAMERRCLR_MASK)\r
+#define USART_INTENCLR_PARITYERRCLR_MASK (0x4000U)\r
+#define USART_INTENCLR_PARITYERRCLR_SHIFT (14U)\r
+/*! PARITYERRCLR - Writing 1 clears the corresponding bit in the INTENSET register.\r
+ */\r
+#define USART_INTENCLR_PARITYERRCLR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_INTENCLR_PARITYERRCLR_SHIFT)) & USART_INTENCLR_PARITYERRCLR_MASK)\r
+#define USART_INTENCLR_RXNOISECLR_MASK (0x8000U)\r
+#define USART_INTENCLR_RXNOISECLR_SHIFT (15U)\r
+/*! RXNOISECLR - Writing 1 clears the corresponding bit in the INTENSET register.\r
+ */\r
+#define USART_INTENCLR_RXNOISECLR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_INTENCLR_RXNOISECLR_SHIFT)) & USART_INTENCLR_RXNOISECLR_MASK)\r
+#define USART_INTENCLR_ABERRCLR_MASK (0x10000U)\r
+#define USART_INTENCLR_ABERRCLR_SHIFT (16U)\r
+/*! ABERRCLR - Writing 1 clears the corresponding bit in the INTENSET register.\r
+ */\r
+#define USART_INTENCLR_ABERRCLR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_INTENCLR_ABERRCLR_SHIFT)) & USART_INTENCLR_ABERRCLR_MASK)\r
 /*! @} */\r
 \r
 /*! @name BRG - Baud Rate Generator register. 16-bit integer baud rate divisor value. */\r
 /*! @{ */\r
-#define USART_BRG_BRGVAL_MASK                    (0xFFFFU)\r
-#define USART_BRG_BRGVAL_SHIFT                   (0U)\r
-#define USART_BRG_BRGVAL(x)                      (((uint32_t)(((uint32_t)(x)) << USART_BRG_BRGVAL_SHIFT)) & USART_BRG_BRGVAL_MASK)\r
+#define USART_BRG_BRGVAL_MASK (0xFFFFU)\r
+#define USART_BRG_BRGVAL_SHIFT (0U)\r
+/*! BRGVAL - This value is used to divide the USART input clock to determine the baud rate, based on\r
+ *    the input clock from the FRG. 0 = FCLK is used directly by the USART function. 1 = FCLK is\r
+ *    divided by 2 before use by the USART function. 2 = FCLK is divided by 3 before use by the USART\r
+ *    function. 0xFFFF = FCLK is divided by 65,536 before use by the USART function.\r
+ */\r
+#define USART_BRG_BRGVAL(x) (((uint32_t)(((uint32_t)(x)) << USART_BRG_BRGVAL_SHIFT)) & USART_BRG_BRGVAL_MASK)\r
 /*! @} */\r
 \r
 /*! @name INTSTAT - Interrupt status register. Reflects interrupts that are currently enabled. */\r
 /*! @{ */\r
-#define USART_INTSTAT_TXIDLE_MASK                (0x8U)\r
-#define USART_INTSTAT_TXIDLE_SHIFT               (3U)\r
-#define USART_INTSTAT_TXIDLE(x)                  (((uint32_t)(((uint32_t)(x)) << USART_INTSTAT_TXIDLE_SHIFT)) & USART_INTSTAT_TXIDLE_MASK)\r
-#define USART_INTSTAT_DELTACTS_MASK              (0x20U)\r
-#define USART_INTSTAT_DELTACTS_SHIFT             (5U)\r
-#define USART_INTSTAT_DELTACTS(x)                (((uint32_t)(((uint32_t)(x)) << USART_INTSTAT_DELTACTS_SHIFT)) & USART_INTSTAT_DELTACTS_MASK)\r
-#define USART_INTSTAT_TXDISINT_MASK              (0x40U)\r
-#define USART_INTSTAT_TXDISINT_SHIFT             (6U)\r
-#define USART_INTSTAT_TXDISINT(x)                (((uint32_t)(((uint32_t)(x)) << USART_INTSTAT_TXDISINT_SHIFT)) & USART_INTSTAT_TXDISINT_MASK)\r
-#define USART_INTSTAT_DELTARXBRK_MASK            (0x800U)\r
-#define USART_INTSTAT_DELTARXBRK_SHIFT           (11U)\r
-#define USART_INTSTAT_DELTARXBRK(x)              (((uint32_t)(((uint32_t)(x)) << USART_INTSTAT_DELTARXBRK_SHIFT)) & USART_INTSTAT_DELTARXBRK_MASK)\r
-#define USART_INTSTAT_START_MASK                 (0x1000U)\r
-#define USART_INTSTAT_START_SHIFT                (12U)\r
-#define USART_INTSTAT_START(x)                   (((uint32_t)(((uint32_t)(x)) << USART_INTSTAT_START_SHIFT)) & USART_INTSTAT_START_MASK)\r
-#define USART_INTSTAT_FRAMERRINT_MASK            (0x2000U)\r
-#define USART_INTSTAT_FRAMERRINT_SHIFT           (13U)\r
-#define USART_INTSTAT_FRAMERRINT(x)              (((uint32_t)(((uint32_t)(x)) << USART_INTSTAT_FRAMERRINT_SHIFT)) & USART_INTSTAT_FRAMERRINT_MASK)\r
-#define USART_INTSTAT_PARITYERRINT_MASK          (0x4000U)\r
-#define USART_INTSTAT_PARITYERRINT_SHIFT         (14U)\r
-#define USART_INTSTAT_PARITYERRINT(x)            (((uint32_t)(((uint32_t)(x)) << USART_INTSTAT_PARITYERRINT_SHIFT)) & USART_INTSTAT_PARITYERRINT_MASK)\r
-#define USART_INTSTAT_RXNOISEINT_MASK            (0x8000U)\r
-#define USART_INTSTAT_RXNOISEINT_SHIFT           (15U)\r
-#define USART_INTSTAT_RXNOISEINT(x)              (((uint32_t)(((uint32_t)(x)) << USART_INTSTAT_RXNOISEINT_SHIFT)) & USART_INTSTAT_RXNOISEINT_MASK)\r
-#define USART_INTSTAT_ABERRINT_MASK              (0x10000U)\r
-#define USART_INTSTAT_ABERRINT_SHIFT             (16U)\r
-#define USART_INTSTAT_ABERRINT(x)                (((uint32_t)(((uint32_t)(x)) << USART_INTSTAT_ABERRINT_SHIFT)) & USART_INTSTAT_ABERRINT_MASK)\r
+#define USART_INTSTAT_TXIDLE_MASK (0x8U)\r
+#define USART_INTSTAT_TXIDLE_SHIFT (3U)\r
+/*! TXIDLE - Transmitter Idle status.\r
+ */\r
+#define USART_INTSTAT_TXIDLE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_INTSTAT_TXIDLE_SHIFT)) & USART_INTSTAT_TXIDLE_MASK)\r
+#define USART_INTSTAT_DELTACTS_MASK (0x20U)\r
+#define USART_INTSTAT_DELTACTS_SHIFT (5U)\r
+/*! DELTACTS - This bit is set when a change in the state of the CTS input is detected.\r
+ */\r
+#define USART_INTSTAT_DELTACTS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_INTSTAT_DELTACTS_SHIFT)) & USART_INTSTAT_DELTACTS_MASK)\r
+#define USART_INTSTAT_TXDISINT_MASK (0x40U)\r
+#define USART_INTSTAT_TXDISINT_SHIFT (6U)\r
+/*! TXDISINT - Transmitter Disabled Interrupt flag.\r
+ */\r
+#define USART_INTSTAT_TXDISINT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_INTSTAT_TXDISINT_SHIFT)) & USART_INTSTAT_TXDISINT_MASK)\r
+#define USART_INTSTAT_DELTARXBRK_MASK (0x800U)\r
+#define USART_INTSTAT_DELTARXBRK_SHIFT (11U)\r
+/*! DELTARXBRK - This bit is set when a change in the state of receiver break detection occurs.\r
+ */\r
+#define USART_INTSTAT_DELTARXBRK(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_INTSTAT_DELTARXBRK_SHIFT)) & USART_INTSTAT_DELTARXBRK_MASK)\r
+#define USART_INTSTAT_START_MASK (0x1000U)\r
+#define USART_INTSTAT_START_SHIFT (12U)\r
+/*! START - This bit is set when a start is detected on the receiver input.\r
+ */\r
+#define USART_INTSTAT_START(x) (((uint32_t)(((uint32_t)(x)) << USART_INTSTAT_START_SHIFT)) & USART_INTSTAT_START_MASK)\r
+#define USART_INTSTAT_FRAMERRINT_MASK (0x2000U)\r
+#define USART_INTSTAT_FRAMERRINT_SHIFT (13U)\r
+/*! FRAMERRINT - Framing Error interrupt flag.\r
+ */\r
+#define USART_INTSTAT_FRAMERRINT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_INTSTAT_FRAMERRINT_SHIFT)) & USART_INTSTAT_FRAMERRINT_MASK)\r
+#define USART_INTSTAT_PARITYERRINT_MASK (0x4000U)\r
+#define USART_INTSTAT_PARITYERRINT_SHIFT (14U)\r
+/*! PARITYERRINT - Parity Error interrupt flag.\r
+ */\r
+#define USART_INTSTAT_PARITYERRINT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_INTSTAT_PARITYERRINT_SHIFT)) & USART_INTSTAT_PARITYERRINT_MASK)\r
+#define USART_INTSTAT_RXNOISEINT_MASK (0x8000U)\r
+#define USART_INTSTAT_RXNOISEINT_SHIFT (15U)\r
+/*! RXNOISEINT - Received Noise interrupt flag.\r
+ */\r
+#define USART_INTSTAT_RXNOISEINT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_INTSTAT_RXNOISEINT_SHIFT)) & USART_INTSTAT_RXNOISEINT_MASK)\r
+#define USART_INTSTAT_ABERRINT_MASK (0x10000U)\r
+#define USART_INTSTAT_ABERRINT_SHIFT (16U)\r
+/*! ABERRINT - Auto baud Error Interrupt flag.\r
+ */\r
+#define USART_INTSTAT_ABERRINT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_INTSTAT_ABERRINT_SHIFT)) & USART_INTSTAT_ABERRINT_MASK)\r
 /*! @} */\r
 \r
 /*! @name OSR - Oversample selection register for asynchronous communication. */\r
 /*! @{ */\r
-#define USART_OSR_OSRVAL_MASK                    (0xFU)\r
-#define USART_OSR_OSRVAL_SHIFT                   (0U)\r
-#define USART_OSR_OSRVAL(x)                      (((uint32_t)(((uint32_t)(x)) << USART_OSR_OSRVAL_SHIFT)) & USART_OSR_OSRVAL_MASK)\r
+#define USART_OSR_OSRVAL_MASK (0xFU)\r
+#define USART_OSR_OSRVAL_SHIFT (0U)\r
+/*! OSRVAL - Oversample Selection Value. 0 to 3 = not supported 0x4 = 5 function clocks are used to\r
+ *    transmit and receive each data bit. 0x5 = 6 function clocks are used to transmit and receive\r
+ *    each data bit. 0xF= 16 function clocks are used to transmit and receive each data bit.\r
+ */\r
+#define USART_OSR_OSRVAL(x) (((uint32_t)(((uint32_t)(x)) << USART_OSR_OSRVAL_SHIFT)) & USART_OSR_OSRVAL_MASK)\r
 /*! @} */\r
 \r
 /*! @name ADDR - Address register for automatic address matching. */\r
 /*! @{ */\r
-#define USART_ADDR_ADDRESS_MASK                  (0xFFU)\r
-#define USART_ADDR_ADDRESS_SHIFT                 (0U)\r
-#define USART_ADDR_ADDRESS(x)                    (((uint32_t)(((uint32_t)(x)) << USART_ADDR_ADDRESS_SHIFT)) & USART_ADDR_ADDRESS_MASK)\r
+#define USART_ADDR_ADDRESS_MASK (0xFFU)\r
+#define USART_ADDR_ADDRESS_SHIFT (0U)\r
+/*! ADDRESS - 8-bit address used with automatic address matching. Used when address detection is\r
+ *    enabled (ADDRDET in CTL = 1) and automatic address matching is enabled (AUTOADDR in CFG = 1).\r
+ */\r
+#define USART_ADDR_ADDRESS(x) (((uint32_t)(((uint32_t)(x)) << USART_ADDR_ADDRESS_SHIFT)) & USART_ADDR_ADDRESS_MASK)\r
 /*! @} */\r
 \r
 /*! @name FIFOCFG - FIFO configuration and enable register. */\r
 /*! @{ */\r
-#define USART_FIFOCFG_ENABLETX_MASK              (0x1U)\r
-#define USART_FIFOCFG_ENABLETX_SHIFT             (0U)\r
+#define USART_FIFOCFG_ENABLETX_MASK (0x1U)\r
+#define USART_FIFOCFG_ENABLETX_SHIFT (0U)\r
 /*! ENABLETX - Enable the transmit FIFO.\r
  *  0b0..The transmit FIFO is not enabled.\r
  *  0b1..The transmit FIFO is enabled.\r
  */\r
-#define USART_FIFOCFG_ENABLETX(x)                (((uint32_t)(((uint32_t)(x)) << USART_FIFOCFG_ENABLETX_SHIFT)) & USART_FIFOCFG_ENABLETX_MASK)\r
-#define USART_FIFOCFG_ENABLERX_MASK              (0x2U)\r
-#define USART_FIFOCFG_ENABLERX_SHIFT             (1U)\r
+#define USART_FIFOCFG_ENABLETX(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_FIFOCFG_ENABLETX_SHIFT)) & USART_FIFOCFG_ENABLETX_MASK)\r
+#define USART_FIFOCFG_ENABLERX_MASK (0x2U)\r
+#define USART_FIFOCFG_ENABLERX_SHIFT (1U)\r
 /*! ENABLERX - Enable the receive FIFO.\r
  *  0b0..The receive FIFO is not enabled.\r
  *  0b1..The receive FIFO is enabled.\r
  */\r
-#define USART_FIFOCFG_ENABLERX(x)                (((uint32_t)(((uint32_t)(x)) << USART_FIFOCFG_ENABLERX_SHIFT)) & USART_FIFOCFG_ENABLERX_MASK)\r
-#define USART_FIFOCFG_SIZE_MASK                  (0x30U)\r
-#define USART_FIFOCFG_SIZE_SHIFT                 (4U)\r
-#define USART_FIFOCFG_SIZE(x)                    (((uint32_t)(((uint32_t)(x)) << USART_FIFOCFG_SIZE_SHIFT)) & USART_FIFOCFG_SIZE_MASK)\r
-#define USART_FIFOCFG_DMATX_MASK                 (0x1000U)\r
-#define USART_FIFOCFG_DMATX_SHIFT                (12U)\r
+#define USART_FIFOCFG_ENABLERX(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_FIFOCFG_ENABLERX_SHIFT)) & USART_FIFOCFG_ENABLERX_MASK)\r
+#define USART_FIFOCFG_SIZE_MASK (0x30U)\r
+#define USART_FIFOCFG_SIZE_SHIFT (4U)\r
+/*! SIZE - FIFO size configuration. This is a read-only field. 0x0 = FIFO is configured as 16\r
+ *    entries of 8 bits. 0x1, 0x2, 0x3 = not applicable to USART.\r
+ */\r
+#define USART_FIFOCFG_SIZE(x) (((uint32_t)(((uint32_t)(x)) << USART_FIFOCFG_SIZE_SHIFT)) & USART_FIFOCFG_SIZE_MASK)\r
+#define USART_FIFOCFG_DMATX_MASK (0x1000U)\r
+#define USART_FIFOCFG_DMATX_SHIFT (12U)\r
 /*! DMATX - DMA configuration for transmit.\r
  *  0b0..DMA is not used for the transmit function.\r
- *  0b1..Trigger DMA for the transmit function if the FIFO is not full. Generally, data interrupts would be disabled if DMA is enabled.\r
+ *  0b1..Trigger DMA for the transmit function if the FIFO is not full. Generally, data interrupts would be disabled if\r
+ * DMA is enabled.\r
  */\r
-#define USART_FIFOCFG_DMATX(x)                   (((uint32_t)(((uint32_t)(x)) << USART_FIFOCFG_DMATX_SHIFT)) & USART_FIFOCFG_DMATX_MASK)\r
-#define USART_FIFOCFG_DMARX_MASK                 (0x2000U)\r
-#define USART_FIFOCFG_DMARX_SHIFT                (13U)\r
+#define USART_FIFOCFG_DMATX(x) (((uint32_t)(((uint32_t)(x)) << USART_FIFOCFG_DMATX_SHIFT)) & USART_FIFOCFG_DMATX_MASK)\r
+#define USART_FIFOCFG_DMARX_MASK (0x2000U)\r
+#define USART_FIFOCFG_DMARX_SHIFT (13U)\r
 /*! DMARX - DMA configuration for receive.\r
  *  0b0..DMA is not used for the receive function.\r
- *  0b1..Trigger DMA for the receive function if the FIFO is not empty. Generally, data interrupts would be disabled if DMA is enabled.\r
- */\r
-#define USART_FIFOCFG_DMARX(x)                   (((uint32_t)(((uint32_t)(x)) << USART_FIFOCFG_DMARX_SHIFT)) & USART_FIFOCFG_DMARX_MASK)\r
-#define USART_FIFOCFG_WAKETX_MASK                (0x4000U)\r
-#define USART_FIFOCFG_WAKETX_SHIFT               (14U)\r
-/*! WAKETX - Wake-up for transmit FIFO level. This allows the device to be woken from reduced power modes (up to power-down, as long as the peripheral function works in that power mode) without enabling the TXLVL interrupt. Only DMA wakes up, processes data, and goes back to sleep. The CPU will remain stopped until woken by another cause, such as DMA completion. See Hardware Wake-up control register.\r
+ *  0b1..Trigger DMA for the receive function if the FIFO is not empty. Generally, data interrupts would be disabled if\r
+ * DMA is enabled.\r
+ */\r
+#define USART_FIFOCFG_DMARX(x) (((uint32_t)(((uint32_t)(x)) << USART_FIFOCFG_DMARX_SHIFT)) & USART_FIFOCFG_DMARX_MASK)\r
+#define USART_FIFOCFG_WAKETX_MASK (0x4000U)\r
+#define USART_FIFOCFG_WAKETX_SHIFT (14U)\r
+/*! WAKETX - Wake-up for transmit FIFO level. This allows the device to be woken from reduced power\r
+ *    modes (up to power-down, as long as the peripheral function works in that power mode) without\r
+ *    enabling the TXLVL interrupt. Only DMA wakes up, processes data, and goes back to sleep. The\r
+ *    CPU will remain stopped until woken by another cause, such as DMA completion. See Hardware\r
+ *    Wake-up control register.\r
  *  0b0..Only enabled interrupts will wake up the device form reduced power modes.\r
- *  0b1..A device wake-up for DMA will occur if the transmit FIFO level reaches the value specified by TXLVL in FIFOTRIG, even when the TXLVL interrupt is not enabled.\r
- */\r
-#define USART_FIFOCFG_WAKETX(x)                  (((uint32_t)(((uint32_t)(x)) << USART_FIFOCFG_WAKETX_SHIFT)) & USART_FIFOCFG_WAKETX_MASK)\r
-#define USART_FIFOCFG_WAKERX_MASK                (0x8000U)\r
-#define USART_FIFOCFG_WAKERX_SHIFT               (15U)\r
-/*! WAKERX - Wake-up for receive FIFO level. This allows the device to be woken from reduced power modes (up to power-down, as long as the peripheral function works in that power mode) without enabling the TXLVL interrupt. Only DMA wakes up, processes data, and goes back to sleep. The CPU will remain stopped until woken by another cause, such as DMA completion. See Hardware Wake-up control register.\r
+ *  0b1..A device wake-up for DMA will occur if the transmit FIFO level reaches the value specified by TXLVL in\r
+ *       FIFOTRIG, even when the TXLVL interrupt is not enabled.\r
+ */\r
+#define USART_FIFOCFG_WAKETX(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_FIFOCFG_WAKETX_SHIFT)) & USART_FIFOCFG_WAKETX_MASK)\r
+#define USART_FIFOCFG_WAKERX_MASK (0x8000U)\r
+#define USART_FIFOCFG_WAKERX_SHIFT (15U)\r
+/*! WAKERX - Wake-up for receive FIFO level. This allows the device to be woken from reduced power\r
+ *    modes (up to power-down, as long as the peripheral function works in that power mode) without\r
+ *    enabling the TXLVL interrupt. Only DMA wakes up, processes data, and goes back to sleep. The\r
+ *    CPU will remain stopped until woken by another cause, such as DMA completion. See Hardware\r
+ *    Wake-up control register.\r
  *  0b0..Only enabled interrupts will wake up the device form reduced power modes.\r
- *  0b1..A device wake-up for DMA will occur if the receive FIFO level reaches the value specified by RXLVL in FIFOTRIG, even when the RXLVL interrupt is not enabled.\r
+ *  0b1..A device wake-up for DMA will occur if the receive FIFO level reaches the value specified by RXLVL in\r
+ *       FIFOTRIG, even when the RXLVL interrupt is not enabled.\r
+ */\r
+#define USART_FIFOCFG_WAKERX(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_FIFOCFG_WAKERX_SHIFT)) & USART_FIFOCFG_WAKERX_MASK)\r
+#define USART_FIFOCFG_EMPTYTX_MASK (0x10000U)\r
+#define USART_FIFOCFG_EMPTYTX_SHIFT (16U)\r
+/*! EMPTYTX - Empty command for the transmit FIFO. When a 1 is written to this bit, the TX FIFO is emptied.\r
  */\r
-#define USART_FIFOCFG_WAKERX(x)                  (((uint32_t)(((uint32_t)(x)) << USART_FIFOCFG_WAKERX_SHIFT)) & USART_FIFOCFG_WAKERX_MASK)\r
-#define USART_FIFOCFG_EMPTYTX_MASK               (0x10000U)\r
-#define USART_FIFOCFG_EMPTYTX_SHIFT              (16U)\r
-#define USART_FIFOCFG_EMPTYTX(x)                 (((uint32_t)(((uint32_t)(x)) << USART_FIFOCFG_EMPTYTX_SHIFT)) & USART_FIFOCFG_EMPTYTX_MASK)\r
-#define USART_FIFOCFG_EMPTYRX_MASK               (0x20000U)\r
-#define USART_FIFOCFG_EMPTYRX_SHIFT              (17U)\r
-#define USART_FIFOCFG_EMPTYRX(x)                 (((uint32_t)(((uint32_t)(x)) << USART_FIFOCFG_EMPTYRX_SHIFT)) & USART_FIFOCFG_EMPTYRX_MASK)\r
-#define USART_FIFOCFG_POPDBG_MASK                (0x40000U)\r
-#define USART_FIFOCFG_POPDBG_SHIFT               (18U)\r
-/*! POPDBG - Pop FIFO for debug reads.\r
- *  0b0..Debug reads of the FIFO do not pop the FIFO.\r
- *  0b1..A debug read will cause the FIFO to pop.\r
+#define USART_FIFOCFG_EMPTYTX(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_FIFOCFG_EMPTYTX_SHIFT)) & USART_FIFOCFG_EMPTYTX_MASK)\r
+#define USART_FIFOCFG_EMPTYRX_MASK (0x20000U)\r
+#define USART_FIFOCFG_EMPTYRX_SHIFT (17U)\r
+/*! EMPTYRX - Empty command for the receive FIFO. When a 1 is written to this bit, the RX FIFO is emptied.\r
  */\r
-#define USART_FIFOCFG_POPDBG(x)                  (((uint32_t)(((uint32_t)(x)) << USART_FIFOCFG_POPDBG_SHIFT)) & USART_FIFOCFG_POPDBG_MASK)\r
+#define USART_FIFOCFG_EMPTYRX(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_FIFOCFG_EMPTYRX_SHIFT)) & USART_FIFOCFG_EMPTYRX_MASK)\r
 /*! @} */\r
 \r
 /*! @name FIFOSTAT - FIFO status register. */\r
 /*! @{ */\r
-#define USART_FIFOSTAT_TXERR_MASK                (0x1U)\r
-#define USART_FIFOSTAT_TXERR_SHIFT               (0U)\r
-#define USART_FIFOSTAT_TXERR(x)                  (((uint32_t)(((uint32_t)(x)) << USART_FIFOSTAT_TXERR_SHIFT)) & USART_FIFOSTAT_TXERR_MASK)\r
-#define USART_FIFOSTAT_RXERR_MASK                (0x2U)\r
-#define USART_FIFOSTAT_RXERR_SHIFT               (1U)\r
-#define USART_FIFOSTAT_RXERR(x)                  (((uint32_t)(((uint32_t)(x)) << USART_FIFOSTAT_RXERR_SHIFT)) & USART_FIFOSTAT_RXERR_MASK)\r
-#define USART_FIFOSTAT_PERINT_MASK               (0x8U)\r
-#define USART_FIFOSTAT_PERINT_SHIFT              (3U)\r
-#define USART_FIFOSTAT_PERINT(x)                 (((uint32_t)(((uint32_t)(x)) << USART_FIFOSTAT_PERINT_SHIFT)) & USART_FIFOSTAT_PERINT_MASK)\r
-#define USART_FIFOSTAT_TXEMPTY_MASK              (0x10U)\r
-#define USART_FIFOSTAT_TXEMPTY_SHIFT             (4U)\r
-#define USART_FIFOSTAT_TXEMPTY(x)                (((uint32_t)(((uint32_t)(x)) << USART_FIFOSTAT_TXEMPTY_SHIFT)) & USART_FIFOSTAT_TXEMPTY_MASK)\r
-#define USART_FIFOSTAT_TXNOTFULL_MASK            (0x20U)\r
-#define USART_FIFOSTAT_TXNOTFULL_SHIFT           (5U)\r
-#define USART_FIFOSTAT_TXNOTFULL(x)              (((uint32_t)(((uint32_t)(x)) << USART_FIFOSTAT_TXNOTFULL_SHIFT)) & USART_FIFOSTAT_TXNOTFULL_MASK)\r
-#define USART_FIFOSTAT_RXNOTEMPTY_MASK           (0x40U)\r
-#define USART_FIFOSTAT_RXNOTEMPTY_SHIFT          (6U)\r
-#define USART_FIFOSTAT_RXNOTEMPTY(x)             (((uint32_t)(((uint32_t)(x)) << USART_FIFOSTAT_RXNOTEMPTY_SHIFT)) & USART_FIFOSTAT_RXNOTEMPTY_MASK)\r
-#define USART_FIFOSTAT_RXFULL_MASK               (0x80U)\r
-#define USART_FIFOSTAT_RXFULL_SHIFT              (7U)\r
-#define USART_FIFOSTAT_RXFULL(x)                 (((uint32_t)(((uint32_t)(x)) << USART_FIFOSTAT_RXFULL_SHIFT)) & USART_FIFOSTAT_RXFULL_MASK)\r
-#define USART_FIFOSTAT_TXLVL_MASK                (0x1F00U)\r
-#define USART_FIFOSTAT_TXLVL_SHIFT               (8U)\r
-#define USART_FIFOSTAT_TXLVL(x)                  (((uint32_t)(((uint32_t)(x)) << USART_FIFOSTAT_TXLVL_SHIFT)) & USART_FIFOSTAT_TXLVL_MASK)\r
-#define USART_FIFOSTAT_RXLVL_MASK                (0x1F0000U)\r
-#define USART_FIFOSTAT_RXLVL_SHIFT               (16U)\r
-#define USART_FIFOSTAT_RXLVL(x)                  (((uint32_t)(((uint32_t)(x)) << USART_FIFOSTAT_RXLVL_SHIFT)) & USART_FIFOSTAT_RXLVL_MASK)\r
+#define USART_FIFOSTAT_TXERR_MASK (0x1U)\r
+#define USART_FIFOSTAT_TXERR_SHIFT (0U)\r
+/*! TXERR - TX FIFO error. Will be set if a transmit FIFO error occurs. This could be an overflow\r
+ *    caused by pushing data into a full FIFO, or by an underflow if the FIFO is empty when data is\r
+ *    needed. Cleared by writing a 1 to this bit.\r
+ */\r
+#define USART_FIFOSTAT_TXERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_FIFOSTAT_TXERR_SHIFT)) & USART_FIFOSTAT_TXERR_MASK)\r
+#define USART_FIFOSTAT_RXERR_MASK (0x2U)\r
+#define USART_FIFOSTAT_RXERR_SHIFT (1U)\r
+/*! RXERR - RX FIFO error. Will be set if a receive FIFO overflow occurs, caused by software or DMA\r
+ *    not emptying the FIFO fast enough. Cleared by writing a 1 to this bit.\r
+ */\r
+#define USART_FIFOSTAT_RXERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_FIFOSTAT_RXERR_SHIFT)) & USART_FIFOSTAT_RXERR_MASK)\r
+#define USART_FIFOSTAT_PERINT_MASK (0x8U)\r
+#define USART_FIFOSTAT_PERINT_SHIFT (3U)\r
+/*! PERINT - Peripheral interrupt. When 1, this indicates that the peripheral function has asserted\r
+ *    an interrupt. The details can be found by reading the peripheral's STAT register.\r
+ */\r
+#define USART_FIFOSTAT_PERINT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_FIFOSTAT_PERINT_SHIFT)) & USART_FIFOSTAT_PERINT_MASK)\r
+#define USART_FIFOSTAT_TXEMPTY_MASK (0x10U)\r
+#define USART_FIFOSTAT_TXEMPTY_SHIFT (4U)\r
+/*! TXEMPTY - Transmit FIFO empty. When 1, the transmit FIFO is empty. The peripheral may still be processing the last\r
+ * piece of data.\r
+ */\r
+#define USART_FIFOSTAT_TXEMPTY(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_FIFOSTAT_TXEMPTY_SHIFT)) & USART_FIFOSTAT_TXEMPTY_MASK)\r
+#define USART_FIFOSTAT_TXNOTFULL_MASK (0x20U)\r
+#define USART_FIFOSTAT_TXNOTFULL_SHIFT (5U)\r
+/*! TXNOTFULL - Transmit FIFO not full. When 1, the transmit FIFO is not full, so more data can be\r
+ *    written. When 0, the transmit FIFO is full and another write would cause it to overflow.\r
+ */\r
+#define USART_FIFOSTAT_TXNOTFULL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_FIFOSTAT_TXNOTFULL_SHIFT)) & USART_FIFOSTAT_TXNOTFULL_MASK)\r
+#define USART_FIFOSTAT_RXNOTEMPTY_MASK (0x40U)\r
+#define USART_FIFOSTAT_RXNOTEMPTY_SHIFT (6U)\r
+/*! RXNOTEMPTY - Receive FIFO not empty. When 1, the receive FIFO is not empty, so data can be read. When 0, the receive\r
+ * FIFO is empty.\r
+ */\r
+#define USART_FIFOSTAT_RXNOTEMPTY(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_FIFOSTAT_RXNOTEMPTY_SHIFT)) & USART_FIFOSTAT_RXNOTEMPTY_MASK)\r
+#define USART_FIFOSTAT_RXFULL_MASK (0x80U)\r
+#define USART_FIFOSTAT_RXFULL_SHIFT (7U)\r
+/*! RXFULL - Receive FIFO full. When 1, the receive FIFO is full. Data needs to be read out to\r
+ *    prevent the peripheral from causing an overflow.\r
+ */\r
+#define USART_FIFOSTAT_RXFULL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_FIFOSTAT_RXFULL_SHIFT)) & USART_FIFOSTAT_RXFULL_MASK)\r
+#define USART_FIFOSTAT_TXLVL_MASK (0x1F00U)\r
+#define USART_FIFOSTAT_TXLVL_SHIFT (8U)\r
+/*! TXLVL - Transmit FIFO current level. A 0 means the TX FIFO is currently empty, and the TXEMPTY\r
+ *    and TXNOTFULL flags will be 1. Other values tell how much data is actually in the TX FIFO at\r
+ *    the point where the read occurs. If the TX FIFO is full, the TXEMPTY and TXNOTFULL flags will be\r
+ *    0.\r
+ */\r
+#define USART_FIFOSTAT_TXLVL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_FIFOSTAT_TXLVL_SHIFT)) & USART_FIFOSTAT_TXLVL_MASK)\r
+#define USART_FIFOSTAT_RXLVL_MASK (0x1F0000U)\r
+#define USART_FIFOSTAT_RXLVL_SHIFT (16U)\r
+/*! RXLVL - Receive FIFO current level. A 0 means the RX FIFO is currently empty, and the RXFULL and\r
+ *    RXNOTEMPTY flags will be 0. Other values tell how much data is actually in the RX FIFO at the\r
+ *    point where the read occurs. If the RX FIFO is full, the RXFULL and RXNOTEMPTY flags will be\r
+ *    1.\r
+ */\r
+#define USART_FIFOSTAT_RXLVL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_FIFOSTAT_RXLVL_SHIFT)) & USART_FIFOSTAT_RXLVL_MASK)\r
 /*! @} */\r
 \r
 /*! @name FIFOTRIG - FIFO trigger settings for interrupt and DMA request. */\r
 /*! @{ */\r
-#define USART_FIFOTRIG_TXLVLENA_MASK             (0x1U)\r
-#define USART_FIFOTRIG_TXLVLENA_SHIFT            (0U)\r
-/*! TXLVLENA - Transmit FIFO level trigger enable. This trigger will become an interrupt if enabled in FIFOINTENSET, or a DMA trigger if DMATX in FIFOCFG is set.\r
+#define USART_FIFOTRIG_TXLVLENA_MASK (0x1U)\r
+#define USART_FIFOTRIG_TXLVLENA_SHIFT (0U)\r
+/*! TXLVLENA - Transmit FIFO level trigger enable. This trigger will become an interrupt if enabled\r
+ *    in FIFOINTENSET, or a DMA trigger if DMATX in FIFOCFG is set.\r
  *  0b0..Transmit FIFO level does not generate a FIFO level trigger.\r
- *  0b1..An trigger will be generated if the transmit FIFO level reaches the value specified by the TXLVL field in this register.\r
- */\r
-#define USART_FIFOTRIG_TXLVLENA(x)               (((uint32_t)(((uint32_t)(x)) << USART_FIFOTRIG_TXLVLENA_SHIFT)) & USART_FIFOTRIG_TXLVLENA_MASK)\r
-#define USART_FIFOTRIG_RXLVLENA_MASK             (0x2U)\r
-#define USART_FIFOTRIG_RXLVLENA_SHIFT            (1U)\r
-/*! RXLVLENA - Receive FIFO level trigger enable. This trigger will become an interrupt if enabled in FIFOINTENSET, or a DMA trigger if DMARX in FIFOCFG is set.\r
+ *  0b1..An trigger will be generated if the transmit FIFO level reaches the value specified by the TXLVL field in this\r
+ * register.\r
+ */\r
+#define USART_FIFOTRIG_TXLVLENA(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_FIFOTRIG_TXLVLENA_SHIFT)) & USART_FIFOTRIG_TXLVLENA_MASK)\r
+#define USART_FIFOTRIG_RXLVLENA_MASK (0x2U)\r
+#define USART_FIFOTRIG_RXLVLENA_SHIFT (1U)\r
+/*! RXLVLENA - Receive FIFO level trigger enable. This trigger will become an interrupt if enabled\r
+ *    in FIFOINTENSET, or a DMA trigger if DMARX in FIFOCFG is set.\r
  *  0b0..Receive FIFO level does not generate a FIFO level trigger.\r
- *  0b1..An trigger will be generated if the receive FIFO level reaches the value specified by the RXLVL field in this register.\r
- */\r
-#define USART_FIFOTRIG_RXLVLENA(x)               (((uint32_t)(((uint32_t)(x)) << USART_FIFOTRIG_RXLVLENA_SHIFT)) & USART_FIFOTRIG_RXLVLENA_MASK)\r
-#define USART_FIFOTRIG_TXLVL_MASK                (0xF00U)\r
-#define USART_FIFOTRIG_TXLVL_SHIFT               (8U)\r
-#define USART_FIFOTRIG_TXLVL(x)                  (((uint32_t)(((uint32_t)(x)) << USART_FIFOTRIG_TXLVL_SHIFT)) & USART_FIFOTRIG_TXLVL_MASK)\r
-#define USART_FIFOTRIG_RXLVL_MASK                (0xF0000U)\r
-#define USART_FIFOTRIG_RXLVL_SHIFT               (16U)\r
-#define USART_FIFOTRIG_RXLVL(x)                  (((uint32_t)(((uint32_t)(x)) << USART_FIFOTRIG_RXLVL_SHIFT)) & USART_FIFOTRIG_RXLVL_MASK)\r
+ *  0b1..An trigger will be generated if the receive FIFO level reaches the value specified by the RXLVL field in this\r
+ * register.\r
+ */\r
+#define USART_FIFOTRIG_RXLVLENA(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_FIFOTRIG_RXLVLENA_SHIFT)) & USART_FIFOTRIG_RXLVLENA_MASK)\r
+#define USART_FIFOTRIG_TXLVL_MASK (0xF00U)\r
+#define USART_FIFOTRIG_TXLVL_SHIFT (8U)\r
+/*! TXLVL - Transmit FIFO level trigger point. This field is used only when TXLVLENA = 1. If enabled\r
+ *    to do so, the FIFO level can wake up the device just enough to perform DMA, then return to\r
+ *    the reduced power mode. See Hardware Wake-up control register. 0 = trigger when the TX FIFO\r
+ *    becomes empty. 1 = trigger when the TX FIFO level decreases to one entry. 15 = trigger when the TX\r
+ *    FIFO level decreases to 15 entries (is no longer full).\r
+ */\r
+#define USART_FIFOTRIG_TXLVL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_FIFOTRIG_TXLVL_SHIFT)) & USART_FIFOTRIG_TXLVL_MASK)\r
+#define USART_FIFOTRIG_RXLVL_MASK (0xF0000U)\r
+#define USART_FIFOTRIG_RXLVL_SHIFT (16U)\r
+/*! RXLVL - Receive FIFO level trigger point. The RX FIFO level is checked when a new piece of data\r
+ *    is received. This field is used only when RXLVLENA = 1. If enabled to do so, the FIFO level\r
+ *    can wake up the device just enough to perform DMA, then return to the reduced power mode. See\r
+ *    Hardware Wake-up control register. 0 = trigger when the RX FIFO has received one entry (is no\r
+ *    longer empty). 1 = trigger when the RX FIFO has received two entries. 15 = trigger when the RX\r
+ *    FIFO has received 16 entries (has become full).\r
+ */\r
+#define USART_FIFOTRIG_RXLVL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_FIFOTRIG_RXLVL_SHIFT)) & USART_FIFOTRIG_RXLVL_MASK)\r
 /*! @} */\r
 \r
 /*! @name FIFOINTENSET - FIFO interrupt enable set (enable) and read register. */\r
 /*! @{ */\r
-#define USART_FIFOINTENSET_TXERR_MASK            (0x1U)\r
-#define USART_FIFOINTENSET_TXERR_SHIFT           (0U)\r
-/*! TXERR - Determines whether an interrupt occurs when a transmit error occurs, based on the TXERR flag in the FIFOSTAT register.\r
- *  0b0..No interrupt will be generated for a transmit error.\r
- *  0b1..An interrupt will be generated when a transmit error occurs.\r
- */\r
-#define USART_FIFOINTENSET_TXERR(x)              (((uint32_t)(((uint32_t)(x)) << USART_FIFOINTENSET_TXERR_SHIFT)) & USART_FIFOINTENSET_TXERR_MASK)\r
-#define USART_FIFOINTENSET_RXERR_MASK            (0x2U)\r
-#define USART_FIFOINTENSET_RXERR_SHIFT           (1U)\r
-/*! RXERR - Determines whether an interrupt occurs when a receive error occurs, based on the RXERR flag in the FIFOSTAT register.\r
- *  0b0..No interrupt will be generated for a receive error.\r
- *  0b1..An interrupt will be generated when a receive error occurs.\r
- */\r
-#define USART_FIFOINTENSET_RXERR(x)              (((uint32_t)(((uint32_t)(x)) << USART_FIFOINTENSET_RXERR_SHIFT)) & USART_FIFOINTENSET_RXERR_MASK)\r
-#define USART_FIFOINTENSET_TXLVL_MASK            (0x4U)\r
-#define USART_FIFOINTENSET_TXLVL_SHIFT           (2U)\r
-/*! TXLVL - Determines whether an interrupt occurs when a the transmit FIFO reaches the level specified by the TXLVL field in the FIFOTRIG register.\r
+#define USART_FIFOINTENSET_TXERR_MASK (0x1U)\r
+#define USART_FIFOINTENSET_TXERR_SHIFT (0U)\r
+/*! TXERR - Determines whether an interrupt occurs when a transmit error occurs, based on the TXERR flag in the FIFOSTAT\r
+ * register. 0b0..No interrupt will be generated for a transmit error. 0b1..An interrupt will be generated when a\r
+ * transmit error occurs.\r
+ */\r
+#define USART_FIFOINTENSET_TXERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_FIFOINTENSET_TXERR_SHIFT)) & USART_FIFOINTENSET_TXERR_MASK)\r
+#define USART_FIFOINTENSET_RXERR_MASK (0x2U)\r
+#define USART_FIFOINTENSET_RXERR_SHIFT (1U)\r
+/*! RXERR - Determines whether an interrupt occurs when a receive error occurs, based on the RXERR flag in the FIFOSTAT\r
+ * register. 0b0..No interrupt will be generated for a receive error. 0b1..An interrupt will be generated when a receive\r
+ * error occurs.\r
+ */\r
+#define USART_FIFOINTENSET_RXERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_FIFOINTENSET_RXERR_SHIFT)) & USART_FIFOINTENSET_RXERR_MASK)\r
+#define USART_FIFOINTENSET_TXLVL_MASK (0x4U)\r
+#define USART_FIFOINTENSET_TXLVL_SHIFT (2U)\r
+/*! TXLVL - Determines whether an interrupt occurs when a the transmit FIFO reaches the level\r
+ *    specified by the TXLVL field in the FIFOTRIG register.\r
  *  0b0..No interrupt will be generated based on the TX FIFO level.\r
- *  0b1..If TXLVLENA in the FIFOTRIG register = 1, an interrupt will be generated when the TX FIFO level decreases to the level specified by TXLVL in the FIFOTRIG register.\r
- */\r
-#define USART_FIFOINTENSET_TXLVL(x)              (((uint32_t)(((uint32_t)(x)) << USART_FIFOINTENSET_TXLVL_SHIFT)) & USART_FIFOINTENSET_TXLVL_MASK)\r
-#define USART_FIFOINTENSET_RXLVL_MASK            (0x8U)\r
-#define USART_FIFOINTENSET_RXLVL_SHIFT           (3U)\r
-/*! RXLVL - Determines whether an interrupt occurs when a the receive FIFO reaches the level specified by the TXLVL field in the FIFOTRIG register.\r
+ *  0b1..If TXLVLENA in the FIFOTRIG register = 1, an interrupt will be generated when the TX FIFO level decreases\r
+ *       to the level specified by TXLVL in the FIFOTRIG register.\r
+ */\r
+#define USART_FIFOINTENSET_TXLVL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_FIFOINTENSET_TXLVL_SHIFT)) & USART_FIFOINTENSET_TXLVL_MASK)\r
+#define USART_FIFOINTENSET_RXLVL_MASK (0x8U)\r
+#define USART_FIFOINTENSET_RXLVL_SHIFT (3U)\r
+/*! RXLVL - Determines whether an interrupt occurs when a the receive FIFO reaches the level\r
+ *    specified by the TXLVL field in the FIFOTRIG register.\r
  *  0b0..No interrupt will be generated based on the RX FIFO level.\r
- *  0b1..If RXLVLENA in the FIFOTRIG register = 1, an interrupt will be generated when the when the RX FIFO level increases to the level specified by RXLVL in the FIFOTRIG register.\r
+ *  0b1..If RXLVLENA in the FIFOTRIG register = 1, an interrupt will be generated when the when the RX FIFO level\r
+ *       increases to the level specified by RXLVL in the FIFOTRIG register.\r
  */\r
-#define USART_FIFOINTENSET_RXLVL(x)              (((uint32_t)(((uint32_t)(x)) << USART_FIFOINTENSET_RXLVL_SHIFT)) & USART_FIFOINTENSET_RXLVL_MASK)\r
+#define USART_FIFOINTENSET_RXLVL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_FIFOINTENSET_RXLVL_SHIFT)) & USART_FIFOINTENSET_RXLVL_MASK)\r
 /*! @} */\r
 \r
 /*! @name FIFOINTENCLR - FIFO interrupt enable clear (disable) and read register. */\r
 /*! @{ */\r
-#define USART_FIFOINTENCLR_TXERR_MASK            (0x1U)\r
-#define USART_FIFOINTENCLR_TXERR_SHIFT           (0U)\r
-#define USART_FIFOINTENCLR_TXERR(x)              (((uint32_t)(((uint32_t)(x)) << USART_FIFOINTENCLR_TXERR_SHIFT)) & USART_FIFOINTENCLR_TXERR_MASK)\r
-#define USART_FIFOINTENCLR_RXERR_MASK            (0x2U)\r
-#define USART_FIFOINTENCLR_RXERR_SHIFT           (1U)\r
-#define USART_FIFOINTENCLR_RXERR(x)              (((uint32_t)(((uint32_t)(x)) << USART_FIFOINTENCLR_RXERR_SHIFT)) & USART_FIFOINTENCLR_RXERR_MASK)\r
-#define USART_FIFOINTENCLR_TXLVL_MASK            (0x4U)\r
-#define USART_FIFOINTENCLR_TXLVL_SHIFT           (2U)\r
-#define USART_FIFOINTENCLR_TXLVL(x)              (((uint32_t)(((uint32_t)(x)) << USART_FIFOINTENCLR_TXLVL_SHIFT)) & USART_FIFOINTENCLR_TXLVL_MASK)\r
-#define USART_FIFOINTENCLR_RXLVL_MASK            (0x8U)\r
-#define USART_FIFOINTENCLR_RXLVL_SHIFT           (3U)\r
-#define USART_FIFOINTENCLR_RXLVL(x)              (((uint32_t)(((uint32_t)(x)) << USART_FIFOINTENCLR_RXLVL_SHIFT)) & USART_FIFOINTENCLR_RXLVL_MASK)\r
+#define USART_FIFOINTENCLR_TXERR_MASK (0x1U)\r
+#define USART_FIFOINTENCLR_TXERR_SHIFT (0U)\r
+/*! TXERR - Writing one clears the corresponding bits in the FIFOINTENSET register.\r
+ */\r
+#define USART_FIFOINTENCLR_TXERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_FIFOINTENCLR_TXERR_SHIFT)) & USART_FIFOINTENCLR_TXERR_MASK)\r
+#define USART_FIFOINTENCLR_RXERR_MASK (0x2U)\r
+#define USART_FIFOINTENCLR_RXERR_SHIFT (1U)\r
+/*! RXERR - Writing one clears the corresponding bits in the FIFOINTENSET register.\r
+ */\r
+#define USART_FIFOINTENCLR_RXERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_FIFOINTENCLR_RXERR_SHIFT)) & USART_FIFOINTENCLR_RXERR_MASK)\r
+#define USART_FIFOINTENCLR_TXLVL_MASK (0x4U)\r
+#define USART_FIFOINTENCLR_TXLVL_SHIFT (2U)\r
+/*! TXLVL - Writing one clears the corresponding bits in the FIFOINTENSET register.\r
+ */\r
+#define USART_FIFOINTENCLR_TXLVL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_FIFOINTENCLR_TXLVL_SHIFT)) & USART_FIFOINTENCLR_TXLVL_MASK)\r
+#define USART_FIFOINTENCLR_RXLVL_MASK (0x8U)\r
+#define USART_FIFOINTENCLR_RXLVL_SHIFT (3U)\r
+/*! RXLVL - Writing one clears the corresponding bits in the FIFOINTENSET register.\r
+ */\r
+#define USART_FIFOINTENCLR_RXLVL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_FIFOINTENCLR_RXLVL_SHIFT)) & USART_FIFOINTENCLR_RXLVL_MASK)\r
 /*! @} */\r
 \r
 /*! @name FIFOINTSTAT - FIFO interrupt status register. */\r
 /*! @{ */\r
-#define USART_FIFOINTSTAT_TXERR_MASK             (0x1U)\r
-#define USART_FIFOINTSTAT_TXERR_SHIFT            (0U)\r
-#define USART_FIFOINTSTAT_TXERR(x)               (((uint32_t)(((uint32_t)(x)) << USART_FIFOINTSTAT_TXERR_SHIFT)) & USART_FIFOINTSTAT_TXERR_MASK)\r
-#define USART_FIFOINTSTAT_RXERR_MASK             (0x2U)\r
-#define USART_FIFOINTSTAT_RXERR_SHIFT            (1U)\r
-#define USART_FIFOINTSTAT_RXERR(x)               (((uint32_t)(((uint32_t)(x)) << USART_FIFOINTSTAT_RXERR_SHIFT)) & USART_FIFOINTSTAT_RXERR_MASK)\r
-#define USART_FIFOINTSTAT_TXLVL_MASK             (0x4U)\r
-#define USART_FIFOINTSTAT_TXLVL_SHIFT            (2U)\r
-#define USART_FIFOINTSTAT_TXLVL(x)               (((uint32_t)(((uint32_t)(x)) << USART_FIFOINTSTAT_TXLVL_SHIFT)) & USART_FIFOINTSTAT_TXLVL_MASK)\r
-#define USART_FIFOINTSTAT_RXLVL_MASK             (0x8U)\r
-#define USART_FIFOINTSTAT_RXLVL_SHIFT            (3U)\r
-#define USART_FIFOINTSTAT_RXLVL(x)               (((uint32_t)(((uint32_t)(x)) << USART_FIFOINTSTAT_RXLVL_SHIFT)) & USART_FIFOINTSTAT_RXLVL_MASK)\r
-#define USART_FIFOINTSTAT_PERINT_MASK            (0x10U)\r
-#define USART_FIFOINTSTAT_PERINT_SHIFT           (4U)\r
-#define USART_FIFOINTSTAT_PERINT(x)              (((uint32_t)(((uint32_t)(x)) << USART_FIFOINTSTAT_PERINT_SHIFT)) & USART_FIFOINTSTAT_PERINT_MASK)\r
+#define USART_FIFOINTSTAT_TXERR_MASK (0x1U)\r
+#define USART_FIFOINTSTAT_TXERR_SHIFT (0U)\r
+/*! TXERR - TX FIFO error.\r
+ */\r
+#define USART_FIFOINTSTAT_TXERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_FIFOINTSTAT_TXERR_SHIFT)) & USART_FIFOINTSTAT_TXERR_MASK)\r
+#define USART_FIFOINTSTAT_RXERR_MASK (0x2U)\r
+#define USART_FIFOINTSTAT_RXERR_SHIFT (1U)\r
+/*! RXERR - RX FIFO error.\r
+ */\r
+#define USART_FIFOINTSTAT_RXERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_FIFOINTSTAT_RXERR_SHIFT)) & USART_FIFOINTSTAT_RXERR_MASK)\r
+#define USART_FIFOINTSTAT_TXLVL_MASK (0x4U)\r
+#define USART_FIFOINTSTAT_TXLVL_SHIFT (2U)\r
+/*! TXLVL - Transmit FIFO level interrupt.\r
+ */\r
+#define USART_FIFOINTSTAT_TXLVL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_FIFOINTSTAT_TXLVL_SHIFT)) & USART_FIFOINTSTAT_TXLVL_MASK)\r
+#define USART_FIFOINTSTAT_RXLVL_MASK (0x8U)\r
+#define USART_FIFOINTSTAT_RXLVL_SHIFT (3U)\r
+/*! RXLVL - Receive FIFO level interrupt.\r
+ */\r
+#define USART_FIFOINTSTAT_RXLVL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_FIFOINTSTAT_RXLVL_SHIFT)) & USART_FIFOINTSTAT_RXLVL_MASK)\r
+#define USART_FIFOINTSTAT_PERINT_MASK (0x10U)\r
+#define USART_FIFOINTSTAT_PERINT_SHIFT (4U)\r
+/*! PERINT - Peripheral interrupt.\r
+ */\r
+#define USART_FIFOINTSTAT_PERINT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_FIFOINTSTAT_PERINT_SHIFT)) & USART_FIFOINTSTAT_PERINT_MASK)\r
 /*! @} */\r
 \r
 /*! @name FIFOWR - FIFO write data. */\r
 /*! @{ */\r
-#define USART_FIFOWR_TXDATA_MASK                 (0x1FFU)\r
-#define USART_FIFOWR_TXDATA_SHIFT                (0U)\r
-#define USART_FIFOWR_TXDATA(x)                   (((uint32_t)(((uint32_t)(x)) << USART_FIFOWR_TXDATA_SHIFT)) & USART_FIFOWR_TXDATA_MASK)\r
+#define USART_FIFOWR_TXDATA_MASK (0x1FFU)\r
+#define USART_FIFOWR_TXDATA_SHIFT (0U)\r
+/*! TXDATA - Transmit data to the FIFO.\r
+ */\r
+#define USART_FIFOWR_TXDATA(x) (((uint32_t)(((uint32_t)(x)) << USART_FIFOWR_TXDATA_SHIFT)) & USART_FIFOWR_TXDATA_MASK)\r
 /*! @} */\r
 \r
 /*! @name FIFORD - FIFO read data. */\r
 /*! @{ */\r
-#define USART_FIFORD_RXDATA_MASK                 (0x1FFU)\r
-#define USART_FIFORD_RXDATA_SHIFT                (0U)\r
-#define USART_FIFORD_RXDATA(x)                   (((uint32_t)(((uint32_t)(x)) << USART_FIFORD_RXDATA_SHIFT)) & USART_FIFORD_RXDATA_MASK)\r
-#define USART_FIFORD_FRAMERR_MASK                (0x2000U)\r
-#define USART_FIFORD_FRAMERR_SHIFT               (13U)\r
-#define USART_FIFORD_FRAMERR(x)                  (((uint32_t)(((uint32_t)(x)) << USART_FIFORD_FRAMERR_SHIFT)) & USART_FIFORD_FRAMERR_MASK)\r
-#define USART_FIFORD_PARITYERR_MASK              (0x4000U)\r
-#define USART_FIFORD_PARITYERR_SHIFT             (14U)\r
-#define USART_FIFORD_PARITYERR(x)                (((uint32_t)(((uint32_t)(x)) << USART_FIFORD_PARITYERR_SHIFT)) & USART_FIFORD_PARITYERR_MASK)\r
-#define USART_FIFORD_RXNOISE_MASK                (0x8000U)\r
-#define USART_FIFORD_RXNOISE_SHIFT               (15U)\r
-#define USART_FIFORD_RXNOISE(x)                  (((uint32_t)(((uint32_t)(x)) << USART_FIFORD_RXNOISE_SHIFT)) & USART_FIFORD_RXNOISE_MASK)\r
+#define USART_FIFORD_RXDATA_MASK (0x1FFU)\r
+#define USART_FIFORD_RXDATA_SHIFT (0U)\r
+/*! RXDATA - Received data from the FIFO. The number of bits used depends on the DATALEN and PARITYSEL settings.\r
+ */\r
+#define USART_FIFORD_RXDATA(x) (((uint32_t)(((uint32_t)(x)) << USART_FIFORD_RXDATA_SHIFT)) & USART_FIFORD_RXDATA_MASK)\r
+#define USART_FIFORD_FRAMERR_MASK (0x2000U)\r
+#define USART_FIFORD_FRAMERR_SHIFT (13U)\r
+/*! FRAMERR - Framing Error status flag. This bit reflects the status for the data it is read along\r
+ *    with from the FIFO, and indicates that the character was received with a missing stop bit at\r
+ *    the expected location. This could be an indication of a baud rate or configuration mismatch\r
+ *    with the transmitting source.\r
+ */\r
+#define USART_FIFORD_FRAMERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_FIFORD_FRAMERR_SHIFT)) & USART_FIFORD_FRAMERR_MASK)\r
+#define USART_FIFORD_PARITYERR_MASK (0x4000U)\r
+#define USART_FIFORD_PARITYERR_SHIFT (14U)\r
+/*! PARITYERR - Parity Error status flag. This bit reflects the status for the data it is read along\r
+ *    with from the FIFO. This bit will be set when a parity error is detected in a received\r
+ *    character.\r
+ */\r
+#define USART_FIFORD_PARITYERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_FIFORD_PARITYERR_SHIFT)) & USART_FIFORD_PARITYERR_MASK)\r
+#define USART_FIFORD_RXNOISE_MASK (0x8000U)\r
+#define USART_FIFORD_RXNOISE_SHIFT (15U)\r
+/*! RXNOISE - Received Noise flag. See description of the RxNoiseInt bit in Table 354.\r
+ */\r
+#define USART_FIFORD_RXNOISE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_FIFORD_RXNOISE_SHIFT)) & USART_FIFORD_RXNOISE_MASK)\r
 /*! @} */\r
 \r
 /*! @name FIFORDNOPOP - FIFO data read with no FIFO pop. */\r
 /*! @{ */\r
-#define USART_FIFORDNOPOP_RXDATA_MASK            (0x1FFU)\r
-#define USART_FIFORDNOPOP_RXDATA_SHIFT           (0U)\r
-#define USART_FIFORDNOPOP_RXDATA(x)              (((uint32_t)(((uint32_t)(x)) << USART_FIFORDNOPOP_RXDATA_SHIFT)) & USART_FIFORDNOPOP_RXDATA_MASK)\r
-#define USART_FIFORDNOPOP_FRAMERR_MASK           (0x2000U)\r
-#define USART_FIFORDNOPOP_FRAMERR_SHIFT          (13U)\r
-#define USART_FIFORDNOPOP_FRAMERR(x)             (((uint32_t)(((uint32_t)(x)) << USART_FIFORDNOPOP_FRAMERR_SHIFT)) & USART_FIFORDNOPOP_FRAMERR_MASK)\r
-#define USART_FIFORDNOPOP_PARITYERR_MASK         (0x4000U)\r
-#define USART_FIFORDNOPOP_PARITYERR_SHIFT        (14U)\r
-#define USART_FIFORDNOPOP_PARITYERR(x)           (((uint32_t)(((uint32_t)(x)) << USART_FIFORDNOPOP_PARITYERR_SHIFT)) & USART_FIFORDNOPOP_PARITYERR_MASK)\r
-#define USART_FIFORDNOPOP_RXNOISE_MASK           (0x8000U)\r
-#define USART_FIFORDNOPOP_RXNOISE_SHIFT          (15U)\r
-#define USART_FIFORDNOPOP_RXNOISE(x)             (((uint32_t)(((uint32_t)(x)) << USART_FIFORDNOPOP_RXNOISE_SHIFT)) & USART_FIFORDNOPOP_RXNOISE_MASK)\r
+#define USART_FIFORDNOPOP_RXDATA_MASK (0x1FFU)\r
+#define USART_FIFORDNOPOP_RXDATA_SHIFT (0U)\r
+/*! RXDATA - Received data from the FIFO. The number of bits used depends on the DATALEN and PARITYSEL settings.\r
+ */\r
+#define USART_FIFORDNOPOP_RXDATA(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_FIFORDNOPOP_RXDATA_SHIFT)) & USART_FIFORDNOPOP_RXDATA_MASK)\r
+#define USART_FIFORDNOPOP_FRAMERR_MASK (0x2000U)\r
+#define USART_FIFORDNOPOP_FRAMERR_SHIFT (13U)\r
+/*! FRAMERR - Framing Error status flag. This bit reflects the status for the data it is read along\r
+ *    with from the FIFO, and indicates that the character was received with a missing stop bit at\r
+ *    the expected location. This could be an indication of a baud rate or configuration mismatch\r
+ *    with the transmitting source.\r
+ */\r
+#define USART_FIFORDNOPOP_FRAMERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_FIFORDNOPOP_FRAMERR_SHIFT)) & USART_FIFORDNOPOP_FRAMERR_MASK)\r
+#define USART_FIFORDNOPOP_PARITYERR_MASK (0x4000U)\r
+#define USART_FIFORDNOPOP_PARITYERR_SHIFT (14U)\r
+/*! PARITYERR - Parity Error status flag. This bit reflects the status for the data it is read along\r
+ *    with from the FIFO. This bit will be set when a parity error is detected in a received\r
+ *    character.\r
+ */\r
+#define USART_FIFORDNOPOP_PARITYERR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_FIFORDNOPOP_PARITYERR_SHIFT)) & USART_FIFORDNOPOP_PARITYERR_MASK)\r
+#define USART_FIFORDNOPOP_RXNOISE_MASK (0x8000U)\r
+#define USART_FIFORDNOPOP_RXNOISE_SHIFT (15U)\r
+/*! RXNOISE - Received Noise flag. See description of the RxNoiseInt bit in Table 354.\r
+ */\r
+#define USART_FIFORDNOPOP_RXNOISE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USART_FIFORDNOPOP_RXNOISE_SHIFT)) & USART_FIFORDNOPOP_RXNOISE_MASK)\r
 /*! @} */\r
 \r
 /*! @name ID - Peripheral identification register. */\r
 /*! @{ */\r
-#define USART_ID_APERTURE_MASK                   (0xFFU)\r
-#define USART_ID_APERTURE_SHIFT                  (0U)\r
-#define USART_ID_APERTURE(x)                     (((uint32_t)(((uint32_t)(x)) << USART_ID_APERTURE_SHIFT)) & USART_ID_APERTURE_MASK)\r
-#define USART_ID_MINOR_REV_MASK                  (0xF00U)\r
-#define USART_ID_MINOR_REV_SHIFT                 (8U)\r
-#define USART_ID_MINOR_REV(x)                    (((uint32_t)(((uint32_t)(x)) << USART_ID_MINOR_REV_SHIFT)) & USART_ID_MINOR_REV_MASK)\r
-#define USART_ID_MAJOR_REV_MASK                  (0xF000U)\r
-#define USART_ID_MAJOR_REV_SHIFT                 (12U)\r
-#define USART_ID_MAJOR_REV(x)                    (((uint32_t)(((uint32_t)(x)) << USART_ID_MAJOR_REV_SHIFT)) & USART_ID_MAJOR_REV_MASK)\r
-#define USART_ID_ID_MASK                         (0xFFFF0000U)\r
-#define USART_ID_ID_SHIFT                        (16U)\r
-#define USART_ID_ID(x)                           (((uint32_t)(((uint32_t)(x)) << USART_ID_ID_SHIFT)) & USART_ID_ID_MASK)\r
+#define USART_ID_APERTURE_MASK (0xFFU)\r
+#define USART_ID_APERTURE_SHIFT (0U)\r
+/*! APERTURE - Aperture: encoded as (aperture size/4K) -1, so 0x00 means a 4K aperture.\r
+ */\r
+#define USART_ID_APERTURE(x) (((uint32_t)(((uint32_t)(x)) << USART_ID_APERTURE_SHIFT)) & USART_ID_APERTURE_MASK)\r
+#define USART_ID_MINOR_REV_MASK (0xF00U)\r
+#define USART_ID_MINOR_REV_SHIFT (8U)\r
+/*! MINOR_REV - Minor revision of module implementation.\r
+ */\r
+#define USART_ID_MINOR_REV(x) (((uint32_t)(((uint32_t)(x)) << USART_ID_MINOR_REV_SHIFT)) & USART_ID_MINOR_REV_MASK)\r
+#define USART_ID_MAJOR_REV_MASK (0xF000U)\r
+#define USART_ID_MAJOR_REV_SHIFT (12U)\r
+/*! MAJOR_REV - Major revision of module implementation.\r
+ */\r
+#define USART_ID_MAJOR_REV(x) (((uint32_t)(((uint32_t)(x)) << USART_ID_MAJOR_REV_SHIFT)) & USART_ID_MAJOR_REV_MASK)\r
+#define USART_ID_ID_MASK (0xFFFF0000U)\r
+#define USART_ID_ID_SHIFT (16U)\r
+/*! ID - Module identifier for the selected function.\r
+ */\r
+#define USART_ID_ID(x) (((uint32_t)(((uint32_t)(x)) << USART_ID_ID_SHIFT)) & USART_ID_ID_MASK)\r
 /*! @} */\r
 \r
-\r
 /*!\r
  * @}\r
  */ /* end of group USART_Register_Masks */\r
 \r
-\r
 /* USART - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral USART0 base address */\r
-  #define USART0_BASE                              (0x50086000u)\r
-  /** Peripheral USART0 base address */\r
-  #define USART0_BASE_NS                           (0x40086000u)\r
-  /** Peripheral USART0 base pointer */\r
-  #define USART0                                   ((USART_Type *)USART0_BASE)\r
-  /** Peripheral USART0 base pointer */\r
-  #define USART0_NS                                ((USART_Type *)USART0_BASE_NS)\r
-  /** Peripheral USART1 base address */\r
-  #define USART1_BASE                              (0x50087000u)\r
-  /** Peripheral USART1 base address */\r
-  #define USART1_BASE_NS                           (0x40087000u)\r
-  /** Peripheral USART1 base pointer */\r
-  #define USART1                                   ((USART_Type *)USART1_BASE)\r
-  /** Peripheral USART1 base pointer */\r
-  #define USART1_NS                                ((USART_Type *)USART1_BASE_NS)\r
-  /** Peripheral USART2 base address */\r
-  #define USART2_BASE                              (0x50088000u)\r
-  /** Peripheral USART2 base address */\r
-  #define USART2_BASE_NS                           (0x40088000u)\r
-  /** Peripheral USART2 base pointer */\r
-  #define USART2                                   ((USART_Type *)USART2_BASE)\r
-  /** Peripheral USART2 base pointer */\r
-  #define USART2_NS                                ((USART_Type *)USART2_BASE_NS)\r
-  /** Peripheral USART3 base address */\r
-  #define USART3_BASE                              (0x50089000u)\r
-  /** Peripheral USART3 base address */\r
-  #define USART3_BASE_NS                           (0x40089000u)\r
-  /** Peripheral USART3 base pointer */\r
-  #define USART3                                   ((USART_Type *)USART3_BASE)\r
-  /** Peripheral USART3 base pointer */\r
-  #define USART3_NS                                ((USART_Type *)USART3_BASE_NS)\r
-  /** Peripheral USART4 base address */\r
-  #define USART4_BASE                              (0x5008A000u)\r
-  /** Peripheral USART4 base address */\r
-  #define USART4_BASE_NS                           (0x4008A000u)\r
-  /** Peripheral USART4 base pointer */\r
-  #define USART4                                   ((USART_Type *)USART4_BASE)\r
-  /** Peripheral USART4 base pointer */\r
-  #define USART4_NS                                ((USART_Type *)USART4_BASE_NS)\r
-  /** Peripheral USART5 base address */\r
-  #define USART5_BASE                              (0x50096000u)\r
-  /** Peripheral USART5 base address */\r
-  #define USART5_BASE_NS                           (0x40096000u)\r
-  /** Peripheral USART5 base pointer */\r
-  #define USART5                                   ((USART_Type *)USART5_BASE)\r
-  /** Peripheral USART5 base pointer */\r
-  #define USART5_NS                                ((USART_Type *)USART5_BASE_NS)\r
-  /** Peripheral USART6 base address */\r
-  #define USART6_BASE                              (0x50097000u)\r
-  /** Peripheral USART6 base address */\r
-  #define USART6_BASE_NS                           (0x40097000u)\r
-  /** Peripheral USART6 base pointer */\r
-  #define USART6                                   ((USART_Type *)USART6_BASE)\r
-  /** Peripheral USART6 base pointer */\r
-  #define USART6_NS                                ((USART_Type *)USART6_BASE_NS)\r
-  /** Peripheral USART7 base address */\r
-  #define USART7_BASE                              (0x50098000u)\r
-  /** Peripheral USART7 base address */\r
-  #define USART7_BASE_NS                           (0x40098000u)\r
-  /** Peripheral USART7 base pointer */\r
-  #define USART7                                   ((USART_Type *)USART7_BASE)\r
-  /** Peripheral USART7 base pointer */\r
-  #define USART7_NS                                ((USART_Type *)USART7_BASE_NS)\r
-  /** Array initializer of USART peripheral base addresses */\r
-  #define USART_BASE_ADDRS                         { USART0_BASE, USART1_BASE, USART2_BASE, USART3_BASE, USART4_BASE, USART5_BASE, USART6_BASE, USART7_BASE }\r
-  /** Array initializer of USART peripheral base pointers */\r
-  #define USART_BASE_PTRS                          { USART0, USART1, USART2, USART3, USART4, USART5, USART6, USART7 }\r
-  /** Array initializer of USART peripheral base addresses */\r
-  #define USART_BASE_ADDRS_NS                      { USART0_BASE_NS, USART1_BASE_NS, USART2_BASE_NS, USART3_BASE_NS, USART4_BASE_NS, USART5_BASE_NS, USART6_BASE_NS, USART7_BASE_NS }\r
-  /** Array initializer of USART peripheral base pointers */\r
-  #define USART_BASE_PTRS_NS                       { USART0_NS, USART1_NS, USART2_NS, USART3_NS, USART4_NS, USART5_NS, USART6_NS, USART7_NS }\r
+/** Peripheral USART0 base address */\r
+#define USART0_BASE (0x50086000u)\r
+/** Peripheral USART0 base address */\r
+#define USART0_BASE_NS (0x40086000u)\r
+/** Peripheral USART0 base pointer */\r
+#define USART0 ((USART_Type *)USART0_BASE)\r
+/** Peripheral USART0 base pointer */\r
+#define USART0_NS ((USART_Type *)USART0_BASE_NS)\r
+/** Peripheral USART1 base address */\r
+#define USART1_BASE (0x50087000u)\r
+/** Peripheral USART1 base address */\r
+#define USART1_BASE_NS (0x40087000u)\r
+/** Peripheral USART1 base pointer */\r
+#define USART1 ((USART_Type *)USART1_BASE)\r
+/** Peripheral USART1 base pointer */\r
+#define USART1_NS ((USART_Type *)USART1_BASE_NS)\r
+/** Peripheral USART2 base address */\r
+#define USART2_BASE (0x50088000u)\r
+/** Peripheral USART2 base address */\r
+#define USART2_BASE_NS (0x40088000u)\r
+/** Peripheral USART2 base pointer */\r
+#define USART2 ((USART_Type *)USART2_BASE)\r
+/** Peripheral USART2 base pointer */\r
+#define USART2_NS ((USART_Type *)USART2_BASE_NS)\r
+/** Peripheral USART3 base address */\r
+#define USART3_BASE (0x50089000u)\r
+/** Peripheral USART3 base address */\r
+#define USART3_BASE_NS (0x40089000u)\r
+/** Peripheral USART3 base pointer */\r
+#define USART3 ((USART_Type *)USART3_BASE)\r
+/** Peripheral USART3 base pointer */\r
+#define USART3_NS ((USART_Type *)USART3_BASE_NS)\r
+/** Peripheral USART4 base address */\r
+#define USART4_BASE (0x5008A000u)\r
+/** Peripheral USART4 base address */\r
+#define USART4_BASE_NS (0x4008A000u)\r
+/** Peripheral USART4 base pointer */\r
+#define USART4 ((USART_Type *)USART4_BASE)\r
+/** Peripheral USART4 base pointer */\r
+#define USART4_NS ((USART_Type *)USART4_BASE_NS)\r
+/** Peripheral USART5 base address */\r
+#define USART5_BASE (0x50096000u)\r
+/** Peripheral USART5 base address */\r
+#define USART5_BASE_NS (0x40096000u)\r
+/** Peripheral USART5 base pointer */\r
+#define USART5 ((USART_Type *)USART5_BASE)\r
+/** Peripheral USART5 base pointer */\r
+#define USART5_NS ((USART_Type *)USART5_BASE_NS)\r
+/** Peripheral USART6 base address */\r
+#define USART6_BASE (0x50097000u)\r
+/** Peripheral USART6 base address */\r
+#define USART6_BASE_NS (0x40097000u)\r
+/** Peripheral USART6 base pointer */\r
+#define USART6 ((USART_Type *)USART6_BASE)\r
+/** Peripheral USART6 base pointer */\r
+#define USART6_NS ((USART_Type *)USART6_BASE_NS)\r
+/** Peripheral USART7 base address */\r
+#define USART7_BASE (0x50098000u)\r
+/** Peripheral USART7 base address */\r
+#define USART7_BASE_NS (0x40098000u)\r
+/** Peripheral USART7 base pointer */\r
+#define USART7 ((USART_Type *)USART7_BASE)\r
+/** Peripheral USART7 base pointer */\r
+#define USART7_NS ((USART_Type *)USART7_BASE_NS)\r
+/** Array initializer of USART peripheral base addresses */\r
+#define USART_BASE_ADDRS                                                                                       \\r
+    {                                                                                                          \\r
+        USART0_BASE, USART1_BASE, USART2_BASE, USART3_BASE, USART4_BASE, USART5_BASE, USART6_BASE, USART7_BASE \\r
+    }\r
+/** Array initializer of USART peripheral base pointers */\r
+#define USART_BASE_PTRS                                                \\r
+    {                                                                  \\r
+        USART0, USART1, USART2, USART3, USART4, USART5, USART6, USART7 \\r
+    }\r
+/** Array initializer of USART peripheral base addresses */\r
+#define USART_BASE_ADDRS_NS                                                                             \\r
+    {                                                                                                   \\r
+        USART0_BASE_NS, USART1_BASE_NS, USART2_BASE_NS, USART3_BASE_NS, USART4_BASE_NS, USART5_BASE_NS, \\r
+            USART6_BASE_NS, USART7_BASE_NS                                                              \\r
+    }\r
+/** Array initializer of USART peripheral base pointers */\r
+#define USART_BASE_PTRS_NS                                                                     \\r
+    {                                                                                          \\r
+        USART0_NS, USART1_NS, USART2_NS, USART3_NS, USART4_NS, USART5_NS, USART6_NS, USART7_NS \\r
+    }\r
 #else\r
-  /** Peripheral USART0 base address */\r
-  #define USART0_BASE                              (0x40086000u)\r
-  /** Peripheral USART0 base pointer */\r
-  #define USART0                                   ((USART_Type *)USART0_BASE)\r
-  /** Peripheral USART1 base address */\r
-  #define USART1_BASE                              (0x40087000u)\r
-  /** Peripheral USART1 base pointer */\r
-  #define USART1                                   ((USART_Type *)USART1_BASE)\r
-  /** Peripheral USART2 base address */\r
-  #define USART2_BASE                              (0x40088000u)\r
-  /** Peripheral USART2 base pointer */\r
-  #define USART2                                   ((USART_Type *)USART2_BASE)\r
-  /** Peripheral USART3 base address */\r
-  #define USART3_BASE                              (0x40089000u)\r
-  /** Peripheral USART3 base pointer */\r
-  #define USART3                                   ((USART_Type *)USART3_BASE)\r
-  /** Peripheral USART4 base address */\r
-  #define USART4_BASE                              (0x4008A000u)\r
-  /** Peripheral USART4 base pointer */\r
-  #define USART4                                   ((USART_Type *)USART4_BASE)\r
-  /** Peripheral USART5 base address */\r
-  #define USART5_BASE                              (0x40096000u)\r
-  /** Peripheral USART5 base pointer */\r
-  #define USART5                                   ((USART_Type *)USART5_BASE)\r
-  /** Peripheral USART6 base address */\r
-  #define USART6_BASE                              (0x40097000u)\r
-  /** Peripheral USART6 base pointer */\r
-  #define USART6                                   ((USART_Type *)USART6_BASE)\r
-  /** Peripheral USART7 base address */\r
-  #define USART7_BASE                              (0x40098000u)\r
-  /** Peripheral USART7 base pointer */\r
-  #define USART7                                   ((USART_Type *)USART7_BASE)\r
-  /** Array initializer of USART peripheral base addresses */\r
-  #define USART_BASE_ADDRS                         { USART0_BASE, USART1_BASE, USART2_BASE, USART3_BASE, USART4_BASE, USART5_BASE, USART6_BASE, USART7_BASE }\r
-  /** Array initializer of USART peripheral base pointers */\r
-  #define USART_BASE_PTRS                          { USART0, USART1, USART2, USART3, USART4, USART5, USART6, USART7 }\r
+/** Peripheral USART0 base address */\r
+#define USART0_BASE (0x40086000u)\r
+/** Peripheral USART0 base pointer */\r
+#define USART0 ((USART_Type *)USART0_BASE)\r
+/** Peripheral USART1 base address */\r
+#define USART1_BASE (0x40087000u)\r
+/** Peripheral USART1 base pointer */\r
+#define USART1 ((USART_Type *)USART1_BASE)\r
+/** Peripheral USART2 base address */\r
+#define USART2_BASE (0x40088000u)\r
+/** Peripheral USART2 base pointer */\r
+#define USART2 ((USART_Type *)USART2_BASE)\r
+/** Peripheral USART3 base address */\r
+#define USART3_BASE (0x40089000u)\r
+/** Peripheral USART3 base pointer */\r
+#define USART3 ((USART_Type *)USART3_BASE)\r
+/** Peripheral USART4 base address */\r
+#define USART4_BASE (0x4008A000u)\r
+/** Peripheral USART4 base pointer */\r
+#define USART4 ((USART_Type *)USART4_BASE)\r
+/** Peripheral USART5 base address */\r
+#define USART5_BASE (0x40096000u)\r
+/** Peripheral USART5 base pointer */\r
+#define USART5 ((USART_Type *)USART5_BASE)\r
+/** Peripheral USART6 base address */\r
+#define USART6_BASE (0x40097000u)\r
+/** Peripheral USART6 base pointer */\r
+#define USART6 ((USART_Type *)USART6_BASE)\r
+/** Peripheral USART7 base address */\r
+#define USART7_BASE (0x40098000u)\r
+/** Peripheral USART7 base pointer */\r
+#define USART7 ((USART_Type *)USART7_BASE)\r
+/** Array initializer of USART peripheral base addresses */\r
+#define USART_BASE_ADDRS                                                                                       \\r
+    {                                                                                                          \\r
+        USART0_BASE, USART1_BASE, USART2_BASE, USART3_BASE, USART4_BASE, USART5_BASE, USART6_BASE, USART7_BASE \\r
+    }\r
+/** Array initializer of USART peripheral base pointers */\r
+#define USART_BASE_PTRS                                                \\r
+    {                                                                  \\r
+        USART0, USART1, USART2, USART3, USART4, USART5, USART6, USART7 \\r
+    }\r
 #endif\r
 /** Interrupt vectors for the USART peripheral type */\r
-#define USART_IRQS                               { FLEXCOMM0_IRQn, FLEXCOMM1_IRQn, FLEXCOMM2_IRQn, FLEXCOMM3_IRQn, FLEXCOMM4_IRQn, FLEXCOMM5_IRQn, FLEXCOMM6_IRQn, FLEXCOMM7_IRQn }\r
+#define USART_IRQS                                                                                      \\r
+    {                                                                                                   \\r
+        FLEXCOMM0_IRQn, FLEXCOMM1_IRQn, FLEXCOMM2_IRQn, FLEXCOMM3_IRQn, FLEXCOMM4_IRQn, FLEXCOMM5_IRQn, \\r
+            FLEXCOMM6_IRQn, FLEXCOMM7_IRQn                                                              \\r
+    }\r
 \r
 /*!\r
  * @}\r
  */ /* end of group USART_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- USB Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -21158,20 +26276,21 @@ typedef struct {
  */\r
 \r
 /** USB - Register Layout Typedef */\r
-typedef struct {\r
-  __IO uint32_t DEVCMDSTAT;                        /**< USB Device Command/Status register, offset: 0x0 */\r
-  __IO uint32_t INFO;                              /**< USB Info register, offset: 0x4 */\r
-  __IO uint32_t EPLISTSTART;                       /**< USB EP Command/Status List start address, offset: 0x8 */\r
-  __IO uint32_t DATABUFSTART;                      /**< USB Data buffer start address, offset: 0xC */\r
-  __IO uint32_t LPM;                               /**< USB Link Power Management register, offset: 0x10 */\r
-  __IO uint32_t EPSKIP;                            /**< USB Endpoint skip, offset: 0x14 */\r
-  __IO uint32_t EPINUSE;                           /**< USB Endpoint Buffer in use, offset: 0x18 */\r
-  __IO uint32_t EPBUFCFG;                          /**< USB Endpoint Buffer Configuration register, offset: 0x1C */\r
-  __IO uint32_t INTSTAT;                           /**< USB interrupt status register, offset: 0x20 */\r
-  __IO uint32_t INTEN;                             /**< USB interrupt enable register, offset: 0x24 */\r
-  __IO uint32_t INTSETSTAT;                        /**< USB set interrupt status register, offset: 0x28 */\r
-       uint8_t RESERVED_0[8];\r
-  __IO uint32_t EPTOGGLE;                          /**< USB Endpoint toggle register, offset: 0x34 */\r
+typedef struct\r
+{\r
+    __IO uint32_t DEVCMDSTAT;   /**< USB Device Command/Status register, offset: 0x0 */\r
+    __IO uint32_t INFO;         /**< USB Info register, offset: 0x4 */\r
+    __IO uint32_t EPLISTSTART;  /**< USB EP Command/Status List start address, offset: 0x8 */\r
+    __IO uint32_t DATABUFSTART; /**< USB Data buffer start address, offset: 0xC */\r
+    __IO uint32_t LPM;          /**< USB Link Power Management register, offset: 0x10 */\r
+    __IO uint32_t EPSKIP;       /**< USB Endpoint skip, offset: 0x14 */\r
+    __IO uint32_t EPINUSE;      /**< USB Endpoint Buffer in use, offset: 0x18 */\r
+    __IO uint32_t EPBUFCFG;     /**< USB Endpoint Buffer Configuration register, offset: 0x1C */\r
+    __IO uint32_t INTSTAT;      /**< USB interrupt status register, offset: 0x20 */\r
+    __IO uint32_t INTEN;        /**< USB interrupt enable register, offset: 0x24 */\r
+    __IO uint32_t INTSETSTAT;   /**< USB set interrupt status register, offset: 0x28 */\r
+    uint8_t RESERVED_0[8];\r
+    __IO uint32_t EPTOGGLE; /**< USB Endpoint toggle register, offset: 0x34 */\r
 } USB_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -21185,90 +26304,164 @@ typedef struct {
 \r
 /*! @name DEVCMDSTAT - USB Device Command/Status register */\r
 /*! @{ */\r
-#define USB_DEVCMDSTAT_DEV_ADDR_MASK             (0x7FU)\r
-#define USB_DEVCMDSTAT_DEV_ADDR_SHIFT            (0U)\r
-#define USB_DEVCMDSTAT_DEV_ADDR(x)               (((uint32_t)(((uint32_t)(x)) << USB_DEVCMDSTAT_DEV_ADDR_SHIFT)) & USB_DEVCMDSTAT_DEV_ADDR_MASK)\r
-#define USB_DEVCMDSTAT_DEV_EN_MASK               (0x80U)\r
-#define USB_DEVCMDSTAT_DEV_EN_SHIFT              (7U)\r
-#define USB_DEVCMDSTAT_DEV_EN(x)                 (((uint32_t)(((uint32_t)(x)) << USB_DEVCMDSTAT_DEV_EN_SHIFT)) & USB_DEVCMDSTAT_DEV_EN_MASK)\r
-#define USB_DEVCMDSTAT_SETUP_MASK                (0x100U)\r
-#define USB_DEVCMDSTAT_SETUP_SHIFT               (8U)\r
-#define USB_DEVCMDSTAT_SETUP(x)                  (((uint32_t)(((uint32_t)(x)) << USB_DEVCMDSTAT_SETUP_SHIFT)) & USB_DEVCMDSTAT_SETUP_MASK)\r
-#define USB_DEVCMDSTAT_FORCE_NEEDCLK_MASK        (0x200U)\r
-#define USB_DEVCMDSTAT_FORCE_NEEDCLK_SHIFT       (9U)\r
+#define USB_DEVCMDSTAT_DEV_ADDR_MASK (0x7FU)\r
+#define USB_DEVCMDSTAT_DEV_ADDR_SHIFT (0U)\r
+/*! DEV_ADDR - USB device address. After bus reset, the address is reset to 0x00. If the enable bit\r
+ *    is set, the device will respond on packets for function address DEV_ADDR. When receiving a\r
+ *    SetAddress Control Request from the USB host, software must program the new address before\r
+ *    completing the status phase of the SetAddress Control Request.\r
+ */\r
+#define USB_DEVCMDSTAT_DEV_ADDR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USB_DEVCMDSTAT_DEV_ADDR_SHIFT)) & USB_DEVCMDSTAT_DEV_ADDR_MASK)\r
+#define USB_DEVCMDSTAT_DEV_EN_MASK (0x80U)\r
+#define USB_DEVCMDSTAT_DEV_EN_SHIFT (7U)\r
+/*! DEV_EN - USB device enable. If this bit is set, the HW will start responding on packets for function address\r
+ * DEV_ADDR.\r
+ */\r
+#define USB_DEVCMDSTAT_DEV_EN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USB_DEVCMDSTAT_DEV_EN_SHIFT)) & USB_DEVCMDSTAT_DEV_EN_MASK)\r
+#define USB_DEVCMDSTAT_SETUP_MASK (0x100U)\r
+#define USB_DEVCMDSTAT_SETUP_SHIFT (8U)\r
+/*! SETUP - SETUP token received. If a SETUP token is received and acknowledged by the device, this\r
+ *    bit is set. As long as this bit is set all received IN and OUT tokens will be NAKed by HW. SW\r
+ *    must clear this bit by writing a one. If this bit is zero, HW will handle the tokens to the\r
+ *    CTRL EP0 as indicated by the CTRL EP0 IN and OUT data information programmed by SW.\r
+ */\r
+#define USB_DEVCMDSTAT_SETUP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USB_DEVCMDSTAT_SETUP_SHIFT)) & USB_DEVCMDSTAT_SETUP_MASK)\r
+#define USB_DEVCMDSTAT_FORCE_NEEDCLK_MASK (0x200U)\r
+#define USB_DEVCMDSTAT_FORCE_NEEDCLK_SHIFT (9U)\r
 /*! FORCE_NEEDCLK - Forces the NEEDCLK output to always be on:\r
  *  0b0..USB_NEEDCLK has normal function.\r
  *  0b1..USB_NEEDCLK always 1. Clock will not be stopped in case of suspend.\r
  */\r
-#define USB_DEVCMDSTAT_FORCE_NEEDCLK(x)          (((uint32_t)(((uint32_t)(x)) << USB_DEVCMDSTAT_FORCE_NEEDCLK_SHIFT)) & USB_DEVCMDSTAT_FORCE_NEEDCLK_MASK)\r
-#define USB_DEVCMDSTAT_LPM_SUP_MASK              (0x800U)\r
-#define USB_DEVCMDSTAT_LPM_SUP_SHIFT             (11U)\r
+#define USB_DEVCMDSTAT_FORCE_NEEDCLK(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USB_DEVCMDSTAT_FORCE_NEEDCLK_SHIFT)) & USB_DEVCMDSTAT_FORCE_NEEDCLK_MASK)\r
+#define USB_DEVCMDSTAT_LPM_SUP_MASK (0x800U)\r
+#define USB_DEVCMDSTAT_LPM_SUP_SHIFT (11U)\r
 /*! LPM_SUP - LPM Supported:\r
  *  0b0..LPM not supported.\r
  *  0b1..LPM supported.\r
  */\r
-#define USB_DEVCMDSTAT_LPM_SUP(x)                (((uint32_t)(((uint32_t)(x)) << USB_DEVCMDSTAT_LPM_SUP_SHIFT)) & USB_DEVCMDSTAT_LPM_SUP_MASK)\r
-#define USB_DEVCMDSTAT_INTONNAK_AO_MASK          (0x1000U)\r
-#define USB_DEVCMDSTAT_INTONNAK_AO_SHIFT         (12U)\r
+#define USB_DEVCMDSTAT_LPM_SUP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USB_DEVCMDSTAT_LPM_SUP_SHIFT)) & USB_DEVCMDSTAT_LPM_SUP_MASK)\r
+#define USB_DEVCMDSTAT_INTONNAK_AO_MASK (0x1000U)\r
+#define USB_DEVCMDSTAT_INTONNAK_AO_SHIFT (12U)\r
 /*! INTONNAK_AO - Interrupt on NAK for interrupt and bulk OUT EP\r
  *  0b0..Only acknowledged packets generate an interrupt\r
  *  0b1..Both acknowledged and NAKed packets generate interrupts.\r
  */\r
-#define USB_DEVCMDSTAT_INTONNAK_AO(x)            (((uint32_t)(((uint32_t)(x)) << USB_DEVCMDSTAT_INTONNAK_AO_SHIFT)) & USB_DEVCMDSTAT_INTONNAK_AO_MASK)\r
-#define USB_DEVCMDSTAT_INTONNAK_AI_MASK          (0x2000U)\r
-#define USB_DEVCMDSTAT_INTONNAK_AI_SHIFT         (13U)\r
+#define USB_DEVCMDSTAT_INTONNAK_AO(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USB_DEVCMDSTAT_INTONNAK_AO_SHIFT)) & USB_DEVCMDSTAT_INTONNAK_AO_MASK)\r
+#define USB_DEVCMDSTAT_INTONNAK_AI_MASK (0x2000U)\r
+#define USB_DEVCMDSTAT_INTONNAK_AI_SHIFT (13U)\r
 /*! INTONNAK_AI - Interrupt on NAK for interrupt and bulk IN EP\r
  *  0b0..Only acknowledged packets generate an interrupt\r
  *  0b1..Both acknowledged and NAKed packets generate interrupts.\r
  */\r
-#define USB_DEVCMDSTAT_INTONNAK_AI(x)            (((uint32_t)(((uint32_t)(x)) << USB_DEVCMDSTAT_INTONNAK_AI_SHIFT)) & USB_DEVCMDSTAT_INTONNAK_AI_MASK)\r
-#define USB_DEVCMDSTAT_INTONNAK_CO_MASK          (0x4000U)\r
-#define USB_DEVCMDSTAT_INTONNAK_CO_SHIFT         (14U)\r
+#define USB_DEVCMDSTAT_INTONNAK_AI(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USB_DEVCMDSTAT_INTONNAK_AI_SHIFT)) & USB_DEVCMDSTAT_INTONNAK_AI_MASK)\r
+#define USB_DEVCMDSTAT_INTONNAK_CO_MASK (0x4000U)\r
+#define USB_DEVCMDSTAT_INTONNAK_CO_SHIFT (14U)\r
 /*! INTONNAK_CO - Interrupt on NAK for control OUT EP\r
  *  0b0..Only acknowledged packets generate an interrupt\r
  *  0b1..Both acknowledged and NAKed packets generate interrupts.\r
  */\r
-#define USB_DEVCMDSTAT_INTONNAK_CO(x)            (((uint32_t)(((uint32_t)(x)) << USB_DEVCMDSTAT_INTONNAK_CO_SHIFT)) & USB_DEVCMDSTAT_INTONNAK_CO_MASK)\r
-#define USB_DEVCMDSTAT_INTONNAK_CI_MASK          (0x8000U)\r
-#define USB_DEVCMDSTAT_INTONNAK_CI_SHIFT         (15U)\r
+#define USB_DEVCMDSTAT_INTONNAK_CO(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USB_DEVCMDSTAT_INTONNAK_CO_SHIFT)) & USB_DEVCMDSTAT_INTONNAK_CO_MASK)\r
+#define USB_DEVCMDSTAT_INTONNAK_CI_MASK (0x8000U)\r
+#define USB_DEVCMDSTAT_INTONNAK_CI_SHIFT (15U)\r
 /*! INTONNAK_CI - Interrupt on NAK for control IN EP\r
  *  0b0..Only acknowledged packets generate an interrupt\r
  *  0b1..Both acknowledged and NAKed packets generate interrupts.\r
  */\r
-#define USB_DEVCMDSTAT_INTONNAK_CI(x)            (((uint32_t)(((uint32_t)(x)) << USB_DEVCMDSTAT_INTONNAK_CI_SHIFT)) & USB_DEVCMDSTAT_INTONNAK_CI_MASK)\r
-#define USB_DEVCMDSTAT_DCON_MASK                 (0x10000U)\r
-#define USB_DEVCMDSTAT_DCON_SHIFT                (16U)\r
-#define USB_DEVCMDSTAT_DCON(x)                   (((uint32_t)(((uint32_t)(x)) << USB_DEVCMDSTAT_DCON_SHIFT)) & USB_DEVCMDSTAT_DCON_MASK)\r
-#define USB_DEVCMDSTAT_DSUS_MASK                 (0x20000U)\r
-#define USB_DEVCMDSTAT_DSUS_SHIFT                (17U)\r
-#define USB_DEVCMDSTAT_DSUS(x)                   (((uint32_t)(((uint32_t)(x)) << USB_DEVCMDSTAT_DSUS_SHIFT)) & USB_DEVCMDSTAT_DSUS_MASK)\r
-#define USB_DEVCMDSTAT_LPM_SUS_MASK              (0x80000U)\r
-#define USB_DEVCMDSTAT_LPM_SUS_SHIFT             (19U)\r
-#define USB_DEVCMDSTAT_LPM_SUS(x)                (((uint32_t)(((uint32_t)(x)) << USB_DEVCMDSTAT_LPM_SUS_SHIFT)) & USB_DEVCMDSTAT_LPM_SUS_MASK)\r
-#define USB_DEVCMDSTAT_LPM_REWP_MASK             (0x100000U)\r
-#define USB_DEVCMDSTAT_LPM_REWP_SHIFT            (20U)\r
-#define USB_DEVCMDSTAT_LPM_REWP(x)               (((uint32_t)(((uint32_t)(x)) << USB_DEVCMDSTAT_LPM_REWP_SHIFT)) & USB_DEVCMDSTAT_LPM_REWP_MASK)\r
-#define USB_DEVCMDSTAT_DCON_C_MASK               (0x1000000U)\r
-#define USB_DEVCMDSTAT_DCON_C_SHIFT              (24U)\r
-#define USB_DEVCMDSTAT_DCON_C(x)                 (((uint32_t)(((uint32_t)(x)) << USB_DEVCMDSTAT_DCON_C_SHIFT)) & USB_DEVCMDSTAT_DCON_C_MASK)\r
-#define USB_DEVCMDSTAT_DSUS_C_MASK               (0x2000000U)\r
-#define USB_DEVCMDSTAT_DSUS_C_SHIFT              (25U)\r
-#define USB_DEVCMDSTAT_DSUS_C(x)                 (((uint32_t)(((uint32_t)(x)) << USB_DEVCMDSTAT_DSUS_C_SHIFT)) & USB_DEVCMDSTAT_DSUS_C_MASK)\r
-#define USB_DEVCMDSTAT_DRES_C_MASK               (0x4000000U)\r
-#define USB_DEVCMDSTAT_DRES_C_SHIFT              (26U)\r
-#define USB_DEVCMDSTAT_DRES_C(x)                 (((uint32_t)(((uint32_t)(x)) << USB_DEVCMDSTAT_DRES_C_SHIFT)) & USB_DEVCMDSTAT_DRES_C_MASK)\r
-#define USB_DEVCMDSTAT_VBUSDEBOUNCED_MASK        (0x10000000U)\r
-#define USB_DEVCMDSTAT_VBUSDEBOUNCED_SHIFT       (28U)\r
-#define USB_DEVCMDSTAT_VBUSDEBOUNCED(x)          (((uint32_t)(((uint32_t)(x)) << USB_DEVCMDSTAT_VBUSDEBOUNCED_SHIFT)) & USB_DEVCMDSTAT_VBUSDEBOUNCED_MASK)\r
+#define USB_DEVCMDSTAT_INTONNAK_CI(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USB_DEVCMDSTAT_INTONNAK_CI_SHIFT)) & USB_DEVCMDSTAT_INTONNAK_CI_MASK)\r
+#define USB_DEVCMDSTAT_DCON_MASK (0x10000U)\r
+#define USB_DEVCMDSTAT_DCON_SHIFT (16U)\r
+/*! DCON - Device status - connect. The connect bit must be set by SW to indicate that the device\r
+ *    must signal a connect. The pull-up resistor on USB_DP will be enabled when this bit is set and\r
+ *    the VBUSDEBOUNCED bit is one.\r
+ */\r
+#define USB_DEVCMDSTAT_DCON(x) (((uint32_t)(((uint32_t)(x)) << USB_DEVCMDSTAT_DCON_SHIFT)) & USB_DEVCMDSTAT_DCON_MASK)\r
+#define USB_DEVCMDSTAT_DSUS_MASK (0x20000U)\r
+#define USB_DEVCMDSTAT_DSUS_SHIFT (17U)\r
+/*! DSUS - Device status - suspend. The suspend bit indicates the current suspend state. It is set\r
+ *    to 1 when the device hasn't seen any activity on its upstream port for more than 3\r
+ *    milliseconds. It is reset to 0 on any activity. When the device is suspended (Suspend bit DSUS = 1) and\r
+ *    the software writes a 0 to it, the device will generate a remote wake-up. This will only happen\r
+ *    when the device is connected (Connect bit = 1). When the device is not connected or not\r
+ *    suspended, a writing a 0 has no effect. Writing a 1 never has an effect.\r
+ */\r
+#define USB_DEVCMDSTAT_DSUS(x) (((uint32_t)(((uint32_t)(x)) << USB_DEVCMDSTAT_DSUS_SHIFT)) & USB_DEVCMDSTAT_DSUS_MASK)\r
+#define USB_DEVCMDSTAT_LPM_SUS_MASK (0x80000U)\r
+#define USB_DEVCMDSTAT_LPM_SUS_SHIFT (19U)\r
+/*! LPM_SUS - Device status - LPM Suspend. This bit represents the current LPM suspend state. It is\r
+ *    set to 1 by HW when the device has acknowledged the LPM request from the USB host and the\r
+ *    Token Retry Time of 10 ms has elapsed. When the device is in the LPM suspended state (LPM suspend\r
+ *    bit = 1) and the software writes a zero to this bit, the device will generate a remote\r
+ *    walk-up. Software can only write a zero to this bit when the LPM_REWP bit is set to 1. HW resets this\r
+ *    bit when it receives a host initiated resume. HW only updates the LPM_SUS bit when the\r
+ *    LPM_SUPP bit is equal to one.\r
+ */\r
+#define USB_DEVCMDSTAT_LPM_SUS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USB_DEVCMDSTAT_LPM_SUS_SHIFT)) & USB_DEVCMDSTAT_LPM_SUS_MASK)\r
+#define USB_DEVCMDSTAT_LPM_REWP_MASK (0x100000U)\r
+#define USB_DEVCMDSTAT_LPM_REWP_SHIFT (20U)\r
+/*! LPM_REWP - LPM Remote Wake-up Enabled by USB host. HW sets this bit to one when the bRemoteWake\r
+ *    bit in the LPM extended token is set to 1. HW will reset this bit to 0 when it receives the\r
+ *    host initiated LPM resume, when a remote wake-up is sent by the device or when a USB bus reset\r
+ *    is received. Software can use this bit to check if the remote wake-up feature is enabled by the\r
+ *    host for the LPM transaction.\r
+ */\r
+#define USB_DEVCMDSTAT_LPM_REWP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USB_DEVCMDSTAT_LPM_REWP_SHIFT)) & USB_DEVCMDSTAT_LPM_REWP_MASK)\r
+#define USB_DEVCMDSTAT_DCON_C_MASK (0x1000000U)\r
+#define USB_DEVCMDSTAT_DCON_C_SHIFT (24U)\r
+/*! DCON_C - Device status - connect change. The Connect Change bit is set when the device's pull-up\r
+ *    resistor is disconnected because VBus disappeared. The bit is reset by writing a one to it.\r
+ */\r
+#define USB_DEVCMDSTAT_DCON_C(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USB_DEVCMDSTAT_DCON_C_SHIFT)) & USB_DEVCMDSTAT_DCON_C_MASK)\r
+#define USB_DEVCMDSTAT_DSUS_C_MASK (0x2000000U)\r
+#define USB_DEVCMDSTAT_DSUS_C_SHIFT (25U)\r
+/*! DSUS_C - Device status - suspend change. The suspend change bit is set to 1 when the suspend bit\r
+ *    toggles. The suspend bit can toggle because: - The device goes in the suspended state - The\r
+ *    device is disconnected - The device receives resume signaling on its upstream port. The bit is\r
+ *    reset by writing a one to it.\r
+ */\r
+#define USB_DEVCMDSTAT_DSUS_C(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USB_DEVCMDSTAT_DSUS_C_SHIFT)) & USB_DEVCMDSTAT_DSUS_C_MASK)\r
+#define USB_DEVCMDSTAT_DRES_C_MASK (0x4000000U)\r
+#define USB_DEVCMDSTAT_DRES_C_SHIFT (26U)\r
+/*! DRES_C - Device status - reset change. This bit is set when the device received a bus reset. On\r
+ *    a bus reset the device will automatically go to the default state (unconfigured and responding\r
+ *    to address 0). The bit is reset by writing a one to it.\r
+ */\r
+#define USB_DEVCMDSTAT_DRES_C(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USB_DEVCMDSTAT_DRES_C_SHIFT)) & USB_DEVCMDSTAT_DRES_C_MASK)\r
+#define USB_DEVCMDSTAT_VBUSDEBOUNCED_MASK (0x10000000U)\r
+#define USB_DEVCMDSTAT_VBUSDEBOUNCED_SHIFT (28U)\r
+/*! VBUSDEBOUNCED - This bit indicates if Vbus is detected or not. The bit raises immediately when\r
+ *    Vbus becomes high. It drops to zero if Vbus is low for at least 3 ms. If this bit is high and\r
+ *    the DCon bit is set, the HW will enable the pull-up resistor to signal a connect.\r
+ */\r
+#define USB_DEVCMDSTAT_VBUSDEBOUNCED(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USB_DEVCMDSTAT_VBUSDEBOUNCED_SHIFT)) & USB_DEVCMDSTAT_VBUSDEBOUNCED_MASK)\r
 /*! @} */\r
 \r
 /*! @name INFO - USB Info register */\r
 /*! @{ */\r
-#define USB_INFO_FRAME_NR_MASK                   (0x7FFU)\r
-#define USB_INFO_FRAME_NR_SHIFT                  (0U)\r
-#define USB_INFO_FRAME_NR(x)                     (((uint32_t)(((uint32_t)(x)) << USB_INFO_FRAME_NR_SHIFT)) & USB_INFO_FRAME_NR_MASK)\r
-#define USB_INFO_ERR_CODE_MASK                   (0x7800U)\r
-#define USB_INFO_ERR_CODE_SHIFT                  (11U)\r
+#define USB_INFO_FRAME_NR_MASK (0x7FFU)\r
+#define USB_INFO_FRAME_NR_SHIFT (0U)\r
+/*! FRAME_NR - Frame number. This contains the frame number of the last successfully received SOF.\r
+ *    In case no SOF was received by the device at the beginning of a frame, the frame number\r
+ *    returned is that of the last successfully received SOF. In case the SOF frame number contained a CRC\r
+ *    error, the frame number returned will be the corrupted frame number as received by the device.\r
+ */\r
+#define USB_INFO_FRAME_NR(x) (((uint32_t)(((uint32_t)(x)) << USB_INFO_FRAME_NR_SHIFT)) & USB_INFO_FRAME_NR_MASK)\r
+#define USB_INFO_ERR_CODE_MASK (0x7800U)\r
+#define USB_INFO_ERR_CODE_SHIFT (11U)\r
 /*! ERR_CODE - The error code which last occurred:\r
  *  0b0000..No error\r
  *  0b0001..PID encoding error\r
@@ -21287,179 +26480,334 @@ typedef struct {
  *  0b1110..Sync error\r
  *  0b1111..Wrong data toggle\r
  */\r
-#define USB_INFO_ERR_CODE(x)                     (((uint32_t)(((uint32_t)(x)) << USB_INFO_ERR_CODE_SHIFT)) & USB_INFO_ERR_CODE_MASK)\r
-#define USB_INFO_MINREV_MASK                     (0xFF0000U)\r
-#define USB_INFO_MINREV_SHIFT                    (16U)\r
-#define USB_INFO_MINREV(x)                       (((uint32_t)(((uint32_t)(x)) << USB_INFO_MINREV_SHIFT)) & USB_INFO_MINREV_MASK)\r
-#define USB_INFO_MAJREV_MASK                     (0xFF000000U)\r
-#define USB_INFO_MAJREV_SHIFT                    (24U)\r
-#define USB_INFO_MAJREV(x)                       (((uint32_t)(((uint32_t)(x)) << USB_INFO_MAJREV_SHIFT)) & USB_INFO_MAJREV_MASK)\r
+#define USB_INFO_ERR_CODE(x) (((uint32_t)(((uint32_t)(x)) << USB_INFO_ERR_CODE_SHIFT)) & USB_INFO_ERR_CODE_MASK)\r
+#define USB_INFO_MINREV_MASK (0xFF0000U)\r
+#define USB_INFO_MINREV_SHIFT (16U)\r
+/*! MINREV - Minor Revision.\r
+ */\r
+#define USB_INFO_MINREV(x) (((uint32_t)(((uint32_t)(x)) << USB_INFO_MINREV_SHIFT)) & USB_INFO_MINREV_MASK)\r
+#define USB_INFO_MAJREV_MASK (0xFF000000U)\r
+#define USB_INFO_MAJREV_SHIFT (24U)\r
+/*! MAJREV - Major Revision.\r
+ */\r
+#define USB_INFO_MAJREV(x) (((uint32_t)(((uint32_t)(x)) << USB_INFO_MAJREV_SHIFT)) & USB_INFO_MAJREV_MASK)\r
 /*! @} */\r
 \r
 /*! @name EPLISTSTART - USB EP Command/Status List start address */\r
 /*! @{ */\r
-#define USB_EPLISTSTART_EP_LIST_MASK             (0xFFFFFF00U)\r
-#define USB_EPLISTSTART_EP_LIST_SHIFT            (8U)\r
-#define USB_EPLISTSTART_EP_LIST(x)               (((uint32_t)(((uint32_t)(x)) << USB_EPLISTSTART_EP_LIST_SHIFT)) & USB_EPLISTSTART_EP_LIST_MASK)\r
+#define USB_EPLISTSTART_EP_LIST_MASK (0xFFFFFF00U)\r
+#define USB_EPLISTSTART_EP_LIST_SHIFT (8U)\r
+/*! EP_LIST - Start address of the USB EP Command/Status List.\r
+ */\r
+#define USB_EPLISTSTART_EP_LIST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USB_EPLISTSTART_EP_LIST_SHIFT)) & USB_EPLISTSTART_EP_LIST_MASK)\r
 /*! @} */\r
 \r
 /*! @name DATABUFSTART - USB Data buffer start address */\r
 /*! @{ */\r
-#define USB_DATABUFSTART_DA_BUF_MASK             (0xFFC00000U)\r
-#define USB_DATABUFSTART_DA_BUF_SHIFT            (22U)\r
-#define USB_DATABUFSTART_DA_BUF(x)               (((uint32_t)(((uint32_t)(x)) << USB_DATABUFSTART_DA_BUF_SHIFT)) & USB_DATABUFSTART_DA_BUF_MASK)\r
+#define USB_DATABUFSTART_DA_BUF_MASK (0xFFC00000U)\r
+#define USB_DATABUFSTART_DA_BUF_SHIFT (22U)\r
+/*! DA_BUF - Start address of the buffer pointer page where all endpoint data buffers are located.\r
+ */\r
+#define USB_DATABUFSTART_DA_BUF(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USB_DATABUFSTART_DA_BUF_SHIFT)) & USB_DATABUFSTART_DA_BUF_MASK)\r
 /*! @} */\r
 \r
 /*! @name LPM - USB Link Power Management register */\r
 /*! @{ */\r
-#define USB_LPM_HIRD_HW_MASK                     (0xFU)\r
-#define USB_LPM_HIRD_HW_SHIFT                    (0U)\r
-#define USB_LPM_HIRD_HW(x)                       (((uint32_t)(((uint32_t)(x)) << USB_LPM_HIRD_HW_SHIFT)) & USB_LPM_HIRD_HW_MASK)\r
-#define USB_LPM_HIRD_SW_MASK                     (0xF0U)\r
-#define USB_LPM_HIRD_SW_SHIFT                    (4U)\r
-#define USB_LPM_HIRD_SW(x)                       (((uint32_t)(((uint32_t)(x)) << USB_LPM_HIRD_SW_SHIFT)) & USB_LPM_HIRD_SW_MASK)\r
-#define USB_LPM_DATA_PENDING_MASK                (0x100U)\r
-#define USB_LPM_DATA_PENDING_SHIFT               (8U)\r
-#define USB_LPM_DATA_PENDING(x)                  (((uint32_t)(((uint32_t)(x)) << USB_LPM_DATA_PENDING_SHIFT)) & USB_LPM_DATA_PENDING_MASK)\r
+#define USB_LPM_HIRD_HW_MASK (0xFU)\r
+#define USB_LPM_HIRD_HW_SHIFT (0U)\r
+/*! HIRD_HW - Host Initiated Resume Duration - HW. This is the HIRD value from the last received LPM token\r
+ */\r
+#define USB_LPM_HIRD_HW(x) (((uint32_t)(((uint32_t)(x)) << USB_LPM_HIRD_HW_SHIFT)) & USB_LPM_HIRD_HW_MASK)\r
+#define USB_LPM_HIRD_SW_MASK (0xF0U)\r
+#define USB_LPM_HIRD_SW_SHIFT (4U)\r
+/*! HIRD_SW - Host Initiated Resume Duration - SW. This is the time duration required by the USB\r
+ *    device system to come out of LPM initiated suspend after receiving the host initiated LPM resume.\r
+ */\r
+#define USB_LPM_HIRD_SW(x) (((uint32_t)(((uint32_t)(x)) << USB_LPM_HIRD_SW_SHIFT)) & USB_LPM_HIRD_SW_MASK)\r
+#define USB_LPM_DATA_PENDING_MASK (0x100U)\r
+#define USB_LPM_DATA_PENDING_SHIFT (8U)\r
+/*! DATA_PENDING - As long as this bit is set to one and LPM supported bit is set to one, HW will\r
+ *    return a NYET handshake on every LPM token it receives. If LPM supported bit is set to one and\r
+ *    this bit is zero, HW will return an ACK handshake on every LPM token it receives. If SW has\r
+ *    still data pending and LPM is supported, it must set this bit to 1.\r
+ */\r
+#define USB_LPM_DATA_PENDING(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USB_LPM_DATA_PENDING_SHIFT)) & USB_LPM_DATA_PENDING_MASK)\r
 /*! @} */\r
 \r
 /*! @name EPSKIP - USB Endpoint skip */\r
 /*! @{ */\r
-#define USB_EPSKIP_SKIP_MASK                     (0x3FFU)\r
-#define USB_EPSKIP_SKIP_SHIFT                    (0U)\r
-#define USB_EPSKIP_SKIP(x)                       (((uint32_t)(((uint32_t)(x)) << USB_EPSKIP_SKIP_SHIFT)) & USB_EPSKIP_SKIP_MASK)\r
+#define USB_EPSKIP_SKIP_MASK (0x3FFU)\r
+#define USB_EPSKIP_SKIP_SHIFT (0U)\r
+/*! SKIP - Endpoint skip: Writing 1 to one of these bits, will indicate to HW that it must\r
+ *    deactivate the buffer assigned to this endpoint and return control back to software. When HW has\r
+ *    deactivated the endpoint, it will clear this bit, but it will not modify the EPINUSE bit. An\r
+ *    interrupt will be generated when the Active bit goes from 1 to 0. Note: In case of double-buffering,\r
+ *    HW will only clear the Active bit of the buffer indicated by the EPINUSE bit.\r
+ */\r
+#define USB_EPSKIP_SKIP(x) (((uint32_t)(((uint32_t)(x)) << USB_EPSKIP_SKIP_SHIFT)) & USB_EPSKIP_SKIP_MASK)\r
 /*! @} */\r
 \r
 /*! @name EPINUSE - USB Endpoint Buffer in use */\r
 /*! @{ */\r
-#define USB_EPINUSE_BUF_MASK                     (0x3FCU)\r
-#define USB_EPINUSE_BUF_SHIFT                    (2U)\r
-#define USB_EPINUSE_BUF(x)                       (((uint32_t)(((uint32_t)(x)) << USB_EPINUSE_BUF_SHIFT)) & USB_EPINUSE_BUF_MASK)\r
+#define USB_EPINUSE_BUF_MASK (0x3FCU)\r
+#define USB_EPINUSE_BUF_SHIFT (2U)\r
+/*! BUF - Buffer in use: This register has one bit per physical endpoint. 0: HW is accessing buffer\r
+ *    0. 1: HW is accessing buffer 1.\r
+ */\r
+#define USB_EPINUSE_BUF(x) (((uint32_t)(((uint32_t)(x)) << USB_EPINUSE_BUF_SHIFT)) & USB_EPINUSE_BUF_MASK)\r
 /*! @} */\r
 \r
 /*! @name EPBUFCFG - USB Endpoint Buffer Configuration register */\r
 /*! @{ */\r
-#define USB_EPBUFCFG_BUF_SB_MASK                 (0x3FCU)\r
-#define USB_EPBUFCFG_BUF_SB_SHIFT                (2U)\r
-#define USB_EPBUFCFG_BUF_SB(x)                   (((uint32_t)(((uint32_t)(x)) << USB_EPBUFCFG_BUF_SB_SHIFT)) & USB_EPBUFCFG_BUF_SB_MASK)\r
+#define USB_EPBUFCFG_BUF_SB_MASK (0x3FCU)\r
+#define USB_EPBUFCFG_BUF_SB_SHIFT (2U)\r
+/*! BUF_SB - Buffer usage: This register has one bit per physical endpoint. 0: Single-buffer. 1:\r
+ *    Double-buffer. If the bit is set to single-buffer (0), it will not toggle the corresponding\r
+ *    EPINUSE bit when it clears the active bit. If the bit is set to double-buffer (1), HW will toggle\r
+ *    the EPINUSE bit when it clears the Active bit for the buffer.\r
+ */\r
+#define USB_EPBUFCFG_BUF_SB(x) (((uint32_t)(((uint32_t)(x)) << USB_EPBUFCFG_BUF_SB_SHIFT)) & USB_EPBUFCFG_BUF_SB_MASK)\r
 /*! @} */\r
 \r
 /*! @name INTSTAT - USB interrupt status register */\r
 /*! @{ */\r
-#define USB_INTSTAT_EP0OUT_MASK                  (0x1U)\r
-#define USB_INTSTAT_EP0OUT_SHIFT                 (0U)\r
-#define USB_INTSTAT_EP0OUT(x)                    (((uint32_t)(((uint32_t)(x)) << USB_INTSTAT_EP0OUT_SHIFT)) & USB_INTSTAT_EP0OUT_MASK)\r
-#define USB_INTSTAT_EP0IN_MASK                   (0x2U)\r
-#define USB_INTSTAT_EP0IN_SHIFT                  (1U)\r
-#define USB_INTSTAT_EP0IN(x)                     (((uint32_t)(((uint32_t)(x)) << USB_INTSTAT_EP0IN_SHIFT)) & USB_INTSTAT_EP0IN_MASK)\r
-#define USB_INTSTAT_EP1OUT_MASK                  (0x4U)\r
-#define USB_INTSTAT_EP1OUT_SHIFT                 (2U)\r
-#define USB_INTSTAT_EP1OUT(x)                    (((uint32_t)(((uint32_t)(x)) << USB_INTSTAT_EP1OUT_SHIFT)) & USB_INTSTAT_EP1OUT_MASK)\r
-#define USB_INTSTAT_EP1IN_MASK                   (0x8U)\r
-#define USB_INTSTAT_EP1IN_SHIFT                  (3U)\r
-#define USB_INTSTAT_EP1IN(x)                     (((uint32_t)(((uint32_t)(x)) << USB_INTSTAT_EP1IN_SHIFT)) & USB_INTSTAT_EP1IN_MASK)\r
-#define USB_INTSTAT_EP2OUT_MASK                  (0x10U)\r
-#define USB_INTSTAT_EP2OUT_SHIFT                 (4U)\r
-#define USB_INTSTAT_EP2OUT(x)                    (((uint32_t)(((uint32_t)(x)) << USB_INTSTAT_EP2OUT_SHIFT)) & USB_INTSTAT_EP2OUT_MASK)\r
-#define USB_INTSTAT_EP2IN_MASK                   (0x20U)\r
-#define USB_INTSTAT_EP2IN_SHIFT                  (5U)\r
-#define USB_INTSTAT_EP2IN(x)                     (((uint32_t)(((uint32_t)(x)) << USB_INTSTAT_EP2IN_SHIFT)) & USB_INTSTAT_EP2IN_MASK)\r
-#define USB_INTSTAT_EP3OUT_MASK                  (0x40U)\r
-#define USB_INTSTAT_EP3OUT_SHIFT                 (6U)\r
-#define USB_INTSTAT_EP3OUT(x)                    (((uint32_t)(((uint32_t)(x)) << USB_INTSTAT_EP3OUT_SHIFT)) & USB_INTSTAT_EP3OUT_MASK)\r
-#define USB_INTSTAT_EP3IN_MASK                   (0x80U)\r
-#define USB_INTSTAT_EP3IN_SHIFT                  (7U)\r
-#define USB_INTSTAT_EP3IN(x)                     (((uint32_t)(((uint32_t)(x)) << USB_INTSTAT_EP3IN_SHIFT)) & USB_INTSTAT_EP3IN_MASK)\r
-#define USB_INTSTAT_EP4OUT_MASK                  (0x100U)\r
-#define USB_INTSTAT_EP4OUT_SHIFT                 (8U)\r
-#define USB_INTSTAT_EP4OUT(x)                    (((uint32_t)(((uint32_t)(x)) << USB_INTSTAT_EP4OUT_SHIFT)) & USB_INTSTAT_EP4OUT_MASK)\r
-#define USB_INTSTAT_EP4IN_MASK                   (0x200U)\r
-#define USB_INTSTAT_EP4IN_SHIFT                  (9U)\r
-#define USB_INTSTAT_EP4IN(x)                     (((uint32_t)(((uint32_t)(x)) << USB_INTSTAT_EP4IN_SHIFT)) & USB_INTSTAT_EP4IN_MASK)\r
-#define USB_INTSTAT_FRAME_INT_MASK               (0x40000000U)\r
-#define USB_INTSTAT_FRAME_INT_SHIFT              (30U)\r
-#define USB_INTSTAT_FRAME_INT(x)                 (((uint32_t)(((uint32_t)(x)) << USB_INTSTAT_FRAME_INT_SHIFT)) & USB_INTSTAT_FRAME_INT_MASK)\r
-#define USB_INTSTAT_DEV_INT_MASK                 (0x80000000U)\r
-#define USB_INTSTAT_DEV_INT_SHIFT                (31U)\r
-#define USB_INTSTAT_DEV_INT(x)                   (((uint32_t)(((uint32_t)(x)) << USB_INTSTAT_DEV_INT_SHIFT)) & USB_INTSTAT_DEV_INT_MASK)\r
+#define USB_INTSTAT_EP0OUT_MASK (0x1U)\r
+#define USB_INTSTAT_EP0OUT_SHIFT (0U)\r
+/*! EP0OUT - Interrupt status register bit for the Control EP0 OUT direction. This bit will be set\r
+ *    if NBytes transitions to zero or the skip bit is set by software or a SETUP packet is\r
+ *    successfully received for the control EP0. If the IntOnNAK_CO is set, this bit will also be set when a\r
+ *    NAK is transmitted for the Control EP0 OUT direction. Software can clear this bit by writing a\r
+ *    one to it.\r
+ */\r
+#define USB_INTSTAT_EP0OUT(x) (((uint32_t)(((uint32_t)(x)) << USB_INTSTAT_EP0OUT_SHIFT)) & USB_INTSTAT_EP0OUT_MASK)\r
+#define USB_INTSTAT_EP0IN_MASK (0x2U)\r
+#define USB_INTSTAT_EP0IN_SHIFT (1U)\r
+/*! EP0IN - Interrupt status register bit for the Control EP0 IN direction. This bit will be set if\r
+ *    NBytes transitions to zero or the skip bit is set by software. If the IntOnNAK_CI is set, this\r
+ *    bit will also be set when a NAK is transmitted for the Control EP0 IN direction. Software can\r
+ *    clear this bit by writing a one to it.\r
+ */\r
+#define USB_INTSTAT_EP0IN(x) (((uint32_t)(((uint32_t)(x)) << USB_INTSTAT_EP0IN_SHIFT)) & USB_INTSTAT_EP0IN_MASK)\r
+#define USB_INTSTAT_EP1OUT_MASK (0x4U)\r
+#define USB_INTSTAT_EP1OUT_SHIFT (2U)\r
+/*! EP1OUT - Interrupt status register bit for the EP1 OUT direction. This bit will be set if the\r
+ *    corresponding Active bit is cleared by HW. This is done in case the programmed NBytes\r
+ *    transitions to zero or the skip bit is set by software. If the IntOnNAK_AO is set, this bit will also be\r
+ *    set when a NAK is transmitted for the EP1 OUT direction. Software can clear this bit by\r
+ *    writing a one to it.\r
+ */\r
+#define USB_INTSTAT_EP1OUT(x) (((uint32_t)(((uint32_t)(x)) << USB_INTSTAT_EP1OUT_SHIFT)) & USB_INTSTAT_EP1OUT_MASK)\r
+#define USB_INTSTAT_EP1IN_MASK (0x8U)\r
+#define USB_INTSTAT_EP1IN_SHIFT (3U)\r
+/*! EP1IN - Interrupt status register bit for the EP1 IN direction. This bit will be set if the\r
+ *    corresponding Active bit is cleared by HW. This is done in case the programmed NBytes transitions\r
+ *    to zero or the skip bit is set by software. If the IntOnNAK_AI is set, this bit will also be\r
+ *    set when a NAK is transmitted for the EP1 IN direction. Software can clear this bit by writing\r
+ *    a one to it.\r
+ */\r
+#define USB_INTSTAT_EP1IN(x) (((uint32_t)(((uint32_t)(x)) << USB_INTSTAT_EP1IN_SHIFT)) & USB_INTSTAT_EP1IN_MASK)\r
+#define USB_INTSTAT_EP2OUT_MASK (0x10U)\r
+#define USB_INTSTAT_EP2OUT_SHIFT (4U)\r
+/*! EP2OUT - Interrupt status register bit for the EP2 OUT direction. This bit will be set if the\r
+ *    corresponding Active bit is cleared by HW. This is done in case the programmed NBytes\r
+ *    transitions to zero or the skip bit is set by software. If the IntOnNAK_AO is set, this bit will also be\r
+ *    set when a NAK is transmitted for the EP2 OUT direction. Software can clear this bit by\r
+ *    writing a one to it.\r
+ */\r
+#define USB_INTSTAT_EP2OUT(x) (((uint32_t)(((uint32_t)(x)) << USB_INTSTAT_EP2OUT_SHIFT)) & USB_INTSTAT_EP2OUT_MASK)\r
+#define USB_INTSTAT_EP2IN_MASK (0x20U)\r
+#define USB_INTSTAT_EP2IN_SHIFT (5U)\r
+/*! EP2IN - Interrupt status register bit for the EP2 IN direction. This bit will be set if the\r
+ *    corresponding Active bit is cleared by HW. This is done in case the programmed NBytes transitions\r
+ *    to zero or the skip bit is set by software. If the IntOnNAK_AI is set, this bit will also be\r
+ *    set when a NAK is transmitted for the EP2 IN direction. Software can clear this bit by writing\r
+ *    a one to it.\r
+ */\r
+#define USB_INTSTAT_EP2IN(x) (((uint32_t)(((uint32_t)(x)) << USB_INTSTAT_EP2IN_SHIFT)) & USB_INTSTAT_EP2IN_MASK)\r
+#define USB_INTSTAT_EP3OUT_MASK (0x40U)\r
+#define USB_INTSTAT_EP3OUT_SHIFT (6U)\r
+/*! EP3OUT - Interrupt status register bit for the EP3 OUT direction. This bit will be set if the\r
+ *    corresponding Active bit is cleared by HW. This is done in case the programmed NBytes\r
+ *    transitions to zero or the skip bit is set by software. If the IntOnNAK_AO is set, this bit will also be\r
+ *    set when a NAK is transmitted for the EP3 OUT direction. Software can clear this bit by\r
+ *    writing a one to it.\r
+ */\r
+#define USB_INTSTAT_EP3OUT(x) (((uint32_t)(((uint32_t)(x)) << USB_INTSTAT_EP3OUT_SHIFT)) & USB_INTSTAT_EP3OUT_MASK)\r
+#define USB_INTSTAT_EP3IN_MASK (0x80U)\r
+#define USB_INTSTAT_EP3IN_SHIFT (7U)\r
+/*! EP3IN - Interrupt status register bit for the EP3 IN direction. This bit will be set if the\r
+ *    corresponding Active bit is cleared by HW. This is done in case the programmed NBytes transitions\r
+ *    to zero or the skip bit is set by software. If the IntOnNAK_AI is set, this bit will also be\r
+ *    set when a NAK is transmitted for the EP3 IN direction. Software can clear this bit by writing\r
+ *    a one to it.\r
+ */\r
+#define USB_INTSTAT_EP3IN(x) (((uint32_t)(((uint32_t)(x)) << USB_INTSTAT_EP3IN_SHIFT)) & USB_INTSTAT_EP3IN_MASK)\r
+#define USB_INTSTAT_EP4OUT_MASK (0x100U)\r
+#define USB_INTSTAT_EP4OUT_SHIFT (8U)\r
+/*! EP4OUT - Interrupt status register bit for the EP4 OUT direction. This bit will be set if the\r
+ *    corresponding Active bit is cleared by HW. This is done in case the programmed NBytes\r
+ *    transitions to zero or the skip bit is set by software. If the IntOnNAK_AO is set, this bit will also be\r
+ *    set when a NAK is transmitted for the EP4 OUT direction. Software can clear this bit by\r
+ *    writing a one to it.\r
+ */\r
+#define USB_INTSTAT_EP4OUT(x) (((uint32_t)(((uint32_t)(x)) << USB_INTSTAT_EP4OUT_SHIFT)) & USB_INTSTAT_EP4OUT_MASK)\r
+#define USB_INTSTAT_EP4IN_MASK (0x200U)\r
+#define USB_INTSTAT_EP4IN_SHIFT (9U)\r
+/*! EP4IN - Interrupt status register bit for the EP4 IN direction. This bit will be set if the\r
+ *    corresponding Active bit is cleared by HW. This is done in case the programmed NBytes transitions\r
+ *    to zero or the skip bit is set by software. If the IntOnNAK_AI is set, this bit will also be\r
+ *    set when a NAK is transmitted for the EP4 IN direction. Software can clear this bit by writing\r
+ *    a one to it.\r
+ */\r
+#define USB_INTSTAT_EP4IN(x) (((uint32_t)(((uint32_t)(x)) << USB_INTSTAT_EP4IN_SHIFT)) & USB_INTSTAT_EP4IN_MASK)\r
+#define USB_INTSTAT_FRAME_INT_MASK (0x40000000U)\r
+#define USB_INTSTAT_FRAME_INT_SHIFT (30U)\r
+/*! FRAME_INT - Frame interrupt. This bit is set to one every millisecond when the VbusDebounced bit\r
+ *    and the DCON bit are set. This bit can be used by software when handling isochronous\r
+ *    endpoints. Software can clear this bit by writing a one to it.\r
+ */\r
+#define USB_INTSTAT_FRAME_INT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USB_INTSTAT_FRAME_INT_SHIFT)) & USB_INTSTAT_FRAME_INT_MASK)\r
+#define USB_INTSTAT_DEV_INT_MASK (0x80000000U)\r
+#define USB_INTSTAT_DEV_INT_SHIFT (31U)\r
+/*! DEV_INT - Device status interrupt. This bit is set by HW when one of the bits in the Device\r
+ *    Status Change register are set. Software can clear this bit by writing a one to it.\r
+ */\r
+#define USB_INTSTAT_DEV_INT(x) (((uint32_t)(((uint32_t)(x)) << USB_INTSTAT_DEV_INT_SHIFT)) & USB_INTSTAT_DEV_INT_MASK)\r
 /*! @} */\r
 \r
 /*! @name INTEN - USB interrupt enable register */\r
 /*! @{ */\r
-#define USB_INTEN_EP_INT_EN_MASK                 (0x3FFU)\r
-#define USB_INTEN_EP_INT_EN_SHIFT                (0U)\r
-#define USB_INTEN_EP_INT_EN(x)                   (((uint32_t)(((uint32_t)(x)) << USB_INTEN_EP_INT_EN_SHIFT)) & USB_INTEN_EP_INT_EN_MASK)\r
-#define USB_INTEN_FRAME_INT_EN_MASK              (0x40000000U)\r
-#define USB_INTEN_FRAME_INT_EN_SHIFT             (30U)\r
-#define USB_INTEN_FRAME_INT_EN(x)                (((uint32_t)(((uint32_t)(x)) << USB_INTEN_FRAME_INT_EN_SHIFT)) & USB_INTEN_FRAME_INT_EN_MASK)\r
-#define USB_INTEN_DEV_INT_EN_MASK                (0x80000000U)\r
-#define USB_INTEN_DEV_INT_EN_SHIFT               (31U)\r
-#define USB_INTEN_DEV_INT_EN(x)                  (((uint32_t)(((uint32_t)(x)) << USB_INTEN_DEV_INT_EN_SHIFT)) & USB_INTEN_DEV_INT_EN_MASK)\r
+#define USB_INTEN_EP_INT_EN_MASK (0x3FFU)\r
+#define USB_INTEN_EP_INT_EN_SHIFT (0U)\r
+/*! EP_INT_EN - If this bit is set and the corresponding USB interrupt status bit is set, a HW\r
+ *    interrupt is generated on the interrupt line indicated by the corresponding USB interrupt routing\r
+ *    bit.\r
+ */\r
+#define USB_INTEN_EP_INT_EN(x) (((uint32_t)(((uint32_t)(x)) << USB_INTEN_EP_INT_EN_SHIFT)) & USB_INTEN_EP_INT_EN_MASK)\r
+#define USB_INTEN_FRAME_INT_EN_MASK (0x40000000U)\r
+#define USB_INTEN_FRAME_INT_EN_SHIFT (30U)\r
+/*! FRAME_INT_EN - If this bit is set and the corresponding USB interrupt status bit is set, a HW\r
+ *    interrupt is generated on the interrupt line indicated by the corresponding USB interrupt\r
+ *    routing bit.\r
+ */\r
+#define USB_INTEN_FRAME_INT_EN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USB_INTEN_FRAME_INT_EN_SHIFT)) & USB_INTEN_FRAME_INT_EN_MASK)\r
+#define USB_INTEN_DEV_INT_EN_MASK (0x80000000U)\r
+#define USB_INTEN_DEV_INT_EN_SHIFT (31U)\r
+/*! DEV_INT_EN - If this bit is set and the corresponding USB interrupt status bit is set, a HW\r
+ *    interrupt is generated on the interrupt line indicated by the corresponding USB interrupt routing\r
+ *    bit.\r
+ */\r
+#define USB_INTEN_DEV_INT_EN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USB_INTEN_DEV_INT_EN_SHIFT)) & USB_INTEN_DEV_INT_EN_MASK)\r
 /*! @} */\r
 \r
 /*! @name INTSETSTAT - USB set interrupt status register */\r
 /*! @{ */\r
-#define USB_INTSETSTAT_EP_SET_INT_MASK           (0x3FFU)\r
-#define USB_INTSETSTAT_EP_SET_INT_SHIFT          (0U)\r
-#define USB_INTSETSTAT_EP_SET_INT(x)             (((uint32_t)(((uint32_t)(x)) << USB_INTSETSTAT_EP_SET_INT_SHIFT)) & USB_INTSETSTAT_EP_SET_INT_MASK)\r
-#define USB_INTSETSTAT_FRAME_SET_INT_MASK        (0x40000000U)\r
-#define USB_INTSETSTAT_FRAME_SET_INT_SHIFT       (30U)\r
-#define USB_INTSETSTAT_FRAME_SET_INT(x)          (((uint32_t)(((uint32_t)(x)) << USB_INTSETSTAT_FRAME_SET_INT_SHIFT)) & USB_INTSETSTAT_FRAME_SET_INT_MASK)\r
-#define USB_INTSETSTAT_DEV_SET_INT_MASK          (0x80000000U)\r
-#define USB_INTSETSTAT_DEV_SET_INT_SHIFT         (31U)\r
-#define USB_INTSETSTAT_DEV_SET_INT(x)            (((uint32_t)(((uint32_t)(x)) << USB_INTSETSTAT_DEV_SET_INT_SHIFT)) & USB_INTSETSTAT_DEV_SET_INT_MASK)\r
+#define USB_INTSETSTAT_EP_SET_INT_MASK (0x3FFU)\r
+#define USB_INTSETSTAT_EP_SET_INT_SHIFT (0U)\r
+/*! EP_SET_INT - If software writes a one to one of these bits, the corresponding USB interrupt\r
+ *    status bit is set. When this register is read, the same value as the USB interrupt status register\r
+ *    is returned.\r
+ */\r
+#define USB_INTSETSTAT_EP_SET_INT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USB_INTSETSTAT_EP_SET_INT_SHIFT)) & USB_INTSETSTAT_EP_SET_INT_MASK)\r
+#define USB_INTSETSTAT_FRAME_SET_INT_MASK (0x40000000U)\r
+#define USB_INTSETSTAT_FRAME_SET_INT_SHIFT (30U)\r
+/*! FRAME_SET_INT - If software writes a one to one of these bits, the corresponding USB interrupt\r
+ *    status bit is set. When this register is read, the same value as the USB interrupt status\r
+ *    register is returned.\r
+ */\r
+#define USB_INTSETSTAT_FRAME_SET_INT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USB_INTSETSTAT_FRAME_SET_INT_SHIFT)) & USB_INTSETSTAT_FRAME_SET_INT_MASK)\r
+#define USB_INTSETSTAT_DEV_SET_INT_MASK (0x80000000U)\r
+#define USB_INTSETSTAT_DEV_SET_INT_SHIFT (31U)\r
+/*! DEV_SET_INT - If software writes a one to one of these bits, the corresponding USB interrupt\r
+ *    status bit is set. When this register is read, the same value as the USB interrupt status\r
+ *    register is returned.\r
+ */\r
+#define USB_INTSETSTAT_DEV_SET_INT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USB_INTSETSTAT_DEV_SET_INT_SHIFT)) & USB_INTSETSTAT_DEV_SET_INT_MASK)\r
 /*! @} */\r
 \r
 /*! @name EPTOGGLE - USB Endpoint toggle register */\r
 /*! @{ */\r
-#define USB_EPTOGGLE_TOGGLE_MASK                 (0x3FFU)\r
-#define USB_EPTOGGLE_TOGGLE_SHIFT                (0U)\r
-#define USB_EPTOGGLE_TOGGLE(x)                   (((uint32_t)(((uint32_t)(x)) << USB_EPTOGGLE_TOGGLE_SHIFT)) & USB_EPTOGGLE_TOGGLE_MASK)\r
+#define USB_EPTOGGLE_TOGGLE_MASK (0x3FFU)\r
+#define USB_EPTOGGLE_TOGGLE_SHIFT (0U)\r
+/*! TOGGLE - Endpoint data toggle: This field indicates the current value of the data toggle for the corresponding\r
+ * endpoint.\r
+ */\r
+#define USB_EPTOGGLE_TOGGLE(x) (((uint32_t)(((uint32_t)(x)) << USB_EPTOGGLE_TOGGLE_SHIFT)) & USB_EPTOGGLE_TOGGLE_MASK)\r
 /*! @} */\r
 \r
-\r
 /*!\r
  * @}\r
  */ /* end of group USB_Register_Masks */\r
 \r
-\r
 /* USB - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral USB0 base address */\r
-  #define USB0_BASE                                (0x50084000u)\r
-  /** Peripheral USB0 base address */\r
-  #define USB0_BASE_NS                             (0x40084000u)\r
-  /** Peripheral USB0 base pointer */\r
-  #define USB0                                     ((USB_Type *)USB0_BASE)\r
-  /** Peripheral USB0 base pointer */\r
-  #define USB0_NS                                  ((USB_Type *)USB0_BASE_NS)\r
-  /** Array initializer of USB peripheral base addresses */\r
-  #define USB_BASE_ADDRS                           { USB0_BASE }\r
-  /** Array initializer of USB peripheral base pointers */\r
-  #define USB_BASE_PTRS                            { USB0 }\r
-  /** Array initializer of USB peripheral base addresses */\r
-  #define USB_BASE_ADDRS_NS                        { USB0_BASE_NS }\r
-  /** Array initializer of USB peripheral base pointers */\r
-  #define USB_BASE_PTRS_NS                         { USB0_NS }\r
+/** Peripheral USB0 base address */\r
+#define USB0_BASE (0x50084000u)\r
+/** Peripheral USB0 base address */\r
+#define USB0_BASE_NS (0x40084000u)\r
+/** Peripheral USB0 base pointer */\r
+#define USB0 ((USB_Type *)USB0_BASE)\r
+/** Peripheral USB0 base pointer */\r
+#define USB0_NS ((USB_Type *)USB0_BASE_NS)\r
+/** Array initializer of USB peripheral base addresses */\r
+#define USB_BASE_ADDRS \\r
+    {                  \\r
+        USB0_BASE      \\r
+    }\r
+/** Array initializer of USB peripheral base pointers */\r
+#define USB_BASE_PTRS \\r
+    {                 \\r
+        USB0          \\r
+    }\r
+/** Array initializer of USB peripheral base addresses */\r
+#define USB_BASE_ADDRS_NS \\r
+    {                     \\r
+        USB0_BASE_NS      \\r
+    }\r
+/** Array initializer of USB peripheral base pointers */\r
+#define USB_BASE_PTRS_NS \\r
+    {                    \\r
+        USB0_NS          \\r
+    }\r
 #else\r
-  /** Peripheral USB0 base address */\r
-  #define USB0_BASE                                (0x40084000u)\r
-  /** Peripheral USB0 base pointer */\r
-  #define USB0                                     ((USB_Type *)USB0_BASE)\r
-  /** Array initializer of USB peripheral base addresses */\r
-  #define USB_BASE_ADDRS                           { USB0_BASE }\r
-  /** Array initializer of USB peripheral base pointers */\r
-  #define USB_BASE_PTRS                            { USB0 }\r
+/** Peripheral USB0 base address */\r
+#define USB0_BASE (0x40084000u)\r
+/** Peripheral USB0 base pointer */\r
+#define USB0 ((USB_Type *)USB0_BASE)\r
+/** Array initializer of USB peripheral base addresses */\r
+#define USB_BASE_ADDRS \\r
+    {                  \\r
+        USB0_BASE      \\r
+    }\r
+/** Array initializer of USB peripheral base pointers */\r
+#define USB_BASE_PTRS \\r
+    {                 \\r
+        USB0          \\r
+    }\r
 #endif\r
 /** Interrupt vectors for the USB peripheral type */\r
-#define USB_IRQS                                 { USB0_IRQn }\r
-#define USB_NEEDCLK_IRQS                         { USB0_NEEDCLK_IRQn }\r
+#define USB_IRQS  \\r
+    {             \\r
+        USB0_IRQn \\r
+    }\r
+#define USB_NEEDCLK_IRQS  \\r
+    {                     \\r
+        USB0_NEEDCLK_IRQn \\r
+    }\r
 \r
 /*!\r
  * @}\r
  */ /* end of group USB_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- USBFSH Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -21470,31 +26818,52 @@ typedef struct {
  */\r
 \r
 /** USBFSH - Register Layout Typedef */\r
-typedef struct {\r
-  __I  uint32_t HCREVISION;                        /**< BCD representation of the version of the HCI specification that is implemented by the Host Controller (HC), offset: 0x0 */\r
-  __IO uint32_t HCCONTROL;                         /**< Defines the operating modes of the HC, offset: 0x4 */\r
-  __IO uint32_t HCCOMMANDSTATUS;                   /**< This register is used to receive the commands from the Host Controller Driver (HCD), offset: 0x8 */\r
-  __IO uint32_t HCINTERRUPTSTATUS;                 /**< Indicates the status on various events that cause hardware interrupts by setting the appropriate bits, offset: 0xC */\r
-  __IO uint32_t HCINTERRUPTENABLE;                 /**< Controls the bits in the HcInterruptStatus register and indicates which events will generate a hardware interrupt, offset: 0x10 */\r
-  __IO uint32_t HCINTERRUPTDISABLE;                /**< The bits in this register are used to disable corresponding bits in the HCInterruptStatus register and in turn disable that event leading to hardware interrupt, offset: 0x14 */\r
-  __IO uint32_t HCHCCA;                            /**< Contains the physical address of the host controller communication area, offset: 0x18 */\r
-  __IO uint32_t HCPERIODCURRENTED;                 /**< Contains the physical address of the current isochronous or interrupt endpoint descriptor, offset: 0x1C */\r
-  __IO uint32_t HCCONTROLHEADED;                   /**< Contains the physical address of the first endpoint descriptor of the control list, offset: 0x20 */\r
-  __IO uint32_t HCCONTROLCURRENTED;                /**< Contains the physical address of the current endpoint descriptor of the control list, offset: 0x24 */\r
-  __IO uint32_t HCBULKHEADED;                      /**< Contains the physical address of the first endpoint descriptor of the bulk list, offset: 0x28 */\r
-  __IO uint32_t HCBULKCURRENTED;                   /**< Contains the physical address of the current endpoint descriptor of the bulk list, offset: 0x2C */\r
-  __IO uint32_t HCDONEHEAD;                        /**< Contains the physical address of the last transfer descriptor added to the 'Done' queue, offset: 0x30 */\r
-  __IO uint32_t HCFMINTERVAL;                      /**< Defines the bit time interval in a frame and the full speed maximum packet size which would not cause an overrun, offset: 0x34 */\r
-  __IO uint32_t HCFMREMAINING;                     /**< A 14-bit counter showing the bit time remaining in the current frame, offset: 0x38 */\r
-  __IO uint32_t HCFMNUMBER;                        /**< Contains a 16-bit counter and provides the timing reference among events happening in the HC and the HCD, offset: 0x3C */\r
-  __IO uint32_t HCPERIODICSTART;                   /**< Contains a programmable 14-bit value which determines the earliest time HC should start processing a periodic list, offset: 0x40 */\r
-  __IO uint32_t HCLSTHRESHOLD;                     /**< Contains 11-bit value which is used by the HC to determine whether to commit to transfer a maximum of 8-byte LS packet before EOF, offset: 0x44 */\r
-  __IO uint32_t HCRHDESCRIPTORA;                   /**< First of the two registers which describes the characteristics of the root hub, offset: 0x48 */\r
-  __IO uint32_t HCRHDESCRIPTORB;                   /**< Second of the two registers which describes the characteristics of the Root Hub, offset: 0x4C */\r
-  __IO uint32_t HCRHSTATUS;                        /**< This register is divided into two parts, offset: 0x50 */\r
-  __IO uint32_t HCRHPORTSTATUS;                    /**< Controls and reports the port events on a per-port basis, offset: 0x54 */\r
-       uint8_t RESERVED_0[4];\r
-  __IO uint32_t PORTMODE;                          /**< Controls the port if it is attached to the host block or the device block, offset: 0x5C */\r
+typedef struct\r
+{\r
+    __I uint32_t HCREVISION; /**< BCD representation of the version of the HCI specification that is implemented by the\r
+                                Host Controller (HC), offset: 0x0 */\r
+    __IO uint32_t HCCONTROL; /**< Defines the operating modes of the HC, offset: 0x4 */\r
+    __IO uint32_t HCCOMMANDSTATUS;   /**< This register is used to receive the commands from the Host Controller Driver\r
+                                        (HCD), offset: 0x8 */\r
+    __IO uint32_t HCINTERRUPTSTATUS; /**< Indicates the status on various events that cause hardware interrupts by\r
+                                        setting the appropriate bits, offset: 0xC */\r
+    __IO uint32_t HCINTERRUPTENABLE; /**< Controls the bits in the HcInterruptStatus register and indicates which events\r
+                                        will generate a hardware interrupt, offset: 0x10 */\r
+    __IO uint32_t HCINTERRUPTDISABLE; /**< The bits in this register are used to disable corresponding bits in the\r
+                                         HCInterruptStatus register and in turn disable that event leading to hardware\r
+                                         interrupt, offset: 0x14 */\r
+    __IO uint32_t HCHCCA; /**< Contains the physical address of the host controller communication area, offset: 0x18 */\r
+    __I uint32_t HCPERIODCURRENTED; /**< Contains the physical address of the current isochronous or interrupt endpoint\r
+                                       descriptor, offset: 0x1C */\r
+    __IO uint32_t HCCONTROLHEADED;  /**< Contains the physical address of the first endpoint descriptor of the control\r
+                                       list, offset: 0x20 */\r
+    __IO uint32_t HCCONTROLCURRENTED; /**< Contains the physical address of the current endpoint descriptor of the\r
+                                         control list, offset: 0x24 */\r
+    __IO uint32_t HCBULKHEADED;    /**< Contains the physical address of the first endpoint descriptor of the bulk list,\r
+                                      offset: 0x28 */\r
+    __IO uint32_t HCBULKCURRENTED; /**< Contains the physical address of the current endpoint descriptor of the bulk\r
+                                      list, offset: 0x2C */\r
+    __I uint32_t HCDONEHEAD;    /**< Contains the physical address of the last transfer descriptor added to the 'Done'\r
+                                   queue, offset: 0x30 */\r
+    __IO uint32_t HCFMINTERVAL; /**< Defines the bit time interval in a frame and the full speed maximum packet size\r
+                                   which would not cause an overrun, offset: 0x34 */\r
+    __I uint32_t\r
+        HCFMREMAINING;       /**< A 14-bit counter showing the bit time remaining in the current frame, offset: 0x38 */\r
+    __I uint32_t HCFMNUMBER; /**< Contains a 16-bit counter and provides the timing reference among events happening in\r
+                                the HC and the HCD, offset: 0x3C */\r
+    __IO uint32_t HCPERIODICSTART; /**< Contains a programmable 14-bit value which determines the earliest time HC\r
+                                      should start processing a periodic list, offset: 0x40 */\r
+    __IO uint32_t HCLSTHRESHOLD;   /**< Contains 11-bit value which is used by the HC to determine whether to commit to\r
+                                      transfer a maximum of 8-byte LS packet before EOF, offset: 0x44 */\r
+    __IO uint32_t HCRHDESCRIPTORA; /**< First of the two registers which describes the characteristics of the root hub,\r
+                                      offset: 0x48 */\r
+    __IO uint32_t HCRHDESCRIPTORB; /**< Second of the two registers which describes the characteristics of the Root Hub,\r
+                                      offset: 0x4C */\r
+    __IO uint32_t HCRHSTATUS;      /**< This register is divided into two parts, offset: 0x50 */\r
+    __IO uint32_t HCRHPORTSTATUS;  /**< Controls and reports the port events on a per-port basis, offset: 0x54 */\r
+    uint8_t RESERVED_0[4];\r
+    __IO uint32_t\r
+        PORTMODE; /**< Controls the port if it is attached to the host block or the device block, offset: 0x5C */\r
 } USBFSH_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -21506,399 +26875,703 @@ typedef struct {
  * @{\r
  */\r
 \r
-/*! @name HCREVISION - BCD representation of the version of the HCI specification that is implemented by the Host Controller (HC) */\r
+/*! @name HCREVISION - BCD representation of the version of the HCI specification that is implemented by the Host\r
+ * Controller (HC) */\r
 /*! @{ */\r
-#define USBFSH_HCREVISION_REV_MASK               (0xFFU)\r
-#define USBFSH_HCREVISION_REV_SHIFT              (0U)\r
-#define USBFSH_HCREVISION_REV(x)                 (((uint32_t)(((uint32_t)(x)) << USBFSH_HCREVISION_REV_SHIFT)) & USBFSH_HCREVISION_REV_MASK)\r
+#define USBFSH_HCREVISION_REV_MASK (0xFFU)\r
+#define USBFSH_HCREVISION_REV_SHIFT (0U)\r
+/*! REV - Revision.\r
+ */\r
+#define USBFSH_HCREVISION_REV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCREVISION_REV_SHIFT)) & USBFSH_HCREVISION_REV_MASK)\r
 /*! @} */\r
 \r
 /*! @name HCCONTROL - Defines the operating modes of the HC */\r
 /*! @{ */\r
-#define USBFSH_HCCONTROL_CBSR_MASK               (0x3U)\r
-#define USBFSH_HCCONTROL_CBSR_SHIFT              (0U)\r
-#define USBFSH_HCCONTROL_CBSR(x)                 (((uint32_t)(((uint32_t)(x)) << USBFSH_HCCONTROL_CBSR_SHIFT)) & USBFSH_HCCONTROL_CBSR_MASK)\r
-#define USBFSH_HCCONTROL_PLE_MASK                (0x4U)\r
-#define USBFSH_HCCONTROL_PLE_SHIFT               (2U)\r
-#define USBFSH_HCCONTROL_PLE(x)                  (((uint32_t)(((uint32_t)(x)) << USBFSH_HCCONTROL_PLE_SHIFT)) & USBFSH_HCCONTROL_PLE_MASK)\r
-#define USBFSH_HCCONTROL_IE_MASK                 (0x8U)\r
-#define USBFSH_HCCONTROL_IE_SHIFT                (3U)\r
-#define USBFSH_HCCONTROL_IE(x)                   (((uint32_t)(((uint32_t)(x)) << USBFSH_HCCONTROL_IE_SHIFT)) & USBFSH_HCCONTROL_IE_MASK)\r
-#define USBFSH_HCCONTROL_CLE_MASK                (0x10U)\r
-#define USBFSH_HCCONTROL_CLE_SHIFT               (4U)\r
-#define USBFSH_HCCONTROL_CLE(x)                  (((uint32_t)(((uint32_t)(x)) << USBFSH_HCCONTROL_CLE_SHIFT)) & USBFSH_HCCONTROL_CLE_MASK)\r
-#define USBFSH_HCCONTROL_BLE_MASK                (0x20U)\r
-#define USBFSH_HCCONTROL_BLE_SHIFT               (5U)\r
-#define USBFSH_HCCONTROL_BLE(x)                  (((uint32_t)(((uint32_t)(x)) << USBFSH_HCCONTROL_BLE_SHIFT)) & USBFSH_HCCONTROL_BLE_MASK)\r
-#define USBFSH_HCCONTROL_HCFS_MASK               (0xC0U)\r
-#define USBFSH_HCCONTROL_HCFS_SHIFT              (6U)\r
-#define USBFSH_HCCONTROL_HCFS(x)                 (((uint32_t)(((uint32_t)(x)) << USBFSH_HCCONTROL_HCFS_SHIFT)) & USBFSH_HCCONTROL_HCFS_MASK)\r
-#define USBFSH_HCCONTROL_IR_MASK                 (0x100U)\r
-#define USBFSH_HCCONTROL_IR_SHIFT                (8U)\r
-#define USBFSH_HCCONTROL_IR(x)                   (((uint32_t)(((uint32_t)(x)) << USBFSH_HCCONTROL_IR_SHIFT)) & USBFSH_HCCONTROL_IR_MASK)\r
-#define USBFSH_HCCONTROL_RWC_MASK                (0x200U)\r
-#define USBFSH_HCCONTROL_RWC_SHIFT               (9U)\r
-#define USBFSH_HCCONTROL_RWC(x)                  (((uint32_t)(((uint32_t)(x)) << USBFSH_HCCONTROL_RWC_SHIFT)) & USBFSH_HCCONTROL_RWC_MASK)\r
-#define USBFSH_HCCONTROL_RWE_MASK                (0x400U)\r
-#define USBFSH_HCCONTROL_RWE_SHIFT               (10U)\r
-#define USBFSH_HCCONTROL_RWE(x)                  (((uint32_t)(((uint32_t)(x)) << USBFSH_HCCONTROL_RWE_SHIFT)) & USBFSH_HCCONTROL_RWE_MASK)\r
+#define USBFSH_HCCONTROL_CBSR_MASK (0x3U)\r
+#define USBFSH_HCCONTROL_CBSR_SHIFT (0U)\r
+/*! CBSR - ControlBulkServiceRatio.\r
+ */\r
+#define USBFSH_HCCONTROL_CBSR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCCONTROL_CBSR_SHIFT)) & USBFSH_HCCONTROL_CBSR_MASK)\r
+#define USBFSH_HCCONTROL_PLE_MASK (0x4U)\r
+#define USBFSH_HCCONTROL_PLE_SHIFT (2U)\r
+/*! PLE - PeriodicListEnable.\r
+ */\r
+#define USBFSH_HCCONTROL_PLE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCCONTROL_PLE_SHIFT)) & USBFSH_HCCONTROL_PLE_MASK)\r
+#define USBFSH_HCCONTROL_IE_MASK (0x8U)\r
+#define USBFSH_HCCONTROL_IE_SHIFT (3U)\r
+/*! IE - IsochronousEnable.\r
+ */\r
+#define USBFSH_HCCONTROL_IE(x) (((uint32_t)(((uint32_t)(x)) << USBFSH_HCCONTROL_IE_SHIFT)) & USBFSH_HCCONTROL_IE_MASK)\r
+#define USBFSH_HCCONTROL_CLE_MASK (0x10U)\r
+#define USBFSH_HCCONTROL_CLE_SHIFT (4U)\r
+/*! CLE - ControlListEnable.\r
+ */\r
+#define USBFSH_HCCONTROL_CLE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCCONTROL_CLE_SHIFT)) & USBFSH_HCCONTROL_CLE_MASK)\r
+#define USBFSH_HCCONTROL_BLE_MASK (0x20U)\r
+#define USBFSH_HCCONTROL_BLE_SHIFT (5U)\r
+/*! BLE - BulkListEnable This bit is set to enable the processing of the Bulk list in the next Frame.\r
+ */\r
+#define USBFSH_HCCONTROL_BLE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCCONTROL_BLE_SHIFT)) & USBFSH_HCCONTROL_BLE_MASK)\r
+#define USBFSH_HCCONTROL_HCFS_MASK (0xC0U)\r
+#define USBFSH_HCCONTROL_HCFS_SHIFT (6U)\r
+/*! HCFS - HostControllerFunctionalState for USB 00b: USBRESET 01b: USBRESUME 10b: USBOPERATIONAL\r
+ *    11b: USBSUSPEND A transition to USBOPERATIONAL from another state causes SOFgeneration to begin\r
+ *    1 ms later.\r
+ */\r
+#define USBFSH_HCCONTROL_HCFS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCCONTROL_HCFS_SHIFT)) & USBFSH_HCCONTROL_HCFS_MASK)\r
+#define USBFSH_HCCONTROL_IR_MASK (0x100U)\r
+#define USBFSH_HCCONTROL_IR_SHIFT (8U)\r
+/*! IR - InterruptRouting This bit determines the routing of interrupts generated by events registered in\r
+ * HcInterruptStatus.\r
+ */\r
+#define USBFSH_HCCONTROL_IR(x) (((uint32_t)(((uint32_t)(x)) << USBFSH_HCCONTROL_IR_SHIFT)) & USBFSH_HCCONTROL_IR_MASK)\r
+#define USBFSH_HCCONTROL_RWC_MASK (0x200U)\r
+#define USBFSH_HCCONTROL_RWC_SHIFT (9U)\r
+/*! RWC - RemoteWakeupConnected This bit indicates whether HC supports remote wake-up signaling.\r
+ */\r
+#define USBFSH_HCCONTROL_RWC(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCCONTROL_RWC_SHIFT)) & USBFSH_HCCONTROL_RWC_MASK)\r
+#define USBFSH_HCCONTROL_RWE_MASK (0x400U)\r
+#define USBFSH_HCCONTROL_RWE_SHIFT (10U)\r
+/*! RWE - RemoteWakeupEnable This bit is used by HCD to enable or disable the remote wake-up feature\r
+ *    upon the detection of upstream resume signaling.\r
+ */\r
+#define USBFSH_HCCONTROL_RWE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCCONTROL_RWE_SHIFT)) & USBFSH_HCCONTROL_RWE_MASK)\r
 /*! @} */\r
 \r
 /*! @name HCCOMMANDSTATUS - This register is used to receive the commands from the Host Controller Driver (HCD) */\r
 /*! @{ */\r
-#define USBFSH_HCCOMMANDSTATUS_HCR_MASK          (0x1U)\r
-#define USBFSH_HCCOMMANDSTATUS_HCR_SHIFT         (0U)\r
-#define USBFSH_HCCOMMANDSTATUS_HCR(x)            (((uint32_t)(((uint32_t)(x)) << USBFSH_HCCOMMANDSTATUS_HCR_SHIFT)) & USBFSH_HCCOMMANDSTATUS_HCR_MASK)\r
-#define USBFSH_HCCOMMANDSTATUS_CLF_MASK          (0x2U)\r
-#define USBFSH_HCCOMMANDSTATUS_CLF_SHIFT         (1U)\r
-#define USBFSH_HCCOMMANDSTATUS_CLF(x)            (((uint32_t)(((uint32_t)(x)) << USBFSH_HCCOMMANDSTATUS_CLF_SHIFT)) & USBFSH_HCCOMMANDSTATUS_CLF_MASK)\r
-#define USBFSH_HCCOMMANDSTATUS_BLF_MASK          (0x4U)\r
-#define USBFSH_HCCOMMANDSTATUS_BLF_SHIFT         (2U)\r
-#define USBFSH_HCCOMMANDSTATUS_BLF(x)            (((uint32_t)(((uint32_t)(x)) << USBFSH_HCCOMMANDSTATUS_BLF_SHIFT)) & USBFSH_HCCOMMANDSTATUS_BLF_MASK)\r
-#define USBFSH_HCCOMMANDSTATUS_OCR_MASK          (0x8U)\r
-#define USBFSH_HCCOMMANDSTATUS_OCR_SHIFT         (3U)\r
-#define USBFSH_HCCOMMANDSTATUS_OCR(x)            (((uint32_t)(((uint32_t)(x)) << USBFSH_HCCOMMANDSTATUS_OCR_SHIFT)) & USBFSH_HCCOMMANDSTATUS_OCR_MASK)\r
-#define USBFSH_HCCOMMANDSTATUS_SOC_MASK          (0xC0U)\r
-#define USBFSH_HCCOMMANDSTATUS_SOC_SHIFT         (6U)\r
-#define USBFSH_HCCOMMANDSTATUS_SOC(x)            (((uint32_t)(((uint32_t)(x)) << USBFSH_HCCOMMANDSTATUS_SOC_SHIFT)) & USBFSH_HCCOMMANDSTATUS_SOC_MASK)\r
-/*! @} */\r
-\r
-/*! @name HCINTERRUPTSTATUS - Indicates the status on various events that cause hardware interrupts by setting the appropriate bits */\r
-/*! @{ */\r
-#define USBFSH_HCINTERRUPTSTATUS_SO_MASK         (0x1U)\r
-#define USBFSH_HCINTERRUPTSTATUS_SO_SHIFT        (0U)\r
-#define USBFSH_HCINTERRUPTSTATUS_SO(x)           (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTSTATUS_SO_SHIFT)) & USBFSH_HCINTERRUPTSTATUS_SO_MASK)\r
-#define USBFSH_HCINTERRUPTSTATUS_WDH_MASK        (0x2U)\r
-#define USBFSH_HCINTERRUPTSTATUS_WDH_SHIFT       (1U)\r
-#define USBFSH_HCINTERRUPTSTATUS_WDH(x)          (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTSTATUS_WDH_SHIFT)) & USBFSH_HCINTERRUPTSTATUS_WDH_MASK)\r
-#define USBFSH_HCINTERRUPTSTATUS_SF_MASK         (0x4U)\r
-#define USBFSH_HCINTERRUPTSTATUS_SF_SHIFT        (2U)\r
-#define USBFSH_HCINTERRUPTSTATUS_SF(x)           (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTSTATUS_SF_SHIFT)) & USBFSH_HCINTERRUPTSTATUS_SF_MASK)\r
-#define USBFSH_HCINTERRUPTSTATUS_RD_MASK         (0x8U)\r
-#define USBFSH_HCINTERRUPTSTATUS_RD_SHIFT        (3U)\r
-#define USBFSH_HCINTERRUPTSTATUS_RD(x)           (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTSTATUS_RD_SHIFT)) & USBFSH_HCINTERRUPTSTATUS_RD_MASK)\r
-#define USBFSH_HCINTERRUPTSTATUS_UE_MASK         (0x10U)\r
-#define USBFSH_HCINTERRUPTSTATUS_UE_SHIFT        (4U)\r
-#define USBFSH_HCINTERRUPTSTATUS_UE(x)           (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTSTATUS_UE_SHIFT)) & USBFSH_HCINTERRUPTSTATUS_UE_MASK)\r
-#define USBFSH_HCINTERRUPTSTATUS_FNO_MASK        (0x20U)\r
-#define USBFSH_HCINTERRUPTSTATUS_FNO_SHIFT       (5U)\r
-#define USBFSH_HCINTERRUPTSTATUS_FNO(x)          (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTSTATUS_FNO_SHIFT)) & USBFSH_HCINTERRUPTSTATUS_FNO_MASK)\r
-#define USBFSH_HCINTERRUPTSTATUS_RHSC_MASK       (0x40U)\r
-#define USBFSH_HCINTERRUPTSTATUS_RHSC_SHIFT      (6U)\r
-#define USBFSH_HCINTERRUPTSTATUS_RHSC(x)         (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTSTATUS_RHSC_SHIFT)) & USBFSH_HCINTERRUPTSTATUS_RHSC_MASK)\r
-#define USBFSH_HCINTERRUPTSTATUS_OC_MASK         (0xFFFFFC00U)\r
-#define USBFSH_HCINTERRUPTSTATUS_OC_SHIFT        (10U)\r
-#define USBFSH_HCINTERRUPTSTATUS_OC(x)           (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTSTATUS_OC_SHIFT)) & USBFSH_HCINTERRUPTSTATUS_OC_MASK)\r
-/*! @} */\r
-\r
-/*! @name HCINTERRUPTENABLE - Controls the bits in the HcInterruptStatus register and indicates which events will generate a hardware interrupt */\r
-/*! @{ */\r
-#define USBFSH_HCINTERRUPTENABLE_SO_MASK         (0x1U)\r
-#define USBFSH_HCINTERRUPTENABLE_SO_SHIFT        (0U)\r
-#define USBFSH_HCINTERRUPTENABLE_SO(x)           (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTENABLE_SO_SHIFT)) & USBFSH_HCINTERRUPTENABLE_SO_MASK)\r
-#define USBFSH_HCINTERRUPTENABLE_WDH_MASK        (0x2U)\r
-#define USBFSH_HCINTERRUPTENABLE_WDH_SHIFT       (1U)\r
-#define USBFSH_HCINTERRUPTENABLE_WDH(x)          (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTENABLE_WDH_SHIFT)) & USBFSH_HCINTERRUPTENABLE_WDH_MASK)\r
-#define USBFSH_HCINTERRUPTENABLE_SF_MASK         (0x4U)\r
-#define USBFSH_HCINTERRUPTENABLE_SF_SHIFT        (2U)\r
-#define USBFSH_HCINTERRUPTENABLE_SF(x)           (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTENABLE_SF_SHIFT)) & USBFSH_HCINTERRUPTENABLE_SF_MASK)\r
-#define USBFSH_HCINTERRUPTENABLE_RD_MASK         (0x8U)\r
-#define USBFSH_HCINTERRUPTENABLE_RD_SHIFT        (3U)\r
-#define USBFSH_HCINTERRUPTENABLE_RD(x)           (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTENABLE_RD_SHIFT)) & USBFSH_HCINTERRUPTENABLE_RD_MASK)\r
-#define USBFSH_HCINTERRUPTENABLE_UE_MASK         (0x10U)\r
-#define USBFSH_HCINTERRUPTENABLE_UE_SHIFT        (4U)\r
-#define USBFSH_HCINTERRUPTENABLE_UE(x)           (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTENABLE_UE_SHIFT)) & USBFSH_HCINTERRUPTENABLE_UE_MASK)\r
-#define USBFSH_HCINTERRUPTENABLE_FNO_MASK        (0x20U)\r
-#define USBFSH_HCINTERRUPTENABLE_FNO_SHIFT       (5U)\r
-#define USBFSH_HCINTERRUPTENABLE_FNO(x)          (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTENABLE_FNO_SHIFT)) & USBFSH_HCINTERRUPTENABLE_FNO_MASK)\r
-#define USBFSH_HCINTERRUPTENABLE_RHSC_MASK       (0x40U)\r
-#define USBFSH_HCINTERRUPTENABLE_RHSC_SHIFT      (6U)\r
-#define USBFSH_HCINTERRUPTENABLE_RHSC(x)         (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTENABLE_RHSC_SHIFT)) & USBFSH_HCINTERRUPTENABLE_RHSC_MASK)\r
-#define USBFSH_HCINTERRUPTENABLE_OC_MASK         (0x40000000U)\r
-#define USBFSH_HCINTERRUPTENABLE_OC_SHIFT        (30U)\r
-#define USBFSH_HCINTERRUPTENABLE_OC(x)           (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTENABLE_OC_SHIFT)) & USBFSH_HCINTERRUPTENABLE_OC_MASK)\r
-#define USBFSH_HCINTERRUPTENABLE_MIE_MASK        (0x80000000U)\r
-#define USBFSH_HCINTERRUPTENABLE_MIE_SHIFT       (31U)\r
-#define USBFSH_HCINTERRUPTENABLE_MIE(x)          (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTENABLE_MIE_SHIFT)) & USBFSH_HCINTERRUPTENABLE_MIE_MASK)\r
-/*! @} */\r
-\r
-/*! @name HCINTERRUPTDISABLE - The bits in this register are used to disable corresponding bits in the HCInterruptStatus register and in turn disable that event leading to hardware interrupt */\r
-/*! @{ */\r
-#define USBFSH_HCINTERRUPTDISABLE_SO_MASK        (0x1U)\r
-#define USBFSH_HCINTERRUPTDISABLE_SO_SHIFT       (0U)\r
-#define USBFSH_HCINTERRUPTDISABLE_SO(x)          (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTDISABLE_SO_SHIFT)) & USBFSH_HCINTERRUPTDISABLE_SO_MASK)\r
-#define USBFSH_HCINTERRUPTDISABLE_WDH_MASK       (0x2U)\r
-#define USBFSH_HCINTERRUPTDISABLE_WDH_SHIFT      (1U)\r
-#define USBFSH_HCINTERRUPTDISABLE_WDH(x)         (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTDISABLE_WDH_SHIFT)) & USBFSH_HCINTERRUPTDISABLE_WDH_MASK)\r
-#define USBFSH_HCINTERRUPTDISABLE_SF_MASK        (0x4U)\r
-#define USBFSH_HCINTERRUPTDISABLE_SF_SHIFT       (2U)\r
-#define USBFSH_HCINTERRUPTDISABLE_SF(x)          (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTDISABLE_SF_SHIFT)) & USBFSH_HCINTERRUPTDISABLE_SF_MASK)\r
-#define USBFSH_HCINTERRUPTDISABLE_RD_MASK        (0x8U)\r
-#define USBFSH_HCINTERRUPTDISABLE_RD_SHIFT       (3U)\r
-#define USBFSH_HCINTERRUPTDISABLE_RD(x)          (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTDISABLE_RD_SHIFT)) & USBFSH_HCINTERRUPTDISABLE_RD_MASK)\r
-#define USBFSH_HCINTERRUPTDISABLE_UE_MASK        (0x10U)\r
-#define USBFSH_HCINTERRUPTDISABLE_UE_SHIFT       (4U)\r
-#define USBFSH_HCINTERRUPTDISABLE_UE(x)          (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTDISABLE_UE_SHIFT)) & USBFSH_HCINTERRUPTDISABLE_UE_MASK)\r
-#define USBFSH_HCINTERRUPTDISABLE_FNO_MASK       (0x20U)\r
-#define USBFSH_HCINTERRUPTDISABLE_FNO_SHIFT      (5U)\r
-#define USBFSH_HCINTERRUPTDISABLE_FNO(x)         (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTDISABLE_FNO_SHIFT)) & USBFSH_HCINTERRUPTDISABLE_FNO_MASK)\r
-#define USBFSH_HCINTERRUPTDISABLE_RHSC_MASK      (0x40U)\r
-#define USBFSH_HCINTERRUPTDISABLE_RHSC_SHIFT     (6U)\r
-#define USBFSH_HCINTERRUPTDISABLE_RHSC(x)        (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTDISABLE_RHSC_SHIFT)) & USBFSH_HCINTERRUPTDISABLE_RHSC_MASK)\r
-#define USBFSH_HCINTERRUPTDISABLE_OC_MASK        (0x40000000U)\r
-#define USBFSH_HCINTERRUPTDISABLE_OC_SHIFT       (30U)\r
-#define USBFSH_HCINTERRUPTDISABLE_OC(x)          (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTDISABLE_OC_SHIFT)) & USBFSH_HCINTERRUPTDISABLE_OC_MASK)\r
-#define USBFSH_HCINTERRUPTDISABLE_MIE_MASK       (0x80000000U)\r
-#define USBFSH_HCINTERRUPTDISABLE_MIE_SHIFT      (31U)\r
-#define USBFSH_HCINTERRUPTDISABLE_MIE(x)         (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTDISABLE_MIE_SHIFT)) & USBFSH_HCINTERRUPTDISABLE_MIE_MASK)\r
+#define USBFSH_HCCOMMANDSTATUS_HCR_MASK (0x1U)\r
+#define USBFSH_HCCOMMANDSTATUS_HCR_SHIFT (0U)\r
+/*! HCR - HostControllerReset This bit is set by HCD to initiate a software reset of HC.\r
+ */\r
+#define USBFSH_HCCOMMANDSTATUS_HCR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCCOMMANDSTATUS_HCR_SHIFT)) & USBFSH_HCCOMMANDSTATUS_HCR_MASK)\r
+#define USBFSH_HCCOMMANDSTATUS_CLF_MASK (0x2U)\r
+#define USBFSH_HCCOMMANDSTATUS_CLF_SHIFT (1U)\r
+/*! CLF - ControlListFilled This bit is used to indicate whether there are any TDs on the Control list.\r
+ */\r
+#define USBFSH_HCCOMMANDSTATUS_CLF(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCCOMMANDSTATUS_CLF_SHIFT)) & USBFSH_HCCOMMANDSTATUS_CLF_MASK)\r
+#define USBFSH_HCCOMMANDSTATUS_BLF_MASK (0x4U)\r
+#define USBFSH_HCCOMMANDSTATUS_BLF_SHIFT (2U)\r
+/*! BLF - BulkListFilled This bit is used to indicate whether there are any TDs on the Bulk list.\r
+ */\r
+#define USBFSH_HCCOMMANDSTATUS_BLF(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCCOMMANDSTATUS_BLF_SHIFT)) & USBFSH_HCCOMMANDSTATUS_BLF_MASK)\r
+#define USBFSH_HCCOMMANDSTATUS_OCR_MASK (0x8U)\r
+#define USBFSH_HCCOMMANDSTATUS_OCR_SHIFT (3U)\r
+/*! OCR - OwnershipChangeRequest This bit is set by an OS HCD to request a change of control of the HC.\r
+ */\r
+#define USBFSH_HCCOMMANDSTATUS_OCR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCCOMMANDSTATUS_OCR_SHIFT)) & USBFSH_HCCOMMANDSTATUS_OCR_MASK)\r
+#define USBFSH_HCCOMMANDSTATUS_SOC_MASK (0xC0U)\r
+#define USBFSH_HCCOMMANDSTATUS_SOC_SHIFT (6U)\r
+/*! SOC - SchedulingOverrunCount These bits are incremented on each scheduling overrun error.\r
+ */\r
+#define USBFSH_HCCOMMANDSTATUS_SOC(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCCOMMANDSTATUS_SOC_SHIFT)) & USBFSH_HCCOMMANDSTATUS_SOC_MASK)\r
+/*! @} */\r
+\r
+/*! @name HCINTERRUPTSTATUS - Indicates the status on various events that cause hardware interrupts by setting the\r
+ * appropriate bits */\r
+/*! @{ */\r
+#define USBFSH_HCINTERRUPTSTATUS_SO_MASK (0x1U)\r
+#define USBFSH_HCINTERRUPTSTATUS_SO_SHIFT (0U)\r
+/*! SO - SchedulingOverrun This bit is set when the USB schedule for the current Frame overruns and\r
+ *    after the update of HccaFrameNumber.\r
+ */\r
+#define USBFSH_HCINTERRUPTSTATUS_SO(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTSTATUS_SO_SHIFT)) & USBFSH_HCINTERRUPTSTATUS_SO_MASK)\r
+#define USBFSH_HCINTERRUPTSTATUS_WDH_MASK (0x2U)\r
+#define USBFSH_HCINTERRUPTSTATUS_WDH_SHIFT (1U)\r
+/*! WDH - WritebackDoneHead This bit is set immediately after HC has written HcDoneHead to HccaDoneHead.\r
+ */\r
+#define USBFSH_HCINTERRUPTSTATUS_WDH(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTSTATUS_WDH_SHIFT)) & USBFSH_HCINTERRUPTSTATUS_WDH_MASK)\r
+#define USBFSH_HCINTERRUPTSTATUS_SF_MASK (0x4U)\r
+#define USBFSH_HCINTERRUPTSTATUS_SF_SHIFT (2U)\r
+/*! SF - StartofFrame This bit is set by HC at each start of a frame and after the update of HccaFrameNumber.\r
+ */\r
+#define USBFSH_HCINTERRUPTSTATUS_SF(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTSTATUS_SF_SHIFT)) & USBFSH_HCINTERRUPTSTATUS_SF_MASK)\r
+#define USBFSH_HCINTERRUPTSTATUS_RD_MASK (0x8U)\r
+#define USBFSH_HCINTERRUPTSTATUS_RD_SHIFT (3U)\r
+/*! RD - ResumeDetected This bit is set when HC detects that a device on the USB is asserting resume signaling.\r
+ */\r
+#define USBFSH_HCINTERRUPTSTATUS_RD(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTSTATUS_RD_SHIFT)) & USBFSH_HCINTERRUPTSTATUS_RD_MASK)\r
+#define USBFSH_HCINTERRUPTSTATUS_UE_MASK (0x10U)\r
+#define USBFSH_HCINTERRUPTSTATUS_UE_SHIFT (4U)\r
+/*! UE - UnrecoverableError This bit is set when HC detects a system error not related to USB.\r
+ */\r
+#define USBFSH_HCINTERRUPTSTATUS_UE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTSTATUS_UE_SHIFT)) & USBFSH_HCINTERRUPTSTATUS_UE_MASK)\r
+#define USBFSH_HCINTERRUPTSTATUS_FNO_MASK (0x20U)\r
+#define USBFSH_HCINTERRUPTSTATUS_FNO_SHIFT (5U)\r
+/*! FNO - FrameNumberOverflow This bit is set when the MSb of HcFmNumber (bit 15) changes value,\r
+ *    from 0 to 1 or from 1 to 0, and after HccaFrameNumber has been updated.\r
+ */\r
+#define USBFSH_HCINTERRUPTSTATUS_FNO(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTSTATUS_FNO_SHIFT)) & USBFSH_HCINTERRUPTSTATUS_FNO_MASK)\r
+#define USBFSH_HCINTERRUPTSTATUS_RHSC_MASK (0x40U)\r
+#define USBFSH_HCINTERRUPTSTATUS_RHSC_SHIFT (6U)\r
+/*! RHSC - RootHubStatusChange This bit is set when the content of HcRhStatus or the content of any\r
+ *    of HcRhPortStatus[NumberofDownstreamPort] has changed.\r
+ */\r
+#define USBFSH_HCINTERRUPTSTATUS_RHSC(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTSTATUS_RHSC_SHIFT)) & USBFSH_HCINTERRUPTSTATUS_RHSC_MASK)\r
+#define USBFSH_HCINTERRUPTSTATUS_OC_MASK (0xFFFFFC00U)\r
+#define USBFSH_HCINTERRUPTSTATUS_OC_SHIFT (10U)\r
+/*! OC - OwnershipChange This bit is set by HC when HCD sets the OwnershipChangeRequest field in HcCommandStatus.\r
+ */\r
+#define USBFSH_HCINTERRUPTSTATUS_OC(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTSTATUS_OC_SHIFT)) & USBFSH_HCINTERRUPTSTATUS_OC_MASK)\r
+/*! @} */\r
+\r
+/*! @name HCINTERRUPTENABLE - Controls the bits in the HcInterruptStatus register and indicates which events will\r
+ * generate a hardware interrupt */\r
+/*! @{ */\r
+#define USBFSH_HCINTERRUPTENABLE_SO_MASK (0x1U)\r
+#define USBFSH_HCINTERRUPTENABLE_SO_SHIFT (0U)\r
+/*! SO - Scheduling Overrun interrupt.\r
+ */\r
+#define USBFSH_HCINTERRUPTENABLE_SO(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTENABLE_SO_SHIFT)) & USBFSH_HCINTERRUPTENABLE_SO_MASK)\r
+#define USBFSH_HCINTERRUPTENABLE_WDH_MASK (0x2U)\r
+#define USBFSH_HCINTERRUPTENABLE_WDH_SHIFT (1U)\r
+/*! WDH - HcDoneHead Writeback interrupt.\r
+ */\r
+#define USBFSH_HCINTERRUPTENABLE_WDH(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTENABLE_WDH_SHIFT)) & USBFSH_HCINTERRUPTENABLE_WDH_MASK)\r
+#define USBFSH_HCINTERRUPTENABLE_SF_MASK (0x4U)\r
+#define USBFSH_HCINTERRUPTENABLE_SF_SHIFT (2U)\r
+/*! SF - Start of Frame interrupt.\r
+ */\r
+#define USBFSH_HCINTERRUPTENABLE_SF(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTENABLE_SF_SHIFT)) & USBFSH_HCINTERRUPTENABLE_SF_MASK)\r
+#define USBFSH_HCINTERRUPTENABLE_RD_MASK (0x8U)\r
+#define USBFSH_HCINTERRUPTENABLE_RD_SHIFT (3U)\r
+/*! RD - Resume Detect interrupt.\r
+ */\r
+#define USBFSH_HCINTERRUPTENABLE_RD(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTENABLE_RD_SHIFT)) & USBFSH_HCINTERRUPTENABLE_RD_MASK)\r
+#define USBFSH_HCINTERRUPTENABLE_UE_MASK (0x10U)\r
+#define USBFSH_HCINTERRUPTENABLE_UE_SHIFT (4U)\r
+/*! UE - Unrecoverable Error interrupt.\r
+ */\r
+#define USBFSH_HCINTERRUPTENABLE_UE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTENABLE_UE_SHIFT)) & USBFSH_HCINTERRUPTENABLE_UE_MASK)\r
+#define USBFSH_HCINTERRUPTENABLE_FNO_MASK (0x20U)\r
+#define USBFSH_HCINTERRUPTENABLE_FNO_SHIFT (5U)\r
+/*! FNO - Frame Number Overflow interrupt.\r
+ */\r
+#define USBFSH_HCINTERRUPTENABLE_FNO(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTENABLE_FNO_SHIFT)) & USBFSH_HCINTERRUPTENABLE_FNO_MASK)\r
+#define USBFSH_HCINTERRUPTENABLE_RHSC_MASK (0x40U)\r
+#define USBFSH_HCINTERRUPTENABLE_RHSC_SHIFT (6U)\r
+/*! RHSC - Root Hub Status Change interrupt.\r
+ */\r
+#define USBFSH_HCINTERRUPTENABLE_RHSC(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTENABLE_RHSC_SHIFT)) & USBFSH_HCINTERRUPTENABLE_RHSC_MASK)\r
+#define USBFSH_HCINTERRUPTENABLE_OC_MASK (0x40000000U)\r
+#define USBFSH_HCINTERRUPTENABLE_OC_SHIFT (30U)\r
+/*! OC - Ownership Change interrupt.\r
+ */\r
+#define USBFSH_HCINTERRUPTENABLE_OC(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTENABLE_OC_SHIFT)) & USBFSH_HCINTERRUPTENABLE_OC_MASK)\r
+#define USBFSH_HCINTERRUPTENABLE_MIE_MASK (0x80000000U)\r
+#define USBFSH_HCINTERRUPTENABLE_MIE_SHIFT (31U)\r
+/*! MIE - Master Interrupt Enable.\r
+ */\r
+#define USBFSH_HCINTERRUPTENABLE_MIE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTENABLE_MIE_SHIFT)) & USBFSH_HCINTERRUPTENABLE_MIE_MASK)\r
+/*! @} */\r
+\r
+/*! @name HCINTERRUPTDISABLE - The bits in this register are used to disable corresponding bits in the HCInterruptStatus\r
+ * register and in turn disable that event leading to hardware interrupt */\r
+/*! @{ */\r
+#define USBFSH_HCINTERRUPTDISABLE_SO_MASK (0x1U)\r
+#define USBFSH_HCINTERRUPTDISABLE_SO_SHIFT (0U)\r
+/*! SO - Scheduling Overrun interrupt.\r
+ */\r
+#define USBFSH_HCINTERRUPTDISABLE_SO(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTDISABLE_SO_SHIFT)) & USBFSH_HCINTERRUPTDISABLE_SO_MASK)\r
+#define USBFSH_HCINTERRUPTDISABLE_WDH_MASK (0x2U)\r
+#define USBFSH_HCINTERRUPTDISABLE_WDH_SHIFT (1U)\r
+/*! WDH - HcDoneHead Writeback interrupt.\r
+ */\r
+#define USBFSH_HCINTERRUPTDISABLE_WDH(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTDISABLE_WDH_SHIFT)) & USBFSH_HCINTERRUPTDISABLE_WDH_MASK)\r
+#define USBFSH_HCINTERRUPTDISABLE_SF_MASK (0x4U)\r
+#define USBFSH_HCINTERRUPTDISABLE_SF_SHIFT (2U)\r
+/*! SF - Start of Frame interrupt.\r
+ */\r
+#define USBFSH_HCINTERRUPTDISABLE_SF(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTDISABLE_SF_SHIFT)) & USBFSH_HCINTERRUPTDISABLE_SF_MASK)\r
+#define USBFSH_HCINTERRUPTDISABLE_RD_MASK (0x8U)\r
+#define USBFSH_HCINTERRUPTDISABLE_RD_SHIFT (3U)\r
+/*! RD - Resume Detect interrupt.\r
+ */\r
+#define USBFSH_HCINTERRUPTDISABLE_RD(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTDISABLE_RD_SHIFT)) & USBFSH_HCINTERRUPTDISABLE_RD_MASK)\r
+#define USBFSH_HCINTERRUPTDISABLE_UE_MASK (0x10U)\r
+#define USBFSH_HCINTERRUPTDISABLE_UE_SHIFT (4U)\r
+/*! UE - Unrecoverable Error interrupt.\r
+ */\r
+#define USBFSH_HCINTERRUPTDISABLE_UE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTDISABLE_UE_SHIFT)) & USBFSH_HCINTERRUPTDISABLE_UE_MASK)\r
+#define USBFSH_HCINTERRUPTDISABLE_FNO_MASK (0x20U)\r
+#define USBFSH_HCINTERRUPTDISABLE_FNO_SHIFT (5U)\r
+/*! FNO - Frame Number Overflow interrupt.\r
+ */\r
+#define USBFSH_HCINTERRUPTDISABLE_FNO(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTDISABLE_FNO_SHIFT)) & USBFSH_HCINTERRUPTDISABLE_FNO_MASK)\r
+#define USBFSH_HCINTERRUPTDISABLE_RHSC_MASK (0x40U)\r
+#define USBFSH_HCINTERRUPTDISABLE_RHSC_SHIFT (6U)\r
+/*! RHSC - Root Hub Status Change interrupt.\r
+ */\r
+#define USBFSH_HCINTERRUPTDISABLE_RHSC(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTDISABLE_RHSC_SHIFT)) & USBFSH_HCINTERRUPTDISABLE_RHSC_MASK)\r
+#define USBFSH_HCINTERRUPTDISABLE_OC_MASK (0x40000000U)\r
+#define USBFSH_HCINTERRUPTDISABLE_OC_SHIFT (30U)\r
+/*! OC - Ownership Change interrupt.\r
+ */\r
+#define USBFSH_HCINTERRUPTDISABLE_OC(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTDISABLE_OC_SHIFT)) & USBFSH_HCINTERRUPTDISABLE_OC_MASK)\r
+#define USBFSH_HCINTERRUPTDISABLE_MIE_MASK (0x80000000U)\r
+#define USBFSH_HCINTERRUPTDISABLE_MIE_SHIFT (31U)\r
+/*! MIE - A 0 written to this field is ignored by HC.\r
+ */\r
+#define USBFSH_HCINTERRUPTDISABLE_MIE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCINTERRUPTDISABLE_MIE_SHIFT)) & USBFSH_HCINTERRUPTDISABLE_MIE_MASK)\r
 /*! @} */\r
 \r
 /*! @name HCHCCA - Contains the physical address of the host controller communication area */\r
 /*! @{ */\r
-#define USBFSH_HCHCCA_HCCA_MASK                  (0xFFFFFF00U)\r
-#define USBFSH_HCHCCA_HCCA_SHIFT                 (8U)\r
-#define USBFSH_HCHCCA_HCCA(x)                    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCHCCA_HCCA_SHIFT)) & USBFSH_HCHCCA_HCCA_MASK)\r
+#define USBFSH_HCHCCA_HCCA_MASK (0xFFFFFF00U)\r
+#define USBFSH_HCHCCA_HCCA_SHIFT (8U)\r
+/*! HCCA - Base address of the Host Controller Communication Area.\r
+ */\r
+#define USBFSH_HCHCCA_HCCA(x) (((uint32_t)(((uint32_t)(x)) << USBFSH_HCHCCA_HCCA_SHIFT)) & USBFSH_HCHCCA_HCCA_MASK)\r
 /*! @} */\r
 \r
-/*! @name HCPERIODCURRENTED - Contains the physical address of the current isochronous or interrupt endpoint descriptor */\r
+/*! @name HCPERIODCURRENTED - Contains the physical address of the current isochronous or interrupt endpoint descriptor\r
+ */\r
 /*! @{ */\r
-#define USBFSH_HCPERIODCURRENTED_PCED_MASK       (0xFFFFFFF0U)\r
-#define USBFSH_HCPERIODCURRENTED_PCED_SHIFT      (4U)\r
-#define USBFSH_HCPERIODCURRENTED_PCED(x)         (((uint32_t)(((uint32_t)(x)) << USBFSH_HCPERIODCURRENTED_PCED_SHIFT)) & USBFSH_HCPERIODCURRENTED_PCED_MASK)\r
+#define USBFSH_HCPERIODCURRENTED_PCED_MASK (0xFFFFFFF0U)\r
+#define USBFSH_HCPERIODCURRENTED_PCED_SHIFT (4U)\r
+/*! PCED - The content of this register is updated by HC after a periodic ED is processed.\r
+ */\r
+#define USBFSH_HCPERIODCURRENTED_PCED(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCPERIODCURRENTED_PCED_SHIFT)) & USBFSH_HCPERIODCURRENTED_PCED_MASK)\r
 /*! @} */\r
 \r
 /*! @name HCCONTROLHEADED - Contains the physical address of the first endpoint descriptor of the control list */\r
 /*! @{ */\r
-#define USBFSH_HCCONTROLHEADED_CHED_MASK         (0xFFFFFFF0U)\r
-#define USBFSH_HCCONTROLHEADED_CHED_SHIFT        (4U)\r
-#define USBFSH_HCCONTROLHEADED_CHED(x)           (((uint32_t)(((uint32_t)(x)) << USBFSH_HCCONTROLHEADED_CHED_SHIFT)) & USBFSH_HCCONTROLHEADED_CHED_MASK)\r
+#define USBFSH_HCCONTROLHEADED_CHED_MASK (0xFFFFFFF0U)\r
+#define USBFSH_HCCONTROLHEADED_CHED_SHIFT (4U)\r
+/*! CHED - HC traverses the Control list starting with the HcControlHeadED pointer.\r
+ */\r
+#define USBFSH_HCCONTROLHEADED_CHED(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCCONTROLHEADED_CHED_SHIFT)) & USBFSH_HCCONTROLHEADED_CHED_MASK)\r
 /*! @} */\r
 \r
 /*! @name HCCONTROLCURRENTED - Contains the physical address of the current endpoint descriptor of the control list */\r
 /*! @{ */\r
-#define USBFSH_HCCONTROLCURRENTED_CCED_MASK      (0xFFFFFFF0U)\r
-#define USBFSH_HCCONTROLCURRENTED_CCED_SHIFT     (4U)\r
-#define USBFSH_HCCONTROLCURRENTED_CCED(x)        (((uint32_t)(((uint32_t)(x)) << USBFSH_HCCONTROLCURRENTED_CCED_SHIFT)) & USBFSH_HCCONTROLCURRENTED_CCED_MASK)\r
+#define USBFSH_HCCONTROLCURRENTED_CCED_MASK (0xFFFFFFF0U)\r
+#define USBFSH_HCCONTROLCURRENTED_CCED_SHIFT (4U)\r
+/*! CCED - ControlCurrentED.\r
+ */\r
+#define USBFSH_HCCONTROLCURRENTED_CCED(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCCONTROLCURRENTED_CCED_SHIFT)) & USBFSH_HCCONTROLCURRENTED_CCED_MASK)\r
 /*! @} */\r
 \r
 /*! @name HCBULKHEADED - Contains the physical address of the first endpoint descriptor of the bulk list */\r
 /*! @{ */\r
-#define USBFSH_HCBULKHEADED_BHED_MASK            (0xFFFFFFF0U)\r
-#define USBFSH_HCBULKHEADED_BHED_SHIFT           (4U)\r
-#define USBFSH_HCBULKHEADED_BHED(x)              (((uint32_t)(((uint32_t)(x)) << USBFSH_HCBULKHEADED_BHED_SHIFT)) & USBFSH_HCBULKHEADED_BHED_MASK)\r
+#define USBFSH_HCBULKHEADED_BHED_MASK (0xFFFFFFF0U)\r
+#define USBFSH_HCBULKHEADED_BHED_SHIFT (4U)\r
+/*! BHED - BulkHeadED HC traverses the bulk list starting with the HcBulkHeadED pointer.\r
+ */\r
+#define USBFSH_HCBULKHEADED_BHED(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCBULKHEADED_BHED_SHIFT)) & USBFSH_HCBULKHEADED_BHED_MASK)\r
 /*! @} */\r
 \r
 /*! @name HCBULKCURRENTED - Contains the physical address of the current endpoint descriptor of the bulk list */\r
 /*! @{ */\r
-#define USBFSH_HCBULKCURRENTED_BCED_MASK         (0xFFFFFFF0U)\r
-#define USBFSH_HCBULKCURRENTED_BCED_SHIFT        (4U)\r
-#define USBFSH_HCBULKCURRENTED_BCED(x)           (((uint32_t)(((uint32_t)(x)) << USBFSH_HCBULKCURRENTED_BCED_SHIFT)) & USBFSH_HCBULKCURRENTED_BCED_MASK)\r
+#define USBFSH_HCBULKCURRENTED_BCED_MASK (0xFFFFFFF0U)\r
+#define USBFSH_HCBULKCURRENTED_BCED_SHIFT (4U)\r
+/*! BCED - BulkCurrentED This is advanced to the next ED after the HC has served the current one.\r
+ */\r
+#define USBFSH_HCBULKCURRENTED_BCED(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCBULKCURRENTED_BCED_SHIFT)) & USBFSH_HCBULKCURRENTED_BCED_MASK)\r
 /*! @} */\r
 \r
 /*! @name HCDONEHEAD - Contains the physical address of the last transfer descriptor added to the 'Done' queue */\r
 /*! @{ */\r
-#define USBFSH_HCDONEHEAD_DH_MASK                (0xFFFFFFF0U)\r
-#define USBFSH_HCDONEHEAD_DH_SHIFT               (4U)\r
-#define USBFSH_HCDONEHEAD_DH(x)                  (((uint32_t)(((uint32_t)(x)) << USBFSH_HCDONEHEAD_DH_SHIFT)) & USBFSH_HCDONEHEAD_DH_MASK)\r
+#define USBFSH_HCDONEHEAD_DH_MASK (0xFFFFFFF0U)\r
+#define USBFSH_HCDONEHEAD_DH_SHIFT (4U)\r
+/*! DH - DoneHead When a TD is completed, HC writes the content of HcDoneHead to the NextTD field of the TD.\r
+ */\r
+#define USBFSH_HCDONEHEAD_DH(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCDONEHEAD_DH_SHIFT)) & USBFSH_HCDONEHEAD_DH_MASK)\r
 /*! @} */\r
 \r
-/*! @name HCFMINTERVAL - Defines the bit time interval in a frame and the full speed maximum packet size which would not cause an overrun */\r
+/*! @name HCFMINTERVAL - Defines the bit time interval in a frame and the full speed maximum packet size which would not\r
+ * cause an overrun */\r
 /*! @{ */\r
-#define USBFSH_HCFMINTERVAL_FI_MASK              (0x3FFFU)\r
-#define USBFSH_HCFMINTERVAL_FI_SHIFT             (0U)\r
-#define USBFSH_HCFMINTERVAL_FI(x)                (((uint32_t)(((uint32_t)(x)) << USBFSH_HCFMINTERVAL_FI_SHIFT)) & USBFSH_HCFMINTERVAL_FI_MASK)\r
-#define USBFSH_HCFMINTERVAL_FSMPS_MASK           (0x7FFF0000U)\r
-#define USBFSH_HCFMINTERVAL_FSMPS_SHIFT          (16U)\r
-#define USBFSH_HCFMINTERVAL_FSMPS(x)             (((uint32_t)(((uint32_t)(x)) << USBFSH_HCFMINTERVAL_FSMPS_SHIFT)) & USBFSH_HCFMINTERVAL_FSMPS_MASK)\r
-#define USBFSH_HCFMINTERVAL_FIT_MASK             (0x80000000U)\r
-#define USBFSH_HCFMINTERVAL_FIT_SHIFT            (31U)\r
-#define USBFSH_HCFMINTERVAL_FIT(x)               (((uint32_t)(((uint32_t)(x)) << USBFSH_HCFMINTERVAL_FIT_SHIFT)) & USBFSH_HCFMINTERVAL_FIT_MASK)\r
+#define USBFSH_HCFMINTERVAL_FI_MASK (0x3FFFU)\r
+#define USBFSH_HCFMINTERVAL_FI_SHIFT (0U)\r
+/*! FI - FrameInterval This specifies the interval between two consecutive SOFs in bit times.\r
+ */\r
+#define USBFSH_HCFMINTERVAL_FI(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCFMINTERVAL_FI_SHIFT)) & USBFSH_HCFMINTERVAL_FI_MASK)\r
+#define USBFSH_HCFMINTERVAL_FSMPS_MASK (0x7FFF0000U)\r
+#define USBFSH_HCFMINTERVAL_FSMPS_SHIFT (16U)\r
+/*! FSMPS - FSLargestDataPacket This field specifies a value which is loaded into the Largest Data\r
+ *    Packet Counter at the beginning of each frame.\r
+ */\r
+#define USBFSH_HCFMINTERVAL_FSMPS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCFMINTERVAL_FSMPS_SHIFT)) & USBFSH_HCFMINTERVAL_FSMPS_MASK)\r
+#define USBFSH_HCFMINTERVAL_FIT_MASK (0x80000000U)\r
+#define USBFSH_HCFMINTERVAL_FIT_SHIFT (31U)\r
+/*! FIT - FrameIntervalToggle HCD toggles this bit whenever it loads a new value to FrameInterval.\r
+ */\r
+#define USBFSH_HCFMINTERVAL_FIT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCFMINTERVAL_FIT_SHIFT)) & USBFSH_HCFMINTERVAL_FIT_MASK)\r
 /*! @} */\r
 \r
 /*! @name HCFMREMAINING - A 14-bit counter showing the bit time remaining in the current frame */\r
 /*! @{ */\r
-#define USBFSH_HCFMREMAINING_FR_MASK             (0x3FFFU)\r
-#define USBFSH_HCFMREMAINING_FR_SHIFT            (0U)\r
-#define USBFSH_HCFMREMAINING_FR(x)               (((uint32_t)(((uint32_t)(x)) << USBFSH_HCFMREMAINING_FR_SHIFT)) & USBFSH_HCFMREMAINING_FR_MASK)\r
-#define USBFSH_HCFMREMAINING_FRT_MASK            (0x80000000U)\r
-#define USBFSH_HCFMREMAINING_FRT_SHIFT           (31U)\r
-#define USBFSH_HCFMREMAINING_FRT(x)              (((uint32_t)(((uint32_t)(x)) << USBFSH_HCFMREMAINING_FRT_SHIFT)) & USBFSH_HCFMREMAINING_FRT_MASK)\r
+#define USBFSH_HCFMREMAINING_FR_MASK (0x3FFFU)\r
+#define USBFSH_HCFMREMAINING_FR_SHIFT (0U)\r
+/*! FR - FrameRemaining This counter is decremented at each bit time.\r
+ */\r
+#define USBFSH_HCFMREMAINING_FR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCFMREMAINING_FR_SHIFT)) & USBFSH_HCFMREMAINING_FR_MASK)\r
+#define USBFSH_HCFMREMAINING_FRT_MASK (0x80000000U)\r
+#define USBFSH_HCFMREMAINING_FRT_SHIFT (31U)\r
+/*! FRT - FrameRemainingToggle This bit is loaded from the FrameIntervalToggle field of HcFmInterval\r
+ *    whenever FrameRemaining reaches 0.\r
+ */\r
+#define USBFSH_HCFMREMAINING_FRT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCFMREMAINING_FRT_SHIFT)) & USBFSH_HCFMREMAINING_FRT_MASK)\r
 /*! @} */\r
 \r
-/*! @name HCFMNUMBER - Contains a 16-bit counter and provides the timing reference among events happening in the HC and the HCD */\r
+/*! @name HCFMNUMBER - Contains a 16-bit counter and provides the timing reference among events happening in the HC and\r
+ * the HCD */\r
 /*! @{ */\r
-#define USBFSH_HCFMNUMBER_FN_MASK                (0xFFFFU)\r
-#define USBFSH_HCFMNUMBER_FN_SHIFT               (0U)\r
-#define USBFSH_HCFMNUMBER_FN(x)                  (((uint32_t)(((uint32_t)(x)) << USBFSH_HCFMNUMBER_FN_SHIFT)) & USBFSH_HCFMNUMBER_FN_MASK)\r
+#define USBFSH_HCFMNUMBER_FN_MASK (0xFFFFU)\r
+#define USBFSH_HCFMNUMBER_FN_SHIFT (0U)\r
+/*! FN - FrameNumber This is incremented when HcFmRemaining is re-loaded.\r
+ */\r
+#define USBFSH_HCFMNUMBER_FN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCFMNUMBER_FN_SHIFT)) & USBFSH_HCFMNUMBER_FN_MASK)\r
 /*! @} */\r
 \r
-/*! @name HCPERIODICSTART - Contains a programmable 14-bit value which determines the earliest time HC should start processing a periodic list */\r
+/*! @name HCPERIODICSTART - Contains a programmable 14-bit value which determines the earliest time HC should start\r
+ * processing a periodic list */\r
 /*! @{ */\r
-#define USBFSH_HCPERIODICSTART_PS_MASK           (0x3FFFU)\r
-#define USBFSH_HCPERIODICSTART_PS_SHIFT          (0U)\r
-#define USBFSH_HCPERIODICSTART_PS(x)             (((uint32_t)(((uint32_t)(x)) << USBFSH_HCPERIODICSTART_PS_SHIFT)) & USBFSH_HCPERIODICSTART_PS_MASK)\r
+#define USBFSH_HCPERIODICSTART_PS_MASK (0x3FFFU)\r
+#define USBFSH_HCPERIODICSTART_PS_SHIFT (0U)\r
+/*! PS - PeriodicStart After a hardware reset, this field is cleared and then set by HCD during the HC initialization.\r
+ */\r
+#define USBFSH_HCPERIODICSTART_PS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCPERIODICSTART_PS_SHIFT)) & USBFSH_HCPERIODICSTART_PS_MASK)\r
 /*! @} */\r
 \r
-/*! @name HCLSTHRESHOLD - Contains 11-bit value which is used by the HC to determine whether to commit to transfer a maximum of 8-byte LS packet before EOF */\r
+/*! @name HCLSTHRESHOLD - Contains 11-bit value which is used by the HC to determine whether to commit to transfer a\r
+ * maximum of 8-byte LS packet before EOF */\r
 /*! @{ */\r
-#define USBFSH_HCLSTHRESHOLD_LST_MASK            (0xFFFU)\r
-#define USBFSH_HCLSTHRESHOLD_LST_SHIFT           (0U)\r
-#define USBFSH_HCLSTHRESHOLD_LST(x)              (((uint32_t)(((uint32_t)(x)) << USBFSH_HCLSTHRESHOLD_LST_SHIFT)) & USBFSH_HCLSTHRESHOLD_LST_MASK)\r
+#define USBFSH_HCLSTHRESHOLD_LST_MASK (0xFFFU)\r
+#define USBFSH_HCLSTHRESHOLD_LST_SHIFT (0U)\r
+/*! LST - LSThreshold This field contains a value which is compared to the FrameRemaining field\r
+ *    prior to initiating a Low Speed transaction.\r
+ */\r
+#define USBFSH_HCLSTHRESHOLD_LST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCLSTHRESHOLD_LST_SHIFT)) & USBFSH_HCLSTHRESHOLD_LST_MASK)\r
 /*! @} */\r
 \r
 /*! @name HCRHDESCRIPTORA - First of the two registers which describes the characteristics of the root hub */\r
 /*! @{ */\r
-#define USBFSH_HCRHDESCRIPTORA_NDP_MASK          (0xFFU)\r
-#define USBFSH_HCRHDESCRIPTORA_NDP_SHIFT         (0U)\r
-#define USBFSH_HCRHDESCRIPTORA_NDP(x)            (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHDESCRIPTORA_NDP_SHIFT)) & USBFSH_HCRHDESCRIPTORA_NDP_MASK)\r
-#define USBFSH_HCRHDESCRIPTORA_PSM_MASK          (0x100U)\r
-#define USBFSH_HCRHDESCRIPTORA_PSM_SHIFT         (8U)\r
-#define USBFSH_HCRHDESCRIPTORA_PSM(x)            (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHDESCRIPTORA_PSM_SHIFT)) & USBFSH_HCRHDESCRIPTORA_PSM_MASK)\r
-#define USBFSH_HCRHDESCRIPTORA_NPS_MASK          (0x200U)\r
-#define USBFSH_HCRHDESCRIPTORA_NPS_SHIFT         (9U)\r
-#define USBFSH_HCRHDESCRIPTORA_NPS(x)            (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHDESCRIPTORA_NPS_SHIFT)) & USBFSH_HCRHDESCRIPTORA_NPS_MASK)\r
-#define USBFSH_HCRHDESCRIPTORA_DT_MASK           (0x400U)\r
-#define USBFSH_HCRHDESCRIPTORA_DT_SHIFT          (10U)\r
-#define USBFSH_HCRHDESCRIPTORA_DT(x)             (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHDESCRIPTORA_DT_SHIFT)) & USBFSH_HCRHDESCRIPTORA_DT_MASK)\r
-#define USBFSH_HCRHDESCRIPTORA_OCPM_MASK         (0x800U)\r
-#define USBFSH_HCRHDESCRIPTORA_OCPM_SHIFT        (11U)\r
-#define USBFSH_HCRHDESCRIPTORA_OCPM(x)           (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHDESCRIPTORA_OCPM_SHIFT)) & USBFSH_HCRHDESCRIPTORA_OCPM_MASK)\r
-#define USBFSH_HCRHDESCRIPTORA_NOCP_MASK         (0x1000U)\r
-#define USBFSH_HCRHDESCRIPTORA_NOCP_SHIFT        (12U)\r
-#define USBFSH_HCRHDESCRIPTORA_NOCP(x)           (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHDESCRIPTORA_NOCP_SHIFT)) & USBFSH_HCRHDESCRIPTORA_NOCP_MASK)\r
-#define USBFSH_HCRHDESCRIPTORA_POTPGT_MASK       (0xFF000000U)\r
-#define USBFSH_HCRHDESCRIPTORA_POTPGT_SHIFT      (24U)\r
-#define USBFSH_HCRHDESCRIPTORA_POTPGT(x)         (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHDESCRIPTORA_POTPGT_SHIFT)) & USBFSH_HCRHDESCRIPTORA_POTPGT_MASK)\r
+#define USBFSH_HCRHDESCRIPTORA_NDP_MASK (0xFFU)\r
+#define USBFSH_HCRHDESCRIPTORA_NDP_SHIFT (0U)\r
+/*! NDP - NumberDownstreamPorts These bits specify the number of downstream ports supported by the root hub.\r
+ */\r
+#define USBFSH_HCRHDESCRIPTORA_NDP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHDESCRIPTORA_NDP_SHIFT)) & USBFSH_HCRHDESCRIPTORA_NDP_MASK)\r
+#define USBFSH_HCRHDESCRIPTORA_PSM_MASK (0x100U)\r
+#define USBFSH_HCRHDESCRIPTORA_PSM_SHIFT (8U)\r
+/*! PSM - PowerSwitchingMode This bit is used to specify how the power switching of the root hub ports is controlled.\r
+ */\r
+#define USBFSH_HCRHDESCRIPTORA_PSM(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHDESCRIPTORA_PSM_SHIFT)) & USBFSH_HCRHDESCRIPTORA_PSM_MASK)\r
+#define USBFSH_HCRHDESCRIPTORA_NPS_MASK (0x200U)\r
+#define USBFSH_HCRHDESCRIPTORA_NPS_SHIFT (9U)\r
+/*! NPS - NoPowerSwitching These bits are used to specify whether power switching is supported or port are always\r
+ * powered.\r
+ */\r
+#define USBFSH_HCRHDESCRIPTORA_NPS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHDESCRIPTORA_NPS_SHIFT)) & USBFSH_HCRHDESCRIPTORA_NPS_MASK)\r
+#define USBFSH_HCRHDESCRIPTORA_DT_MASK (0x400U)\r
+#define USBFSH_HCRHDESCRIPTORA_DT_SHIFT (10U)\r
+/*! DT - DeviceType This bit specifies that the root hub is not a compound device.\r
+ */\r
+#define USBFSH_HCRHDESCRIPTORA_DT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHDESCRIPTORA_DT_SHIFT)) & USBFSH_HCRHDESCRIPTORA_DT_MASK)\r
+#define USBFSH_HCRHDESCRIPTORA_OCPM_MASK (0x800U)\r
+#define USBFSH_HCRHDESCRIPTORA_OCPM_SHIFT (11U)\r
+/*! OCPM - OverCurrentProtectionMode This bit describes how the overcurrent status for the root hub ports are reported.\r
+ */\r
+#define USBFSH_HCRHDESCRIPTORA_OCPM(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHDESCRIPTORA_OCPM_SHIFT)) & USBFSH_HCRHDESCRIPTORA_OCPM_MASK)\r
+#define USBFSH_HCRHDESCRIPTORA_NOCP_MASK (0x1000U)\r
+#define USBFSH_HCRHDESCRIPTORA_NOCP_SHIFT (12U)\r
+/*! NOCP - NoOverCurrentProtection This bit describes how the overcurrent status for the root hub ports are reported.\r
+ */\r
+#define USBFSH_HCRHDESCRIPTORA_NOCP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHDESCRIPTORA_NOCP_SHIFT)) & USBFSH_HCRHDESCRIPTORA_NOCP_MASK)\r
+#define USBFSH_HCRHDESCRIPTORA_POTPGT_MASK (0xFF000000U)\r
+#define USBFSH_HCRHDESCRIPTORA_POTPGT_SHIFT (24U)\r
+/*! POTPGT - PowerOnToPowerGoodTime This byte specifies the duration the HCD has to wait before\r
+ *    accessing a powered-on port of the root hub.\r
+ */\r
+#define USBFSH_HCRHDESCRIPTORA_POTPGT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHDESCRIPTORA_POTPGT_SHIFT)) & USBFSH_HCRHDESCRIPTORA_POTPGT_MASK)\r
 /*! @} */\r
 \r
 /*! @name HCRHDESCRIPTORB - Second of the two registers which describes the characteristics of the Root Hub */\r
 /*! @{ */\r
-#define USBFSH_HCRHDESCRIPTORB_DR_MASK           (0xFFFFU)\r
-#define USBFSH_HCRHDESCRIPTORB_DR_SHIFT          (0U)\r
-#define USBFSH_HCRHDESCRIPTORB_DR(x)             (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHDESCRIPTORB_DR_SHIFT)) & USBFSH_HCRHDESCRIPTORB_DR_MASK)\r
-#define USBFSH_HCRHDESCRIPTORB_PPCM_MASK         (0xFFFF0000U)\r
-#define USBFSH_HCRHDESCRIPTORB_PPCM_SHIFT        (16U)\r
-#define USBFSH_HCRHDESCRIPTORB_PPCM(x)           (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHDESCRIPTORB_PPCM_SHIFT)) & USBFSH_HCRHDESCRIPTORB_PPCM_MASK)\r
+#define USBFSH_HCRHDESCRIPTORB_DR_MASK (0xFFFFU)\r
+#define USBFSH_HCRHDESCRIPTORB_DR_SHIFT (0U)\r
+/*! DR - DeviceRemovable Each bit is dedicated to a port of the Root Hub.\r
+ */\r
+#define USBFSH_HCRHDESCRIPTORB_DR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHDESCRIPTORB_DR_SHIFT)) & USBFSH_HCRHDESCRIPTORB_DR_MASK)\r
+#define USBFSH_HCRHDESCRIPTORB_PPCM_MASK (0xFFFF0000U)\r
+#define USBFSH_HCRHDESCRIPTORB_PPCM_SHIFT (16U)\r
+/*! PPCM - PortPowerControlMask Each bit indicates if a port is affected by a global power control\r
+ *    command when PowerSwitchingMode is set.\r
+ */\r
+#define USBFSH_HCRHDESCRIPTORB_PPCM(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHDESCRIPTORB_PPCM_SHIFT)) & USBFSH_HCRHDESCRIPTORB_PPCM_MASK)\r
 /*! @} */\r
 \r
 /*! @name HCRHSTATUS - This register is divided into two parts */\r
 /*! @{ */\r
-#define USBFSH_HCRHSTATUS_LPS_MASK               (0x1U)\r
-#define USBFSH_HCRHSTATUS_LPS_SHIFT              (0U)\r
-#define USBFSH_HCRHSTATUS_LPS(x)                 (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHSTATUS_LPS_SHIFT)) & USBFSH_HCRHSTATUS_LPS_MASK)\r
-#define USBFSH_HCRHSTATUS_OCI_MASK               (0x2U)\r
-#define USBFSH_HCRHSTATUS_OCI_SHIFT              (1U)\r
-#define USBFSH_HCRHSTATUS_OCI(x)                 (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHSTATUS_OCI_SHIFT)) & USBFSH_HCRHSTATUS_OCI_MASK)\r
-#define USBFSH_HCRHSTATUS_DRWE_MASK              (0x8000U)\r
-#define USBFSH_HCRHSTATUS_DRWE_SHIFT             (15U)\r
-#define USBFSH_HCRHSTATUS_DRWE(x)                (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHSTATUS_DRWE_SHIFT)) & USBFSH_HCRHSTATUS_DRWE_MASK)\r
-#define USBFSH_HCRHSTATUS_LPSC_MASK              (0x10000U)\r
-#define USBFSH_HCRHSTATUS_LPSC_SHIFT             (16U)\r
-#define USBFSH_HCRHSTATUS_LPSC(x)                (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHSTATUS_LPSC_SHIFT)) & USBFSH_HCRHSTATUS_LPSC_MASK)\r
-#define USBFSH_HCRHSTATUS_OCIC_MASK              (0x20000U)\r
-#define USBFSH_HCRHSTATUS_OCIC_SHIFT             (17U)\r
-#define USBFSH_HCRHSTATUS_OCIC(x)                (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHSTATUS_OCIC_SHIFT)) & USBFSH_HCRHSTATUS_OCIC_MASK)\r
-#define USBFSH_HCRHSTATUS_CRWE_MASK              (0x80000000U)\r
-#define USBFSH_HCRHSTATUS_CRWE_SHIFT             (31U)\r
-#define USBFSH_HCRHSTATUS_CRWE(x)                (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHSTATUS_CRWE_SHIFT)) & USBFSH_HCRHSTATUS_CRWE_MASK)\r
+#define USBFSH_HCRHSTATUS_LPS_MASK (0x1U)\r
+#define USBFSH_HCRHSTATUS_LPS_SHIFT (0U)\r
+/*! LPS - (read) LocalPowerStatus The Root Hub does not support the local power status feature;\r
+ *    thus, this bit is always read as 0.\r
+ */\r
+#define USBFSH_HCRHSTATUS_LPS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHSTATUS_LPS_SHIFT)) & USBFSH_HCRHSTATUS_LPS_MASK)\r
+#define USBFSH_HCRHSTATUS_OCI_MASK (0x2U)\r
+#define USBFSH_HCRHSTATUS_OCI_SHIFT (1U)\r
+/*! OCI - OverCurrentIndicator This bit reports overcurrent conditions when the global reporting is implemented.\r
+ */\r
+#define USBFSH_HCRHSTATUS_OCI(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHSTATUS_OCI_SHIFT)) & USBFSH_HCRHSTATUS_OCI_MASK)\r
+#define USBFSH_HCRHSTATUS_DRWE_MASK (0x8000U)\r
+#define USBFSH_HCRHSTATUS_DRWE_SHIFT (15U)\r
+/*! DRWE - (read) DeviceRemoteWakeupEnable This bit enables a ConnectStatusChange bit as a resume\r
+ *    event, causing a USBSUSPEND to USBRESUME state transition and setting the ResumeDetected\r
+ *    interrupt.\r
+ */\r
+#define USBFSH_HCRHSTATUS_DRWE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHSTATUS_DRWE_SHIFT)) & USBFSH_HCRHSTATUS_DRWE_MASK)\r
+#define USBFSH_HCRHSTATUS_LPSC_MASK (0x10000U)\r
+#define USBFSH_HCRHSTATUS_LPSC_SHIFT (16U)\r
+/*! LPSC - (read) LocalPowerStatusChange The root hub does not support the local power status feature.\r
+ */\r
+#define USBFSH_HCRHSTATUS_LPSC(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHSTATUS_LPSC_SHIFT)) & USBFSH_HCRHSTATUS_LPSC_MASK)\r
+#define USBFSH_HCRHSTATUS_OCIC_MASK (0x20000U)\r
+#define USBFSH_HCRHSTATUS_OCIC_SHIFT (17U)\r
+/*! OCIC - OverCurrentIndicatorChange This bit is set by hardware when a change has occurred to the OCI field of this\r
+ * register.\r
+ */\r
+#define USBFSH_HCRHSTATUS_OCIC(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHSTATUS_OCIC_SHIFT)) & USBFSH_HCRHSTATUS_OCIC_MASK)\r
+#define USBFSH_HCRHSTATUS_CRWE_MASK (0x80000000U)\r
+#define USBFSH_HCRHSTATUS_CRWE_SHIFT (31U)\r
+/*! CRWE - (write) ClearRemoteWakeupEnable Writing a 1 clears DeviceRemoveWakeupEnable.\r
+ */\r
+#define USBFSH_HCRHSTATUS_CRWE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHSTATUS_CRWE_SHIFT)) & USBFSH_HCRHSTATUS_CRWE_MASK)\r
 /*! @} */\r
 \r
 /*! @name HCRHPORTSTATUS - Controls and reports the port events on a per-port basis */\r
 /*! @{ */\r
-#define USBFSH_HCRHPORTSTATUS_CCS_MASK           (0x1U)\r
-#define USBFSH_HCRHPORTSTATUS_CCS_SHIFT          (0U)\r
-#define USBFSH_HCRHPORTSTATUS_CCS(x)             (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHPORTSTATUS_CCS_SHIFT)) & USBFSH_HCRHPORTSTATUS_CCS_MASK)\r
-#define USBFSH_HCRHPORTSTATUS_PES_MASK           (0x2U)\r
-#define USBFSH_HCRHPORTSTATUS_PES_SHIFT          (1U)\r
-#define USBFSH_HCRHPORTSTATUS_PES(x)             (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHPORTSTATUS_PES_SHIFT)) & USBFSH_HCRHPORTSTATUS_PES_MASK)\r
-#define USBFSH_HCRHPORTSTATUS_PSS_MASK           (0x4U)\r
-#define USBFSH_HCRHPORTSTATUS_PSS_SHIFT          (2U)\r
-#define USBFSH_HCRHPORTSTATUS_PSS(x)             (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHPORTSTATUS_PSS_SHIFT)) & USBFSH_HCRHPORTSTATUS_PSS_MASK)\r
-#define USBFSH_HCRHPORTSTATUS_POCI_MASK          (0x8U)\r
-#define USBFSH_HCRHPORTSTATUS_POCI_SHIFT         (3U)\r
-#define USBFSH_HCRHPORTSTATUS_POCI(x)            (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHPORTSTATUS_POCI_SHIFT)) & USBFSH_HCRHPORTSTATUS_POCI_MASK)\r
-#define USBFSH_HCRHPORTSTATUS_PRS_MASK           (0x10U)\r
-#define USBFSH_HCRHPORTSTATUS_PRS_SHIFT          (4U)\r
-#define USBFSH_HCRHPORTSTATUS_PRS(x)             (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHPORTSTATUS_PRS_SHIFT)) & USBFSH_HCRHPORTSTATUS_PRS_MASK)\r
-#define USBFSH_HCRHPORTSTATUS_PPS_MASK           (0x100U)\r
-#define USBFSH_HCRHPORTSTATUS_PPS_SHIFT          (8U)\r
-#define USBFSH_HCRHPORTSTATUS_PPS(x)             (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHPORTSTATUS_PPS_SHIFT)) & USBFSH_HCRHPORTSTATUS_PPS_MASK)\r
-#define USBFSH_HCRHPORTSTATUS_LSDA_MASK          (0x200U)\r
-#define USBFSH_HCRHPORTSTATUS_LSDA_SHIFT         (9U)\r
-#define USBFSH_HCRHPORTSTATUS_LSDA(x)            (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHPORTSTATUS_LSDA_SHIFT)) & USBFSH_HCRHPORTSTATUS_LSDA_MASK)\r
-#define USBFSH_HCRHPORTSTATUS_CSC_MASK           (0x10000U)\r
-#define USBFSH_HCRHPORTSTATUS_CSC_SHIFT          (16U)\r
-#define USBFSH_HCRHPORTSTATUS_CSC(x)             (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHPORTSTATUS_CSC_SHIFT)) & USBFSH_HCRHPORTSTATUS_CSC_MASK)\r
-#define USBFSH_HCRHPORTSTATUS_PESC_MASK          (0x20000U)\r
-#define USBFSH_HCRHPORTSTATUS_PESC_SHIFT         (17U)\r
-#define USBFSH_HCRHPORTSTATUS_PESC(x)            (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHPORTSTATUS_PESC_SHIFT)) & USBFSH_HCRHPORTSTATUS_PESC_MASK)\r
-#define USBFSH_HCRHPORTSTATUS_PSSC_MASK          (0x40000U)\r
-#define USBFSH_HCRHPORTSTATUS_PSSC_SHIFT         (18U)\r
-#define USBFSH_HCRHPORTSTATUS_PSSC(x)            (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHPORTSTATUS_PSSC_SHIFT)) & USBFSH_HCRHPORTSTATUS_PSSC_MASK)\r
-#define USBFSH_HCRHPORTSTATUS_OCIC_MASK          (0x80000U)\r
-#define USBFSH_HCRHPORTSTATUS_OCIC_SHIFT         (19U)\r
-#define USBFSH_HCRHPORTSTATUS_OCIC(x)            (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHPORTSTATUS_OCIC_SHIFT)) & USBFSH_HCRHPORTSTATUS_OCIC_MASK)\r
-#define USBFSH_HCRHPORTSTATUS_PRSC_MASK          (0x100000U)\r
-#define USBFSH_HCRHPORTSTATUS_PRSC_SHIFT         (20U)\r
-#define USBFSH_HCRHPORTSTATUS_PRSC(x)            (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHPORTSTATUS_PRSC_SHIFT)) & USBFSH_HCRHPORTSTATUS_PRSC_MASK)\r
+#define USBFSH_HCRHPORTSTATUS_CCS_MASK (0x1U)\r
+#define USBFSH_HCRHPORTSTATUS_CCS_SHIFT (0U)\r
+/*! CCS - (read) CurrentConnectStatus This bit reflects the current state of the downstream port.\r
+ */\r
+#define USBFSH_HCRHPORTSTATUS_CCS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHPORTSTATUS_CCS_SHIFT)) & USBFSH_HCRHPORTSTATUS_CCS_MASK)\r
+#define USBFSH_HCRHPORTSTATUS_PES_MASK (0x2U)\r
+#define USBFSH_HCRHPORTSTATUS_PES_SHIFT (1U)\r
+/*! PES - (read) PortEnableStatus This bit indicates whether the port is enabled or disabled.\r
+ */\r
+#define USBFSH_HCRHPORTSTATUS_PES(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHPORTSTATUS_PES_SHIFT)) & USBFSH_HCRHPORTSTATUS_PES_MASK)\r
+#define USBFSH_HCRHPORTSTATUS_PSS_MASK (0x4U)\r
+#define USBFSH_HCRHPORTSTATUS_PSS_SHIFT (2U)\r
+/*! PSS - (read) PortSuspendStatus This bit indicates the port is suspended or in the resume sequence.\r
+ */\r
+#define USBFSH_HCRHPORTSTATUS_PSS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHPORTSTATUS_PSS_SHIFT)) & USBFSH_HCRHPORTSTATUS_PSS_MASK)\r
+#define USBFSH_HCRHPORTSTATUS_POCI_MASK (0x8U)\r
+#define USBFSH_HCRHPORTSTATUS_POCI_SHIFT (3U)\r
+/*! POCI - (read) PortOverCurrentIndicator This bit is only valid when the Root Hub is configured in\r
+ *    such a way that overcurrent conditions are reported on a per-port basis.\r
+ */\r
+#define USBFSH_HCRHPORTSTATUS_POCI(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHPORTSTATUS_POCI_SHIFT)) & USBFSH_HCRHPORTSTATUS_POCI_MASK)\r
+#define USBFSH_HCRHPORTSTATUS_PRS_MASK (0x10U)\r
+#define USBFSH_HCRHPORTSTATUS_PRS_SHIFT (4U)\r
+/*! PRS - (read) PortResetStatus When this bit is set by a write to SetPortReset, port reset signaling is asserted.\r
+ */\r
+#define USBFSH_HCRHPORTSTATUS_PRS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHPORTSTATUS_PRS_SHIFT)) & USBFSH_HCRHPORTSTATUS_PRS_MASK)\r
+#define USBFSH_HCRHPORTSTATUS_PPS_MASK (0x100U)\r
+#define USBFSH_HCRHPORTSTATUS_PPS_SHIFT (8U)\r
+/*! PPS - (read) PortPowerStatus This bit reflects the porta's power status, regardless of the type\r
+ *    of power switching implemented.\r
+ */\r
+#define USBFSH_HCRHPORTSTATUS_PPS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHPORTSTATUS_PPS_SHIFT)) & USBFSH_HCRHPORTSTATUS_PPS_MASK)\r
+#define USBFSH_HCRHPORTSTATUS_LSDA_MASK (0x200U)\r
+#define USBFSH_HCRHPORTSTATUS_LSDA_SHIFT (9U)\r
+/*! LSDA - (read) LowSpeedDeviceAttached This bit indicates the speed of the device attached to this port.\r
+ */\r
+#define USBFSH_HCRHPORTSTATUS_LSDA(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHPORTSTATUS_LSDA_SHIFT)) & USBFSH_HCRHPORTSTATUS_LSDA_MASK)\r
+#define USBFSH_HCRHPORTSTATUS_CSC_MASK (0x10000U)\r
+#define USBFSH_HCRHPORTSTATUS_CSC_SHIFT (16U)\r
+/*! CSC - ConnectStatusChange This bit is set whenever a connect or disconnect event occurs.\r
+ */\r
+#define USBFSH_HCRHPORTSTATUS_CSC(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHPORTSTATUS_CSC_SHIFT)) & USBFSH_HCRHPORTSTATUS_CSC_MASK)\r
+#define USBFSH_HCRHPORTSTATUS_PESC_MASK (0x20000U)\r
+#define USBFSH_HCRHPORTSTATUS_PESC_SHIFT (17U)\r
+/*! PESC - PortEnableStatusChange This bit is set when hardware events cause the PortEnableStatus bit to be cleared.\r
+ */\r
+#define USBFSH_HCRHPORTSTATUS_PESC(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHPORTSTATUS_PESC_SHIFT)) & USBFSH_HCRHPORTSTATUS_PESC_MASK)\r
+#define USBFSH_HCRHPORTSTATUS_PSSC_MASK (0x40000U)\r
+#define USBFSH_HCRHPORTSTATUS_PSSC_SHIFT (18U)\r
+/*! PSSC - PortSuspendStatusChange This bit is set when the full resume sequence is completed.\r
+ */\r
+#define USBFSH_HCRHPORTSTATUS_PSSC(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHPORTSTATUS_PSSC_SHIFT)) & USBFSH_HCRHPORTSTATUS_PSSC_MASK)\r
+#define USBFSH_HCRHPORTSTATUS_OCIC_MASK (0x80000U)\r
+#define USBFSH_HCRHPORTSTATUS_OCIC_SHIFT (19U)\r
+/*! OCIC - PortOverCurrentIndicatorChange This bit is valid only if overcurrent conditions are reported on a per-port\r
+ * basis.\r
+ */\r
+#define USBFSH_HCRHPORTSTATUS_OCIC(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHPORTSTATUS_OCIC_SHIFT)) & USBFSH_HCRHPORTSTATUS_OCIC_MASK)\r
+#define USBFSH_HCRHPORTSTATUS_PRSC_MASK (0x100000U)\r
+#define USBFSH_HCRHPORTSTATUS_PRSC_SHIFT (20U)\r
+/*! PRSC - PortResetStatusChange This bit is set at the end of the 10 ms port reset signal.\r
+ */\r
+#define USBFSH_HCRHPORTSTATUS_PRSC(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_HCRHPORTSTATUS_PRSC_SHIFT)) & USBFSH_HCRHPORTSTATUS_PRSC_MASK)\r
 /*! @} */\r
 \r
 /*! @name PORTMODE - Controls the port if it is attached to the host block or the device block */\r
 /*! @{ */\r
-#define USBFSH_PORTMODE_ID_MASK                  (0x1U)\r
-#define USBFSH_PORTMODE_ID_SHIFT                 (0U)\r
-#define USBFSH_PORTMODE_ID(x)                    (((uint32_t)(((uint32_t)(x)) << USBFSH_PORTMODE_ID_SHIFT)) & USBFSH_PORTMODE_ID_MASK)\r
-#define USBFSH_PORTMODE_ID_EN_MASK               (0x100U)\r
-#define USBFSH_PORTMODE_ID_EN_SHIFT              (8U)\r
-#define USBFSH_PORTMODE_ID_EN(x)                 (((uint32_t)(((uint32_t)(x)) << USBFSH_PORTMODE_ID_EN_SHIFT)) & USBFSH_PORTMODE_ID_EN_MASK)\r
-#define USBFSH_PORTMODE_DEV_ENABLE_MASK          (0x10000U)\r
-#define USBFSH_PORTMODE_DEV_ENABLE_SHIFT         (16U)\r
-#define USBFSH_PORTMODE_DEV_ENABLE(x)            (((uint32_t)(((uint32_t)(x)) << USBFSH_PORTMODE_DEV_ENABLE_SHIFT)) & USBFSH_PORTMODE_DEV_ENABLE_MASK)\r
+#define USBFSH_PORTMODE_ID_MASK (0x1U)\r
+#define USBFSH_PORTMODE_ID_SHIFT (0U)\r
+/*! ID - Port ID pin value.\r
+ */\r
+#define USBFSH_PORTMODE_ID(x) (((uint32_t)(((uint32_t)(x)) << USBFSH_PORTMODE_ID_SHIFT)) & USBFSH_PORTMODE_ID_MASK)\r
+#define USBFSH_PORTMODE_ID_EN_MASK (0x100U)\r
+#define USBFSH_PORTMODE_ID_EN_SHIFT (8U)\r
+/*! ID_EN - Port ID pin pull-up enable.\r
+ */\r
+#define USBFSH_PORTMODE_ID_EN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_PORTMODE_ID_EN_SHIFT)) & USBFSH_PORTMODE_ID_EN_MASK)\r
+#define USBFSH_PORTMODE_DEV_ENABLE_MASK (0x10000U)\r
+#define USBFSH_PORTMODE_DEV_ENABLE_SHIFT (16U)\r
+/*! DEV_ENABLE - 1: device 0: host.\r
+ */\r
+#define USBFSH_PORTMODE_DEV_ENABLE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBFSH_PORTMODE_DEV_ENABLE_SHIFT)) & USBFSH_PORTMODE_DEV_ENABLE_MASK)\r
 /*! @} */\r
 \r
-\r
 /*!\r
  * @}\r
  */ /* end of group USBFSH_Register_Masks */\r
 \r
-\r
 /* USBFSH - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral USBFSH base address */\r
-  #define USBFSH_BASE                              (0x500A2000u)\r
-  /** Peripheral USBFSH base address */\r
-  #define USBFSH_BASE_NS                           (0x400A2000u)\r
-  /** Peripheral USBFSH base pointer */\r
-  #define USBFSH                                   ((USBFSH_Type *)USBFSH_BASE)\r
-  /** Peripheral USBFSH base pointer */\r
-  #define USBFSH_NS                                ((USBFSH_Type *)USBFSH_BASE_NS)\r
-  /** Array initializer of USBFSH peripheral base addresses */\r
-  #define USBFSH_BASE_ADDRS                        { USBFSH_BASE }\r
-  /** Array initializer of USBFSH peripheral base pointers */\r
-  #define USBFSH_BASE_PTRS                         { USBFSH }\r
-  /** Array initializer of USBFSH peripheral base addresses */\r
-  #define USBFSH_BASE_ADDRS_NS                     { USBFSH_BASE_NS }\r
-  /** Array initializer of USBFSH peripheral base pointers */\r
-  #define USBFSH_BASE_PTRS_NS                      { USBFSH_NS }\r
+/** Peripheral USBFSH base address */\r
+#define USBFSH_BASE (0x500A2000u)\r
+/** Peripheral USBFSH base address */\r
+#define USBFSH_BASE_NS (0x400A2000u)\r
+/** Peripheral USBFSH base pointer */\r
+#define USBFSH ((USBFSH_Type *)USBFSH_BASE)\r
+/** Peripheral USBFSH base pointer */\r
+#define USBFSH_NS ((USBFSH_Type *)USBFSH_BASE_NS)\r
+/** Array initializer of USBFSH peripheral base addresses */\r
+#define USBFSH_BASE_ADDRS \\r
+    {                     \\r
+        USBFSH_BASE       \\r
+    }\r
+/** Array initializer of USBFSH peripheral base pointers */\r
+#define USBFSH_BASE_PTRS \\r
+    {                    \\r
+        USBFSH           \\r
+    }\r
+/** Array initializer of USBFSH peripheral base addresses */\r
+#define USBFSH_BASE_ADDRS_NS \\r
+    {                        \\r
+        USBFSH_BASE_NS       \\r
+    }\r
+/** Array initializer of USBFSH peripheral base pointers */\r
+#define USBFSH_BASE_PTRS_NS \\r
+    {                       \\r
+        USBFSH_NS           \\r
+    }\r
 #else\r
-  /** Peripheral USBFSH base address */\r
-  #define USBFSH_BASE                              (0x400A2000u)\r
-  /** Peripheral USBFSH base pointer */\r
-  #define USBFSH                                   ((USBFSH_Type *)USBFSH_BASE)\r
-  /** Array initializer of USBFSH peripheral base addresses */\r
-  #define USBFSH_BASE_ADDRS                        { USBFSH_BASE }\r
-  /** Array initializer of USBFSH peripheral base pointers */\r
-  #define USBFSH_BASE_PTRS                         { USBFSH }\r
+/** Peripheral USBFSH base address */\r
+#define USBFSH_BASE (0x400A2000u)\r
+/** Peripheral USBFSH base pointer */\r
+#define USBFSH ((USBFSH_Type *)USBFSH_BASE)\r
+/** Array initializer of USBFSH peripheral base addresses */\r
+#define USBFSH_BASE_ADDRS \\r
+    {                     \\r
+        USBFSH_BASE       \\r
+    }\r
+/** Array initializer of USBFSH peripheral base pointers */\r
+#define USBFSH_BASE_PTRS \\r
+    {                    \\r
+        USBFSH           \\r
+    }\r
 #endif\r
 /** Interrupt vectors for the USBFSH peripheral type */\r
-#define USBFSH_IRQS                              { USB0_IRQn }\r
-#define USBFSH_NEEDCLK_IRQS                      { USB0_NEEDCLK_IRQn }\r
+#define USBFSH_IRQS \\r
+    {               \\r
+        USB0_IRQn   \\r
+    }\r
+#define USBFSH_NEEDCLK_IRQS \\r
+    {                       \\r
+        USB0_NEEDCLK_IRQn   \\r
+    }\r
 \r
 /*!\r
  * @}\r
  */ /* end of group USBFSH_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- USBHSD Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -21909,22 +27582,21 @@ typedef struct {
  */\r
 \r
 /** USBHSD - Register Layout Typedef */\r
-typedef struct {\r
-  __IO uint32_t DEVCMDSTAT;                        /**< USB Device Command/Status register, offset: 0x0 */\r
-  __I  uint32_t INFO;                              /**< USB Info register, offset: 0x4 */\r
-  __IO uint32_t EPLISTSTART;                       /**< USB EP Command/Status List start address, offset: 0x8 */\r
-  __IO uint32_t DATABUFSTART;                      /**< USB Data buffer start address, offset: 0xC */\r
-  __IO uint32_t LPM;                               /**< USB Link Power Management register, offset: 0x10 */\r
-  __IO uint32_t EPSKIP;                            /**< USB Endpoint skip, offset: 0x14 */\r
-  __IO uint32_t EPINUSE;                           /**< USB Endpoint Buffer in use, offset: 0x18 */\r
-  __IO uint32_t EPBUFCFG;                          /**< USB Endpoint Buffer Configuration register, offset: 0x1C */\r
-  __IO uint32_t INTSTAT;                           /**< USB interrupt status register, offset: 0x20 */\r
-  __IO uint32_t INTEN;                             /**< USB interrupt enable register, offset: 0x24 */\r
-  __IO uint32_t INTSETSTAT;                        /**< USB set interrupt status register, offset: 0x28 */\r
-       uint8_t RESERVED_0[8];\r
-  __I  uint32_t EPTOGGLE;                          /**< USB Endpoint toggle register, offset: 0x34 */\r
-       uint8_t RESERVED_1[4];\r
-  __IO uint32_t ULPIDEBUG;                         /**< UTMI/ULPI debug register, offset: 0x3C */\r
+typedef struct\r
+{\r
+    __IO uint32_t DEVCMDSTAT;   /**< USB Device Command/Status register, offset: 0x0 */\r
+    __I uint32_t INFO;          /**< USB Info register, offset: 0x4 */\r
+    __IO uint32_t EPLISTSTART;  /**< USB EP Command/Status List start address, offset: 0x8 */\r
+    __IO uint32_t DATABUFSTART; /**< USB Data buffer start address, offset: 0xC */\r
+    __IO uint32_t LPM;          /**< USB Link Power Management register, offset: 0x10 */\r
+    __IO uint32_t EPSKIP;       /**< USB Endpoint skip, offset: 0x14 */\r
+    __IO uint32_t EPINUSE;      /**< USB Endpoint Buffer in use, offset: 0x18 */\r
+    __IO uint32_t EPBUFCFG;     /**< USB Endpoint Buffer Configuration register, offset: 0x1C */\r
+    __IO uint32_t INTSTAT;      /**< USB interrupt status register, offset: 0x20 */\r
+    __IO uint32_t INTEN;        /**< USB interrupt enable register, offset: 0x24 */\r
+    __IO uint32_t INTSETSTAT;   /**< USB set interrupt status register, offset: 0x28 */\r
+    uint8_t RESERVED_0[8];\r
+    __I uint32_t EPTOGGLE; /**< USB Endpoint toggle register, offset: 0x34 */\r
 } USBHSD_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -21938,279 +27610,436 @@ typedef struct {
 \r
 /*! @name DEVCMDSTAT - USB Device Command/Status register */\r
 /*! @{ */\r
-#define USBHSD_DEVCMDSTAT_DEV_ADDR_MASK          (0x7FU)\r
-#define USBHSD_DEVCMDSTAT_DEV_ADDR_SHIFT         (0U)\r
-#define USBHSD_DEVCMDSTAT_DEV_ADDR(x)            (((uint32_t)(((uint32_t)(x)) << USBHSD_DEVCMDSTAT_DEV_ADDR_SHIFT)) & USBHSD_DEVCMDSTAT_DEV_ADDR_MASK)\r
-#define USBHSD_DEVCMDSTAT_DEV_EN_MASK            (0x80U)\r
-#define USBHSD_DEVCMDSTAT_DEV_EN_SHIFT           (7U)\r
-#define USBHSD_DEVCMDSTAT_DEV_EN(x)              (((uint32_t)(((uint32_t)(x)) << USBHSD_DEVCMDSTAT_DEV_EN_SHIFT)) & USBHSD_DEVCMDSTAT_DEV_EN_MASK)\r
-#define USBHSD_DEVCMDSTAT_SETUP_MASK             (0x100U)\r
-#define USBHSD_DEVCMDSTAT_SETUP_SHIFT            (8U)\r
-#define USBHSD_DEVCMDSTAT_SETUP(x)               (((uint32_t)(((uint32_t)(x)) << USBHSD_DEVCMDSTAT_SETUP_SHIFT)) & USBHSD_DEVCMDSTAT_SETUP_MASK)\r
-#define USBHSD_DEVCMDSTAT_FORCE_NEEDCLK_MASK     (0x200U)\r
-#define USBHSD_DEVCMDSTAT_FORCE_NEEDCLK_SHIFT    (9U)\r
-#define USBHSD_DEVCMDSTAT_FORCE_NEEDCLK(x)       (((uint32_t)(((uint32_t)(x)) << USBHSD_DEVCMDSTAT_FORCE_NEEDCLK_SHIFT)) & USBHSD_DEVCMDSTAT_FORCE_NEEDCLK_MASK)\r
-#define USBHSD_DEVCMDSTAT_FORCE_VBUS_MASK        (0x400U)\r
-#define USBHSD_DEVCMDSTAT_FORCE_VBUS_SHIFT       (10U)\r
-#define USBHSD_DEVCMDSTAT_FORCE_VBUS(x)          (((uint32_t)(((uint32_t)(x)) << USBHSD_DEVCMDSTAT_FORCE_VBUS_SHIFT)) & USBHSD_DEVCMDSTAT_FORCE_VBUS_MASK)\r
-#define USBHSD_DEVCMDSTAT_LPM_SUP_MASK           (0x800U)\r
-#define USBHSD_DEVCMDSTAT_LPM_SUP_SHIFT          (11U)\r
-#define USBHSD_DEVCMDSTAT_LPM_SUP(x)             (((uint32_t)(((uint32_t)(x)) << USBHSD_DEVCMDSTAT_LPM_SUP_SHIFT)) & USBHSD_DEVCMDSTAT_LPM_SUP_MASK)\r
-#define USBHSD_DEVCMDSTAT_INTONNAK_AO_MASK       (0x1000U)\r
-#define USBHSD_DEVCMDSTAT_INTONNAK_AO_SHIFT      (12U)\r
-#define USBHSD_DEVCMDSTAT_INTONNAK_AO(x)         (((uint32_t)(((uint32_t)(x)) << USBHSD_DEVCMDSTAT_INTONNAK_AO_SHIFT)) & USBHSD_DEVCMDSTAT_INTONNAK_AO_MASK)\r
-#define USBHSD_DEVCMDSTAT_INTONNAK_AI_MASK       (0x2000U)\r
-#define USBHSD_DEVCMDSTAT_INTONNAK_AI_SHIFT      (13U)\r
-#define USBHSD_DEVCMDSTAT_INTONNAK_AI(x)         (((uint32_t)(((uint32_t)(x)) << USBHSD_DEVCMDSTAT_INTONNAK_AI_SHIFT)) & USBHSD_DEVCMDSTAT_INTONNAK_AI_MASK)\r
-#define USBHSD_DEVCMDSTAT_INTONNAK_CO_MASK       (0x4000U)\r
-#define USBHSD_DEVCMDSTAT_INTONNAK_CO_SHIFT      (14U)\r
-#define USBHSD_DEVCMDSTAT_INTONNAK_CO(x)         (((uint32_t)(((uint32_t)(x)) << USBHSD_DEVCMDSTAT_INTONNAK_CO_SHIFT)) & USBHSD_DEVCMDSTAT_INTONNAK_CO_MASK)\r
-#define USBHSD_DEVCMDSTAT_INTONNAK_CI_MASK       (0x8000U)\r
-#define USBHSD_DEVCMDSTAT_INTONNAK_CI_SHIFT      (15U)\r
-#define USBHSD_DEVCMDSTAT_INTONNAK_CI(x)         (((uint32_t)(((uint32_t)(x)) << USBHSD_DEVCMDSTAT_INTONNAK_CI_SHIFT)) & USBHSD_DEVCMDSTAT_INTONNAK_CI_MASK)\r
-#define USBHSD_DEVCMDSTAT_DCON_MASK              (0x10000U)\r
-#define USBHSD_DEVCMDSTAT_DCON_SHIFT             (16U)\r
-#define USBHSD_DEVCMDSTAT_DCON(x)                (((uint32_t)(((uint32_t)(x)) << USBHSD_DEVCMDSTAT_DCON_SHIFT)) & USBHSD_DEVCMDSTAT_DCON_MASK)\r
-#define USBHSD_DEVCMDSTAT_DSUS_MASK              (0x20000U)\r
-#define USBHSD_DEVCMDSTAT_DSUS_SHIFT             (17U)\r
-#define USBHSD_DEVCMDSTAT_DSUS(x)                (((uint32_t)(((uint32_t)(x)) << USBHSD_DEVCMDSTAT_DSUS_SHIFT)) & USBHSD_DEVCMDSTAT_DSUS_MASK)\r
-#define USBHSD_DEVCMDSTAT_LPM_SUS_MASK           (0x80000U)\r
-#define USBHSD_DEVCMDSTAT_LPM_SUS_SHIFT          (19U)\r
-#define USBHSD_DEVCMDSTAT_LPM_SUS(x)             (((uint32_t)(((uint32_t)(x)) << USBHSD_DEVCMDSTAT_LPM_SUS_SHIFT)) & USBHSD_DEVCMDSTAT_LPM_SUS_MASK)\r
-#define USBHSD_DEVCMDSTAT_LPM_REWP_MASK          (0x100000U)\r
-#define USBHSD_DEVCMDSTAT_LPM_REWP_SHIFT         (20U)\r
-#define USBHSD_DEVCMDSTAT_LPM_REWP(x)            (((uint32_t)(((uint32_t)(x)) << USBHSD_DEVCMDSTAT_LPM_REWP_SHIFT)) & USBHSD_DEVCMDSTAT_LPM_REWP_MASK)\r
-#define USBHSD_DEVCMDSTAT_Speed_MASK             (0xC00000U)\r
-#define USBHSD_DEVCMDSTAT_Speed_SHIFT            (22U)\r
-#define USBHSD_DEVCMDSTAT_Speed(x)               (((uint32_t)(((uint32_t)(x)) << USBHSD_DEVCMDSTAT_Speed_SHIFT)) & USBHSD_DEVCMDSTAT_Speed_MASK)\r
-#define USBHSD_DEVCMDSTAT_DCON_C_MASK            (0x1000000U)\r
-#define USBHSD_DEVCMDSTAT_DCON_C_SHIFT           (24U)\r
-#define USBHSD_DEVCMDSTAT_DCON_C(x)              (((uint32_t)(((uint32_t)(x)) << USBHSD_DEVCMDSTAT_DCON_C_SHIFT)) & USBHSD_DEVCMDSTAT_DCON_C_MASK)\r
-#define USBHSD_DEVCMDSTAT_DSUS_C_MASK            (0x2000000U)\r
-#define USBHSD_DEVCMDSTAT_DSUS_C_SHIFT           (25U)\r
-#define USBHSD_DEVCMDSTAT_DSUS_C(x)              (((uint32_t)(((uint32_t)(x)) << USBHSD_DEVCMDSTAT_DSUS_C_SHIFT)) & USBHSD_DEVCMDSTAT_DSUS_C_MASK)\r
-#define USBHSD_DEVCMDSTAT_DRES_C_MASK            (0x4000000U)\r
-#define USBHSD_DEVCMDSTAT_DRES_C_SHIFT           (26U)\r
-#define USBHSD_DEVCMDSTAT_DRES_C(x)              (((uint32_t)(((uint32_t)(x)) << USBHSD_DEVCMDSTAT_DRES_C_SHIFT)) & USBHSD_DEVCMDSTAT_DRES_C_MASK)\r
-#define USBHSD_DEVCMDSTAT_VBUS_DEBOUNCED_MASK    (0x10000000U)\r
-#define USBHSD_DEVCMDSTAT_VBUS_DEBOUNCED_SHIFT   (28U)\r
-#define USBHSD_DEVCMDSTAT_VBUS_DEBOUNCED(x)      (((uint32_t)(((uint32_t)(x)) << USBHSD_DEVCMDSTAT_VBUS_DEBOUNCED_SHIFT)) & USBHSD_DEVCMDSTAT_VBUS_DEBOUNCED_MASK)\r
-#define USBHSD_DEVCMDSTAT_PHY_TEST_MODE_MASK     (0xE0000000U)\r
-#define USBHSD_DEVCMDSTAT_PHY_TEST_MODE_SHIFT    (29U)\r
-#define USBHSD_DEVCMDSTAT_PHY_TEST_MODE(x)       (((uint32_t)(((uint32_t)(x)) << USBHSD_DEVCMDSTAT_PHY_TEST_MODE_SHIFT)) & USBHSD_DEVCMDSTAT_PHY_TEST_MODE_MASK)\r
+#define USBHSD_DEVCMDSTAT_DEV_ADDR_MASK (0x7FU)\r
+#define USBHSD_DEVCMDSTAT_DEV_ADDR_SHIFT (0U)\r
+/*! DEV_ADDR - USB device address.\r
+ */\r
+#define USBHSD_DEVCMDSTAT_DEV_ADDR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_DEVCMDSTAT_DEV_ADDR_SHIFT)) & USBHSD_DEVCMDSTAT_DEV_ADDR_MASK)\r
+#define USBHSD_DEVCMDSTAT_DEV_EN_MASK (0x80U)\r
+#define USBHSD_DEVCMDSTAT_DEV_EN_SHIFT (7U)\r
+/*! DEV_EN - USB device enable.\r
+ */\r
+#define USBHSD_DEVCMDSTAT_DEV_EN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_DEVCMDSTAT_DEV_EN_SHIFT)) & USBHSD_DEVCMDSTAT_DEV_EN_MASK)\r
+#define USBHSD_DEVCMDSTAT_SETUP_MASK (0x100U)\r
+#define USBHSD_DEVCMDSTAT_SETUP_SHIFT (8U)\r
+/*! SETUP - SETUP token received.\r
+ */\r
+#define USBHSD_DEVCMDSTAT_SETUP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_DEVCMDSTAT_SETUP_SHIFT)) & USBHSD_DEVCMDSTAT_SETUP_MASK)\r
+#define USBHSD_DEVCMDSTAT_FORCE_NEEDCLK_MASK (0x200U)\r
+#define USBHSD_DEVCMDSTAT_FORCE_NEEDCLK_SHIFT (9U)\r
+/*! FORCE_NEEDCLK - Forces the NEEDCLK output to always be on:.\r
+ */\r
+#define USBHSD_DEVCMDSTAT_FORCE_NEEDCLK(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_DEVCMDSTAT_FORCE_NEEDCLK_SHIFT)) & USBHSD_DEVCMDSTAT_FORCE_NEEDCLK_MASK)\r
+#define USBHSD_DEVCMDSTAT_LPM_SUP_MASK (0x800U)\r
+#define USBHSD_DEVCMDSTAT_LPM_SUP_SHIFT (11U)\r
+/*! LPM_SUP - LPM Supported:.\r
+ */\r
+#define USBHSD_DEVCMDSTAT_LPM_SUP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_DEVCMDSTAT_LPM_SUP_SHIFT)) & USBHSD_DEVCMDSTAT_LPM_SUP_MASK)\r
+#define USBHSD_DEVCMDSTAT_INTONNAK_AO_MASK (0x1000U)\r
+#define USBHSD_DEVCMDSTAT_INTONNAK_AO_SHIFT (12U)\r
+/*! INTONNAK_AO - Interrupt on NAK for interrupt and bulk OUT EP:.\r
+ */\r
+#define USBHSD_DEVCMDSTAT_INTONNAK_AO(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_DEVCMDSTAT_INTONNAK_AO_SHIFT)) & USBHSD_DEVCMDSTAT_INTONNAK_AO_MASK)\r
+#define USBHSD_DEVCMDSTAT_INTONNAK_AI_MASK (0x2000U)\r
+#define USBHSD_DEVCMDSTAT_INTONNAK_AI_SHIFT (13U)\r
+/*! INTONNAK_AI - Interrupt on NAK for interrupt and bulk IN EP:.\r
+ */\r
+#define USBHSD_DEVCMDSTAT_INTONNAK_AI(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_DEVCMDSTAT_INTONNAK_AI_SHIFT)) & USBHSD_DEVCMDSTAT_INTONNAK_AI_MASK)\r
+#define USBHSD_DEVCMDSTAT_INTONNAK_CO_MASK (0x4000U)\r
+#define USBHSD_DEVCMDSTAT_INTONNAK_CO_SHIFT (14U)\r
+/*! INTONNAK_CO - Interrupt on NAK for control OUT EP:.\r
+ */\r
+#define USBHSD_DEVCMDSTAT_INTONNAK_CO(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_DEVCMDSTAT_INTONNAK_CO_SHIFT)) & USBHSD_DEVCMDSTAT_INTONNAK_CO_MASK)\r
+#define USBHSD_DEVCMDSTAT_INTONNAK_CI_MASK (0x8000U)\r
+#define USBHSD_DEVCMDSTAT_INTONNAK_CI_SHIFT (15U)\r
+/*! INTONNAK_CI - Interrupt on NAK for control IN EP:.\r
+ */\r
+#define USBHSD_DEVCMDSTAT_INTONNAK_CI(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_DEVCMDSTAT_INTONNAK_CI_SHIFT)) & USBHSD_DEVCMDSTAT_INTONNAK_CI_MASK)\r
+#define USBHSD_DEVCMDSTAT_DCON_MASK (0x10000U)\r
+#define USBHSD_DEVCMDSTAT_DCON_SHIFT (16U)\r
+/*! DCON - Device status - connect.\r
+ */\r
+#define USBHSD_DEVCMDSTAT_DCON(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_DEVCMDSTAT_DCON_SHIFT)) & USBHSD_DEVCMDSTAT_DCON_MASK)\r
+#define USBHSD_DEVCMDSTAT_DSUS_MASK (0x20000U)\r
+#define USBHSD_DEVCMDSTAT_DSUS_SHIFT (17U)\r
+/*! DSUS - Device status - suspend.\r
+ */\r
+#define USBHSD_DEVCMDSTAT_DSUS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_DEVCMDSTAT_DSUS_SHIFT)) & USBHSD_DEVCMDSTAT_DSUS_MASK)\r
+#define USBHSD_DEVCMDSTAT_LPM_SUS_MASK (0x80000U)\r
+#define USBHSD_DEVCMDSTAT_LPM_SUS_SHIFT (19U)\r
+/*! LPM_SUS - Device status - LPM Suspend.\r
+ */\r
+#define USBHSD_DEVCMDSTAT_LPM_SUS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_DEVCMDSTAT_LPM_SUS_SHIFT)) & USBHSD_DEVCMDSTAT_LPM_SUS_MASK)\r
+#define USBHSD_DEVCMDSTAT_LPM_REWP_MASK (0x100000U)\r
+#define USBHSD_DEVCMDSTAT_LPM_REWP_SHIFT (20U)\r
+/*! LPM_REWP - LPM Remote Wake-up Enabled by USB host.\r
+ */\r
+#define USBHSD_DEVCMDSTAT_LPM_REWP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_DEVCMDSTAT_LPM_REWP_SHIFT)) & USBHSD_DEVCMDSTAT_LPM_REWP_MASK)\r
+#define USBHSD_DEVCMDSTAT_Speed_MASK (0xC00000U)\r
+#define USBHSD_DEVCMDSTAT_Speed_SHIFT (22U)\r
+/*! Speed - This field indicates the speed at which the device operates: 00b: reserved 01b:\r
+ *    full-speed 10b: high-speed 11b: super-speed (reserved for future use).\r
+ */\r
+#define USBHSD_DEVCMDSTAT_Speed(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_DEVCMDSTAT_Speed_SHIFT)) & USBHSD_DEVCMDSTAT_Speed_MASK)\r
+#define USBHSD_DEVCMDSTAT_DCON_C_MASK (0x1000000U)\r
+#define USBHSD_DEVCMDSTAT_DCON_C_SHIFT (24U)\r
+/*! DCON_C - Device status - connect change.\r
+ */\r
+#define USBHSD_DEVCMDSTAT_DCON_C(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_DEVCMDSTAT_DCON_C_SHIFT)) & USBHSD_DEVCMDSTAT_DCON_C_MASK)\r
+#define USBHSD_DEVCMDSTAT_DSUS_C_MASK (0x2000000U)\r
+#define USBHSD_DEVCMDSTAT_DSUS_C_SHIFT (25U)\r
+/*! DSUS_C - Device status - suspend change.\r
+ */\r
+#define USBHSD_DEVCMDSTAT_DSUS_C(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_DEVCMDSTAT_DSUS_C_SHIFT)) & USBHSD_DEVCMDSTAT_DSUS_C_MASK)\r
+#define USBHSD_DEVCMDSTAT_DRES_C_MASK (0x4000000U)\r
+#define USBHSD_DEVCMDSTAT_DRES_C_SHIFT (26U)\r
+/*! DRES_C - Device status - reset change.\r
+ */\r
+#define USBHSD_DEVCMDSTAT_DRES_C(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_DEVCMDSTAT_DRES_C_SHIFT)) & USBHSD_DEVCMDSTAT_DRES_C_MASK)\r
+#define USBHSD_DEVCMDSTAT_VBUS_DEBOUNCED_MASK (0x10000000U)\r
+#define USBHSD_DEVCMDSTAT_VBUS_DEBOUNCED_SHIFT (28U)\r
+/*! VBUS_DEBOUNCED - This bit indicates if VBUS is detected or not.\r
+ */\r
+#define USBHSD_DEVCMDSTAT_VBUS_DEBOUNCED(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_DEVCMDSTAT_VBUS_DEBOUNCED_SHIFT)) & USBHSD_DEVCMDSTAT_VBUS_DEBOUNCED_MASK)\r
+#define USBHSD_DEVCMDSTAT_PHY_TEST_MODE_MASK (0xE0000000U)\r
+#define USBHSD_DEVCMDSTAT_PHY_TEST_MODE_SHIFT (29U)\r
+/*! PHY_TEST_MODE - This field is written by firmware to put the PHY into a test mode as defined by the USB2.0\r
+ * specification\r
+ */\r
+#define USBHSD_DEVCMDSTAT_PHY_TEST_MODE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_DEVCMDSTAT_PHY_TEST_MODE_SHIFT)) & USBHSD_DEVCMDSTAT_PHY_TEST_MODE_MASK)\r
 /*! @} */\r
 \r
 /*! @name INFO - USB Info register */\r
 /*! @{ */\r
-#define USBHSD_INFO_FRAME_NR_MASK                (0x7FFU)\r
-#define USBHSD_INFO_FRAME_NR_SHIFT               (0U)\r
-#define USBHSD_INFO_FRAME_NR(x)                  (((uint32_t)(((uint32_t)(x)) << USBHSD_INFO_FRAME_NR_SHIFT)) & USBHSD_INFO_FRAME_NR_MASK)\r
-#define USBHSD_INFO_ERR_CODE_MASK                (0x7800U)\r
-#define USBHSD_INFO_ERR_CODE_SHIFT               (11U)\r
-#define USBHSD_INFO_ERR_CODE(x)                  (((uint32_t)(((uint32_t)(x)) << USBHSD_INFO_ERR_CODE_SHIFT)) & USBHSD_INFO_ERR_CODE_MASK)\r
-#define USBHSD_INFO_Minrev_MASK                  (0xFF0000U)\r
-#define USBHSD_INFO_Minrev_SHIFT                 (16U)\r
-#define USBHSD_INFO_Minrev(x)                    (((uint32_t)(((uint32_t)(x)) << USBHSD_INFO_Minrev_SHIFT)) & USBHSD_INFO_Minrev_MASK)\r
-#define USBHSD_INFO_Majrev_MASK                  (0xFF000000U)\r
-#define USBHSD_INFO_Majrev_SHIFT                 (24U)\r
-#define USBHSD_INFO_Majrev(x)                    (((uint32_t)(((uint32_t)(x)) << USBHSD_INFO_Majrev_SHIFT)) & USBHSD_INFO_Majrev_MASK)\r
+#define USBHSD_INFO_FRAME_NR_MASK (0x7FFU)\r
+#define USBHSD_INFO_FRAME_NR_SHIFT (0U)\r
+/*! FRAME_NR - Frame number.\r
+ */\r
+#define USBHSD_INFO_FRAME_NR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_INFO_FRAME_NR_SHIFT)) & USBHSD_INFO_FRAME_NR_MASK)\r
+#define USBHSD_INFO_ERR_CODE_MASK (0x7800U)\r
+#define USBHSD_INFO_ERR_CODE_SHIFT (11U)\r
+/*! ERR_CODE - The error code which last occurred:.\r
+ */\r
+#define USBHSD_INFO_ERR_CODE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_INFO_ERR_CODE_SHIFT)) & USBHSD_INFO_ERR_CODE_MASK)\r
+#define USBHSD_INFO_MINREV_MASK (0xFF0000U)\r
+#define USBHSD_INFO_MINREV_SHIFT (16U)\r
+/*! MINREV - Minor revision.\r
+ */\r
+#define USBHSD_INFO_MINREV(x) (((uint32_t)(((uint32_t)(x)) << USBHSD_INFO_MINREV_SHIFT)) & USBHSD_INFO_MINREV_MASK)\r
+#define USBHSD_INFO_MAJREV_MASK (0xFF000000U)\r
+#define USBHSD_INFO_MAJREV_SHIFT (24U)\r
+/*! MAJREV - Major revision.\r
+ */\r
+#define USBHSD_INFO_MAJREV(x) (((uint32_t)(((uint32_t)(x)) << USBHSD_INFO_MAJREV_SHIFT)) & USBHSD_INFO_MAJREV_MASK)\r
 /*! @} */\r
 \r
 /*! @name EPLISTSTART - USB EP Command/Status List start address */\r
 /*! @{ */\r
-#define USBHSD_EPLISTSTART_EP_LIST_PRG_MASK      (0xFFF00U)\r
-#define USBHSD_EPLISTSTART_EP_LIST_PRG_SHIFT     (8U)\r
-#define USBHSD_EPLISTSTART_EP_LIST_PRG(x)        (((uint32_t)(((uint32_t)(x)) << USBHSD_EPLISTSTART_EP_LIST_PRG_SHIFT)) & USBHSD_EPLISTSTART_EP_LIST_PRG_MASK)\r
-#define USBHSD_EPLISTSTART_EP_LIST_FIXED_MASK    (0xFFF00000U)\r
-#define USBHSD_EPLISTSTART_EP_LIST_FIXED_SHIFT   (20U)\r
-#define USBHSD_EPLISTSTART_EP_LIST_FIXED(x)      (((uint32_t)(((uint32_t)(x)) << USBHSD_EPLISTSTART_EP_LIST_FIXED_SHIFT)) & USBHSD_EPLISTSTART_EP_LIST_FIXED_MASK)\r
+#define USBHSD_EPLISTSTART_EP_LIST_PRG_MASK (0xFFF00U)\r
+#define USBHSD_EPLISTSTART_EP_LIST_PRG_SHIFT (8U)\r
+/*! EP_LIST_PRG - Programmable portion of the USB EP Command/Status List address.\r
+ */\r
+#define USBHSD_EPLISTSTART_EP_LIST_PRG(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_EPLISTSTART_EP_LIST_PRG_SHIFT)) & USBHSD_EPLISTSTART_EP_LIST_PRG_MASK)\r
+#define USBHSD_EPLISTSTART_EP_LIST_FIXED_MASK (0xFFF00000U)\r
+#define USBHSD_EPLISTSTART_EP_LIST_FIXED_SHIFT (20U)\r
+/*! EP_LIST_FIXED - Fixed portion of USB EP Command/Status List address.\r
+ */\r
+#define USBHSD_EPLISTSTART_EP_LIST_FIXED(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_EPLISTSTART_EP_LIST_FIXED_SHIFT)) & USBHSD_EPLISTSTART_EP_LIST_FIXED_MASK)\r
 /*! @} */\r
 \r
 /*! @name DATABUFSTART - USB Data buffer start address */\r
 /*! @{ */\r
-#define USBHSD_DATABUFSTART_DA_BUF_MASK          (0xFFFFFFFFU)\r
-#define USBHSD_DATABUFSTART_DA_BUF_SHIFT         (0U)\r
-#define USBHSD_DATABUFSTART_DA_BUF(x)            (((uint32_t)(((uint32_t)(x)) << USBHSD_DATABUFSTART_DA_BUF_SHIFT)) & USBHSD_DATABUFSTART_DA_BUF_MASK)\r
+#define USBHSD_DATABUFSTART_DA_BUF_MASK (0xFFFFFFFFU)\r
+#define USBHSD_DATABUFSTART_DA_BUF_SHIFT (0U)\r
+/*! DA_BUF - Start address of the memory page where all endpoint data buffers are located.\r
+ */\r
+#define USBHSD_DATABUFSTART_DA_BUF(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_DATABUFSTART_DA_BUF_SHIFT)) & USBHSD_DATABUFSTART_DA_BUF_MASK)\r
 /*! @} */\r
 \r
 /*! @name LPM - USB Link Power Management register */\r
 /*! @{ */\r
-#define USBHSD_LPM_HIRD_HW_MASK                  (0xFU)\r
-#define USBHSD_LPM_HIRD_HW_SHIFT                 (0U)\r
-#define USBHSD_LPM_HIRD_HW(x)                    (((uint32_t)(((uint32_t)(x)) << USBHSD_LPM_HIRD_HW_SHIFT)) & USBHSD_LPM_HIRD_HW_MASK)\r
-#define USBHSD_LPM_HIRD_SW_MASK                  (0xF0U)\r
-#define USBHSD_LPM_HIRD_SW_SHIFT                 (4U)\r
-#define USBHSD_LPM_HIRD_SW(x)                    (((uint32_t)(((uint32_t)(x)) << USBHSD_LPM_HIRD_SW_SHIFT)) & USBHSD_LPM_HIRD_SW_MASK)\r
-#define USBHSD_LPM_DATA_PENDING_MASK             (0x100U)\r
-#define USBHSD_LPM_DATA_PENDING_SHIFT            (8U)\r
-#define USBHSD_LPM_DATA_PENDING(x)               (((uint32_t)(((uint32_t)(x)) << USBHSD_LPM_DATA_PENDING_SHIFT)) & USBHSD_LPM_DATA_PENDING_MASK)\r
+#define USBHSD_LPM_HIRD_HW_MASK (0xFU)\r
+#define USBHSD_LPM_HIRD_HW_SHIFT (0U)\r
+/*! HIRD_HW - Host Initiated Resume Duration - HW.\r
+ */\r
+#define USBHSD_LPM_HIRD_HW(x) (((uint32_t)(((uint32_t)(x)) << USBHSD_LPM_HIRD_HW_SHIFT)) & USBHSD_LPM_HIRD_HW_MASK)\r
+#define USBHSD_LPM_HIRD_SW_MASK (0xF0U)\r
+#define USBHSD_LPM_HIRD_SW_SHIFT (4U)\r
+/*! HIRD_SW - Host Initiated Resume Duration - SW.\r
+ */\r
+#define USBHSD_LPM_HIRD_SW(x) (((uint32_t)(((uint32_t)(x)) << USBHSD_LPM_HIRD_SW_SHIFT)) & USBHSD_LPM_HIRD_SW_MASK)\r
+#define USBHSD_LPM_DATA_PENDING_MASK (0x100U)\r
+#define USBHSD_LPM_DATA_PENDING_SHIFT (8U)\r
+/*! DATA_PENDING - As long as this bit is set to one and LPM supported bit is set to one, HW will\r
+ *    return a NYET handshake on every LPM token it receives.\r
+ */\r
+#define USBHSD_LPM_DATA_PENDING(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_LPM_DATA_PENDING_SHIFT)) & USBHSD_LPM_DATA_PENDING_MASK)\r
 /*! @} */\r
 \r
 /*! @name EPSKIP - USB Endpoint skip */\r
 /*! @{ */\r
-#define USBHSD_EPSKIP_SKIP_MASK                  (0xFFFU)\r
-#define USBHSD_EPSKIP_SKIP_SHIFT                 (0U)\r
-#define USBHSD_EPSKIP_SKIP(x)                    (((uint32_t)(((uint32_t)(x)) << USBHSD_EPSKIP_SKIP_SHIFT)) & USBHSD_EPSKIP_SKIP_MASK)\r
+#define USBHSD_EPSKIP_SKIP_MASK (0xFFFU)\r
+#define USBHSD_EPSKIP_SKIP_SHIFT (0U)\r
+/*! SKIP - Endpoint skip: Writing 1 to one of these bits, will indicate to HW that it must\r
+ *    deactivate the buffer assigned to this endpoint and return control back to software.\r
+ */\r
+#define USBHSD_EPSKIP_SKIP(x) (((uint32_t)(((uint32_t)(x)) << USBHSD_EPSKIP_SKIP_SHIFT)) & USBHSD_EPSKIP_SKIP_MASK)\r
 /*! @} */\r
 \r
 /*! @name EPINUSE - USB Endpoint Buffer in use */\r
 /*! @{ */\r
-#define USBHSD_EPINUSE_BUF_MASK                  (0xFFCU)\r
-#define USBHSD_EPINUSE_BUF_SHIFT                 (2U)\r
-#define USBHSD_EPINUSE_BUF(x)                    (((uint32_t)(((uint32_t)(x)) << USBHSD_EPINUSE_BUF_SHIFT)) & USBHSD_EPINUSE_BUF_MASK)\r
+#define USBHSD_EPINUSE_BUF_MASK (0xFFCU)\r
+#define USBHSD_EPINUSE_BUF_SHIFT (2U)\r
+/*! BUF - Buffer in use: This register has one bit per physical endpoint.\r
+ */\r
+#define USBHSD_EPINUSE_BUF(x) (((uint32_t)(((uint32_t)(x)) << USBHSD_EPINUSE_BUF_SHIFT)) & USBHSD_EPINUSE_BUF_MASK)\r
 /*! @} */\r
 \r
 /*! @name EPBUFCFG - USB Endpoint Buffer Configuration register */\r
 /*! @{ */\r
-#define USBHSD_EPBUFCFG_BUF_SB_MASK              (0xFFCU)\r
-#define USBHSD_EPBUFCFG_BUF_SB_SHIFT             (2U)\r
-#define USBHSD_EPBUFCFG_BUF_SB(x)                (((uint32_t)(((uint32_t)(x)) << USBHSD_EPBUFCFG_BUF_SB_SHIFT)) & USBHSD_EPBUFCFG_BUF_SB_MASK)\r
+#define USBHSD_EPBUFCFG_BUF_SB_MASK (0xFFCU)\r
+#define USBHSD_EPBUFCFG_BUF_SB_SHIFT (2U)\r
+/*! BUF_SB - Buffer usage: This register has one bit per physical endpoint.\r
+ */\r
+#define USBHSD_EPBUFCFG_BUF_SB(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_EPBUFCFG_BUF_SB_SHIFT)) & USBHSD_EPBUFCFG_BUF_SB_MASK)\r
 /*! @} */\r
 \r
 /*! @name INTSTAT - USB interrupt status register */\r
 /*! @{ */\r
-#define USBHSD_INTSTAT_EP0OUT_MASK               (0x1U)\r
-#define USBHSD_INTSTAT_EP0OUT_SHIFT              (0U)\r
-#define USBHSD_INTSTAT_EP0OUT(x)                 (((uint32_t)(((uint32_t)(x)) << USBHSD_INTSTAT_EP0OUT_SHIFT)) & USBHSD_INTSTAT_EP0OUT_MASK)\r
-#define USBHSD_INTSTAT_EP0IN_MASK                (0x2U)\r
-#define USBHSD_INTSTAT_EP0IN_SHIFT               (1U)\r
-#define USBHSD_INTSTAT_EP0IN(x)                  (((uint32_t)(((uint32_t)(x)) << USBHSD_INTSTAT_EP0IN_SHIFT)) & USBHSD_INTSTAT_EP0IN_MASK)\r
-#define USBHSD_INTSTAT_EP1OUT_MASK               (0x4U)\r
-#define USBHSD_INTSTAT_EP1OUT_SHIFT              (2U)\r
-#define USBHSD_INTSTAT_EP1OUT(x)                 (((uint32_t)(((uint32_t)(x)) << USBHSD_INTSTAT_EP1OUT_SHIFT)) & USBHSD_INTSTAT_EP1OUT_MASK)\r
-#define USBHSD_INTSTAT_EP1IN_MASK                (0x8U)\r
-#define USBHSD_INTSTAT_EP1IN_SHIFT               (3U)\r
-#define USBHSD_INTSTAT_EP1IN(x)                  (((uint32_t)(((uint32_t)(x)) << USBHSD_INTSTAT_EP1IN_SHIFT)) & USBHSD_INTSTAT_EP1IN_MASK)\r
-#define USBHSD_INTSTAT_EP2OUT_MASK               (0x10U)\r
-#define USBHSD_INTSTAT_EP2OUT_SHIFT              (4U)\r
-#define USBHSD_INTSTAT_EP2OUT(x)                 (((uint32_t)(((uint32_t)(x)) << USBHSD_INTSTAT_EP2OUT_SHIFT)) & USBHSD_INTSTAT_EP2OUT_MASK)\r
-#define USBHSD_INTSTAT_EP2IN_MASK                (0x20U)\r
-#define USBHSD_INTSTAT_EP2IN_SHIFT               (5U)\r
-#define USBHSD_INTSTAT_EP2IN(x)                  (((uint32_t)(((uint32_t)(x)) << USBHSD_INTSTAT_EP2IN_SHIFT)) & USBHSD_INTSTAT_EP2IN_MASK)\r
-#define USBHSD_INTSTAT_EP3OUT_MASK               (0x40U)\r
-#define USBHSD_INTSTAT_EP3OUT_SHIFT              (6U)\r
-#define USBHSD_INTSTAT_EP3OUT(x)                 (((uint32_t)(((uint32_t)(x)) << USBHSD_INTSTAT_EP3OUT_SHIFT)) & USBHSD_INTSTAT_EP3OUT_MASK)\r
-#define USBHSD_INTSTAT_EP3IN_MASK                (0x80U)\r
-#define USBHSD_INTSTAT_EP3IN_SHIFT               (7U)\r
-#define USBHSD_INTSTAT_EP3IN(x)                  (((uint32_t)(((uint32_t)(x)) << USBHSD_INTSTAT_EP3IN_SHIFT)) & USBHSD_INTSTAT_EP3IN_MASK)\r
-#define USBHSD_INTSTAT_EP4OUT_MASK               (0x100U)\r
-#define USBHSD_INTSTAT_EP4OUT_SHIFT              (8U)\r
-#define USBHSD_INTSTAT_EP4OUT(x)                 (((uint32_t)(((uint32_t)(x)) << USBHSD_INTSTAT_EP4OUT_SHIFT)) & USBHSD_INTSTAT_EP4OUT_MASK)\r
-#define USBHSD_INTSTAT_EP4IN_MASK                (0x200U)\r
-#define USBHSD_INTSTAT_EP4IN_SHIFT               (9U)\r
-#define USBHSD_INTSTAT_EP4IN(x)                  (((uint32_t)(((uint32_t)(x)) << USBHSD_INTSTAT_EP4IN_SHIFT)) & USBHSD_INTSTAT_EP4IN_MASK)\r
-#define USBHSD_INTSTAT_EP5OUT_MASK               (0x400U)\r
-#define USBHSD_INTSTAT_EP5OUT_SHIFT              (10U)\r
-#define USBHSD_INTSTAT_EP5OUT(x)                 (((uint32_t)(((uint32_t)(x)) << USBHSD_INTSTAT_EP5OUT_SHIFT)) & USBHSD_INTSTAT_EP5OUT_MASK)\r
-#define USBHSD_INTSTAT_EP5IN_MASK                (0x800U)\r
-#define USBHSD_INTSTAT_EP5IN_SHIFT               (11U)\r
-#define USBHSD_INTSTAT_EP5IN(x)                  (((uint32_t)(((uint32_t)(x)) << USBHSD_INTSTAT_EP5IN_SHIFT)) & USBHSD_INTSTAT_EP5IN_MASK)\r
-#define USBHSD_INTSTAT_FRAME_INT_MASK            (0x40000000U)\r
-#define USBHSD_INTSTAT_FRAME_INT_SHIFT           (30U)\r
-#define USBHSD_INTSTAT_FRAME_INT(x)              (((uint32_t)(((uint32_t)(x)) << USBHSD_INTSTAT_FRAME_INT_SHIFT)) & USBHSD_INTSTAT_FRAME_INT_MASK)\r
-#define USBHSD_INTSTAT_DEV_INT_MASK              (0x80000000U)\r
-#define USBHSD_INTSTAT_DEV_INT_SHIFT             (31U)\r
-#define USBHSD_INTSTAT_DEV_INT(x)                (((uint32_t)(((uint32_t)(x)) << USBHSD_INTSTAT_DEV_INT_SHIFT)) & USBHSD_INTSTAT_DEV_INT_MASK)\r
+#define USBHSD_INTSTAT_EP0OUT_MASK (0x1U)\r
+#define USBHSD_INTSTAT_EP0OUT_SHIFT (0U)\r
+/*! EP0OUT - Interrupt status register bit for the Control EP0 OUT direction.\r
+ */\r
+#define USBHSD_INTSTAT_EP0OUT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_INTSTAT_EP0OUT_SHIFT)) & USBHSD_INTSTAT_EP0OUT_MASK)\r
+#define USBHSD_INTSTAT_EP0IN_MASK (0x2U)\r
+#define USBHSD_INTSTAT_EP0IN_SHIFT (1U)\r
+/*! EP0IN - Interrupt status register bit for the Control EP0 IN direction.\r
+ */\r
+#define USBHSD_INTSTAT_EP0IN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_INTSTAT_EP0IN_SHIFT)) & USBHSD_INTSTAT_EP0IN_MASK)\r
+#define USBHSD_INTSTAT_EP1OUT_MASK (0x4U)\r
+#define USBHSD_INTSTAT_EP1OUT_SHIFT (2U)\r
+/*! EP1OUT - Interrupt status register bit for the EP1 OUT direction.\r
+ */\r
+#define USBHSD_INTSTAT_EP1OUT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_INTSTAT_EP1OUT_SHIFT)) & USBHSD_INTSTAT_EP1OUT_MASK)\r
+#define USBHSD_INTSTAT_EP1IN_MASK (0x8U)\r
+#define USBHSD_INTSTAT_EP1IN_SHIFT (3U)\r
+/*! EP1IN - Interrupt status register bit for the EP1 IN direction.\r
+ */\r
+#define USBHSD_INTSTAT_EP1IN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_INTSTAT_EP1IN_SHIFT)) & USBHSD_INTSTAT_EP1IN_MASK)\r
+#define USBHSD_INTSTAT_EP2OUT_MASK (0x10U)\r
+#define USBHSD_INTSTAT_EP2OUT_SHIFT (4U)\r
+/*! EP2OUT - Interrupt status register bit for the EP2 OUT direction.\r
+ */\r
+#define USBHSD_INTSTAT_EP2OUT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_INTSTAT_EP2OUT_SHIFT)) & USBHSD_INTSTAT_EP2OUT_MASK)\r
+#define USBHSD_INTSTAT_EP2IN_MASK (0x20U)\r
+#define USBHSD_INTSTAT_EP2IN_SHIFT (5U)\r
+/*! EP2IN - Interrupt status register bit for the EP2 IN direction.\r
+ */\r
+#define USBHSD_INTSTAT_EP2IN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_INTSTAT_EP2IN_SHIFT)) & USBHSD_INTSTAT_EP2IN_MASK)\r
+#define USBHSD_INTSTAT_EP3OUT_MASK (0x40U)\r
+#define USBHSD_INTSTAT_EP3OUT_SHIFT (6U)\r
+/*! EP3OUT - Interrupt status register bit for the EP3 OUT direction.\r
+ */\r
+#define USBHSD_INTSTAT_EP3OUT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_INTSTAT_EP3OUT_SHIFT)) & USBHSD_INTSTAT_EP3OUT_MASK)\r
+#define USBHSD_INTSTAT_EP3IN_MASK (0x80U)\r
+#define USBHSD_INTSTAT_EP3IN_SHIFT (7U)\r
+/*! EP3IN - Interrupt status register bit for the EP3 IN direction.\r
+ */\r
+#define USBHSD_INTSTAT_EP3IN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_INTSTAT_EP3IN_SHIFT)) & USBHSD_INTSTAT_EP3IN_MASK)\r
+#define USBHSD_INTSTAT_EP4OUT_MASK (0x100U)\r
+#define USBHSD_INTSTAT_EP4OUT_SHIFT (8U)\r
+/*! EP4OUT - Interrupt status register bit for the EP4 OUT direction.\r
+ */\r
+#define USBHSD_INTSTAT_EP4OUT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_INTSTAT_EP4OUT_SHIFT)) & USBHSD_INTSTAT_EP4OUT_MASK)\r
+#define USBHSD_INTSTAT_EP4IN_MASK (0x200U)\r
+#define USBHSD_INTSTAT_EP4IN_SHIFT (9U)\r
+/*! EP4IN - Interrupt status register bit for the EP4 IN direction.\r
+ */\r
+#define USBHSD_INTSTAT_EP4IN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_INTSTAT_EP4IN_SHIFT)) & USBHSD_INTSTAT_EP4IN_MASK)\r
+#define USBHSD_INTSTAT_EP5OUT_MASK (0x400U)\r
+#define USBHSD_INTSTAT_EP5OUT_SHIFT (10U)\r
+/*! EP5OUT - Interrupt status register bit for the EP5 OUT direction.\r
+ */\r
+#define USBHSD_INTSTAT_EP5OUT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_INTSTAT_EP5OUT_SHIFT)) & USBHSD_INTSTAT_EP5OUT_MASK)\r
+#define USBHSD_INTSTAT_EP5IN_MASK (0x800U)\r
+#define USBHSD_INTSTAT_EP5IN_SHIFT (11U)\r
+/*! EP5IN - Interrupt status register bit for the EP5 IN direction.\r
+ */\r
+#define USBHSD_INTSTAT_EP5IN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_INTSTAT_EP5IN_SHIFT)) & USBHSD_INTSTAT_EP5IN_MASK)\r
+#define USBHSD_INTSTAT_FRAME_INT_MASK (0x40000000U)\r
+#define USBHSD_INTSTAT_FRAME_INT_SHIFT (30U)\r
+/*! FRAME_INT - Frame interrupt.\r
+ */\r
+#define USBHSD_INTSTAT_FRAME_INT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_INTSTAT_FRAME_INT_SHIFT)) & USBHSD_INTSTAT_FRAME_INT_MASK)\r
+#define USBHSD_INTSTAT_DEV_INT_MASK (0x80000000U)\r
+#define USBHSD_INTSTAT_DEV_INT_SHIFT (31U)\r
+/*! DEV_INT - Device status interrupt.\r
+ */\r
+#define USBHSD_INTSTAT_DEV_INT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_INTSTAT_DEV_INT_SHIFT)) & USBHSD_INTSTAT_DEV_INT_MASK)\r
 /*! @} */\r
 \r
 /*! @name INTEN - USB interrupt enable register */\r
 /*! @{ */\r
-#define USBHSD_INTEN_EP_INT_EN_MASK              (0xFFFU)\r
-#define USBHSD_INTEN_EP_INT_EN_SHIFT             (0U)\r
-#define USBHSD_INTEN_EP_INT_EN(x)                (((uint32_t)(((uint32_t)(x)) << USBHSD_INTEN_EP_INT_EN_SHIFT)) & USBHSD_INTEN_EP_INT_EN_MASK)\r
-#define USBHSD_INTEN_FRAME_INT_EN_MASK           (0x40000000U)\r
-#define USBHSD_INTEN_FRAME_INT_EN_SHIFT          (30U)\r
-#define USBHSD_INTEN_FRAME_INT_EN(x)             (((uint32_t)(((uint32_t)(x)) << USBHSD_INTEN_FRAME_INT_EN_SHIFT)) & USBHSD_INTEN_FRAME_INT_EN_MASK)\r
-#define USBHSD_INTEN_DEV_INT_EN_MASK             (0x80000000U)\r
-#define USBHSD_INTEN_DEV_INT_EN_SHIFT            (31U)\r
-#define USBHSD_INTEN_DEV_INT_EN(x)               (((uint32_t)(((uint32_t)(x)) << USBHSD_INTEN_DEV_INT_EN_SHIFT)) & USBHSD_INTEN_DEV_INT_EN_MASK)\r
+#define USBHSD_INTEN_EP_INT_EN_MASK (0xFFFU)\r
+#define USBHSD_INTEN_EP_INT_EN_SHIFT (0U)\r
+/*! EP_INT_EN - If this bit is set and the corresponding USB interrupt status bit is set, a HW\r
+ *    interrupt is generated on the interrupt line.\r
+ */\r
+#define USBHSD_INTEN_EP_INT_EN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_INTEN_EP_INT_EN_SHIFT)) & USBHSD_INTEN_EP_INT_EN_MASK)\r
+#define USBHSD_INTEN_FRAME_INT_EN_MASK (0x40000000U)\r
+#define USBHSD_INTEN_FRAME_INT_EN_SHIFT (30U)\r
+/*! FRAME_INT_EN - If this bit is set and the corresponding USB interrupt status bit is set, a HW\r
+ *    interrupt is generated on the interrupt line.\r
+ */\r
+#define USBHSD_INTEN_FRAME_INT_EN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_INTEN_FRAME_INT_EN_SHIFT)) & USBHSD_INTEN_FRAME_INT_EN_MASK)\r
+#define USBHSD_INTEN_DEV_INT_EN_MASK (0x80000000U)\r
+#define USBHSD_INTEN_DEV_INT_EN_SHIFT (31U)\r
+/*! DEV_INT_EN - If this bit is set and the corresponding USB interrupt status bit is set, a HW\r
+ *    interrupt is generated on the interrupt line.\r
+ */\r
+#define USBHSD_INTEN_DEV_INT_EN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_INTEN_DEV_INT_EN_SHIFT)) & USBHSD_INTEN_DEV_INT_EN_MASK)\r
 /*! @} */\r
 \r
 /*! @name INTSETSTAT - USB set interrupt status register */\r
 /*! @{ */\r
-#define USBHSD_INTSETSTAT_EP_SET_INT_MASK        (0xFFFU)\r
-#define USBHSD_INTSETSTAT_EP_SET_INT_SHIFT       (0U)\r
-#define USBHSD_INTSETSTAT_EP_SET_INT(x)          (((uint32_t)(((uint32_t)(x)) << USBHSD_INTSETSTAT_EP_SET_INT_SHIFT)) & USBHSD_INTSETSTAT_EP_SET_INT_MASK)\r
-#define USBHSD_INTSETSTAT_FRAME_SET_INT_MASK     (0x40000000U)\r
-#define USBHSD_INTSETSTAT_FRAME_SET_INT_SHIFT    (30U)\r
-#define USBHSD_INTSETSTAT_FRAME_SET_INT(x)       (((uint32_t)(((uint32_t)(x)) << USBHSD_INTSETSTAT_FRAME_SET_INT_SHIFT)) & USBHSD_INTSETSTAT_FRAME_SET_INT_MASK)\r
-#define USBHSD_INTSETSTAT_DEV_SET_INT_MASK       (0x80000000U)\r
-#define USBHSD_INTSETSTAT_DEV_SET_INT_SHIFT      (31U)\r
-#define USBHSD_INTSETSTAT_DEV_SET_INT(x)         (((uint32_t)(((uint32_t)(x)) << USBHSD_INTSETSTAT_DEV_SET_INT_SHIFT)) & USBHSD_INTSETSTAT_DEV_SET_INT_MASK)\r
+#define USBHSD_INTSETSTAT_EP_SET_INT_MASK (0xFFFU)\r
+#define USBHSD_INTSETSTAT_EP_SET_INT_SHIFT (0U)\r
+/*! EP_SET_INT - If software writes a one to one of these bits, the corresponding USB interrupt status bit is set.\r
+ */\r
+#define USBHSD_INTSETSTAT_EP_SET_INT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_INTSETSTAT_EP_SET_INT_SHIFT)) & USBHSD_INTSETSTAT_EP_SET_INT_MASK)\r
+#define USBHSD_INTSETSTAT_FRAME_SET_INT_MASK (0x40000000U)\r
+#define USBHSD_INTSETSTAT_FRAME_SET_INT_SHIFT (30U)\r
+/*! FRAME_SET_INT - If software writes a one to one of these bits, the corresponding USB interrupt status bit is set.\r
+ */\r
+#define USBHSD_INTSETSTAT_FRAME_SET_INT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_INTSETSTAT_FRAME_SET_INT_SHIFT)) & USBHSD_INTSETSTAT_FRAME_SET_INT_MASK)\r
+#define USBHSD_INTSETSTAT_DEV_SET_INT_MASK (0x80000000U)\r
+#define USBHSD_INTSETSTAT_DEV_SET_INT_SHIFT (31U)\r
+/*! DEV_SET_INT - If software writes a one to one of these bits, the corresponding USB interrupt status bit is set.\r
+ */\r
+#define USBHSD_INTSETSTAT_DEV_SET_INT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_INTSETSTAT_DEV_SET_INT_SHIFT)) & USBHSD_INTSETSTAT_DEV_SET_INT_MASK)\r
 /*! @} */\r
 \r
 /*! @name EPTOGGLE - USB Endpoint toggle register */\r
 /*! @{ */\r
-#define USBHSD_EPTOGGLE_TOGGLE_MASK              (0x3FFFFFFFU)\r
-#define USBHSD_EPTOGGLE_TOGGLE_SHIFT             (0U)\r
-#define USBHSD_EPTOGGLE_TOGGLE(x)                (((uint32_t)(((uint32_t)(x)) << USBHSD_EPTOGGLE_TOGGLE_SHIFT)) & USBHSD_EPTOGGLE_TOGGLE_MASK)\r
-/*! @} */\r
-\r
-/*! @name ULPIDEBUG - UTMI/ULPI debug register */\r
-/*! @{ */\r
-#define USBHSD_ULPIDEBUG_PHY_ADDR_MASK           (0xFFU)\r
-#define USBHSD_ULPIDEBUG_PHY_ADDR_SHIFT          (0U)\r
-#define USBHSD_ULPIDEBUG_PHY_ADDR(x)             (((uint32_t)(((uint32_t)(x)) << USBHSD_ULPIDEBUG_PHY_ADDR_SHIFT)) & USBHSD_ULPIDEBUG_PHY_ADDR_MASK)\r
-#define USBHSD_ULPIDEBUG_PHY_WDATA_MASK          (0xFF00U)\r
-#define USBHSD_ULPIDEBUG_PHY_WDATA_SHIFT         (8U)\r
-#define USBHSD_ULPIDEBUG_PHY_WDATA(x)            (((uint32_t)(((uint32_t)(x)) << USBHSD_ULPIDEBUG_PHY_WDATA_SHIFT)) & USBHSD_ULPIDEBUG_PHY_WDATA_MASK)\r
-#define USBHSD_ULPIDEBUG_PHY_RDATA_MASK          (0xFF0000U)\r
-#define USBHSD_ULPIDEBUG_PHY_RDATA_SHIFT         (16U)\r
-#define USBHSD_ULPIDEBUG_PHY_RDATA(x)            (((uint32_t)(((uint32_t)(x)) << USBHSD_ULPIDEBUG_PHY_RDATA_SHIFT)) & USBHSD_ULPIDEBUG_PHY_RDATA_MASK)\r
-#define USBHSD_ULPIDEBUG_PHY_RW_MASK             (0x1000000U)\r
-#define USBHSD_ULPIDEBUG_PHY_RW_SHIFT            (24U)\r
-#define USBHSD_ULPIDEBUG_PHY_RW(x)               (((uint32_t)(((uint32_t)(x)) << USBHSD_ULPIDEBUG_PHY_RW_SHIFT)) & USBHSD_ULPIDEBUG_PHY_RW_MASK)\r
-#define USBHSD_ULPIDEBUG_PHY_ACCESS_MASK         (0x2000000U)\r
-#define USBHSD_ULPIDEBUG_PHY_ACCESS_SHIFT        (25U)\r
-#define USBHSD_ULPIDEBUG_PHY_ACCESS(x)           (((uint32_t)(((uint32_t)(x)) << USBHSD_ULPIDEBUG_PHY_ACCESS_SHIFT)) & USBHSD_ULPIDEBUG_PHY_ACCESS_MASK)\r
-#define USBHSD_ULPIDEBUG_PHY_MODE_MASK           (0x80000000U)\r
-#define USBHSD_ULPIDEBUG_PHY_MODE_SHIFT          (31U)\r
-#define USBHSD_ULPIDEBUG_PHY_MODE(x)             (((uint32_t)(((uint32_t)(x)) << USBHSD_ULPIDEBUG_PHY_MODE_SHIFT)) & USBHSD_ULPIDEBUG_PHY_MODE_MASK)\r
+#define USBHSD_EPTOGGLE_TOGGLE_MASK (0x3FFFFFFFU)\r
+#define USBHSD_EPTOGGLE_TOGGLE_SHIFT (0U)\r
+/*! TOGGLE - Endpoint data toggle: This field indicates the current value of the data toggle for the corresponding\r
+ * endpoint.\r
+ */\r
+#define USBHSD_EPTOGGLE_TOGGLE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSD_EPTOGGLE_TOGGLE_SHIFT)) & USBHSD_EPTOGGLE_TOGGLE_MASK)\r
 /*! @} */\r
 \r
-\r
 /*!\r
  * @}\r
  */ /* end of group USBHSD_Register_Masks */\r
 \r
-\r
 /* USBHSD - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral USBHSD base address */\r
-  #define USBHSD_BASE                              (0x50094000u)\r
-  /** Peripheral USBHSD base address */\r
-  #define USBHSD_BASE_NS                           (0x40094000u)\r
-  /** Peripheral USBHSD base pointer */\r
-  #define USBHSD                                   ((USBHSD_Type *)USBHSD_BASE)\r
-  /** Peripheral USBHSD base pointer */\r
-  #define USBHSD_NS                                ((USBHSD_Type *)USBHSD_BASE_NS)\r
-  /** Array initializer of USBHSD peripheral base addresses */\r
-  #define USBHSD_BASE_ADDRS                        { USBHSD_BASE }\r
-  /** Array initializer of USBHSD peripheral base pointers */\r
-  #define USBHSD_BASE_PTRS                         { USBHSD }\r
-  /** Array initializer of USBHSD peripheral base addresses */\r
-  #define USBHSD_BASE_ADDRS_NS                     { USBHSD_BASE_NS }\r
-  /** Array initializer of USBHSD peripheral base pointers */\r
-  #define USBHSD_BASE_PTRS_NS                      { USBHSD_NS }\r
+/** Peripheral USBHSD base address */\r
+#define USBHSD_BASE (0x50094000u)\r
+/** Peripheral USBHSD base address */\r
+#define USBHSD_BASE_NS (0x40094000u)\r
+/** Peripheral USBHSD base pointer */\r
+#define USBHSD ((USBHSD_Type *)USBHSD_BASE)\r
+/** Peripheral USBHSD base pointer */\r
+#define USBHSD_NS ((USBHSD_Type *)USBHSD_BASE_NS)\r
+/** Array initializer of USBHSD peripheral base addresses */\r
+#define USBHSD_BASE_ADDRS \\r
+    {                     \\r
+        USBHSD_BASE       \\r
+    }\r
+/** Array initializer of USBHSD peripheral base pointers */\r
+#define USBHSD_BASE_PTRS \\r
+    {                    \\r
+        USBHSD           \\r
+    }\r
+/** Array initializer of USBHSD peripheral base addresses */\r
+#define USBHSD_BASE_ADDRS_NS \\r
+    {                        \\r
+        USBHSD_BASE_NS       \\r
+    }\r
+/** Array initializer of USBHSD peripheral base pointers */\r
+#define USBHSD_BASE_PTRS_NS \\r
+    {                       \\r
+        USBHSD_NS           \\r
+    }\r
 #else\r
-  /** Peripheral USBHSD base address */\r
-  #define USBHSD_BASE                              (0x40094000u)\r
-  /** Peripheral USBHSD base pointer */\r
-  #define USBHSD                                   ((USBHSD_Type *)USBHSD_BASE)\r
-  /** Array initializer of USBHSD peripheral base addresses */\r
-  #define USBHSD_BASE_ADDRS                        { USBHSD_BASE }\r
-  /** Array initializer of USBHSD peripheral base pointers */\r
-  #define USBHSD_BASE_PTRS                         { USBHSD }\r
+/** Peripheral USBHSD base address */\r
+#define USBHSD_BASE (0x40094000u)\r
+/** Peripheral USBHSD base pointer */\r
+#define USBHSD ((USBHSD_Type *)USBHSD_BASE)\r
+/** Array initializer of USBHSD peripheral base addresses */\r
+#define USBHSD_BASE_ADDRS \\r
+    {                     \\r
+        USBHSD_BASE       \\r
+    }\r
+/** Array initializer of USBHSD peripheral base pointers */\r
+#define USBHSD_BASE_PTRS \\r
+    {                    \\r
+        USBHSD           \\r
+    }\r
 #endif\r
 /** Interrupt vectors for the USBHSD peripheral type */\r
-#define USBHSD_IRQS                              { USB1_IRQn }\r
-#define USBHSD_NEEDCLK_IRQS                      { USB1_NEEDCLK_IRQn }\r
+#define USBHSD_IRQS \\r
+    {               \\r
+        USB1_IRQn   \\r
+    }\r
+#define USBHSD_NEEDCLK_IRQS \\r
+    {                       \\r
+        USB1_NEEDCLK_IRQn   \\r
+    }\r
 \r
 /*!\r
  * @}\r
  */ /* end of group USBHSD_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- USBHSH Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -22221,28 +28050,32 @@ typedef struct {
  */\r
 \r
 /** USBHSH - Register Layout Typedef */\r
-typedef struct {\r
-  __I  uint32_t CAPLENGTH_CHIPID;                  /**< This register contains the offset value towards the start of the operational register space and the version number of the IP block, offset: 0x0 */\r
-  __I  uint32_t HCSPARAMS;                         /**< Host Controller Structural Parameters, offset: 0x4 */\r
-  __I  uint32_t HCCPARAMS;                         /**< Host Controller Capability Parameters, offset: 0x8 */\r
-  __IO uint32_t FLADJ_FRINDEX;                     /**< Frame Length Adjustment, offset: 0xC */\r
-  __IO uint32_t ATL_PTD_BASE_ADDR;                 /**< Memory base address where ATL PTD0 is stored, offset: 0x10 */\r
-  __IO uint32_t ISO_PTD_BASE_ADDR;                 /**< Memory base address where ISO PTD0 is stored, offset: 0x14 */\r
-  __IO uint32_t INT_PTD_BASE_ADDR;                 /**< Memory base address where INT PTD0 is stored, offset: 0x18 */\r
-  __IO uint32_t DATA_PAYLOAD_BASE_ADDR;            /**< Memory base address that indicates the start of the data payload buffers, offset: 0x1C */\r
-  __IO uint32_t USBCMD;                            /**< USB Command register, offset: 0x20 */\r
-  __IO uint32_t USBSTS;                            /**< USB Interrupt Status register, offset: 0x24 */\r
-  __IO uint32_t USBINTR;                           /**< USB Interrupt Enable register, offset: 0x28 */\r
-  __IO uint32_t PORTSC1;                           /**< Port Status and Control register, offset: 0x2C */\r
-  __IO uint32_t ATL_PTD_DONE_MAP;                  /**< Done map for each ATL PTD, offset: 0x30 */\r
-  __IO uint32_t ATL_PTD_SKIP_MAP;                  /**< Skip map for each ATL PTD, offset: 0x34 */\r
-  __IO uint32_t ISO_PTD_DONE_MAP;                  /**< Done map for each ISO PTD, offset: 0x38 */\r
-  __IO uint32_t ISO_PTD_SKIP_MAP;                  /**< Skip map for each ISO PTD, offset: 0x3C */\r
-  __IO uint32_t INT_PTD_DONE_MAP;                  /**< Done map for each INT PTD, offset: 0x40 */\r
-  __IO uint32_t INT_PTD_SKIP_MAP;                  /**< Skip map for each INT PTD, offset: 0x44 */\r
-  __IO uint32_t LAST_PTD_INUSE;                    /**< Marks the last PTD in the list for ISO, INT and ATL, offset: 0x48 */\r
-  __IO uint32_t UTMIPLUS_ULPI_DEBUG;               /**< Register to read/write registers in the attached USB PHY, offset: 0x4C */\r
-  __IO uint32_t PORTMODE;                          /**< Controls the port if it is attached to the host block or the device block, offset: 0x50 */\r
+typedef struct\r
+{\r
+    __I uint32_t CAPLENGTH_CHIPID; /**< This register contains the offset value towards the start of the operational\r
+                                      register space and the version number of the IP block, offset: 0x0 */\r
+    __I uint32_t HCSPARAMS;        /**< Host Controller Structural Parameters, offset: 0x4 */\r
+    uint8_t RESERVED_0[4];\r
+    __IO uint32_t FLADJ_FRINDEX; /**< Frame Length Adjustment, offset: 0xC */\r
+    __IO uint32_t ATLPTD;        /**< Memory base address where ATL PTD0 is stored, offset: 0x10 */\r
+    __IO uint32_t ISOPTD;        /**< Memory base address where ISO PTD0 is stored, offset: 0x14 */\r
+    __IO uint32_t INTPTD;        /**< Memory base address where INT PTD0 is stored, offset: 0x18 */\r
+    __IO uint32_t\r
+        DATAPAYLOAD;      /**< Memory base address that indicates the start of the data payload buffers, offset: 0x1C */\r
+    __IO uint32_t USBCMD; /**< USB Command register, offset: 0x20 */\r
+    __IO uint32_t USBSTS; /**< USB Interrupt Status register, offset: 0x24 */\r
+    __IO uint32_t USBINTR; /**< USB Interrupt Enable register, offset: 0x28 */\r
+    __IO uint32_t PORTSC1; /**< Port Status and Control register, offset: 0x2C */\r
+    __IO uint32_t ATLPTDD; /**< Done map for each ATL PTD, offset: 0x30 */\r
+    __IO uint32_t ATLPTDS; /**< Skip map for each ATL PTD, offset: 0x34 */\r
+    __IO uint32_t ISOPTDD; /**< Done map for each ISO PTD, offset: 0x38 */\r
+    __IO uint32_t ISOPTDS; /**< Skip map for each ISO PTD, offset: 0x3C */\r
+    __IO uint32_t INTPTDD; /**< Done map for each INT PTD, offset: 0x40 */\r
+    __IO uint32_t INTPTDS; /**< Skip map for each INT PTD, offset: 0x44 */\r
+    __IO uint32_t LASTPTD; /**< Marks the last PTD in the list for ISO, INT and ATL, offset: 0x48 */\r
+    uint8_t RESERVED_1[4];\r
+    __IO uint32_t\r
+        PORTMODE; /**< Controls the port if it is attached to the host block or the device block, offset: 0x50 */\r
 } USBHSH_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -22254,355 +28087,497 @@ typedef struct {
  * @{\r
  */\r
 \r
-/*! @name CAPLENGTH_CHIPID - This register contains the offset value towards the start of the operational register space and the version number of the IP block */\r
+/*! @name CAPLENGTH_CHIPID - This register contains the offset value towards the start of the operational register space\r
+ * and the version number of the IP block */\r
 /*! @{ */\r
-#define USBHSH_CAPLENGTH_CHIPID_CAPLENGTH_MASK   (0xFFU)\r
-#define USBHSH_CAPLENGTH_CHIPID_CAPLENGTH_SHIFT  (0U)\r
-#define USBHSH_CAPLENGTH_CHIPID_CAPLENGTH(x)     (((uint32_t)(((uint32_t)(x)) << USBHSH_CAPLENGTH_CHIPID_CAPLENGTH_SHIFT)) & USBHSH_CAPLENGTH_CHIPID_CAPLENGTH_MASK)\r
-#define USBHSH_CAPLENGTH_CHIPID_CHIPID_MASK      (0xFFFF0000U)\r
-#define USBHSH_CAPLENGTH_CHIPID_CHIPID_SHIFT     (16U)\r
-#define USBHSH_CAPLENGTH_CHIPID_CHIPID(x)        (((uint32_t)(((uint32_t)(x)) << USBHSH_CAPLENGTH_CHIPID_CHIPID_SHIFT)) & USBHSH_CAPLENGTH_CHIPID_CHIPID_MASK)\r
+#define USBHSH_CAPLENGTH_CHIPID_CAPLENGTH_MASK (0xFFU)\r
+#define USBHSH_CAPLENGTH_CHIPID_CAPLENGTH_SHIFT (0U)\r
+/*! CAPLENGTH - Capability Length: This is used as an offset.\r
+ */\r
+#define USBHSH_CAPLENGTH_CHIPID_CAPLENGTH(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSH_CAPLENGTH_CHIPID_CAPLENGTH_SHIFT)) & USBHSH_CAPLENGTH_CHIPID_CAPLENGTH_MASK)\r
+#define USBHSH_CAPLENGTH_CHIPID_CHIPID_MASK (0xFFFF0000U)\r
+#define USBHSH_CAPLENGTH_CHIPID_CHIPID_SHIFT (16U)\r
+/*! CHIPID - Chip identification: indicates major and minor revision of the IP: [31:24] = Major\r
+ *    revision [23:16] = Minor revision Major revisions used: 0x01: USB2.\r
+ */\r
+#define USBHSH_CAPLENGTH_CHIPID_CHIPID(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSH_CAPLENGTH_CHIPID_CHIPID_SHIFT)) & USBHSH_CAPLENGTH_CHIPID_CHIPID_MASK)\r
 /*! @} */\r
 \r
 /*! @name HCSPARAMS - Host Controller Structural Parameters */\r
 /*! @{ */\r
-#define USBHSH_HCSPARAMS_N_PORTS_MASK            (0xFU)\r
-#define USBHSH_HCSPARAMS_N_PORTS_SHIFT           (0U)\r
-#define USBHSH_HCSPARAMS_N_PORTS(x)              (((uint32_t)(((uint32_t)(x)) << USBHSH_HCSPARAMS_N_PORTS_SHIFT)) & USBHSH_HCSPARAMS_N_PORTS_MASK)\r
-#define USBHSH_HCSPARAMS_PPC_MASK                (0x10U)\r
-#define USBHSH_HCSPARAMS_PPC_SHIFT               (4U)\r
-#define USBHSH_HCSPARAMS_PPC(x)                  (((uint32_t)(((uint32_t)(x)) << USBHSH_HCSPARAMS_PPC_SHIFT)) & USBHSH_HCSPARAMS_PPC_MASK)\r
-#define USBHSH_HCSPARAMS_P_INDICATOR_MASK        (0x10000U)\r
-#define USBHSH_HCSPARAMS_P_INDICATOR_SHIFT       (16U)\r
-#define USBHSH_HCSPARAMS_P_INDICATOR(x)          (((uint32_t)(((uint32_t)(x)) << USBHSH_HCSPARAMS_P_INDICATOR_SHIFT)) & USBHSH_HCSPARAMS_P_INDICATOR_MASK)\r
-/*! @} */\r
-\r
-/*! @name HCCPARAMS - Host Controller Capability Parameters */\r
-/*! @{ */\r
-#define USBHSH_HCCPARAMS_LPMC_MASK               (0x20000U)\r
-#define USBHSH_HCCPARAMS_LPMC_SHIFT              (17U)\r
-#define USBHSH_HCCPARAMS_LPMC(x)                 (((uint32_t)(((uint32_t)(x)) << USBHSH_HCCPARAMS_LPMC_SHIFT)) & USBHSH_HCCPARAMS_LPMC_MASK)\r
+#define USBHSH_HCSPARAMS_N_PORTS_MASK (0xFU)\r
+#define USBHSH_HCSPARAMS_N_PORTS_SHIFT (0U)\r
+/*! N_PORTS - This register specifies the number of physical downstream ports implemented on this host controller.\r
+ */\r
+#define USBHSH_HCSPARAMS_N_PORTS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSH_HCSPARAMS_N_PORTS_SHIFT)) & USBHSH_HCSPARAMS_N_PORTS_MASK)\r
+#define USBHSH_HCSPARAMS_PPC_MASK (0x10U)\r
+#define USBHSH_HCSPARAMS_PPC_SHIFT (4U)\r
+/*! PPC - This field indicates whether the host controller implementation includes port power control.\r
+ */\r
+#define USBHSH_HCSPARAMS_PPC(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSH_HCSPARAMS_PPC_SHIFT)) & USBHSH_HCSPARAMS_PPC_MASK)\r
+#define USBHSH_HCSPARAMS_P_INDICATOR_MASK (0x10000U)\r
+#define USBHSH_HCSPARAMS_P_INDICATOR_SHIFT (16U)\r
+/*! P_INDICATOR - This bit indicates whether the ports support port indicator control.\r
+ */\r
+#define USBHSH_HCSPARAMS_P_INDICATOR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSH_HCSPARAMS_P_INDICATOR_SHIFT)) & USBHSH_HCSPARAMS_P_INDICATOR_MASK)\r
 /*! @} */\r
 \r
 /*! @name FLADJ_FRINDEX - Frame Length Adjustment */\r
 /*! @{ */\r
-#define USBHSH_FLADJ_FRINDEX_FLADJ_MASK          (0x3FU)\r
-#define USBHSH_FLADJ_FRINDEX_FLADJ_SHIFT         (0U)\r
-#define USBHSH_FLADJ_FRINDEX_FLADJ(x)            (((uint32_t)(((uint32_t)(x)) << USBHSH_FLADJ_FRINDEX_FLADJ_SHIFT)) & USBHSH_FLADJ_FRINDEX_FLADJ_MASK)\r
-#define USBHSH_FLADJ_FRINDEX_FRINDEX_MASK        (0x3FFF0000U)\r
-#define USBHSH_FLADJ_FRINDEX_FRINDEX_SHIFT       (16U)\r
-#define USBHSH_FLADJ_FRINDEX_FRINDEX(x)          (((uint32_t)(((uint32_t)(x)) << USBHSH_FLADJ_FRINDEX_FRINDEX_SHIFT)) & USBHSH_FLADJ_FRINDEX_FRINDEX_MASK)\r
+#define USBHSH_FLADJ_FRINDEX_FLADJ_MASK (0x3FU)\r
+#define USBHSH_FLADJ_FRINDEX_FLADJ_SHIFT (0U)\r
+/*! FLADJ - Frame Length Timing Value.\r
+ */\r
+#define USBHSH_FLADJ_FRINDEX_FLADJ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSH_FLADJ_FRINDEX_FLADJ_SHIFT)) & USBHSH_FLADJ_FRINDEX_FLADJ_MASK)\r
+#define USBHSH_FLADJ_FRINDEX_FRINDEX_MASK (0x3FFF0000U)\r
+#define USBHSH_FLADJ_FRINDEX_FRINDEX_SHIFT (16U)\r
+/*! FRINDEX - Frame Index: Bits 29 to16 in this register are used for the frame number field in the SOF packet.\r
+ */\r
+#define USBHSH_FLADJ_FRINDEX_FRINDEX(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSH_FLADJ_FRINDEX_FRINDEX_SHIFT)) & USBHSH_FLADJ_FRINDEX_FRINDEX_MASK)\r
 /*! @} */\r
 \r
-/*! @name ATL_PTD_BASE_ADDR - Memory base address where ATL PTD0 is stored */\r
+/*! @name ATLPTD - Memory base address where ATL PTD0 is stored */\r
 /*! @{ */\r
-#define USBHSH_ATL_PTD_BASE_ADDR_ATL_CUR_MASK    (0x1F0U)\r
-#define USBHSH_ATL_PTD_BASE_ADDR_ATL_CUR_SHIFT   (4U)\r
-#define USBHSH_ATL_PTD_BASE_ADDR_ATL_CUR(x)      (((uint32_t)(((uint32_t)(x)) << USBHSH_ATL_PTD_BASE_ADDR_ATL_CUR_SHIFT)) & USBHSH_ATL_PTD_BASE_ADDR_ATL_CUR_MASK)\r
-#define USBHSH_ATL_PTD_BASE_ADDR_ATL_BASE_MASK   (0xFFFFFE00U)\r
-#define USBHSH_ATL_PTD_BASE_ADDR_ATL_BASE_SHIFT  (9U)\r
-#define USBHSH_ATL_PTD_BASE_ADDR_ATL_BASE(x)     (((uint32_t)(((uint32_t)(x)) << USBHSH_ATL_PTD_BASE_ADDR_ATL_BASE_SHIFT)) & USBHSH_ATL_PTD_BASE_ADDR_ATL_BASE_MASK)\r
+#define USBHSH_ATLPTD_ATL_CUR_MASK (0x1F0U)\r
+#define USBHSH_ATLPTD_ATL_CUR_SHIFT (4U)\r
+/*! ATL_CUR - This indicates the current PTD that is used by the hardware when it is processing the ATL list.\r
+ */\r
+#define USBHSH_ATLPTD_ATL_CUR(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSH_ATLPTD_ATL_CUR_SHIFT)) & USBHSH_ATLPTD_ATL_CUR_MASK)\r
+#define USBHSH_ATLPTD_ATL_BASE_MASK (0xFFFFFE00U)\r
+#define USBHSH_ATLPTD_ATL_BASE_SHIFT (9U)\r
+/*! ATL_BASE - Base address to be used by the hardware to find the start of the ATL list.\r
+ */\r
+#define USBHSH_ATLPTD_ATL_BASE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSH_ATLPTD_ATL_BASE_SHIFT)) & USBHSH_ATLPTD_ATL_BASE_MASK)\r
 /*! @} */\r
 \r
-/*! @name ISO_PTD_BASE_ADDR - Memory base address where ISO PTD0 is stored */\r
+/*! @name ISOPTD - Memory base address where ISO PTD0 is stored */\r
 /*! @{ */\r
-#define USBHSH_ISO_PTD_BASE_ADDR_ISO_FIRST_MASK  (0x3E0U)\r
-#define USBHSH_ISO_PTD_BASE_ADDR_ISO_FIRST_SHIFT (5U)\r
-#define USBHSH_ISO_PTD_BASE_ADDR_ISO_FIRST(x)    (((uint32_t)(((uint32_t)(x)) << USBHSH_ISO_PTD_BASE_ADDR_ISO_FIRST_SHIFT)) & USBHSH_ISO_PTD_BASE_ADDR_ISO_FIRST_MASK)\r
-#define USBHSH_ISO_PTD_BASE_ADDR_ISO_BASE_MASK   (0xFFFFFC00U)\r
-#define USBHSH_ISO_PTD_BASE_ADDR_ISO_BASE_SHIFT  (10U)\r
-#define USBHSH_ISO_PTD_BASE_ADDR_ISO_BASE(x)     (((uint32_t)(((uint32_t)(x)) << USBHSH_ISO_PTD_BASE_ADDR_ISO_BASE_SHIFT)) & USBHSH_ISO_PTD_BASE_ADDR_ISO_BASE_MASK)\r
+#define USBHSH_ISOPTD_ISO_FIRST_MASK (0x3E0U)\r
+#define USBHSH_ISOPTD_ISO_FIRST_SHIFT (5U)\r
+/*! ISO_FIRST - This indicates the first PTD that is used by the hardware when it is processing the ISO list.\r
+ */\r
+#define USBHSH_ISOPTD_ISO_FIRST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSH_ISOPTD_ISO_FIRST_SHIFT)) & USBHSH_ISOPTD_ISO_FIRST_MASK)\r
+#define USBHSH_ISOPTD_ISO_BASE_MASK (0xFFFFFC00U)\r
+#define USBHSH_ISOPTD_ISO_BASE_SHIFT (10U)\r
+/*! ISO_BASE - Base address to be used by the hardware to find the start of the ISO list.\r
+ */\r
+#define USBHSH_ISOPTD_ISO_BASE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSH_ISOPTD_ISO_BASE_SHIFT)) & USBHSH_ISOPTD_ISO_BASE_MASK)\r
 /*! @} */\r
 \r
-/*! @name INT_PTD_BASE_ADDR - Memory base address where INT PTD0 is stored */\r
+/*! @name INTPTD - Memory base address where INT PTD0 is stored */\r
 /*! @{ */\r
-#define USBHSH_INT_PTD_BASE_ADDR_INT_FIRST_MASK  (0x3E0U)\r
-#define USBHSH_INT_PTD_BASE_ADDR_INT_FIRST_SHIFT (5U)\r
-#define USBHSH_INT_PTD_BASE_ADDR_INT_FIRST(x)    (((uint32_t)(((uint32_t)(x)) << USBHSH_INT_PTD_BASE_ADDR_INT_FIRST_SHIFT)) & USBHSH_INT_PTD_BASE_ADDR_INT_FIRST_MASK)\r
-#define USBHSH_INT_PTD_BASE_ADDR_INT_BASE_MASK   (0xFFFFFC00U)\r
-#define USBHSH_INT_PTD_BASE_ADDR_INT_BASE_SHIFT  (10U)\r
-#define USBHSH_INT_PTD_BASE_ADDR_INT_BASE(x)     (((uint32_t)(((uint32_t)(x)) << USBHSH_INT_PTD_BASE_ADDR_INT_BASE_SHIFT)) & USBHSH_INT_PTD_BASE_ADDR_INT_BASE_MASK)\r
+#define USBHSH_INTPTD_INT_FIRST_MASK (0x3E0U)\r
+#define USBHSH_INTPTD_INT_FIRST_SHIFT (5U)\r
+/*! INT_FIRST - This indicates the first PTD that is used by the hardware when it is processing the INT list.\r
+ */\r
+#define USBHSH_INTPTD_INT_FIRST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSH_INTPTD_INT_FIRST_SHIFT)) & USBHSH_INTPTD_INT_FIRST_MASK)\r
+#define USBHSH_INTPTD_INT_BASE_MASK (0xFFFFFC00U)\r
+#define USBHSH_INTPTD_INT_BASE_SHIFT (10U)\r
+/*! INT_BASE - Base address to be used by the hardware to find the start of the INT list.\r
+ */\r
+#define USBHSH_INTPTD_INT_BASE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSH_INTPTD_INT_BASE_SHIFT)) & USBHSH_INTPTD_INT_BASE_MASK)\r
 /*! @} */\r
 \r
-/*! @name DATA_PAYLOAD_BASE_ADDR - Memory base address that indicates the start of the data payload buffers */\r
+/*! @name DATAPAYLOAD - Memory base address that indicates the start of the data payload buffers */\r
 /*! @{ */\r
-#define USBHSH_DATA_PAYLOAD_BASE_ADDR_DAT_BASE_MASK (0xFFFF0000U)\r
-#define USBHSH_DATA_PAYLOAD_BASE_ADDR_DAT_BASE_SHIFT (16U)\r
-#define USBHSH_DATA_PAYLOAD_BASE_ADDR_DAT_BASE(x) (((uint32_t)(((uint32_t)(x)) << USBHSH_DATA_PAYLOAD_BASE_ADDR_DAT_BASE_SHIFT)) & USBHSH_DATA_PAYLOAD_BASE_ADDR_DAT_BASE_MASK)\r
+#define USBHSH_DATAPAYLOAD_DAT_BASE_MASK (0xFFFF0000U)\r
+#define USBHSH_DATAPAYLOAD_DAT_BASE_SHIFT (16U)\r
+/*! DAT_BASE - Base address to be used by the hardware to find the start of the data payload section.\r
+ */\r
+#define USBHSH_DATAPAYLOAD_DAT_BASE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSH_DATAPAYLOAD_DAT_BASE_SHIFT)) & USBHSH_DATAPAYLOAD_DAT_BASE_MASK)\r
 /*! @} */\r
 \r
 /*! @name USBCMD - USB Command register */\r
 /*! @{ */\r
-#define USBHSH_USBCMD_RS_MASK                    (0x1U)\r
-#define USBHSH_USBCMD_RS_SHIFT                   (0U)\r
-#define USBHSH_USBCMD_RS(x)                      (((uint32_t)(((uint32_t)(x)) << USBHSH_USBCMD_RS_SHIFT)) & USBHSH_USBCMD_RS_MASK)\r
-#define USBHSH_USBCMD_HCRESET_MASK               (0x2U)\r
-#define USBHSH_USBCMD_HCRESET_SHIFT              (1U)\r
-#define USBHSH_USBCMD_HCRESET(x)                 (((uint32_t)(((uint32_t)(x)) << USBHSH_USBCMD_HCRESET_SHIFT)) & USBHSH_USBCMD_HCRESET_MASK)\r
-#define USBHSH_USBCMD_FLS_MASK                   (0xCU)\r
-#define USBHSH_USBCMD_FLS_SHIFT                  (2U)\r
-#define USBHSH_USBCMD_FLS(x)                     (((uint32_t)(((uint32_t)(x)) << USBHSH_USBCMD_FLS_SHIFT)) & USBHSH_USBCMD_FLS_MASK)\r
-#define USBHSH_USBCMD_LHCR_MASK                  (0x80U)\r
-#define USBHSH_USBCMD_LHCR_SHIFT                 (7U)\r
-#define USBHSH_USBCMD_LHCR(x)                    (((uint32_t)(((uint32_t)(x)) << USBHSH_USBCMD_LHCR_SHIFT)) & USBHSH_USBCMD_LHCR_MASK)\r
-#define USBHSH_USBCMD_ATL_EN_MASK                (0x100U)\r
-#define USBHSH_USBCMD_ATL_EN_SHIFT               (8U)\r
-#define USBHSH_USBCMD_ATL_EN(x)                  (((uint32_t)(((uint32_t)(x)) << USBHSH_USBCMD_ATL_EN_SHIFT)) & USBHSH_USBCMD_ATL_EN_MASK)\r
-#define USBHSH_USBCMD_ISO_EN_MASK                (0x200U)\r
-#define USBHSH_USBCMD_ISO_EN_SHIFT               (9U)\r
-#define USBHSH_USBCMD_ISO_EN(x)                  (((uint32_t)(((uint32_t)(x)) << USBHSH_USBCMD_ISO_EN_SHIFT)) & USBHSH_USBCMD_ISO_EN_MASK)\r
-#define USBHSH_USBCMD_INT_EN_MASK                (0x400U)\r
-#define USBHSH_USBCMD_INT_EN_SHIFT               (10U)\r
-#define USBHSH_USBCMD_INT_EN(x)                  (((uint32_t)(((uint32_t)(x)) << USBHSH_USBCMD_INT_EN_SHIFT)) & USBHSH_USBCMD_INT_EN_MASK)\r
-#define USBHSH_USBCMD_HIRD_MASK                  (0xF000000U)\r
-#define USBHSH_USBCMD_HIRD_SHIFT                 (24U)\r
-#define USBHSH_USBCMD_HIRD(x)                    (((uint32_t)(((uint32_t)(x)) << USBHSH_USBCMD_HIRD_SHIFT)) & USBHSH_USBCMD_HIRD_MASK)\r
-#define USBHSH_USBCMD_LPM_RWU_MASK               (0x10000000U)\r
-#define USBHSH_USBCMD_LPM_RWU_SHIFT              (28U)\r
-#define USBHSH_USBCMD_LPM_RWU(x)                 (((uint32_t)(((uint32_t)(x)) << USBHSH_USBCMD_LPM_RWU_SHIFT)) & USBHSH_USBCMD_LPM_RWU_MASK)\r
+#define USBHSH_USBCMD_RS_MASK (0x1U)\r
+#define USBHSH_USBCMD_RS_SHIFT (0U)\r
+/*! RS - Run/Stop: 1b = Run.\r
+ */\r
+#define USBHSH_USBCMD_RS(x) (((uint32_t)(((uint32_t)(x)) << USBHSH_USBCMD_RS_SHIFT)) & USBHSH_USBCMD_RS_MASK)\r
+#define USBHSH_USBCMD_HCRESET_MASK (0x2U)\r
+#define USBHSH_USBCMD_HCRESET_SHIFT (1U)\r
+/*! HCRESET - Host Controller Reset: This control bit is used by the software to reset the host controller.\r
+ */\r
+#define USBHSH_USBCMD_HCRESET(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSH_USBCMD_HCRESET_SHIFT)) & USBHSH_USBCMD_HCRESET_MASK)\r
+#define USBHSH_USBCMD_FLS_MASK (0xCU)\r
+#define USBHSH_USBCMD_FLS_SHIFT (2U)\r
+/*! FLS - Frame List Size: This field specifies the size of the frame list.\r
+ */\r
+#define USBHSH_USBCMD_FLS(x) (((uint32_t)(((uint32_t)(x)) << USBHSH_USBCMD_FLS_SHIFT)) & USBHSH_USBCMD_FLS_MASK)\r
+#define USBHSH_USBCMD_LHCR_MASK (0x80U)\r
+#define USBHSH_USBCMD_LHCR_SHIFT (7U)\r
+/*! LHCR - Light Host Controller Reset: This bit allows the driver software to reset the host\r
+ *    controller without affecting the state of the ports.\r
+ */\r
+#define USBHSH_USBCMD_LHCR(x) (((uint32_t)(((uint32_t)(x)) << USBHSH_USBCMD_LHCR_SHIFT)) & USBHSH_USBCMD_LHCR_MASK)\r
+#define USBHSH_USBCMD_ATL_EN_MASK (0x100U)\r
+#define USBHSH_USBCMD_ATL_EN_SHIFT (8U)\r
+/*! ATL_EN - ATL List enabled.\r
+ */\r
+#define USBHSH_USBCMD_ATL_EN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSH_USBCMD_ATL_EN_SHIFT)) & USBHSH_USBCMD_ATL_EN_MASK)\r
+#define USBHSH_USBCMD_ISO_EN_MASK (0x200U)\r
+#define USBHSH_USBCMD_ISO_EN_SHIFT (9U)\r
+/*! ISO_EN - ISO List enabled.\r
+ */\r
+#define USBHSH_USBCMD_ISO_EN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSH_USBCMD_ISO_EN_SHIFT)) & USBHSH_USBCMD_ISO_EN_MASK)\r
+#define USBHSH_USBCMD_INT_EN_MASK (0x400U)\r
+#define USBHSH_USBCMD_INT_EN_SHIFT (10U)\r
+/*! INT_EN - INT List enabled.\r
+ */\r
+#define USBHSH_USBCMD_INT_EN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSH_USBCMD_INT_EN_SHIFT)) & USBHSH_USBCMD_INT_EN_MASK)\r
 /*! @} */\r
 \r
 /*! @name USBSTS - USB Interrupt Status register */\r
 /*! @{ */\r
-#define USBHSH_USBSTS_PCD_MASK                   (0x4U)\r
-#define USBHSH_USBSTS_PCD_SHIFT                  (2U)\r
-#define USBHSH_USBSTS_PCD(x)                     (((uint32_t)(((uint32_t)(x)) << USBHSH_USBSTS_PCD_SHIFT)) & USBHSH_USBSTS_PCD_MASK)\r
-#define USBHSH_USBSTS_FLR_MASK                   (0x8U)\r
-#define USBHSH_USBSTS_FLR_SHIFT                  (3U)\r
-#define USBHSH_USBSTS_FLR(x)                     (((uint32_t)(((uint32_t)(x)) << USBHSH_USBSTS_FLR_SHIFT)) & USBHSH_USBSTS_FLR_MASK)\r
-#define USBHSH_USBSTS_ATL_IRQ_MASK               (0x10000U)\r
-#define USBHSH_USBSTS_ATL_IRQ_SHIFT              (16U)\r
-#define USBHSH_USBSTS_ATL_IRQ(x)                 (((uint32_t)(((uint32_t)(x)) << USBHSH_USBSTS_ATL_IRQ_SHIFT)) & USBHSH_USBSTS_ATL_IRQ_MASK)\r
-#define USBHSH_USBSTS_ISO_IRQ_MASK               (0x20000U)\r
-#define USBHSH_USBSTS_ISO_IRQ_SHIFT              (17U)\r
-#define USBHSH_USBSTS_ISO_IRQ(x)                 (((uint32_t)(((uint32_t)(x)) << USBHSH_USBSTS_ISO_IRQ_SHIFT)) & USBHSH_USBSTS_ISO_IRQ_MASK)\r
-#define USBHSH_USBSTS_INT_IRQ_MASK               (0x40000U)\r
-#define USBHSH_USBSTS_INT_IRQ_SHIFT              (18U)\r
-#define USBHSH_USBSTS_INT_IRQ(x)                 (((uint32_t)(((uint32_t)(x)) << USBHSH_USBSTS_INT_IRQ_SHIFT)) & USBHSH_USBSTS_INT_IRQ_MASK)\r
-#define USBHSH_USBSTS_SOF_IRQ_MASK               (0x80000U)\r
-#define USBHSH_USBSTS_SOF_IRQ_SHIFT              (19U)\r
-#define USBHSH_USBSTS_SOF_IRQ(x)                 (((uint32_t)(((uint32_t)(x)) << USBHSH_USBSTS_SOF_IRQ_SHIFT)) & USBHSH_USBSTS_SOF_IRQ_MASK)\r
+#define USBHSH_USBSTS_PCD_MASK (0x4U)\r
+#define USBHSH_USBSTS_PCD_SHIFT (2U)\r
+/*! PCD - Port Change Detect: The host controller sets this bit to logic 1 when any port has a\r
+ *    change bit transition from a 0 to a one or a Force Port Resume bit transition from a 0 to a 1 as a\r
+ *    result of a J-K transition detected on a suspended port.\r
+ */\r
+#define USBHSH_USBSTS_PCD(x) (((uint32_t)(((uint32_t)(x)) << USBHSH_USBSTS_PCD_SHIFT)) & USBHSH_USBSTS_PCD_MASK)\r
+#define USBHSH_USBSTS_FLR_MASK (0x8U)\r
+#define USBHSH_USBSTS_FLR_SHIFT (3U)\r
+/*! FLR - Frame List Rollover: The host controller sets this bit to logic 1 when the frame list\r
+ *    index rolls over its maximum value to 0.\r
+ */\r
+#define USBHSH_USBSTS_FLR(x) (((uint32_t)(((uint32_t)(x)) << USBHSH_USBSTS_FLR_SHIFT)) & USBHSH_USBSTS_FLR_MASK)\r
+#define USBHSH_USBSTS_ATL_IRQ_MASK (0x10000U)\r
+#define USBHSH_USBSTS_ATL_IRQ_SHIFT (16U)\r
+/*! ATL_IRQ - ATL IRQ: Indicates that an ATL PTD (with I-bit set) was completed.\r
+ */\r
+#define USBHSH_USBSTS_ATL_IRQ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSH_USBSTS_ATL_IRQ_SHIFT)) & USBHSH_USBSTS_ATL_IRQ_MASK)\r
+#define USBHSH_USBSTS_ISO_IRQ_MASK (0x20000U)\r
+#define USBHSH_USBSTS_ISO_IRQ_SHIFT (17U)\r
+/*! ISO_IRQ - ISO IRQ: Indicates that an ISO PTD (with I-bit set) was completed.\r
+ */\r
+#define USBHSH_USBSTS_ISO_IRQ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSH_USBSTS_ISO_IRQ_SHIFT)) & USBHSH_USBSTS_ISO_IRQ_MASK)\r
+#define USBHSH_USBSTS_INT_IRQ_MASK (0x40000U)\r
+#define USBHSH_USBSTS_INT_IRQ_SHIFT (18U)\r
+/*! INT_IRQ - INT IRQ: Indicates that an INT PTD (with I-bit set) was completed.\r
+ */\r
+#define USBHSH_USBSTS_INT_IRQ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSH_USBSTS_INT_IRQ_SHIFT)) & USBHSH_USBSTS_INT_IRQ_MASK)\r
+#define USBHSH_USBSTS_SOF_IRQ_MASK (0x80000U)\r
+#define USBHSH_USBSTS_SOF_IRQ_SHIFT (19U)\r
+/*! SOF_IRQ - SOF interrupt: Every time when the host sends a Start of Frame token on the USB bus, this bit is set.\r
+ */\r
+#define USBHSH_USBSTS_SOF_IRQ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSH_USBSTS_SOF_IRQ_SHIFT)) & USBHSH_USBSTS_SOF_IRQ_MASK)\r
 /*! @} */\r
 \r
 /*! @name USBINTR - USB Interrupt Enable register */\r
 /*! @{ */\r
-#define USBHSH_USBINTR_PCDE_MASK                 (0x4U)\r
-#define USBHSH_USBINTR_PCDE_SHIFT                (2U)\r
-#define USBHSH_USBINTR_PCDE(x)                   (((uint32_t)(((uint32_t)(x)) << USBHSH_USBINTR_PCDE_SHIFT)) & USBHSH_USBINTR_PCDE_MASK)\r
-#define USBHSH_USBINTR_FLRE_MASK                 (0x8U)\r
-#define USBHSH_USBINTR_FLRE_SHIFT                (3U)\r
-#define USBHSH_USBINTR_FLRE(x)                   (((uint32_t)(((uint32_t)(x)) << USBHSH_USBINTR_FLRE_SHIFT)) & USBHSH_USBINTR_FLRE_MASK)\r
-#define USBHSH_USBINTR_ATL_IRQ_E_MASK            (0x10000U)\r
-#define USBHSH_USBINTR_ATL_IRQ_E_SHIFT           (16U)\r
-#define USBHSH_USBINTR_ATL_IRQ_E(x)              (((uint32_t)(((uint32_t)(x)) << USBHSH_USBINTR_ATL_IRQ_E_SHIFT)) & USBHSH_USBINTR_ATL_IRQ_E_MASK)\r
-#define USBHSH_USBINTR_ISO_IRQ_E_MASK            (0x20000U)\r
-#define USBHSH_USBINTR_ISO_IRQ_E_SHIFT           (17U)\r
-#define USBHSH_USBINTR_ISO_IRQ_E(x)              (((uint32_t)(((uint32_t)(x)) << USBHSH_USBINTR_ISO_IRQ_E_SHIFT)) & USBHSH_USBINTR_ISO_IRQ_E_MASK)\r
-#define USBHSH_USBINTR_INT_IRQ_E_MASK            (0x40000U)\r
-#define USBHSH_USBINTR_INT_IRQ_E_SHIFT           (18U)\r
-#define USBHSH_USBINTR_INT_IRQ_E(x)              (((uint32_t)(((uint32_t)(x)) << USBHSH_USBINTR_INT_IRQ_E_SHIFT)) & USBHSH_USBINTR_INT_IRQ_E_MASK)\r
-#define USBHSH_USBINTR_SOF_E_MASK                (0x80000U)\r
-#define USBHSH_USBINTR_SOF_E_SHIFT               (19U)\r
-#define USBHSH_USBINTR_SOF_E(x)                  (((uint32_t)(((uint32_t)(x)) << USBHSH_USBINTR_SOF_E_SHIFT)) & USBHSH_USBINTR_SOF_E_MASK)\r
+#define USBHSH_USBINTR_PCDE_MASK (0x4U)\r
+#define USBHSH_USBINTR_PCDE_SHIFT (2U)\r
+/*! PCDE - Port Change Detect Interrupt Enable: 1: enable 0: disable.\r
+ */\r
+#define USBHSH_USBINTR_PCDE(x) (((uint32_t)(((uint32_t)(x)) << USBHSH_USBINTR_PCDE_SHIFT)) & USBHSH_USBINTR_PCDE_MASK)\r
+#define USBHSH_USBINTR_FLRE_MASK (0x8U)\r
+#define USBHSH_USBINTR_FLRE_SHIFT (3U)\r
+/*! FLRE - Frame List Rollover Interrupt Enable: 1: enable 0: disable.\r
+ */\r
+#define USBHSH_USBINTR_FLRE(x) (((uint32_t)(((uint32_t)(x)) << USBHSH_USBINTR_FLRE_SHIFT)) & USBHSH_USBINTR_FLRE_MASK)\r
+#define USBHSH_USBINTR_ATL_IRQ_E_MASK (0x10000U)\r
+#define USBHSH_USBINTR_ATL_IRQ_E_SHIFT (16U)\r
+/*! ATL_IRQ_E - ATL IRQ Enable bit: 1: enable 0: disable.\r
+ */\r
+#define USBHSH_USBINTR_ATL_IRQ_E(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSH_USBINTR_ATL_IRQ_E_SHIFT)) & USBHSH_USBINTR_ATL_IRQ_E_MASK)\r
+#define USBHSH_USBINTR_ISO_IRQ_E_MASK (0x20000U)\r
+#define USBHSH_USBINTR_ISO_IRQ_E_SHIFT (17U)\r
+/*! ISO_IRQ_E - ISO IRQ Enable bit: 1: enable 0: disable.\r
+ */\r
+#define USBHSH_USBINTR_ISO_IRQ_E(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSH_USBINTR_ISO_IRQ_E_SHIFT)) & USBHSH_USBINTR_ISO_IRQ_E_MASK)\r
+#define USBHSH_USBINTR_INT_IRQ_E_MASK (0x40000U)\r
+#define USBHSH_USBINTR_INT_IRQ_E_SHIFT (18U)\r
+/*! INT_IRQ_E - INT IRQ Enable bit: 1: enable 0: disable.\r
+ */\r
+#define USBHSH_USBINTR_INT_IRQ_E(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSH_USBINTR_INT_IRQ_E_SHIFT)) & USBHSH_USBINTR_INT_IRQ_E_MASK)\r
+#define USBHSH_USBINTR_SOF_E_MASK (0x80000U)\r
+#define USBHSH_USBINTR_SOF_E_SHIFT (19U)\r
+/*! SOF_E - SOF Interrupt Enable bit: 1: enable 0: disable.\r
+ */\r
+#define USBHSH_USBINTR_SOF_E(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSH_USBINTR_SOF_E_SHIFT)) & USBHSH_USBINTR_SOF_E_MASK)\r
 /*! @} */\r
 \r
 /*! @name PORTSC1 - Port Status and Control register */\r
 /*! @{ */\r
-#define USBHSH_PORTSC1_CCS_MASK                  (0x1U)\r
-#define USBHSH_PORTSC1_CCS_SHIFT                 (0U)\r
-#define USBHSH_PORTSC1_CCS(x)                    (((uint32_t)(((uint32_t)(x)) << USBHSH_PORTSC1_CCS_SHIFT)) & USBHSH_PORTSC1_CCS_MASK)\r
-#define USBHSH_PORTSC1_CSC_MASK                  (0x2U)\r
-#define USBHSH_PORTSC1_CSC_SHIFT                 (1U)\r
-#define USBHSH_PORTSC1_CSC(x)                    (((uint32_t)(((uint32_t)(x)) << USBHSH_PORTSC1_CSC_SHIFT)) & USBHSH_PORTSC1_CSC_MASK)\r
-#define USBHSH_PORTSC1_PED_MASK                  (0x4U)\r
-#define USBHSH_PORTSC1_PED_SHIFT                 (2U)\r
-#define USBHSH_PORTSC1_PED(x)                    (((uint32_t)(((uint32_t)(x)) << USBHSH_PORTSC1_PED_SHIFT)) & USBHSH_PORTSC1_PED_MASK)\r
-#define USBHSH_PORTSC1_PEDC_MASK                 (0x8U)\r
-#define USBHSH_PORTSC1_PEDC_SHIFT                (3U)\r
-#define USBHSH_PORTSC1_PEDC(x)                   (((uint32_t)(((uint32_t)(x)) << USBHSH_PORTSC1_PEDC_SHIFT)) & USBHSH_PORTSC1_PEDC_MASK)\r
-#define USBHSH_PORTSC1_OCA_MASK                  (0x10U)\r
-#define USBHSH_PORTSC1_OCA_SHIFT                 (4U)\r
-#define USBHSH_PORTSC1_OCA(x)                    (((uint32_t)(((uint32_t)(x)) << USBHSH_PORTSC1_OCA_SHIFT)) & USBHSH_PORTSC1_OCA_MASK)\r
-#define USBHSH_PORTSC1_OCC_MASK                  (0x20U)\r
-#define USBHSH_PORTSC1_OCC_SHIFT                 (5U)\r
-#define USBHSH_PORTSC1_OCC(x)                    (((uint32_t)(((uint32_t)(x)) << USBHSH_PORTSC1_OCC_SHIFT)) & USBHSH_PORTSC1_OCC_MASK)\r
-#define USBHSH_PORTSC1_FPR_MASK                  (0x40U)\r
-#define USBHSH_PORTSC1_FPR_SHIFT                 (6U)\r
-#define USBHSH_PORTSC1_FPR(x)                    (((uint32_t)(((uint32_t)(x)) << USBHSH_PORTSC1_FPR_SHIFT)) & USBHSH_PORTSC1_FPR_MASK)\r
-#define USBHSH_PORTSC1_SUSP_MASK                 (0x80U)\r
-#define USBHSH_PORTSC1_SUSP_SHIFT                (7U)\r
-#define USBHSH_PORTSC1_SUSP(x)                   (((uint32_t)(((uint32_t)(x)) << USBHSH_PORTSC1_SUSP_SHIFT)) & USBHSH_PORTSC1_SUSP_MASK)\r
-#define USBHSH_PORTSC1_PR_MASK                   (0x100U)\r
-#define USBHSH_PORTSC1_PR_SHIFT                  (8U)\r
-#define USBHSH_PORTSC1_PR(x)                     (((uint32_t)(((uint32_t)(x)) << USBHSH_PORTSC1_PR_SHIFT)) & USBHSH_PORTSC1_PR_MASK)\r
-#define USBHSH_PORTSC1_SUS_L1_MASK               (0x200U)\r
-#define USBHSH_PORTSC1_SUS_L1_SHIFT              (9U)\r
-#define USBHSH_PORTSC1_SUS_L1(x)                 (((uint32_t)(((uint32_t)(x)) << USBHSH_PORTSC1_SUS_L1_SHIFT)) & USBHSH_PORTSC1_SUS_L1_MASK)\r
-#define USBHSH_PORTSC1_LS_MASK                   (0xC00U)\r
-#define USBHSH_PORTSC1_LS_SHIFT                  (10U)\r
-#define USBHSH_PORTSC1_LS(x)                     (((uint32_t)(((uint32_t)(x)) << USBHSH_PORTSC1_LS_SHIFT)) & USBHSH_PORTSC1_LS_MASK)\r
-#define USBHSH_PORTSC1_PP_MASK                   (0x1000U)\r
-#define USBHSH_PORTSC1_PP_SHIFT                  (12U)\r
-#define USBHSH_PORTSC1_PP(x)                     (((uint32_t)(((uint32_t)(x)) << USBHSH_PORTSC1_PP_SHIFT)) & USBHSH_PORTSC1_PP_MASK)\r
-#define USBHSH_PORTSC1_PIC_MASK                  (0xC000U)\r
-#define USBHSH_PORTSC1_PIC_SHIFT                 (14U)\r
-#define USBHSH_PORTSC1_PIC(x)                    (((uint32_t)(((uint32_t)(x)) << USBHSH_PORTSC1_PIC_SHIFT)) & USBHSH_PORTSC1_PIC_MASK)\r
-#define USBHSH_PORTSC1_PTC_MASK                  (0xF0000U)\r
-#define USBHSH_PORTSC1_PTC_SHIFT                 (16U)\r
-#define USBHSH_PORTSC1_PTC(x)                    (((uint32_t)(((uint32_t)(x)) << USBHSH_PORTSC1_PTC_SHIFT)) & USBHSH_PORTSC1_PTC_MASK)\r
-#define USBHSH_PORTSC1_PSPD_MASK                 (0x300000U)\r
-#define USBHSH_PORTSC1_PSPD_SHIFT                (20U)\r
-#define USBHSH_PORTSC1_PSPD(x)                   (((uint32_t)(((uint32_t)(x)) << USBHSH_PORTSC1_PSPD_SHIFT)) & USBHSH_PORTSC1_PSPD_MASK)\r
-#define USBHSH_PORTSC1_WOO_MASK                  (0x400000U)\r
-#define USBHSH_PORTSC1_WOO_SHIFT                 (22U)\r
-#define USBHSH_PORTSC1_WOO(x)                    (((uint32_t)(((uint32_t)(x)) << USBHSH_PORTSC1_WOO_SHIFT)) & USBHSH_PORTSC1_WOO_MASK)\r
-#define USBHSH_PORTSC1_SUS_STAT_MASK             (0x1800000U)\r
-#define USBHSH_PORTSC1_SUS_STAT_SHIFT            (23U)\r
-#define USBHSH_PORTSC1_SUS_STAT(x)               (((uint32_t)(((uint32_t)(x)) << USBHSH_PORTSC1_SUS_STAT_SHIFT)) & USBHSH_PORTSC1_SUS_STAT_MASK)\r
-#define USBHSH_PORTSC1_DEV_ADD_MASK              (0xFE000000U)\r
-#define USBHSH_PORTSC1_DEV_ADD_SHIFT             (25U)\r
-#define USBHSH_PORTSC1_DEV_ADD(x)                (((uint32_t)(((uint32_t)(x)) << USBHSH_PORTSC1_DEV_ADD_SHIFT)) & USBHSH_PORTSC1_DEV_ADD_MASK)\r
-/*! @} */\r
-\r
-/*! @name ATL_PTD_DONE_MAP - Done map for each ATL PTD */\r
-/*! @{ */\r
-#define USBHSH_ATL_PTD_DONE_MAP_ATL_DONE_MASK    (0xFFFFFFFFU)\r
-#define USBHSH_ATL_PTD_DONE_MAP_ATL_DONE_SHIFT   (0U)\r
-#define USBHSH_ATL_PTD_DONE_MAP_ATL_DONE(x)      (((uint32_t)(((uint32_t)(x)) << USBHSH_ATL_PTD_DONE_MAP_ATL_DONE_SHIFT)) & USBHSH_ATL_PTD_DONE_MAP_ATL_DONE_MASK)\r
-/*! @} */\r
-\r
-/*! @name ATL_PTD_SKIP_MAP - Skip map for each ATL PTD */\r
-/*! @{ */\r
-#define USBHSH_ATL_PTD_SKIP_MAP_ATL_SKIP_MASK    (0xFFFFFFFFU)\r
-#define USBHSH_ATL_PTD_SKIP_MAP_ATL_SKIP_SHIFT   (0U)\r
-#define USBHSH_ATL_PTD_SKIP_MAP_ATL_SKIP(x)      (((uint32_t)(((uint32_t)(x)) << USBHSH_ATL_PTD_SKIP_MAP_ATL_SKIP_SHIFT)) & USBHSH_ATL_PTD_SKIP_MAP_ATL_SKIP_MASK)\r
-/*! @} */\r
-\r
-/*! @name ISO_PTD_DONE_MAP - Done map for each ISO PTD */\r
-/*! @{ */\r
-#define USBHSH_ISO_PTD_DONE_MAP_ISO_DONE_MASK    (0xFFFFFFFFU)\r
-#define USBHSH_ISO_PTD_DONE_MAP_ISO_DONE_SHIFT   (0U)\r
-#define USBHSH_ISO_PTD_DONE_MAP_ISO_DONE(x)      (((uint32_t)(((uint32_t)(x)) << USBHSH_ISO_PTD_DONE_MAP_ISO_DONE_SHIFT)) & USBHSH_ISO_PTD_DONE_MAP_ISO_DONE_MASK)\r
-/*! @} */\r
-\r
-/*! @name ISO_PTD_SKIP_MAP - Skip map for each ISO PTD */\r
-/*! @{ */\r
-#define USBHSH_ISO_PTD_SKIP_MAP_ISO_SKIP_MASK    (0xFFFFFFFFU)\r
-#define USBHSH_ISO_PTD_SKIP_MAP_ISO_SKIP_SHIFT   (0U)\r
-#define USBHSH_ISO_PTD_SKIP_MAP_ISO_SKIP(x)      (((uint32_t)(((uint32_t)(x)) << USBHSH_ISO_PTD_SKIP_MAP_ISO_SKIP_SHIFT)) & USBHSH_ISO_PTD_SKIP_MAP_ISO_SKIP_MASK)\r
-/*! @} */\r
-\r
-/*! @name INT_PTD_DONE_MAP - Done map for each INT PTD */\r
-/*! @{ */\r
-#define USBHSH_INT_PTD_DONE_MAP_INT_DONE_MASK    (0xFFFFFFFFU)\r
-#define USBHSH_INT_PTD_DONE_MAP_INT_DONE_SHIFT   (0U)\r
-#define USBHSH_INT_PTD_DONE_MAP_INT_DONE(x)      (((uint32_t)(((uint32_t)(x)) << USBHSH_INT_PTD_DONE_MAP_INT_DONE_SHIFT)) & USBHSH_INT_PTD_DONE_MAP_INT_DONE_MASK)\r
-/*! @} */\r
-\r
-/*! @name INT_PTD_SKIP_MAP - Skip map for each INT PTD */\r
-/*! @{ */\r
-#define USBHSH_INT_PTD_SKIP_MAP_INT_SKIP_MASK    (0xFFFFFFFFU)\r
-#define USBHSH_INT_PTD_SKIP_MAP_INT_SKIP_SHIFT   (0U)\r
-#define USBHSH_INT_PTD_SKIP_MAP_INT_SKIP(x)      (((uint32_t)(((uint32_t)(x)) << USBHSH_INT_PTD_SKIP_MAP_INT_SKIP_SHIFT)) & USBHSH_INT_PTD_SKIP_MAP_INT_SKIP_MASK)\r
-/*! @} */\r
-\r
-/*! @name LAST_PTD_INUSE - Marks the last PTD in the list for ISO, INT and ATL */\r
-/*! @{ */\r
-#define USBHSH_LAST_PTD_INUSE_ATL_LAST_MASK      (0x1FU)\r
-#define USBHSH_LAST_PTD_INUSE_ATL_LAST_SHIFT     (0U)\r
-#define USBHSH_LAST_PTD_INUSE_ATL_LAST(x)        (((uint32_t)(((uint32_t)(x)) << USBHSH_LAST_PTD_INUSE_ATL_LAST_SHIFT)) & USBHSH_LAST_PTD_INUSE_ATL_LAST_MASK)\r
-#define USBHSH_LAST_PTD_INUSE_ISO_LAST_MASK      (0x1F00U)\r
-#define USBHSH_LAST_PTD_INUSE_ISO_LAST_SHIFT     (8U)\r
-#define USBHSH_LAST_PTD_INUSE_ISO_LAST(x)        (((uint32_t)(((uint32_t)(x)) << USBHSH_LAST_PTD_INUSE_ISO_LAST_SHIFT)) & USBHSH_LAST_PTD_INUSE_ISO_LAST_MASK)\r
-#define USBHSH_LAST_PTD_INUSE_INT_LAST_MASK      (0x1F0000U)\r
-#define USBHSH_LAST_PTD_INUSE_INT_LAST_SHIFT     (16U)\r
-#define USBHSH_LAST_PTD_INUSE_INT_LAST(x)        (((uint32_t)(((uint32_t)(x)) << USBHSH_LAST_PTD_INUSE_INT_LAST_SHIFT)) & USBHSH_LAST_PTD_INUSE_INT_LAST_MASK)\r
-/*! @} */\r
-\r
-/*! @name UTMIPLUS_ULPI_DEBUG - Register to read/write registers in the attached USB PHY */\r
-/*! @{ */\r
-#define USBHSH_UTMIPLUS_ULPI_DEBUG_PHY_ADDR_MASK (0xFFU)\r
-#define USBHSH_UTMIPLUS_ULPI_DEBUG_PHY_ADDR_SHIFT (0U)\r
-#define USBHSH_UTMIPLUS_ULPI_DEBUG_PHY_ADDR(x)   (((uint32_t)(((uint32_t)(x)) << USBHSH_UTMIPLUS_ULPI_DEBUG_PHY_ADDR_SHIFT)) & USBHSH_UTMIPLUS_ULPI_DEBUG_PHY_ADDR_MASK)\r
-#define USBHSH_UTMIPLUS_ULPI_DEBUG_PHY_WDATA_MASK (0xFF00U)\r
-#define USBHSH_UTMIPLUS_ULPI_DEBUG_PHY_WDATA_SHIFT (8U)\r
-#define USBHSH_UTMIPLUS_ULPI_DEBUG_PHY_WDATA(x)  (((uint32_t)(((uint32_t)(x)) << USBHSH_UTMIPLUS_ULPI_DEBUG_PHY_WDATA_SHIFT)) & USBHSH_UTMIPLUS_ULPI_DEBUG_PHY_WDATA_MASK)\r
-#define USBHSH_UTMIPLUS_ULPI_DEBUG_PHY_RDATA_MASK (0xFF0000U)\r
-#define USBHSH_UTMIPLUS_ULPI_DEBUG_PHY_RDATA_SHIFT (16U)\r
-#define USBHSH_UTMIPLUS_ULPI_DEBUG_PHY_RDATA(x)  (((uint32_t)(((uint32_t)(x)) << USBHSH_UTMIPLUS_ULPI_DEBUG_PHY_RDATA_SHIFT)) & USBHSH_UTMIPLUS_ULPI_DEBUG_PHY_RDATA_MASK)\r
-#define USBHSH_UTMIPLUS_ULPI_DEBUG_PHY_RW_MASK   (0x1000000U)\r
-#define USBHSH_UTMIPLUS_ULPI_DEBUG_PHY_RW_SHIFT  (24U)\r
-#define USBHSH_UTMIPLUS_ULPI_DEBUG_PHY_RW(x)     (((uint32_t)(((uint32_t)(x)) << USBHSH_UTMIPLUS_ULPI_DEBUG_PHY_RW_SHIFT)) & USBHSH_UTMIPLUS_ULPI_DEBUG_PHY_RW_MASK)\r
-#define USBHSH_UTMIPLUS_ULPI_DEBUG_PHY_ACCESS_MASK (0x2000000U)\r
-#define USBHSH_UTMIPLUS_ULPI_DEBUG_PHY_ACCESS_SHIFT (25U)\r
-#define USBHSH_UTMIPLUS_ULPI_DEBUG_PHY_ACCESS(x) (((uint32_t)(((uint32_t)(x)) << USBHSH_UTMIPLUS_ULPI_DEBUG_PHY_ACCESS_SHIFT)) & USBHSH_UTMIPLUS_ULPI_DEBUG_PHY_ACCESS_MASK)\r
-#define USBHSH_UTMIPLUS_ULPI_DEBUG_PHY_MODE_MASK (0x80000000U)\r
-#define USBHSH_UTMIPLUS_ULPI_DEBUG_PHY_MODE_SHIFT (31U)\r
-#define USBHSH_UTMIPLUS_ULPI_DEBUG_PHY_MODE(x)   (((uint32_t)(((uint32_t)(x)) << USBHSH_UTMIPLUS_ULPI_DEBUG_PHY_MODE_SHIFT)) & USBHSH_UTMIPLUS_ULPI_DEBUG_PHY_MODE_MASK)\r
+#define USBHSH_PORTSC1_CCS_MASK (0x1U)\r
+#define USBHSH_PORTSC1_CCS_SHIFT (0U)\r
+/*! CCS - Current Connect Status: Logic 1 indicates a device is present on the port.\r
+ */\r
+#define USBHSH_PORTSC1_CCS(x) (((uint32_t)(((uint32_t)(x)) << USBHSH_PORTSC1_CCS_SHIFT)) & USBHSH_PORTSC1_CCS_MASK)\r
+#define USBHSH_PORTSC1_CSC_MASK (0x2U)\r
+#define USBHSH_PORTSC1_CSC_SHIFT (1U)\r
+/*! CSC - Connect Status Change: Logic 1 means that the value of CCS has changed.\r
+ */\r
+#define USBHSH_PORTSC1_CSC(x) (((uint32_t)(((uint32_t)(x)) << USBHSH_PORTSC1_CSC_SHIFT)) & USBHSH_PORTSC1_CSC_MASK)\r
+#define USBHSH_PORTSC1_PED_MASK (0x4U)\r
+#define USBHSH_PORTSC1_PED_SHIFT (2U)\r
+/*! PED - Port Enabled/Disabled.\r
+ */\r
+#define USBHSH_PORTSC1_PED(x) (((uint32_t)(((uint32_t)(x)) << USBHSH_PORTSC1_PED_SHIFT)) & USBHSH_PORTSC1_PED_MASK)\r
+#define USBHSH_PORTSC1_PEDC_MASK (0x8U)\r
+#define USBHSH_PORTSC1_PEDC_SHIFT (3U)\r
+/*! PEDC - Port Enabled/Disabled Change: Logic 1 means that the value of PED has changed.\r
+ */\r
+#define USBHSH_PORTSC1_PEDC(x) (((uint32_t)(((uint32_t)(x)) << USBHSH_PORTSC1_PEDC_SHIFT)) & USBHSH_PORTSC1_PEDC_MASK)\r
+#define USBHSH_PORTSC1_OCA_MASK (0x10U)\r
+#define USBHSH_PORTSC1_OCA_SHIFT (4U)\r
+/*! OCA - Over-current active: Logic 1 means that this port has an over-current condition.\r
+ */\r
+#define USBHSH_PORTSC1_OCA(x) (((uint32_t)(((uint32_t)(x)) << USBHSH_PORTSC1_OCA_SHIFT)) & USBHSH_PORTSC1_OCA_MASK)\r
+#define USBHSH_PORTSC1_OCC_MASK (0x20U)\r
+#define USBHSH_PORTSC1_OCC_SHIFT (5U)\r
+/*! OCC - Over-current change: Logic 1 means that the value of OCA has changed.\r
+ */\r
+#define USBHSH_PORTSC1_OCC(x) (((uint32_t)(((uint32_t)(x)) << USBHSH_PORTSC1_OCC_SHIFT)) & USBHSH_PORTSC1_OCC_MASK)\r
+#define USBHSH_PORTSC1_FPR_MASK (0x40U)\r
+#define USBHSH_PORTSC1_FPR_SHIFT (6U)\r
+/*! FPR - Force Port Resume: Logic 1 means resume (K-state) detected or driven on the port.\r
+ */\r
+#define USBHSH_PORTSC1_FPR(x) (((uint32_t)(((uint32_t)(x)) << USBHSH_PORTSC1_FPR_SHIFT)) & USBHSH_PORTSC1_FPR_MASK)\r
+#define USBHSH_PORTSC1_SUSP_MASK (0x80U)\r
+#define USBHSH_PORTSC1_SUSP_SHIFT (7U)\r
+/*! SUSP - Suspend: Logic 1 means port is in the suspend state.\r
+ */\r
+#define USBHSH_PORTSC1_SUSP(x) (((uint32_t)(((uint32_t)(x)) << USBHSH_PORTSC1_SUSP_SHIFT)) & USBHSH_PORTSC1_SUSP_MASK)\r
+#define USBHSH_PORTSC1_PR_MASK (0x100U)\r
+#define USBHSH_PORTSC1_PR_SHIFT (8U)\r
+/*! PR - Port Reset: Logic 1 means the port is in the reset state.\r
+ */\r
+#define USBHSH_PORTSC1_PR(x) (((uint32_t)(((uint32_t)(x)) << USBHSH_PORTSC1_PR_SHIFT)) & USBHSH_PORTSC1_PR_MASK)\r
+#define USBHSH_PORTSC1_LS_MASK (0xC00U)\r
+#define USBHSH_PORTSC1_LS_SHIFT (10U)\r
+/*! LS - Line Status: This field reflects the current logical levels of the DP (bit 11) and DM (bit 10) signal lines.\r
+ */\r
+#define USBHSH_PORTSC1_LS(x) (((uint32_t)(((uint32_t)(x)) << USBHSH_PORTSC1_LS_SHIFT)) & USBHSH_PORTSC1_LS_MASK)\r
+#define USBHSH_PORTSC1_PP_MASK (0x1000U)\r
+#define USBHSH_PORTSC1_PP_SHIFT (12U)\r
+/*! PP - Port Power: The function of this bit depends on the value of the Port Power Control (PPC) bit in the HCSPARAMS\r
+ * register.\r
+ */\r
+#define USBHSH_PORTSC1_PP(x) (((uint32_t)(((uint32_t)(x)) << USBHSH_PORTSC1_PP_SHIFT)) & USBHSH_PORTSC1_PP_MASK)\r
+#define USBHSH_PORTSC1_PIC_MASK (0xC000U)\r
+#define USBHSH_PORTSC1_PIC_SHIFT (14U)\r
+/*! PIC - Port Indicator Control : Writing to this field has no effect if the P_INDICATOR bit in the\r
+ *    HCSPARAMS register is logic 0.\r
+ */\r
+#define USBHSH_PORTSC1_PIC(x) (((uint32_t)(((uint32_t)(x)) << USBHSH_PORTSC1_PIC_SHIFT)) & USBHSH_PORTSC1_PIC_MASK)\r
+#define USBHSH_PORTSC1_PTC_MASK (0xF0000U)\r
+#define USBHSH_PORTSC1_PTC_SHIFT (16U)\r
+/*! PTC - Port Test Control: A non-zero value indicates that the port is operating in the test mode as indicated by the\r
+ * value.\r
+ */\r
+#define USBHSH_PORTSC1_PTC(x) (((uint32_t)(((uint32_t)(x)) << USBHSH_PORTSC1_PTC_SHIFT)) & USBHSH_PORTSC1_PTC_MASK)\r
+#define USBHSH_PORTSC1_PSPD_MASK (0x300000U)\r
+#define USBHSH_PORTSC1_PSPD_SHIFT (20U)\r
+/*! PSPD - Port Speed: 00b: Low-speed 01b: Full-speed 10b: High-speed 11b: Reserved.\r
+ */\r
+#define USBHSH_PORTSC1_PSPD(x) (((uint32_t)(((uint32_t)(x)) << USBHSH_PORTSC1_PSPD_SHIFT)) & USBHSH_PORTSC1_PSPD_MASK)\r
+#define USBHSH_PORTSC1_WOO_MASK (0x400000U)\r
+#define USBHSH_PORTSC1_WOO_SHIFT (22U)\r
+/*! WOO - Wake on overcurrent enable: Writing this bit to a one enables the port to be sensitive to\r
+ *    overcurrent conditions as wake-up events.\r
+ */\r
+#define USBHSH_PORTSC1_WOO(x) (((uint32_t)(((uint32_t)(x)) << USBHSH_PORTSC1_WOO_SHIFT)) & USBHSH_PORTSC1_WOO_MASK)\r
+/*! @} */\r
+\r
+/*! @name ATLPTDD - Done map for each ATL PTD */\r
+/*! @{ */\r
+#define USBHSH_ATLPTDD_ATL_DONE_MASK (0xFFFFFFFFU)\r
+#define USBHSH_ATLPTDD_ATL_DONE_SHIFT (0U)\r
+/*! ATL_DONE - The bit corresponding to a certain PTD will be set to logic 1 as soon as that PTD execution is completed.\r
+ */\r
+#define USBHSH_ATLPTDD_ATL_DONE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSH_ATLPTDD_ATL_DONE_SHIFT)) & USBHSH_ATLPTDD_ATL_DONE_MASK)\r
 /*! @} */\r
 \r
-/*! @name PORTMODE - Controls the port if it is attached to the host block or the device block */\r
+/*! @name ATLPTDS - Skip map for each ATL PTD */\r
+/*! @{ */\r
+#define USBHSH_ATLPTDS_ATL_SKIP_MASK (0xFFFFFFFFU)\r
+#define USBHSH_ATLPTDS_ATL_SKIP_SHIFT (0U)\r
+/*! ATL_SKIP - When a bit in the PTD Skip Map is set to logic 1, the corresponding PTD will be\r
+ *    skipped, independent of the V bit setting.\r
+ */\r
+#define USBHSH_ATLPTDS_ATL_SKIP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSH_ATLPTDS_ATL_SKIP_SHIFT)) & USBHSH_ATLPTDS_ATL_SKIP_MASK)\r
+/*! @} */\r
+\r
+/*! @name ISOPTDD - Done map for each ISO PTD */\r
+/*! @{ */\r
+#define USBHSH_ISOPTDD_ISO_DONE_MASK (0xFFFFFFFFU)\r
+#define USBHSH_ISOPTDD_ISO_DONE_SHIFT (0U)\r
+/*! ISO_DONE - The bit corresponding to a certain PTD will be set to logic 1 as soon as that PTD execution is completed.\r
+ */\r
+#define USBHSH_ISOPTDD_ISO_DONE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSH_ISOPTDD_ISO_DONE_SHIFT)) & USBHSH_ISOPTDD_ISO_DONE_MASK)\r
+/*! @} */\r
+\r
+/*! @name ISOPTDS - Skip map for each ISO PTD */\r
+/*! @{ */\r
+#define USBHSH_ISOPTDS_ISO_SKIP_MASK (0xFFFFFFFFU)\r
+#define USBHSH_ISOPTDS_ISO_SKIP_SHIFT (0U)\r
+/*! ISO_SKIP - The bit corresponding to a certain PTD will be set to logic 1 as soon as that PTD execution is completed.\r
+ */\r
+#define USBHSH_ISOPTDS_ISO_SKIP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSH_ISOPTDS_ISO_SKIP_SHIFT)) & USBHSH_ISOPTDS_ISO_SKIP_MASK)\r
+/*! @} */\r
+\r
+/*! @name INTPTDD - Done map for each INT PTD */\r
+/*! @{ */\r
+#define USBHSH_INTPTDD_INT_DONE_MASK (0xFFFFFFFFU)\r
+#define USBHSH_INTPTDD_INT_DONE_SHIFT (0U)\r
+/*! INT_DONE - The bit corresponding to a certain PTD will be set to logic 1 as soon as that PTD execution is completed.\r
+ */\r
+#define USBHSH_INTPTDD_INT_DONE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSH_INTPTDD_INT_DONE_SHIFT)) & USBHSH_INTPTDD_INT_DONE_MASK)\r
+/*! @} */\r
+\r
+/*! @name INTPTDS - Skip map for each INT PTD */\r
+/*! @{ */\r
+#define USBHSH_INTPTDS_INT_SKIP_MASK (0xFFFFFFFFU)\r
+#define USBHSH_INTPTDS_INT_SKIP_SHIFT (0U)\r
+/*! INT_SKIP - When a bit in the PTD Skip Map is set to logic 1, the corresponding PTD will be\r
+ *    skipped, independent of the V bit setting.\r
+ */\r
+#define USBHSH_INTPTDS_INT_SKIP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSH_INTPTDS_INT_SKIP_SHIFT)) & USBHSH_INTPTDS_INT_SKIP_MASK)\r
+/*! @} */\r
+\r
+/*! @name LASTPTD - Marks the last PTD in the list for ISO, INT and ATL */\r
 /*! @{ */\r
-#define USBHSH_PORTMODE_ID0_MASK                 (0x1U)\r
-#define USBHSH_PORTMODE_ID0_SHIFT                (0U)\r
-#define USBHSH_PORTMODE_ID0(x)                   (((uint32_t)(((uint32_t)(x)) << USBHSH_PORTMODE_ID0_SHIFT)) & USBHSH_PORTMODE_ID0_MASK)\r
-#define USBHSH_PORTMODE_ID0_EN_MASK              (0x100U)\r
-#define USBHSH_PORTMODE_ID0_EN_SHIFT             (8U)\r
-#define USBHSH_PORTMODE_ID0_EN(x)                (((uint32_t)(((uint32_t)(x)) << USBHSH_PORTMODE_ID0_EN_SHIFT)) & USBHSH_PORTMODE_ID0_EN_MASK)\r
-#define USBHSH_PORTMODE_DEV_ENABLE_MASK          (0x10000U)\r
-#define USBHSH_PORTMODE_DEV_ENABLE_SHIFT         (16U)\r
-#define USBHSH_PORTMODE_DEV_ENABLE(x)            (((uint32_t)(((uint32_t)(x)) << USBHSH_PORTMODE_DEV_ENABLE_SHIFT)) & USBHSH_PORTMODE_DEV_ENABLE_MASK)\r
-#define USBHSH_PORTMODE_SW_CTRL_PDCOM_MASK       (0x40000U)\r
-#define USBHSH_PORTMODE_SW_CTRL_PDCOM_SHIFT      (18U)\r
-#define USBHSH_PORTMODE_SW_CTRL_PDCOM(x)         (((uint32_t)(((uint32_t)(x)) << USBHSH_PORTMODE_SW_CTRL_PDCOM_SHIFT)) & USBHSH_PORTMODE_SW_CTRL_PDCOM_MASK)\r
-#define USBHSH_PORTMODE_SW_PDCOM_MASK            (0x80000U)\r
-#define USBHSH_PORTMODE_SW_PDCOM_SHIFT           (19U)\r
-#define USBHSH_PORTMODE_SW_PDCOM(x)              (((uint32_t)(((uint32_t)(x)) << USBHSH_PORTMODE_SW_PDCOM_SHIFT)) & USBHSH_PORTMODE_SW_PDCOM_MASK)\r
+#define USBHSH_LASTPTD_ATL_LAST_MASK (0x1FU)\r
+#define USBHSH_LASTPTD_ATL_LAST_SHIFT (0U)\r
+/*! ATL_LAST - If hardware has reached this PTD and the J bit is not set, it will go to PTD0 as the next PTD to be\r
+ * processed.\r
+ */\r
+#define USBHSH_LASTPTD_ATL_LAST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSH_LASTPTD_ATL_LAST_SHIFT)) & USBHSH_LASTPTD_ATL_LAST_MASK)\r
+#define USBHSH_LASTPTD_ISO_LAST_MASK (0x1F00U)\r
+#define USBHSH_LASTPTD_ISO_LAST_SHIFT (8U)\r
+/*! ISO_LAST - This indicates the last PTD in the ISO list.\r
+ */\r
+#define USBHSH_LASTPTD_ISO_LAST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSH_LASTPTD_ISO_LAST_SHIFT)) & USBHSH_LASTPTD_ISO_LAST_MASK)\r
+#define USBHSH_LASTPTD_INT_LAST_MASK (0x1F0000U)\r
+#define USBHSH_LASTPTD_INT_LAST_SHIFT (16U)\r
+/*! INT_LAST - This indicates the last PTD in the INT list.\r
+ */\r
+#define USBHSH_LASTPTD_INT_LAST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSH_LASTPTD_INT_LAST_SHIFT)) & USBHSH_LASTPTD_INT_LAST_MASK)\r
 /*! @} */\r
 \r
+/*! @name PORTMODE - Controls the port if it is attached to the host block or the device block */\r
+/*! @{ */\r
+#define USBHSH_PORTMODE_DEV_ENABLE_MASK (0x10000U)\r
+#define USBHSH_PORTMODE_DEV_ENABLE_SHIFT (16U)\r
+/*! DEV_ENABLE - If this bit is set to one, one of the ports will behave as a USB device.\r
+ */\r
+#define USBHSH_PORTMODE_DEV_ENABLE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSH_PORTMODE_DEV_ENABLE_SHIFT)) & USBHSH_PORTMODE_DEV_ENABLE_MASK)\r
+#define USBHSH_PORTMODE_SW_CTRL_PDCOM_MASK (0x40000U)\r
+#define USBHSH_PORTMODE_SW_CTRL_PDCOM_SHIFT (18U)\r
+/*! SW_CTRL_PDCOM - This bit indicates if the PHY power-down input is controlled by software or by hardware.\r
+ */\r
+#define USBHSH_PORTMODE_SW_CTRL_PDCOM(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSH_PORTMODE_SW_CTRL_PDCOM_SHIFT)) & USBHSH_PORTMODE_SW_CTRL_PDCOM_MASK)\r
+#define USBHSH_PORTMODE_SW_PDCOM_MASK (0x80000U)\r
+#define USBHSH_PORTMODE_SW_PDCOM_SHIFT (19U)\r
+/*! SW_PDCOM - This bit is only used when SW_CTRL_PDCOM is set to 1b.\r
+ */\r
+#define USBHSH_PORTMODE_SW_PDCOM(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBHSH_PORTMODE_SW_PDCOM_SHIFT)) & USBHSH_PORTMODE_SW_PDCOM_MASK)\r
+/*! @} */\r
 \r
 /*!\r
  * @}\r
  */ /* end of group USBHSH_Register_Masks */\r
 \r
-\r
 /* USBHSH - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral USBHSH base address */\r
-  #define USBHSH_BASE                              (0x500A3000u)\r
-  /** Peripheral USBHSH base address */\r
-  #define USBHSH_BASE_NS                           (0x400A3000u)\r
-  /** Peripheral USBHSH base pointer */\r
-  #define USBHSH                                   ((USBHSH_Type *)USBHSH_BASE)\r
-  /** Peripheral USBHSH base pointer */\r
-  #define USBHSH_NS                                ((USBHSH_Type *)USBHSH_BASE_NS)\r
-  /** Array initializer of USBHSH peripheral base addresses */\r
-  #define USBHSH_BASE_ADDRS                        { USBHSH_BASE }\r
-  /** Array initializer of USBHSH peripheral base pointers */\r
-  #define USBHSH_BASE_PTRS                         { USBHSH }\r
-  /** Array initializer of USBHSH peripheral base addresses */\r
-  #define USBHSH_BASE_ADDRS_NS                     { USBHSH_BASE_NS }\r
-  /** Array initializer of USBHSH peripheral base pointers */\r
-  #define USBHSH_BASE_PTRS_NS                      { USBHSH_NS }\r
+/** Peripheral USBHSH base address */\r
+#define USBHSH_BASE (0x500A3000u)\r
+/** Peripheral USBHSH base address */\r
+#define USBHSH_BASE_NS (0x400A3000u)\r
+/** Peripheral USBHSH base pointer */\r
+#define USBHSH ((USBHSH_Type *)USBHSH_BASE)\r
+/** Peripheral USBHSH base pointer */\r
+#define USBHSH_NS ((USBHSH_Type *)USBHSH_BASE_NS)\r
+/** Array initializer of USBHSH peripheral base addresses */\r
+#define USBHSH_BASE_ADDRS \\r
+    {                     \\r
+        USBHSH_BASE       \\r
+    }\r
+/** Array initializer of USBHSH peripheral base pointers */\r
+#define USBHSH_BASE_PTRS \\r
+    {                    \\r
+        USBHSH           \\r
+    }\r
+/** Array initializer of USBHSH peripheral base addresses */\r
+#define USBHSH_BASE_ADDRS_NS \\r
+    {                        \\r
+        USBHSH_BASE_NS       \\r
+    }\r
+/** Array initializer of USBHSH peripheral base pointers */\r
+#define USBHSH_BASE_PTRS_NS \\r
+    {                       \\r
+        USBHSH_NS           \\r
+    }\r
 #else\r
-  /** Peripheral USBHSH base address */\r
-  #define USBHSH_BASE                              (0x400A3000u)\r
-  /** Peripheral USBHSH base pointer */\r
-  #define USBHSH                                   ((USBHSH_Type *)USBHSH_BASE)\r
-  /** Array initializer of USBHSH peripheral base addresses */\r
-  #define USBHSH_BASE_ADDRS                        { USBHSH_BASE }\r
-  /** Array initializer of USBHSH peripheral base pointers */\r
-  #define USBHSH_BASE_PTRS                         { USBHSH }\r
+/** Peripheral USBHSH base address */\r
+#define USBHSH_BASE (0x400A3000u)\r
+/** Peripheral USBHSH base pointer */\r
+#define USBHSH ((USBHSH_Type *)USBHSH_BASE)\r
+/** Array initializer of USBHSH peripheral base addresses */\r
+#define USBHSH_BASE_ADDRS \\r
+    {                     \\r
+        USBHSH_BASE       \\r
+    }\r
+/** Array initializer of USBHSH peripheral base pointers */\r
+#define USBHSH_BASE_PTRS \\r
+    {                    \\r
+        USBHSH           \\r
+    }\r
 #endif\r
 /** Interrupt vectors for the USBHSH peripheral type */\r
-#define USBHSH_IRQS                              { USB1_IRQn }\r
-#define USBHSH_NEEDCLK_IRQS                      { USB1_NEEDCLK_IRQn }\r
+#define USBHSH_IRQS \\r
+    {               \\r
+        USB1_IRQn   \\r
+    }\r
+#define USBHSH_NEEDCLK_IRQS \\r
+    {                       \\r
+        USB1_NEEDCLK_IRQn   \\r
+    }\r
 \r
 /*!\r
  * @}\r
  */ /* end of group USBHSH_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- USBPHY Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -22613,57 +28588,40 @@ typedef struct {
  */\r
 \r
 /** USBPHY - Register Layout Typedef */\r
-typedef struct {\r
-  __IO uint32_t PWD;                               /**< USB PHY Power-Down Register, offset: 0x0 */\r
-  __IO uint32_t PWD_SET;                           /**< USB PHY Power-Down Register, offset: 0x4 */\r
-  __IO uint32_t PWD_CLR;                           /**< USB PHY Power-Down Register, offset: 0x8 */\r
-  __IO uint32_t PWD_TOG;                           /**< USB PHY Power-Down Register, offset: 0xC */\r
-  __IO uint32_t TX;                                /**< USB PHY Transmitter Control Register, offset: 0x10 */\r
-  __IO uint32_t TX_SET;                            /**< USB PHY Transmitter Control Register, offset: 0x14 */\r
-  __IO uint32_t TX_CLR;                            /**< USB PHY Transmitter Control Register, offset: 0x18 */\r
-  __IO uint32_t TX_TOG;                            /**< USB PHY Transmitter Control Register, offset: 0x1C */\r
-  __IO uint32_t RX;                                /**< USB PHY Receiver Control Register, offset: 0x20 */\r
-  __IO uint32_t RX_SET;                            /**< USB PHY Receiver Control Register, offset: 0x24 */\r
-  __IO uint32_t RX_CLR;                            /**< USB PHY Receiver Control Register, offset: 0x28 */\r
-  __IO uint32_t RX_TOG;                            /**< USB PHY Receiver Control Register, offset: 0x2C */\r
-  __IO uint32_t CTRL;                              /**< USB PHY General Control Register, offset: 0x30 */\r
-  __IO uint32_t CTRL_SET;                          /**< USB PHY General Control Register, offset: 0x34 */\r
-  __IO uint32_t CTRL_CLR;                          /**< USB PHY General Control Register, offset: 0x38 */\r
-  __IO uint32_t CTRL_TOG;                          /**< USB PHY General Control Register, offset: 0x3C */\r
-  __IO uint32_t STATUS;                            /**< USB PHY Status Register, offset: 0x40 */\r
-       uint8_t RESERVED_0[12];\r
-  __IO uint32_t DEBUG0;                            /**< USB PHY Debug Register 0, offset: 0x50 */\r
-  __IO uint32_t DEBUG0_SET;                        /**< USB PHY Debug Register 0, offset: 0x54 */\r
-  __IO uint32_t DEBUG0_CLR;                        /**< USB PHY Debug Register 0, offset: 0x58 */\r
-  __IO uint32_t DEBUG0_TOG;                        /**< USB PHY Debug Register 0, offset: 0x5C */\r
-       uint8_t RESERVED_1[16];\r
-  __IO uint32_t DEBUG1;                            /**< UTMI Debug Status Register 1, offset: 0x70 */\r
-  __IO uint32_t DEBUG1_SET;                        /**< UTMI Debug Status Register 1, offset: 0x74 */\r
-  __IO uint32_t DEBUG1_CLR;                        /**< UTMI Debug Status Register 1, offset: 0x78 */\r
-  __IO uint32_t DEBUG1_TOG;                        /**< UTMI Debug Status Register 1, offset: 0x7C */\r
-  __I  uint32_t VERSION;                           /**< UTMI RTL Version, offset: 0x80 */\r
-       uint8_t RESERVED_2[28];\r
-  __IO uint32_t PLL_SIC;                           /**< USB PHY PLL Control/Status Register, offset: 0xA0 */\r
-  __IO uint32_t PLL_SIC_SET;                       /**< USB PHY PLL Control/Status Register, offset: 0xA4 */\r
-  __IO uint32_t PLL_SIC_CLR;                       /**< USB PHY PLL Control/Status Register, offset: 0xA8 */\r
-  __IO uint32_t PLL_SIC_TOG;                       /**< USB PHY PLL Control/Status Register, offset: 0xAC */\r
-       uint8_t RESERVED_3[16];\r
-  __IO uint32_t USB1_VBUS_DETECT;                  /**< USB PHY VBUS Detect Control Register, offset: 0xC0 */\r
-  __IO uint32_t USB1_VBUS_DETECT_SET;              /**< USB PHY VBUS Detect Control Register, offset: 0xC4 */\r
-  __IO uint32_t USB1_VBUS_DETECT_CLR;              /**< USB PHY VBUS Detect Control Register, offset: 0xC8 */\r
-  __IO uint32_t USB1_VBUS_DETECT_TOG;              /**< USB PHY VBUS Detect Control Register, offset: 0xCC */\r
-  __I  uint32_t USB1_VBUS_DET_STAT;                /**< USB PHY VBUS Detector Status Register, offset: 0xD0 */\r
-       uint8_t RESERVED_4[12];\r
-  __IO uint32_t USB1_CHRG_DETECT;                  /**< USB PHY Charger Detect Control Register, offset: 0xE0 */\r
-  __IO uint32_t USB1_CHRG_DETECT_SET;              /**< USB PHY Charger Detect Control Register, offset: 0xE4 */\r
-  __IO uint32_t USB1_CHRG_DETECT_CLR;              /**< USB PHY Charger Detect Control Register, offset: 0xE8 */\r
-  __IO uint32_t USB1_CHRG_DETECT_TOG;              /**< USB PHY Charger Detect Control Register, offset: 0xEC */\r
-  __I  uint32_t USB1_CHRG_DET_STAT;                /**< USB PHY Charger Detect Status Register, offset: 0xF0 */\r
-       uint8_t RESERVED_5[12];\r
-  __IO uint32_t ANACTRLr;                          /**< USB PHY Analog Control Register, offset: 0x100 */\r
-  __IO uint32_t ANACTRL_SET;                       /**< USB PHY Analog Control Register, offset: 0x104 */\r
-  __IO uint32_t ANACTRL_CLR;                       /**< USB PHY Analog Control Register, offset: 0x108 */\r
-  __IO uint32_t ANACTRL_TOG;                       /**< USB PHY Analog Control Register, offset: 0x10C */\r
+typedef struct\r
+{\r
+    __IO uint32_t PWD;      /**< USB PHY Power-Down Register, offset: 0x0 */\r
+    __IO uint32_t PWD_SET;  /**< USB PHY Power-Down Register, offset: 0x4 */\r
+    __IO uint32_t PWD_CLR;  /**< USB PHY Power-Down Register, offset: 0x8 */\r
+    __IO uint32_t PWD_TOG;  /**< USB PHY Power-Down Register, offset: 0xC */\r
+    __IO uint32_t TX;       /**< USB PHY Transmitter Control Register, offset: 0x10 */\r
+    __IO uint32_t TX_SET;   /**< USB PHY Transmitter Control Register, offset: 0x14 */\r
+    __IO uint32_t TX_CLR;   /**< USB PHY Transmitter Control Register, offset: 0x18 */\r
+    __IO uint32_t TX_TOG;   /**< USB PHY Transmitter Control Register, offset: 0x1C */\r
+    __IO uint32_t RX;       /**< USB PHY Receiver Control Register, offset: 0x20 */\r
+    __IO uint32_t RX_SET;   /**< USB PHY Receiver Control Register, offset: 0x24 */\r
+    __IO uint32_t RX_CLR;   /**< USB PHY Receiver Control Register, offset: 0x28 */\r
+    __IO uint32_t RX_TOG;   /**< USB PHY Receiver Control Register, offset: 0x2C */\r
+    __IO uint32_t CTRL;     /**< USB PHY General Control Register, offset: 0x30 */\r
+    __IO uint32_t CTRL_SET; /**< USB PHY General Control Register, offset: 0x34 */\r
+    __IO uint32_t CTRL_CLR; /**< USB PHY General Control Register, offset: 0x38 */\r
+    __IO uint32_t CTRL_TOG; /**< USB PHY General Control Register, offset: 0x3C */\r
+    __I uint32_t STATUS;    /**< USB PHY Status Register, offset: 0x40 */\r
+    uint8_t RESERVED_0[92];\r
+    __IO uint32_t PLL_SIC;     /**< USB PHY PLL Control/Status Register, offset: 0xA0 */\r
+    __IO uint32_t PLL_SIC_SET; /**< USB PHY PLL Control/Status Register, offset: 0xA4 */\r
+    __IO uint32_t PLL_SIC_CLR; /**< USB PHY PLL Control/Status Register, offset: 0xA8 */\r
+    __IO uint32_t PLL_SIC_TOG; /**< USB PHY PLL Control/Status Register, offset: 0xAC */\r
+    uint8_t RESERVED_1[16];\r
+    __IO uint32_t USB1_VBUS_DETECT;     /**< USB PHY VBUS Detect Control Register, offset: 0xC0 */\r
+    __IO uint32_t USB1_VBUS_DETECT_SET; /**< USB PHY VBUS Detect Control Register, offset: 0xC4 */\r
+    __IO uint32_t USB1_VBUS_DETECT_CLR; /**< USB PHY VBUS Detect Control Register, offset: 0xC8 */\r
+    __IO uint32_t USB1_VBUS_DETECT_TOG; /**< USB PHY VBUS Detect Control Register, offset: 0xCC */\r
+    uint8_t RESERVED_2[48];\r
+    __IO uint32_t ANACTRLr;    /**< USB PHY Analog Control Register, offset: 0x100 */\r
+    __IO uint32_t ANACTRL_SET; /**< USB PHY Analog Control Register, offset: 0x104 */\r
+    __IO uint32_t ANACTRL_CLR; /**< USB PHY Analog Control Register, offset: 0x108 */\r
+    __IO uint32_t ANACTRL_TOG; /**< USB PHY Analog Control Register, offset: 0x10C */\r
 } USBPHY_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -22677,316 +28635,354 @@ typedef struct {
 \r
 /*! @name PWD - USB PHY Power-Down Register */\r
 /*! @{ */\r
-#define USBPHY_PWD_TXPWDFS_MASK                  (0x400U)\r
-#define USBPHY_PWD_TXPWDFS_SHIFT                 (10U)\r
+#define USBPHY_PWD_TXPWDFS_MASK (0x400U)\r
+#define USBPHY_PWD_TXPWDFS_SHIFT (10U)\r
 /*! TXPWDFS\r
  *  0b0..Normal operation.\r
  *  0b1..Power-down the USB full-speed drivers. This turns off the current starvation sources and puts the\r
  */\r
-#define USBPHY_PWD_TXPWDFS(x)                    (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_TXPWDFS_SHIFT)) & USBPHY_PWD_TXPWDFS_MASK)\r
-#define USBPHY_PWD_TXPWDIBIAS_MASK               (0x800U)\r
-#define USBPHY_PWD_TXPWDIBIAS_SHIFT              (11U)\r
+#define USBPHY_PWD_TXPWDFS(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_TXPWDFS_SHIFT)) & USBPHY_PWD_TXPWDFS_MASK)\r
+#define USBPHY_PWD_TXPWDIBIAS_MASK (0x800U)\r
+#define USBPHY_PWD_TXPWDIBIAS_SHIFT (11U)\r
 /*! TXPWDIBIAS\r
  *  0b0..Normal operation.\r
  *  0b1..Power-down the USB PHY current bias block for the transmitter. This bit should be set only when the\r
  */\r
-#define USBPHY_PWD_TXPWDIBIAS(x)                 (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_TXPWDIBIAS_SHIFT)) & USBPHY_PWD_TXPWDIBIAS_MASK)\r
-#define USBPHY_PWD_TXPWDV2I_MASK                 (0x1000U)\r
-#define USBPHY_PWD_TXPWDV2I_SHIFT                (12U)\r
+#define USBPHY_PWD_TXPWDIBIAS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_TXPWDIBIAS_SHIFT)) & USBPHY_PWD_TXPWDIBIAS_MASK)\r
+#define USBPHY_PWD_TXPWDV2I_MASK (0x1000U)\r
+#define USBPHY_PWD_TXPWDV2I_SHIFT (12U)\r
 /*! TXPWDV2I\r
  *  0b0..Normal operation.\r
  *  0b1..Power-down the USB PHY transmit V-to-I converter and the current mirror\r
  */\r
-#define USBPHY_PWD_TXPWDV2I(x)                   (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_TXPWDV2I_SHIFT)) & USBPHY_PWD_TXPWDV2I_MASK)\r
-#define USBPHY_PWD_RXPWDENV_MASK                 (0x20000U)\r
-#define USBPHY_PWD_RXPWDENV_SHIFT                (17U)\r
+#define USBPHY_PWD_TXPWDV2I(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_TXPWDV2I_SHIFT)) & USBPHY_PWD_TXPWDV2I_MASK)\r
+#define USBPHY_PWD_RXPWDENV_MASK (0x20000U)\r
+#define USBPHY_PWD_RXPWDENV_SHIFT (17U)\r
 /*! RXPWDENV\r
  *  0b0..Normal operation.\r
  *  0b1..Power-down the USB high-speed receiver envelope detector (squelch signal)\r
  */\r
-#define USBPHY_PWD_RXPWDENV(x)                   (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_RXPWDENV_SHIFT)) & USBPHY_PWD_RXPWDENV_MASK)\r
-#define USBPHY_PWD_RXPWD1PT1_MASK                (0x40000U)\r
-#define USBPHY_PWD_RXPWD1PT1_SHIFT               (18U)\r
+#define USBPHY_PWD_RXPWDENV(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_RXPWDENV_SHIFT)) & USBPHY_PWD_RXPWDENV_MASK)\r
+#define USBPHY_PWD_RXPWD1PT1_MASK (0x40000U)\r
+#define USBPHY_PWD_RXPWD1PT1_SHIFT (18U)\r
 /*! RXPWD1PT1\r
  *  0b0..Normal operation.\r
  *  0b1..Power-down the USB full-speed differential receiver.\r
  */\r
-#define USBPHY_PWD_RXPWD1PT1(x)                  (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_RXPWD1PT1_SHIFT)) & USBPHY_PWD_RXPWD1PT1_MASK)\r
-#define USBPHY_PWD_RXPWDDIFF_MASK                (0x80000U)\r
-#define USBPHY_PWD_RXPWDDIFF_SHIFT               (19U)\r
+#define USBPHY_PWD_RXPWD1PT1(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_RXPWD1PT1_SHIFT)) & USBPHY_PWD_RXPWD1PT1_MASK)\r
+#define USBPHY_PWD_RXPWDDIFF_MASK (0x80000U)\r
+#define USBPHY_PWD_RXPWDDIFF_SHIFT (19U)\r
 /*! RXPWDDIFF\r
  *  0b0..Normal operation.\r
  *  0b1..Power-down the USB high-speed differential receive\r
  */\r
-#define USBPHY_PWD_RXPWDDIFF(x)                  (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_RXPWDDIFF_SHIFT)) & USBPHY_PWD_RXPWDDIFF_MASK)\r
-#define USBPHY_PWD_RXPWDRX_MASK                  (0x100000U)\r
-#define USBPHY_PWD_RXPWDRX_SHIFT                 (20U)\r
+#define USBPHY_PWD_RXPWDDIFF(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_RXPWDDIFF_SHIFT)) & USBPHY_PWD_RXPWDDIFF_MASK)\r
+#define USBPHY_PWD_RXPWDRX_MASK (0x100000U)\r
+#define USBPHY_PWD_RXPWDRX_SHIFT (20U)\r
 /*! RXPWDRX\r
  *  0b0..Normal operation.\r
  *  0b1..Power-down the entire USB PHY receiver block except for the full-speed differential receiver\r
  */\r
-#define USBPHY_PWD_RXPWDRX(x)                    (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_RXPWDRX_SHIFT)) & USBPHY_PWD_RXPWDRX_MASK)\r
+#define USBPHY_PWD_RXPWDRX(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_RXPWDRX_SHIFT)) & USBPHY_PWD_RXPWDRX_MASK)\r
 /*! @} */\r
 \r
 /*! @name PWD_SET - USB PHY Power-Down Register */\r
 /*! @{ */\r
-#define USBPHY_PWD_SET_TXPWDFS_MASK              (0x400U)\r
-#define USBPHY_PWD_SET_TXPWDFS_SHIFT             (10U)\r
+#define USBPHY_PWD_SET_TXPWDFS_MASK (0x400U)\r
+#define USBPHY_PWD_SET_TXPWDFS_SHIFT (10U)\r
 /*! TXPWDFS\r
  *  0b0..Normal operation.\r
  *  0b1..Power-down the USB full-speed drivers. This turns off the current starvation sources and puts the\r
  */\r
-#define USBPHY_PWD_SET_TXPWDFS(x)                (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_SET_TXPWDFS_SHIFT)) & USBPHY_PWD_SET_TXPWDFS_MASK)\r
-#define USBPHY_PWD_SET_TXPWDIBIAS_MASK           (0x800U)\r
-#define USBPHY_PWD_SET_TXPWDIBIAS_SHIFT          (11U)\r
+#define USBPHY_PWD_SET_TXPWDFS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_SET_TXPWDFS_SHIFT)) & USBPHY_PWD_SET_TXPWDFS_MASK)\r
+#define USBPHY_PWD_SET_TXPWDIBIAS_MASK (0x800U)\r
+#define USBPHY_PWD_SET_TXPWDIBIAS_SHIFT (11U)\r
 /*! TXPWDIBIAS\r
  *  0b0..Normal operation.\r
  *  0b1..Power-down the USB PHY current bias block for the transmitter. This bit should be set only when the\r
  */\r
-#define USBPHY_PWD_SET_TXPWDIBIAS(x)             (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_SET_TXPWDIBIAS_SHIFT)) & USBPHY_PWD_SET_TXPWDIBIAS_MASK)\r
-#define USBPHY_PWD_SET_TXPWDV2I_MASK             (0x1000U)\r
-#define USBPHY_PWD_SET_TXPWDV2I_SHIFT            (12U)\r
+#define USBPHY_PWD_SET_TXPWDIBIAS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_SET_TXPWDIBIAS_SHIFT)) & USBPHY_PWD_SET_TXPWDIBIAS_MASK)\r
+#define USBPHY_PWD_SET_TXPWDV2I_MASK (0x1000U)\r
+#define USBPHY_PWD_SET_TXPWDV2I_SHIFT (12U)\r
 /*! TXPWDV2I\r
  *  0b0..Normal operation.\r
  *  0b1..Power-down the USB PHY transmit V-to-I converter and the current mirror\r
  */\r
-#define USBPHY_PWD_SET_TXPWDV2I(x)               (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_SET_TXPWDV2I_SHIFT)) & USBPHY_PWD_SET_TXPWDV2I_MASK)\r
-#define USBPHY_PWD_SET_RXPWDENV_MASK             (0x20000U)\r
-#define USBPHY_PWD_SET_RXPWDENV_SHIFT            (17U)\r
+#define USBPHY_PWD_SET_TXPWDV2I(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_SET_TXPWDV2I_SHIFT)) & USBPHY_PWD_SET_TXPWDV2I_MASK)\r
+#define USBPHY_PWD_SET_RXPWDENV_MASK (0x20000U)\r
+#define USBPHY_PWD_SET_RXPWDENV_SHIFT (17U)\r
 /*! RXPWDENV\r
  *  0b0..Normal operation.\r
  *  0b1..Power-down the USB high-speed receiver envelope detector (squelch signal)\r
  */\r
-#define USBPHY_PWD_SET_RXPWDENV(x)               (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_SET_RXPWDENV_SHIFT)) & USBPHY_PWD_SET_RXPWDENV_MASK)\r
-#define USBPHY_PWD_SET_RXPWD1PT1_MASK            (0x40000U)\r
-#define USBPHY_PWD_SET_RXPWD1PT1_SHIFT           (18U)\r
+#define USBPHY_PWD_SET_RXPWDENV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_SET_RXPWDENV_SHIFT)) & USBPHY_PWD_SET_RXPWDENV_MASK)\r
+#define USBPHY_PWD_SET_RXPWD1PT1_MASK (0x40000U)\r
+#define USBPHY_PWD_SET_RXPWD1PT1_SHIFT (18U)\r
 /*! RXPWD1PT1\r
  *  0b0..Normal operation.\r
  *  0b1..Power-down the USB full-speed differential receiver.\r
  */\r
-#define USBPHY_PWD_SET_RXPWD1PT1(x)              (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_SET_RXPWD1PT1_SHIFT)) & USBPHY_PWD_SET_RXPWD1PT1_MASK)\r
-#define USBPHY_PWD_SET_RXPWDDIFF_MASK            (0x80000U)\r
-#define USBPHY_PWD_SET_RXPWDDIFF_SHIFT           (19U)\r
+#define USBPHY_PWD_SET_RXPWD1PT1(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_SET_RXPWD1PT1_SHIFT)) & USBPHY_PWD_SET_RXPWD1PT1_MASK)\r
+#define USBPHY_PWD_SET_RXPWDDIFF_MASK (0x80000U)\r
+#define USBPHY_PWD_SET_RXPWDDIFF_SHIFT (19U)\r
 /*! RXPWDDIFF\r
  *  0b0..Normal operation.\r
  *  0b1..Power-down the USB high-speed differential receive\r
  */\r
-#define USBPHY_PWD_SET_RXPWDDIFF(x)              (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_SET_RXPWDDIFF_SHIFT)) & USBPHY_PWD_SET_RXPWDDIFF_MASK)\r
-#define USBPHY_PWD_SET_RXPWDRX_MASK              (0x100000U)\r
-#define USBPHY_PWD_SET_RXPWDRX_SHIFT             (20U)\r
+#define USBPHY_PWD_SET_RXPWDDIFF(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_SET_RXPWDDIFF_SHIFT)) & USBPHY_PWD_SET_RXPWDDIFF_MASK)\r
+#define USBPHY_PWD_SET_RXPWDRX_MASK (0x100000U)\r
+#define USBPHY_PWD_SET_RXPWDRX_SHIFT (20U)\r
 /*! RXPWDRX\r
  *  0b0..Normal operation.\r
  *  0b1..Power-down the entire USB PHY receiver block except for the full-speed differential receiver\r
  */\r
-#define USBPHY_PWD_SET_RXPWDRX(x)                (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_SET_RXPWDRX_SHIFT)) & USBPHY_PWD_SET_RXPWDRX_MASK)\r
+#define USBPHY_PWD_SET_RXPWDRX(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_SET_RXPWDRX_SHIFT)) & USBPHY_PWD_SET_RXPWDRX_MASK)\r
 /*! @} */\r
 \r
 /*! @name PWD_CLR - USB PHY Power-Down Register */\r
 /*! @{ */\r
-#define USBPHY_PWD_CLR_TXPWDFS_MASK              (0x400U)\r
-#define USBPHY_PWD_CLR_TXPWDFS_SHIFT             (10U)\r
+#define USBPHY_PWD_CLR_TXPWDFS_MASK (0x400U)\r
+#define USBPHY_PWD_CLR_TXPWDFS_SHIFT (10U)\r
 /*! TXPWDFS\r
  *  0b0..Normal operation.\r
  *  0b1..Power-down the USB full-speed drivers. This turns off the current starvation sources and puts the\r
  */\r
-#define USBPHY_PWD_CLR_TXPWDFS(x)                (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_CLR_TXPWDFS_SHIFT)) & USBPHY_PWD_CLR_TXPWDFS_MASK)\r
-#define USBPHY_PWD_CLR_TXPWDIBIAS_MASK           (0x800U)\r
-#define USBPHY_PWD_CLR_TXPWDIBIAS_SHIFT          (11U)\r
+#define USBPHY_PWD_CLR_TXPWDFS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_CLR_TXPWDFS_SHIFT)) & USBPHY_PWD_CLR_TXPWDFS_MASK)\r
+#define USBPHY_PWD_CLR_TXPWDIBIAS_MASK (0x800U)\r
+#define USBPHY_PWD_CLR_TXPWDIBIAS_SHIFT (11U)\r
 /*! TXPWDIBIAS\r
  *  0b0..Normal operation.\r
  *  0b1..Power-down the USB PHY current bias block for the transmitter. This bit should be set only when the\r
  */\r
-#define USBPHY_PWD_CLR_TXPWDIBIAS(x)             (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_CLR_TXPWDIBIAS_SHIFT)) & USBPHY_PWD_CLR_TXPWDIBIAS_MASK)\r
-#define USBPHY_PWD_CLR_TXPWDV2I_MASK             (0x1000U)\r
-#define USBPHY_PWD_CLR_TXPWDV2I_SHIFT            (12U)\r
+#define USBPHY_PWD_CLR_TXPWDIBIAS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_CLR_TXPWDIBIAS_SHIFT)) & USBPHY_PWD_CLR_TXPWDIBIAS_MASK)\r
+#define USBPHY_PWD_CLR_TXPWDV2I_MASK (0x1000U)\r
+#define USBPHY_PWD_CLR_TXPWDV2I_SHIFT (12U)\r
 /*! TXPWDV2I\r
  *  0b0..Normal operation.\r
  *  0b1..Power-down the USB PHY transmit V-to-I converter and the current mirror\r
  */\r
-#define USBPHY_PWD_CLR_TXPWDV2I(x)               (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_CLR_TXPWDV2I_SHIFT)) & USBPHY_PWD_CLR_TXPWDV2I_MASK)\r
-#define USBPHY_PWD_CLR_RXPWDENV_MASK             (0x20000U)\r
-#define USBPHY_PWD_CLR_RXPWDENV_SHIFT            (17U)\r
+#define USBPHY_PWD_CLR_TXPWDV2I(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_CLR_TXPWDV2I_SHIFT)) & USBPHY_PWD_CLR_TXPWDV2I_MASK)\r
+#define USBPHY_PWD_CLR_RXPWDENV_MASK (0x20000U)\r
+#define USBPHY_PWD_CLR_RXPWDENV_SHIFT (17U)\r
 /*! RXPWDENV\r
  *  0b0..Normal operation.\r
  *  0b1..Power-down the USB high-speed receiver envelope detector (squelch signal)\r
  */\r
-#define USBPHY_PWD_CLR_RXPWDENV(x)               (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_CLR_RXPWDENV_SHIFT)) & USBPHY_PWD_CLR_RXPWDENV_MASK)\r
-#define USBPHY_PWD_CLR_RXPWD1PT1_MASK            (0x40000U)\r
-#define USBPHY_PWD_CLR_RXPWD1PT1_SHIFT           (18U)\r
+#define USBPHY_PWD_CLR_RXPWDENV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_CLR_RXPWDENV_SHIFT)) & USBPHY_PWD_CLR_RXPWDENV_MASK)\r
+#define USBPHY_PWD_CLR_RXPWD1PT1_MASK (0x40000U)\r
+#define USBPHY_PWD_CLR_RXPWD1PT1_SHIFT (18U)\r
 /*! RXPWD1PT1\r
  *  0b0..Normal operation.\r
  *  0b1..Power-down the USB full-speed differential receiver.\r
  */\r
-#define USBPHY_PWD_CLR_RXPWD1PT1(x)              (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_CLR_RXPWD1PT1_SHIFT)) & USBPHY_PWD_CLR_RXPWD1PT1_MASK)\r
-#define USBPHY_PWD_CLR_RXPWDDIFF_MASK            (0x80000U)\r
-#define USBPHY_PWD_CLR_RXPWDDIFF_SHIFT           (19U)\r
+#define USBPHY_PWD_CLR_RXPWD1PT1(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_CLR_RXPWD1PT1_SHIFT)) & USBPHY_PWD_CLR_RXPWD1PT1_MASK)\r
+#define USBPHY_PWD_CLR_RXPWDDIFF_MASK (0x80000U)\r
+#define USBPHY_PWD_CLR_RXPWDDIFF_SHIFT (19U)\r
 /*! RXPWDDIFF\r
  *  0b0..Normal operation.\r
  *  0b1..Power-down the USB high-speed differential receive\r
  */\r
-#define USBPHY_PWD_CLR_RXPWDDIFF(x)              (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_CLR_RXPWDDIFF_SHIFT)) & USBPHY_PWD_CLR_RXPWDDIFF_MASK)\r
-#define USBPHY_PWD_CLR_RXPWDRX_MASK              (0x100000U)\r
-#define USBPHY_PWD_CLR_RXPWDRX_SHIFT             (20U)\r
+#define USBPHY_PWD_CLR_RXPWDDIFF(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_CLR_RXPWDDIFF_SHIFT)) & USBPHY_PWD_CLR_RXPWDDIFF_MASK)\r
+#define USBPHY_PWD_CLR_RXPWDRX_MASK (0x100000U)\r
+#define USBPHY_PWD_CLR_RXPWDRX_SHIFT (20U)\r
 /*! RXPWDRX\r
  *  0b0..Normal operation.\r
  *  0b1..Power-down the entire USB PHY receiver block except for the full-speed differential receiver\r
  */\r
-#define USBPHY_PWD_CLR_RXPWDRX(x)                (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_CLR_RXPWDRX_SHIFT)) & USBPHY_PWD_CLR_RXPWDRX_MASK)\r
+#define USBPHY_PWD_CLR_RXPWDRX(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_CLR_RXPWDRX_SHIFT)) & USBPHY_PWD_CLR_RXPWDRX_MASK)\r
 /*! @} */\r
 \r
 /*! @name PWD_TOG - USB PHY Power-Down Register */\r
 /*! @{ */\r
-#define USBPHY_PWD_TOG_TXPWDFS_MASK              (0x400U)\r
-#define USBPHY_PWD_TOG_TXPWDFS_SHIFT             (10U)\r
+#define USBPHY_PWD_TOG_TXPWDFS_MASK (0x400U)\r
+#define USBPHY_PWD_TOG_TXPWDFS_SHIFT (10U)\r
 /*! TXPWDFS\r
  *  0b0..Normal operation.\r
  *  0b1..Power-down the USB full-speed drivers. This turns off the current starvation sources and puts the\r
  */\r
-#define USBPHY_PWD_TOG_TXPWDFS(x)                (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_TOG_TXPWDFS_SHIFT)) & USBPHY_PWD_TOG_TXPWDFS_MASK)\r
-#define USBPHY_PWD_TOG_TXPWDIBIAS_MASK           (0x800U)\r
-#define USBPHY_PWD_TOG_TXPWDIBIAS_SHIFT          (11U)\r
+#define USBPHY_PWD_TOG_TXPWDFS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_TOG_TXPWDFS_SHIFT)) & USBPHY_PWD_TOG_TXPWDFS_MASK)\r
+#define USBPHY_PWD_TOG_TXPWDIBIAS_MASK (0x800U)\r
+#define USBPHY_PWD_TOG_TXPWDIBIAS_SHIFT (11U)\r
 /*! TXPWDIBIAS\r
  *  0b0..Normal operation.\r
  *  0b1..Power-down the USB PHY current bias block for the transmitter. This bit should be set only when the\r
  */\r
-#define USBPHY_PWD_TOG_TXPWDIBIAS(x)             (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_TOG_TXPWDIBIAS_SHIFT)) & USBPHY_PWD_TOG_TXPWDIBIAS_MASK)\r
-#define USBPHY_PWD_TOG_TXPWDV2I_MASK             (0x1000U)\r
-#define USBPHY_PWD_TOG_TXPWDV2I_SHIFT            (12U)\r
+#define USBPHY_PWD_TOG_TXPWDIBIAS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_TOG_TXPWDIBIAS_SHIFT)) & USBPHY_PWD_TOG_TXPWDIBIAS_MASK)\r
+#define USBPHY_PWD_TOG_TXPWDV2I_MASK (0x1000U)\r
+#define USBPHY_PWD_TOG_TXPWDV2I_SHIFT (12U)\r
 /*! TXPWDV2I\r
  *  0b0..Normal operation.\r
  *  0b1..Power-down the USB PHY transmit V-to-I converter and the current mirror\r
  */\r
-#define USBPHY_PWD_TOG_TXPWDV2I(x)               (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_TOG_TXPWDV2I_SHIFT)) & USBPHY_PWD_TOG_TXPWDV2I_MASK)\r
-#define USBPHY_PWD_TOG_RXPWDENV_MASK             (0x20000U)\r
-#define USBPHY_PWD_TOG_RXPWDENV_SHIFT            (17U)\r
+#define USBPHY_PWD_TOG_TXPWDV2I(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_TOG_TXPWDV2I_SHIFT)) & USBPHY_PWD_TOG_TXPWDV2I_MASK)\r
+#define USBPHY_PWD_TOG_RXPWDENV_MASK (0x20000U)\r
+#define USBPHY_PWD_TOG_RXPWDENV_SHIFT (17U)\r
 /*! RXPWDENV\r
  *  0b0..Normal operation.\r
  *  0b1..Power-down the USB high-speed receiver envelope detector (squelch signal)\r
  */\r
-#define USBPHY_PWD_TOG_RXPWDENV(x)               (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_TOG_RXPWDENV_SHIFT)) & USBPHY_PWD_TOG_RXPWDENV_MASK)\r
-#define USBPHY_PWD_TOG_RXPWD1PT1_MASK            (0x40000U)\r
-#define USBPHY_PWD_TOG_RXPWD1PT1_SHIFT           (18U)\r
+#define USBPHY_PWD_TOG_RXPWDENV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_TOG_RXPWDENV_SHIFT)) & USBPHY_PWD_TOG_RXPWDENV_MASK)\r
+#define USBPHY_PWD_TOG_RXPWD1PT1_MASK (0x40000U)\r
+#define USBPHY_PWD_TOG_RXPWD1PT1_SHIFT (18U)\r
 /*! RXPWD1PT1\r
  *  0b0..Normal operation.\r
  *  0b1..Power-down the USB full-speed differential receiver.\r
  */\r
-#define USBPHY_PWD_TOG_RXPWD1PT1(x)              (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_TOG_RXPWD1PT1_SHIFT)) & USBPHY_PWD_TOG_RXPWD1PT1_MASK)\r
-#define USBPHY_PWD_TOG_RXPWDDIFF_MASK            (0x80000U)\r
-#define USBPHY_PWD_TOG_RXPWDDIFF_SHIFT           (19U)\r
+#define USBPHY_PWD_TOG_RXPWD1PT1(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_TOG_RXPWD1PT1_SHIFT)) & USBPHY_PWD_TOG_RXPWD1PT1_MASK)\r
+#define USBPHY_PWD_TOG_RXPWDDIFF_MASK (0x80000U)\r
+#define USBPHY_PWD_TOG_RXPWDDIFF_SHIFT (19U)\r
 /*! RXPWDDIFF\r
  *  0b0..Normal operation.\r
  *  0b1..Power-down the USB high-speed differential receive\r
  */\r
-#define USBPHY_PWD_TOG_RXPWDDIFF(x)              (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_TOG_RXPWDDIFF_SHIFT)) & USBPHY_PWD_TOG_RXPWDDIFF_MASK)\r
-#define USBPHY_PWD_TOG_RXPWDRX_MASK              (0x100000U)\r
-#define USBPHY_PWD_TOG_RXPWDRX_SHIFT             (20U)\r
+#define USBPHY_PWD_TOG_RXPWDDIFF(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_TOG_RXPWDDIFF_SHIFT)) & USBPHY_PWD_TOG_RXPWDDIFF_MASK)\r
+#define USBPHY_PWD_TOG_RXPWDRX_MASK (0x100000U)\r
+#define USBPHY_PWD_TOG_RXPWDRX_SHIFT (20U)\r
 /*! RXPWDRX\r
  *  0b0..Normal operation.\r
  *  0b1..Power-down the entire USB PHY receiver block except for the full-speed differential receiver\r
  */\r
-#define USBPHY_PWD_TOG_RXPWDRX(x)                (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_TOG_RXPWDRX_SHIFT)) & USBPHY_PWD_TOG_RXPWDRX_MASK)\r
+#define USBPHY_PWD_TOG_RXPWDRX(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PWD_TOG_RXPWDRX_SHIFT)) & USBPHY_PWD_TOG_RXPWDRX_MASK)\r
 /*! @} */\r
 \r
 /*! @name TX - USB PHY Transmitter Control Register */\r
 /*! @{ */\r
-#define USBPHY_TX_D_CAL_MASK                     (0xFU)\r
-#define USBPHY_TX_D_CAL_SHIFT                    (0U)\r
+#define USBPHY_TX_D_CAL_MASK (0xFU)\r
+#define USBPHY_TX_D_CAL_SHIFT (0U)\r
 /*! D_CAL\r
  *  0b0000..Maximum current, approximately 19% above nominal.\r
  *  0b0111..Nominal\r
  *  0b1111..Minimum current, approximately 19% below nominal.\r
  */\r
-#define USBPHY_TX_D_CAL(x)                       (((uint32_t)(((uint32_t)(x)) << USBPHY_TX_D_CAL_SHIFT)) & USBPHY_TX_D_CAL_MASK)\r
-#define USBPHY_TX_TXCAL45DM_MASK                 (0xF00U)\r
-#define USBPHY_TX_TXCAL45DM_SHIFT                (8U)\r
-#define USBPHY_TX_TXCAL45DM(x)                   (((uint32_t)(((uint32_t)(x)) << USBPHY_TX_TXCAL45DM_SHIFT)) & USBPHY_TX_TXCAL45DM_MASK)\r
-#define USBPHY_TX_TXENCAL45DN_MASK               (0x2000U)\r
-#define USBPHY_TX_TXENCAL45DN_SHIFT              (13U)\r
-#define USBPHY_TX_TXENCAL45DN(x)                 (((uint32_t)(((uint32_t)(x)) << USBPHY_TX_TXENCAL45DN_SHIFT)) & USBPHY_TX_TXENCAL45DN_MASK)\r
-#define USBPHY_TX_TXCAL45DP_MASK                 (0xF0000U)\r
-#define USBPHY_TX_TXCAL45DP_SHIFT                (16U)\r
-#define USBPHY_TX_TXCAL45DP(x)                   (((uint32_t)(((uint32_t)(x)) << USBPHY_TX_TXCAL45DP_SHIFT)) & USBPHY_TX_TXCAL45DP_MASK)\r
-#define USBPHY_TX_TXENCAL45DP_MASK               (0x200000U)\r
-#define USBPHY_TX_TXENCAL45DP_SHIFT              (21U)\r
-#define USBPHY_TX_TXENCAL45DP(x)                 (((uint32_t)(((uint32_t)(x)) << USBPHY_TX_TXENCAL45DP_SHIFT)) & USBPHY_TX_TXENCAL45DP_MASK)\r
+#define USBPHY_TX_D_CAL(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_TX_D_CAL_SHIFT)) & USBPHY_TX_D_CAL_MASK)\r
+#define USBPHY_TX_TXCAL45DM_MASK (0xF00U)\r
+#define USBPHY_TX_TXCAL45DM_SHIFT (8U)\r
+#define USBPHY_TX_TXCAL45DM(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_TX_TXCAL45DM_SHIFT)) & USBPHY_TX_TXCAL45DM_MASK)\r
+#define USBPHY_TX_TXENCAL45DN_MASK (0x2000U)\r
+#define USBPHY_TX_TXENCAL45DN_SHIFT (13U)\r
+#define USBPHY_TX_TXENCAL45DN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_TX_TXENCAL45DN_SHIFT)) & USBPHY_TX_TXENCAL45DN_MASK)\r
+#define USBPHY_TX_TXCAL45DP_MASK (0xF0000U)\r
+#define USBPHY_TX_TXCAL45DP_SHIFT (16U)\r
+#define USBPHY_TX_TXCAL45DP(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_TX_TXCAL45DP_SHIFT)) & USBPHY_TX_TXCAL45DP_MASK)\r
+#define USBPHY_TX_TXENCAL45DP_MASK (0x200000U)\r
+#define USBPHY_TX_TXENCAL45DP_SHIFT (21U)\r
+#define USBPHY_TX_TXENCAL45DP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_TX_TXENCAL45DP_SHIFT)) & USBPHY_TX_TXENCAL45DP_MASK)\r
 /*! @} */\r
 \r
 /*! @name TX_SET - USB PHY Transmitter Control Register */\r
 /*! @{ */\r
-#define USBPHY_TX_SET_D_CAL_MASK                 (0xFU)\r
-#define USBPHY_TX_SET_D_CAL_SHIFT                (0U)\r
+#define USBPHY_TX_SET_D_CAL_MASK (0xFU)\r
+#define USBPHY_TX_SET_D_CAL_SHIFT (0U)\r
 /*! D_CAL\r
  *  0b0000..Maximum current, approximately 19% above nominal.\r
  *  0b0111..Nominal\r
  *  0b1111..Minimum current, approximately 19% below nominal.\r
  */\r
-#define USBPHY_TX_SET_D_CAL(x)                   (((uint32_t)(((uint32_t)(x)) << USBPHY_TX_SET_D_CAL_SHIFT)) & USBPHY_TX_SET_D_CAL_MASK)\r
-#define USBPHY_TX_SET_TXCAL45DM_MASK             (0xF00U)\r
-#define USBPHY_TX_SET_TXCAL45DM_SHIFT            (8U)\r
-#define USBPHY_TX_SET_TXCAL45DM(x)               (((uint32_t)(((uint32_t)(x)) << USBPHY_TX_SET_TXCAL45DM_SHIFT)) & USBPHY_TX_SET_TXCAL45DM_MASK)\r
-#define USBPHY_TX_SET_TXENCAL45DN_MASK           (0x2000U)\r
-#define USBPHY_TX_SET_TXENCAL45DN_SHIFT          (13U)\r
-#define USBPHY_TX_SET_TXENCAL45DN(x)             (((uint32_t)(((uint32_t)(x)) << USBPHY_TX_SET_TXENCAL45DN_SHIFT)) & USBPHY_TX_SET_TXENCAL45DN_MASK)\r
-#define USBPHY_TX_SET_TXCAL45DP_MASK             (0xF0000U)\r
-#define USBPHY_TX_SET_TXCAL45DP_SHIFT            (16U)\r
-#define USBPHY_TX_SET_TXCAL45DP(x)               (((uint32_t)(((uint32_t)(x)) << USBPHY_TX_SET_TXCAL45DP_SHIFT)) & USBPHY_TX_SET_TXCAL45DP_MASK)\r
-#define USBPHY_TX_SET_TXENCAL45DP_MASK           (0x200000U)\r
-#define USBPHY_TX_SET_TXENCAL45DP_SHIFT          (21U)\r
-#define USBPHY_TX_SET_TXENCAL45DP(x)             (((uint32_t)(((uint32_t)(x)) << USBPHY_TX_SET_TXENCAL45DP_SHIFT)) & USBPHY_TX_SET_TXENCAL45DP_MASK)\r
+#define USBPHY_TX_SET_D_CAL(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_TX_SET_D_CAL_SHIFT)) & USBPHY_TX_SET_D_CAL_MASK)\r
+#define USBPHY_TX_SET_TXCAL45DM_MASK (0xF00U)\r
+#define USBPHY_TX_SET_TXCAL45DM_SHIFT (8U)\r
+#define USBPHY_TX_SET_TXCAL45DM(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_TX_SET_TXCAL45DM_SHIFT)) & USBPHY_TX_SET_TXCAL45DM_MASK)\r
+#define USBPHY_TX_SET_TXENCAL45DN_MASK (0x2000U)\r
+#define USBPHY_TX_SET_TXENCAL45DN_SHIFT (13U)\r
+#define USBPHY_TX_SET_TXENCAL45DN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_TX_SET_TXENCAL45DN_SHIFT)) & USBPHY_TX_SET_TXENCAL45DN_MASK)\r
+#define USBPHY_TX_SET_TXCAL45DP_MASK (0xF0000U)\r
+#define USBPHY_TX_SET_TXCAL45DP_SHIFT (16U)\r
+#define USBPHY_TX_SET_TXCAL45DP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_TX_SET_TXCAL45DP_SHIFT)) & USBPHY_TX_SET_TXCAL45DP_MASK)\r
+#define USBPHY_TX_SET_TXENCAL45DP_MASK (0x200000U)\r
+#define USBPHY_TX_SET_TXENCAL45DP_SHIFT (21U)\r
+#define USBPHY_TX_SET_TXENCAL45DP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_TX_SET_TXENCAL45DP_SHIFT)) & USBPHY_TX_SET_TXENCAL45DP_MASK)\r
 /*! @} */\r
 \r
 /*! @name TX_CLR - USB PHY Transmitter Control Register */\r
 /*! @{ */\r
-#define USBPHY_TX_CLR_D_CAL_MASK                 (0xFU)\r
-#define USBPHY_TX_CLR_D_CAL_SHIFT                (0U)\r
+#define USBPHY_TX_CLR_D_CAL_MASK (0xFU)\r
+#define USBPHY_TX_CLR_D_CAL_SHIFT (0U)\r
 /*! D_CAL\r
  *  0b0000..Maximum current, approximately 19% above nominal.\r
  *  0b0111..Nominal\r
  *  0b1111..Minimum current, approximately 19% below nominal.\r
  */\r
-#define USBPHY_TX_CLR_D_CAL(x)                   (((uint32_t)(((uint32_t)(x)) << USBPHY_TX_CLR_D_CAL_SHIFT)) & USBPHY_TX_CLR_D_CAL_MASK)\r
-#define USBPHY_TX_CLR_TXCAL45DM_MASK             (0xF00U)\r
-#define USBPHY_TX_CLR_TXCAL45DM_SHIFT            (8U)\r
-#define USBPHY_TX_CLR_TXCAL45DM(x)               (((uint32_t)(((uint32_t)(x)) << USBPHY_TX_CLR_TXCAL45DM_SHIFT)) & USBPHY_TX_CLR_TXCAL45DM_MASK)\r
-#define USBPHY_TX_CLR_TXENCAL45DN_MASK           (0x2000U)\r
-#define USBPHY_TX_CLR_TXENCAL45DN_SHIFT          (13U)\r
-#define USBPHY_TX_CLR_TXENCAL45DN(x)             (((uint32_t)(((uint32_t)(x)) << USBPHY_TX_CLR_TXENCAL45DN_SHIFT)) & USBPHY_TX_CLR_TXENCAL45DN_MASK)\r
-#define USBPHY_TX_CLR_TXCAL45DP_MASK             (0xF0000U)\r
-#define USBPHY_TX_CLR_TXCAL45DP_SHIFT            (16U)\r
-#define USBPHY_TX_CLR_TXCAL45DP(x)               (((uint32_t)(((uint32_t)(x)) << USBPHY_TX_CLR_TXCAL45DP_SHIFT)) & USBPHY_TX_CLR_TXCAL45DP_MASK)\r
-#define USBPHY_TX_CLR_TXENCAL45DP_MASK           (0x200000U)\r
-#define USBPHY_TX_CLR_TXENCAL45DP_SHIFT          (21U)\r
-#define USBPHY_TX_CLR_TXENCAL45DP(x)             (((uint32_t)(((uint32_t)(x)) << USBPHY_TX_CLR_TXENCAL45DP_SHIFT)) & USBPHY_TX_CLR_TXENCAL45DP_MASK)\r
+#define USBPHY_TX_CLR_D_CAL(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_TX_CLR_D_CAL_SHIFT)) & USBPHY_TX_CLR_D_CAL_MASK)\r
+#define USBPHY_TX_CLR_TXCAL45DM_MASK (0xF00U)\r
+#define USBPHY_TX_CLR_TXCAL45DM_SHIFT (8U)\r
+#define USBPHY_TX_CLR_TXCAL45DM(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_TX_CLR_TXCAL45DM_SHIFT)) & USBPHY_TX_CLR_TXCAL45DM_MASK)\r
+#define USBPHY_TX_CLR_TXENCAL45DN_MASK (0x2000U)\r
+#define USBPHY_TX_CLR_TXENCAL45DN_SHIFT (13U)\r
+#define USBPHY_TX_CLR_TXENCAL45DN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_TX_CLR_TXENCAL45DN_SHIFT)) & USBPHY_TX_CLR_TXENCAL45DN_MASK)\r
+#define USBPHY_TX_CLR_TXCAL45DP_MASK (0xF0000U)\r
+#define USBPHY_TX_CLR_TXCAL45DP_SHIFT (16U)\r
+#define USBPHY_TX_CLR_TXCAL45DP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_TX_CLR_TXCAL45DP_SHIFT)) & USBPHY_TX_CLR_TXCAL45DP_MASK)\r
+#define USBPHY_TX_CLR_TXENCAL45DP_MASK (0x200000U)\r
+#define USBPHY_TX_CLR_TXENCAL45DP_SHIFT (21U)\r
+#define USBPHY_TX_CLR_TXENCAL45DP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_TX_CLR_TXENCAL45DP_SHIFT)) & USBPHY_TX_CLR_TXENCAL45DP_MASK)\r
 /*! @} */\r
 \r
 /*! @name TX_TOG - USB PHY Transmitter Control Register */\r
 /*! @{ */\r
-#define USBPHY_TX_TOG_D_CAL_MASK                 (0xFU)\r
-#define USBPHY_TX_TOG_D_CAL_SHIFT                (0U)\r
+#define USBPHY_TX_TOG_D_CAL_MASK (0xFU)\r
+#define USBPHY_TX_TOG_D_CAL_SHIFT (0U)\r
 /*! D_CAL\r
  *  0b0000..Maximum current, approximately 19% above nominal.\r
  *  0b0111..Nominal\r
  *  0b1111..Minimum current, approximately 19% below nominal.\r
  */\r
-#define USBPHY_TX_TOG_D_CAL(x)                   (((uint32_t)(((uint32_t)(x)) << USBPHY_TX_TOG_D_CAL_SHIFT)) & USBPHY_TX_TOG_D_CAL_MASK)\r
-#define USBPHY_TX_TOG_TXCAL45DM_MASK             (0xF00U)\r
-#define USBPHY_TX_TOG_TXCAL45DM_SHIFT            (8U)\r
-#define USBPHY_TX_TOG_TXCAL45DM(x)               (((uint32_t)(((uint32_t)(x)) << USBPHY_TX_TOG_TXCAL45DM_SHIFT)) & USBPHY_TX_TOG_TXCAL45DM_MASK)\r
-#define USBPHY_TX_TOG_TXENCAL45DN_MASK           (0x2000U)\r
-#define USBPHY_TX_TOG_TXENCAL45DN_SHIFT          (13U)\r
-#define USBPHY_TX_TOG_TXENCAL45DN(x)             (((uint32_t)(((uint32_t)(x)) << USBPHY_TX_TOG_TXENCAL45DN_SHIFT)) & USBPHY_TX_TOG_TXENCAL45DN_MASK)\r
-#define USBPHY_TX_TOG_TXCAL45DP_MASK             (0xF0000U)\r
-#define USBPHY_TX_TOG_TXCAL45DP_SHIFT            (16U)\r
-#define USBPHY_TX_TOG_TXCAL45DP(x)               (((uint32_t)(((uint32_t)(x)) << USBPHY_TX_TOG_TXCAL45DP_SHIFT)) & USBPHY_TX_TOG_TXCAL45DP_MASK)\r
-#define USBPHY_TX_TOG_TXENCAL45DP_MASK           (0x200000U)\r
-#define USBPHY_TX_TOG_TXENCAL45DP_SHIFT          (21U)\r
-#define USBPHY_TX_TOG_TXENCAL45DP(x)             (((uint32_t)(((uint32_t)(x)) << USBPHY_TX_TOG_TXENCAL45DP_SHIFT)) & USBPHY_TX_TOG_TXENCAL45DP_MASK)\r
+#define USBPHY_TX_TOG_D_CAL(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_TX_TOG_D_CAL_SHIFT)) & USBPHY_TX_TOG_D_CAL_MASK)\r
+#define USBPHY_TX_TOG_TXCAL45DM_MASK (0xF00U)\r
+#define USBPHY_TX_TOG_TXCAL45DM_SHIFT (8U)\r
+#define USBPHY_TX_TOG_TXCAL45DM(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_TX_TOG_TXCAL45DM_SHIFT)) & USBPHY_TX_TOG_TXCAL45DM_MASK)\r
+#define USBPHY_TX_TOG_TXENCAL45DN_MASK (0x2000U)\r
+#define USBPHY_TX_TOG_TXENCAL45DN_SHIFT (13U)\r
+#define USBPHY_TX_TOG_TXENCAL45DN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_TX_TOG_TXENCAL45DN_SHIFT)) & USBPHY_TX_TOG_TXENCAL45DN_MASK)\r
+#define USBPHY_TX_TOG_TXCAL45DP_MASK (0xF0000U)\r
+#define USBPHY_TX_TOG_TXCAL45DP_SHIFT (16U)\r
+#define USBPHY_TX_TOG_TXCAL45DP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_TX_TOG_TXCAL45DP_SHIFT)) & USBPHY_TX_TOG_TXCAL45DP_MASK)\r
+#define USBPHY_TX_TOG_TXENCAL45DP_MASK (0x200000U)\r
+#define USBPHY_TX_TOG_TXENCAL45DP_SHIFT (21U)\r
+#define USBPHY_TX_TOG_TXENCAL45DP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_TX_TOG_TXENCAL45DP_SHIFT)) & USBPHY_TX_TOG_TXENCAL45DP_MASK)\r
 /*! @} */\r
 \r
 /*! @name RX - USB PHY Receiver Control Register */\r
 /*! @{ */\r
-#define USBPHY_RX_ENVADJ_MASK                    (0x7U)\r
-#define USBPHY_RX_ENVADJ_SHIFT                   (0U)\r
+#define USBPHY_RX_ENVADJ_MASK (0x7U)\r
+#define USBPHY_RX_ENVADJ_SHIFT (0U)\r
 /*! ENVADJ\r
  *  0b000..Trip-Level Voltage is 0.1000 V\r
  *  0b001..Trip-Level Voltage is 0.1125 V\r
@@ -22997,9 +28993,9 @@ typedef struct {
  *  0b110..reserved\r
  *  0b111..reserved\r
  */\r
-#define USBPHY_RX_ENVADJ(x)                      (((uint32_t)(((uint32_t)(x)) << USBPHY_RX_ENVADJ_SHIFT)) & USBPHY_RX_ENVADJ_MASK)\r
-#define USBPHY_RX_DISCONADJ_MASK                 (0x70U)\r
-#define USBPHY_RX_DISCONADJ_SHIFT                (4U)\r
+#define USBPHY_RX_ENVADJ(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_RX_ENVADJ_SHIFT)) & USBPHY_RX_ENVADJ_MASK)\r
+#define USBPHY_RX_DISCONADJ_MASK (0x70U)\r
+#define USBPHY_RX_DISCONADJ_SHIFT (4U)\r
 /*! DISCONADJ\r
  *  0b000..Trip-Level Voltage is 0.56875 V\r
  *  0b001..Trip-Level Voltage is 0.55000 V\r
@@ -23010,20 +29006,20 @@ typedef struct {
  *  0b110..reserved\r
  *  0b111..reserved\r
  */\r
-#define USBPHY_RX_DISCONADJ(x)                   (((uint32_t)(((uint32_t)(x)) << USBPHY_RX_DISCONADJ_SHIFT)) & USBPHY_RX_DISCONADJ_MASK)\r
-#define USBPHY_RX_RXDBYPASS_MASK                 (0x400000U)\r
-#define USBPHY_RX_RXDBYPASS_SHIFT                (22U)\r
+#define USBPHY_RX_DISCONADJ(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_RX_DISCONADJ_SHIFT)) & USBPHY_RX_DISCONADJ_MASK)\r
+#define USBPHY_RX_RXDBYPASS_MASK (0x400000U)\r
+#define USBPHY_RX_RXDBYPASS_SHIFT (22U)\r
 /*! RXDBYPASS\r
  *  0b0..Normal operation.\r
  *  0b1..Use the output of the USB_DP single-ended receiver in place of the full-speed differential receiver\r
  */\r
-#define USBPHY_RX_RXDBYPASS(x)                   (((uint32_t)(((uint32_t)(x)) << USBPHY_RX_RXDBYPASS_SHIFT)) & USBPHY_RX_RXDBYPASS_MASK)\r
+#define USBPHY_RX_RXDBYPASS(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_RX_RXDBYPASS_SHIFT)) & USBPHY_RX_RXDBYPASS_MASK)\r
 /*! @} */\r
 \r
 /*! @name RX_SET - USB PHY Receiver Control Register */\r
 /*! @{ */\r
-#define USBPHY_RX_SET_ENVADJ_MASK                (0x7U)\r
-#define USBPHY_RX_SET_ENVADJ_SHIFT               (0U)\r
+#define USBPHY_RX_SET_ENVADJ_MASK (0x7U)\r
+#define USBPHY_RX_SET_ENVADJ_SHIFT (0U)\r
 /*! ENVADJ\r
  *  0b000..Trip-Level Voltage is 0.1000 V\r
  *  0b001..Trip-Level Voltage is 0.1125 V\r
@@ -23034,9 +29030,10 @@ typedef struct {
  *  0b110..reserved\r
  *  0b111..reserved\r
  */\r
-#define USBPHY_RX_SET_ENVADJ(x)                  (((uint32_t)(((uint32_t)(x)) << USBPHY_RX_SET_ENVADJ_SHIFT)) & USBPHY_RX_SET_ENVADJ_MASK)\r
-#define USBPHY_RX_SET_DISCONADJ_MASK             (0x70U)\r
-#define USBPHY_RX_SET_DISCONADJ_SHIFT            (4U)\r
+#define USBPHY_RX_SET_ENVADJ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_RX_SET_ENVADJ_SHIFT)) & USBPHY_RX_SET_ENVADJ_MASK)\r
+#define USBPHY_RX_SET_DISCONADJ_MASK (0x70U)\r
+#define USBPHY_RX_SET_DISCONADJ_SHIFT (4U)\r
 /*! DISCONADJ\r
  *  0b000..Trip-Level Voltage is 0.56875 V\r
  *  0b001..Trip-Level Voltage is 0.55000 V\r
@@ -23047,20 +29044,22 @@ typedef struct {
  *  0b110..reserved\r
  *  0b111..reserved\r
  */\r
-#define USBPHY_RX_SET_DISCONADJ(x)               (((uint32_t)(((uint32_t)(x)) << USBPHY_RX_SET_DISCONADJ_SHIFT)) & USBPHY_RX_SET_DISCONADJ_MASK)\r
-#define USBPHY_RX_SET_RXDBYPASS_MASK             (0x400000U)\r
-#define USBPHY_RX_SET_RXDBYPASS_SHIFT            (22U)\r
+#define USBPHY_RX_SET_DISCONADJ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_RX_SET_DISCONADJ_SHIFT)) & USBPHY_RX_SET_DISCONADJ_MASK)\r
+#define USBPHY_RX_SET_RXDBYPASS_MASK (0x400000U)\r
+#define USBPHY_RX_SET_RXDBYPASS_SHIFT (22U)\r
 /*! RXDBYPASS\r
  *  0b0..Normal operation.\r
  *  0b1..Use the output of the USB_DP single-ended receiver in place of the full-speed differential receiver\r
  */\r
-#define USBPHY_RX_SET_RXDBYPASS(x)               (((uint32_t)(((uint32_t)(x)) << USBPHY_RX_SET_RXDBYPASS_SHIFT)) & USBPHY_RX_SET_RXDBYPASS_MASK)\r
+#define USBPHY_RX_SET_RXDBYPASS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_RX_SET_RXDBYPASS_SHIFT)) & USBPHY_RX_SET_RXDBYPASS_MASK)\r
 /*! @} */\r
 \r
 /*! @name RX_CLR - USB PHY Receiver Control Register */\r
 /*! @{ */\r
-#define USBPHY_RX_CLR_ENVADJ_MASK                (0x7U)\r
-#define USBPHY_RX_CLR_ENVADJ_SHIFT               (0U)\r
+#define USBPHY_RX_CLR_ENVADJ_MASK (0x7U)\r
+#define USBPHY_RX_CLR_ENVADJ_SHIFT (0U)\r
 /*! ENVADJ\r
  *  0b000..Trip-Level Voltage is 0.1000 V\r
  *  0b001..Trip-Level Voltage is 0.1125 V\r
@@ -23071,9 +29070,10 @@ typedef struct {
  *  0b110..reserved\r
  *  0b111..reserved\r
  */\r
-#define USBPHY_RX_CLR_ENVADJ(x)                  (((uint32_t)(((uint32_t)(x)) << USBPHY_RX_CLR_ENVADJ_SHIFT)) & USBPHY_RX_CLR_ENVADJ_MASK)\r
-#define USBPHY_RX_CLR_DISCONADJ_MASK             (0x70U)\r
-#define USBPHY_RX_CLR_DISCONADJ_SHIFT            (4U)\r
+#define USBPHY_RX_CLR_ENVADJ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_RX_CLR_ENVADJ_SHIFT)) & USBPHY_RX_CLR_ENVADJ_MASK)\r
+#define USBPHY_RX_CLR_DISCONADJ_MASK (0x70U)\r
+#define USBPHY_RX_CLR_DISCONADJ_SHIFT (4U)\r
 /*! DISCONADJ\r
  *  0b000..Trip-Level Voltage is 0.56875 V\r
  *  0b001..Trip-Level Voltage is 0.55000 V\r
@@ -23084,20 +29084,22 @@ typedef struct {
  *  0b110..reserved\r
  *  0b111..reserved\r
  */\r
-#define USBPHY_RX_CLR_DISCONADJ(x)               (((uint32_t)(((uint32_t)(x)) << USBPHY_RX_CLR_DISCONADJ_SHIFT)) & USBPHY_RX_CLR_DISCONADJ_MASK)\r
-#define USBPHY_RX_CLR_RXDBYPASS_MASK             (0x400000U)\r
-#define USBPHY_RX_CLR_RXDBYPASS_SHIFT            (22U)\r
+#define USBPHY_RX_CLR_DISCONADJ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_RX_CLR_DISCONADJ_SHIFT)) & USBPHY_RX_CLR_DISCONADJ_MASK)\r
+#define USBPHY_RX_CLR_RXDBYPASS_MASK (0x400000U)\r
+#define USBPHY_RX_CLR_RXDBYPASS_SHIFT (22U)\r
 /*! RXDBYPASS\r
  *  0b0..Normal operation.\r
  *  0b1..Use the output of the USB_DP single-ended receiver in place of the full-speed differential receiver\r
  */\r
-#define USBPHY_RX_CLR_RXDBYPASS(x)               (((uint32_t)(((uint32_t)(x)) << USBPHY_RX_CLR_RXDBYPASS_SHIFT)) & USBPHY_RX_CLR_RXDBYPASS_MASK)\r
+#define USBPHY_RX_CLR_RXDBYPASS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_RX_CLR_RXDBYPASS_SHIFT)) & USBPHY_RX_CLR_RXDBYPASS_MASK)\r
 /*! @} */\r
 \r
 /*! @name RX_TOG - USB PHY Receiver Control Register */\r
 /*! @{ */\r
-#define USBPHY_RX_TOG_ENVADJ_MASK                (0x7U)\r
-#define USBPHY_RX_TOG_ENVADJ_SHIFT               (0U)\r
+#define USBPHY_RX_TOG_ENVADJ_MASK (0x7U)\r
+#define USBPHY_RX_TOG_ENVADJ_SHIFT (0U)\r
 /*! ENVADJ\r
  *  0b000..Trip-Level Voltage is 0.1000 V\r
  *  0b001..Trip-Level Voltage is 0.1125 V\r
@@ -23108,9 +29110,10 @@ typedef struct {
  *  0b110..reserved\r
  *  0b111..reserved\r
  */\r
-#define USBPHY_RX_TOG_ENVADJ(x)                  (((uint32_t)(((uint32_t)(x)) << USBPHY_RX_TOG_ENVADJ_SHIFT)) & USBPHY_RX_TOG_ENVADJ_MASK)\r
-#define USBPHY_RX_TOG_DISCONADJ_MASK             (0x70U)\r
-#define USBPHY_RX_TOG_DISCONADJ_SHIFT            (4U)\r
+#define USBPHY_RX_TOG_ENVADJ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_RX_TOG_ENVADJ_SHIFT)) & USBPHY_RX_TOG_ENVADJ_MASK)\r
+#define USBPHY_RX_TOG_DISCONADJ_MASK (0x70U)\r
+#define USBPHY_RX_TOG_DISCONADJ_SHIFT (4U)\r
 /*! DISCONADJ\r
  *  0b000..Trip-Level Voltage is 0.56875 V\r
  *  0b001..Trip-Level Voltage is 0.55000 V\r
@@ -23121,524 +29124,505 @@ typedef struct {
  *  0b110..reserved\r
  *  0b111..reserved\r
  */\r
-#define USBPHY_RX_TOG_DISCONADJ(x)               (((uint32_t)(((uint32_t)(x)) << USBPHY_RX_TOG_DISCONADJ_SHIFT)) & USBPHY_RX_TOG_DISCONADJ_MASK)\r
-#define USBPHY_RX_TOG_RXDBYPASS_MASK             (0x400000U)\r
-#define USBPHY_RX_TOG_RXDBYPASS_SHIFT            (22U)\r
+#define USBPHY_RX_TOG_DISCONADJ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_RX_TOG_DISCONADJ_SHIFT)) & USBPHY_RX_TOG_DISCONADJ_MASK)\r
+#define USBPHY_RX_TOG_RXDBYPASS_MASK (0x400000U)\r
+#define USBPHY_RX_TOG_RXDBYPASS_SHIFT (22U)\r
 /*! RXDBYPASS\r
  *  0b0..Normal operation.\r
  *  0b1..Use the output of the USB_DP single-ended receiver in place of the full-speed differential receiver\r
  */\r
-#define USBPHY_RX_TOG_RXDBYPASS(x)               (((uint32_t)(((uint32_t)(x)) << USBPHY_RX_TOG_RXDBYPASS_SHIFT)) & USBPHY_RX_TOG_RXDBYPASS_MASK)\r
+#define USBPHY_RX_TOG_RXDBYPASS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_RX_TOG_RXDBYPASS_SHIFT)) & USBPHY_RX_TOG_RXDBYPASS_MASK)\r
 /*! @} */\r
 \r
 /*! @name CTRL - USB PHY General Control Register */\r
 /*! @{ */\r
-#define USBPHY_CTRL_ENHOSTDISCONDETECT_MASK      (0x2U)\r
-#define USBPHY_CTRL_ENHOSTDISCONDETECT_SHIFT     (1U)\r
-#define USBPHY_CTRL_ENHOSTDISCONDETECT(x)        (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_ENHOSTDISCONDETECT_SHIFT)) & USBPHY_CTRL_ENHOSTDISCONDETECT_MASK)\r
-#define USBPHY_CTRL_HOSTDISCONDETECT_IRQ_MASK    (0x8U)\r
-#define USBPHY_CTRL_HOSTDISCONDETECT_IRQ_SHIFT   (3U)\r
-#define USBPHY_CTRL_HOSTDISCONDETECT_IRQ(x)      (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_HOSTDISCONDETECT_IRQ_SHIFT)) & USBPHY_CTRL_HOSTDISCONDETECT_IRQ_MASK)\r
-#define USBPHY_CTRL_ENDEVPLUGINDET_MASK          (0x10U)\r
-#define USBPHY_CTRL_ENDEVPLUGINDET_SHIFT         (4U)\r
+#define USBPHY_CTRL_ENHOSTDISCONDETECT_MASK (0x2U)\r
+#define USBPHY_CTRL_ENHOSTDISCONDETECT_SHIFT (1U)\r
+#define USBPHY_CTRL_ENHOSTDISCONDETECT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_ENHOSTDISCONDETECT_SHIFT)) & USBPHY_CTRL_ENHOSTDISCONDETECT_MASK)\r
+#define USBPHY_CTRL_ENIRQHOSTDISCON_MASK (0x4U)\r
+#define USBPHY_CTRL_ENIRQHOSTDISCON_SHIFT (2U)\r
+#define USBPHY_CTRL_ENIRQHOSTDISCON(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_ENIRQHOSTDISCON_SHIFT)) & USBPHY_CTRL_ENIRQHOSTDISCON_MASK)\r
+#define USBPHY_CTRL_HOSTDISCONDETECT_IRQ_MASK (0x8U)\r
+#define USBPHY_CTRL_HOSTDISCONDETECT_IRQ_SHIFT (3U)\r
+#define USBPHY_CTRL_HOSTDISCONDETECT_IRQ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_HOSTDISCONDETECT_IRQ_SHIFT)) & USBPHY_CTRL_HOSTDISCONDETECT_IRQ_MASK)\r
+#define USBPHY_CTRL_ENDEVPLUGINDET_MASK (0x10U)\r
+#define USBPHY_CTRL_ENDEVPLUGINDET_SHIFT (4U)\r
 /*! ENDEVPLUGINDET\r
  *  0b0..Disables 200kohm pullup resistors on USB_DP and USB_DM pins (Default)\r
  *  0b1..Enables 200kohm pullup resistors on USB_DP and USB_DM pins\r
  */\r
-#define USBPHY_CTRL_ENDEVPLUGINDET(x)            (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_ENDEVPLUGINDET_SHIFT)) & USBPHY_CTRL_ENDEVPLUGINDET_MASK)\r
-#define USBPHY_CTRL_DEVPLUGIN_IRQ_MASK           (0x1000U)\r
-#define USBPHY_CTRL_DEVPLUGIN_IRQ_SHIFT          (12U)\r
-#define USBPHY_CTRL_DEVPLUGIN_IRQ(x)             (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_DEVPLUGIN_IRQ_SHIFT)) & USBPHY_CTRL_DEVPLUGIN_IRQ_MASK)\r
-#define USBPHY_CTRL_DATA_ON_LRADC_MASK           (0x2000U)\r
-#define USBPHY_CTRL_DATA_ON_LRADC_SHIFT          (13U)\r
-#define USBPHY_CTRL_DATA_ON_LRADC(x)             (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_DATA_ON_LRADC_SHIFT)) & USBPHY_CTRL_DATA_ON_LRADC_MASK)\r
-#define USBPHY_CTRL_ENUTMILEVEL2_MASK            (0x4000U)\r
-#define USBPHY_CTRL_ENUTMILEVEL2_SHIFT           (14U)\r
-#define USBPHY_CTRL_ENUTMILEVEL2(x)              (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_ENUTMILEVEL2_SHIFT)) & USBPHY_CTRL_ENUTMILEVEL2_MASK)\r
-#define USBPHY_CTRL_ENUTMILEVEL3_MASK            (0x8000U)\r
-#define USBPHY_CTRL_ENUTMILEVEL3_SHIFT           (15U)\r
-#define USBPHY_CTRL_ENUTMILEVEL3(x)              (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_ENUTMILEVEL3_SHIFT)) & USBPHY_CTRL_ENUTMILEVEL3_MASK)\r
-#define USBPHY_CTRL_AUTORESUME_EN_MASK           (0x40000U)\r
-#define USBPHY_CTRL_AUTORESUME_EN_SHIFT          (18U)\r
-#define USBPHY_CTRL_AUTORESUME_EN(x)             (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_AUTORESUME_EN_SHIFT)) & USBPHY_CTRL_AUTORESUME_EN_MASK)\r
-#define USBPHY_CTRL_ENAUTOCLR_CLKGATE_MASK       (0x80000U)\r
-#define USBPHY_CTRL_ENAUTOCLR_CLKGATE_SHIFT      (19U)\r
-#define USBPHY_CTRL_ENAUTOCLR_CLKGATE(x)         (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_ENAUTOCLR_CLKGATE_SHIFT)) & USBPHY_CTRL_ENAUTOCLR_CLKGATE_MASK)\r
-#define USBPHY_CTRL_ENAUTOCLR_PHY_PWD_MASK       (0x100000U)\r
-#define USBPHY_CTRL_ENAUTOCLR_PHY_PWD_SHIFT      (20U)\r
-#define USBPHY_CTRL_ENAUTOCLR_PHY_PWD(x)         (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_ENAUTOCLR_PHY_PWD_SHIFT)) & USBPHY_CTRL_ENAUTOCLR_PHY_PWD_MASK)\r
-#define USBPHY_CTRL_FSDLL_RST_EN_MASK            (0x1000000U)\r
-#define USBPHY_CTRL_FSDLL_RST_EN_SHIFT           (24U)\r
-#define USBPHY_CTRL_FSDLL_RST_EN(x)              (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_FSDLL_RST_EN_SHIFT)) & USBPHY_CTRL_FSDLL_RST_EN_MASK)\r
-#define USBPHY_CTRL_OTG_ID_VALUE_MASK            (0x8000000U)\r
-#define USBPHY_CTRL_OTG_ID_VALUE_SHIFT           (27U)\r
-#define USBPHY_CTRL_OTG_ID_VALUE(x)              (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_OTG_ID_VALUE_SHIFT)) & USBPHY_CTRL_OTG_ID_VALUE_MASK)\r
-#define USBPHY_CTRL_HOST_FORCE_LS_SE0_MASK       (0x10000000U)\r
-#define USBPHY_CTRL_HOST_FORCE_LS_SE0_SHIFT      (28U)\r
-#define USBPHY_CTRL_HOST_FORCE_LS_SE0(x)         (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_HOST_FORCE_LS_SE0_SHIFT)) & USBPHY_CTRL_HOST_FORCE_LS_SE0_MASK)\r
-#define USBPHY_CTRL_UTMI_SUSPENDM_MASK           (0x20000000U)\r
-#define USBPHY_CTRL_UTMI_SUSPENDM_SHIFT          (29U)\r
-#define USBPHY_CTRL_UTMI_SUSPENDM(x)             (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_UTMI_SUSPENDM_SHIFT)) & USBPHY_CTRL_UTMI_SUSPENDM_MASK)\r
-#define USBPHY_CTRL_CLKGATE_MASK                 (0x40000000U)\r
-#define USBPHY_CTRL_CLKGATE_SHIFT                (30U)\r
-#define USBPHY_CTRL_CLKGATE(x)                   (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_CLKGATE_SHIFT)) & USBPHY_CTRL_CLKGATE_MASK)\r
-#define USBPHY_CTRL_SFTRST_MASK                  (0x80000000U)\r
-#define USBPHY_CTRL_SFTRST_SHIFT                 (31U)\r
-#define USBPHY_CTRL_SFTRST(x)                    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_SFTRST_SHIFT)) & USBPHY_CTRL_SFTRST_MASK)\r
+#define USBPHY_CTRL_ENDEVPLUGINDET(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_ENDEVPLUGINDET_SHIFT)) & USBPHY_CTRL_ENDEVPLUGINDET_MASK)\r
+#define USBPHY_CTRL_DEVPLUGIN_POLARITY_MASK (0x20U)\r
+#define USBPHY_CTRL_DEVPLUGIN_POLARITY_SHIFT (5U)\r
+#define USBPHY_CTRL_DEVPLUGIN_POLARITY(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_DEVPLUGIN_POLARITY_SHIFT)) & USBPHY_CTRL_DEVPLUGIN_POLARITY_MASK)\r
+#define USBPHY_CTRL_RESUMEIRQSTICKY_MASK (0x100U)\r
+#define USBPHY_CTRL_RESUMEIRQSTICKY_SHIFT (8U)\r
+#define USBPHY_CTRL_RESUMEIRQSTICKY(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_RESUMEIRQSTICKY_SHIFT)) & USBPHY_CTRL_RESUMEIRQSTICKY_MASK)\r
+#define USBPHY_CTRL_ENIRQRESUMEDETECT_MASK (0x200U)\r
+#define USBPHY_CTRL_ENIRQRESUMEDETECT_SHIFT (9U)\r
+#define USBPHY_CTRL_ENIRQRESUMEDETECT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_ENIRQRESUMEDETECT_SHIFT)) & USBPHY_CTRL_ENIRQRESUMEDETECT_MASK)\r
+#define USBPHY_CTRL_RESUME_IRQ_MASK (0x400U)\r
+#define USBPHY_CTRL_RESUME_IRQ_SHIFT (10U)\r
+#define USBPHY_CTRL_RESUME_IRQ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_RESUME_IRQ_SHIFT)) & USBPHY_CTRL_RESUME_IRQ_MASK)\r
+#define USBPHY_CTRL_DEVPLUGIN_IRQ_MASK (0x1000U)\r
+#define USBPHY_CTRL_DEVPLUGIN_IRQ_SHIFT (12U)\r
+#define USBPHY_CTRL_DEVPLUGIN_IRQ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_DEVPLUGIN_IRQ_SHIFT)) & USBPHY_CTRL_DEVPLUGIN_IRQ_MASK)\r
+#define USBPHY_CTRL_ENUTMILEVEL2_MASK (0x4000U)\r
+#define USBPHY_CTRL_ENUTMILEVEL2_SHIFT (14U)\r
+#define USBPHY_CTRL_ENUTMILEVEL2(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_ENUTMILEVEL2_SHIFT)) & USBPHY_CTRL_ENUTMILEVEL2_MASK)\r
+#define USBPHY_CTRL_ENUTMILEVEL3_MASK (0x8000U)\r
+#define USBPHY_CTRL_ENUTMILEVEL3_SHIFT (15U)\r
+#define USBPHY_CTRL_ENUTMILEVEL3(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_ENUTMILEVEL3_SHIFT)) & USBPHY_CTRL_ENUTMILEVEL3_MASK)\r
+#define USBPHY_CTRL_ENIRQWAKEUP_MASK (0x10000U)\r
+#define USBPHY_CTRL_ENIRQWAKEUP_SHIFT (16U)\r
+#define USBPHY_CTRL_ENIRQWAKEUP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_ENIRQWAKEUP_SHIFT)) & USBPHY_CTRL_ENIRQWAKEUP_MASK)\r
+#define USBPHY_CTRL_WAKEUP_IRQ_MASK (0x20000U)\r
+#define USBPHY_CTRL_WAKEUP_IRQ_SHIFT (17U)\r
+#define USBPHY_CTRL_WAKEUP_IRQ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_WAKEUP_IRQ_SHIFT)) & USBPHY_CTRL_WAKEUP_IRQ_MASK)\r
+#define USBPHY_CTRL_AUTORESUME_EN_MASK (0x40000U)\r
+#define USBPHY_CTRL_AUTORESUME_EN_SHIFT (18U)\r
+#define USBPHY_CTRL_AUTORESUME_EN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_AUTORESUME_EN_SHIFT)) & USBPHY_CTRL_AUTORESUME_EN_MASK)\r
+#define USBPHY_CTRL_ENAUTOCLR_CLKGATE_MASK (0x80000U)\r
+#define USBPHY_CTRL_ENAUTOCLR_CLKGATE_SHIFT (19U)\r
+#define USBPHY_CTRL_ENAUTOCLR_CLKGATE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_ENAUTOCLR_CLKGATE_SHIFT)) & USBPHY_CTRL_ENAUTOCLR_CLKGATE_MASK)\r
+#define USBPHY_CTRL_ENAUTOCLR_PHY_PWD_MASK (0x100000U)\r
+#define USBPHY_CTRL_ENAUTOCLR_PHY_PWD_SHIFT (20U)\r
+#define USBPHY_CTRL_ENAUTOCLR_PHY_PWD(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_ENAUTOCLR_PHY_PWD_SHIFT)) & USBPHY_CTRL_ENAUTOCLR_PHY_PWD_MASK)\r
+#define USBPHY_CTRL_ENDPDMCHG_WKUP_MASK (0x200000U)\r
+#define USBPHY_CTRL_ENDPDMCHG_WKUP_SHIFT (21U)\r
+#define USBPHY_CTRL_ENDPDMCHG_WKUP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_ENDPDMCHG_WKUP_SHIFT)) & USBPHY_CTRL_ENDPDMCHG_WKUP_MASK)\r
+#define USBPHY_CTRL_ENVBUSCHG_WKUP_MASK (0x800000U)\r
+#define USBPHY_CTRL_ENVBUSCHG_WKUP_SHIFT (23U)\r
+#define USBPHY_CTRL_ENVBUSCHG_WKUP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_ENVBUSCHG_WKUP_SHIFT)) & USBPHY_CTRL_ENVBUSCHG_WKUP_MASK)\r
+#define USBPHY_CTRL_ENAUTOCLR_USBCLKGATE_MASK (0x2000000U)\r
+#define USBPHY_CTRL_ENAUTOCLR_USBCLKGATE_SHIFT (25U)\r
+#define USBPHY_CTRL_ENAUTOCLR_USBCLKGATE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_ENAUTOCLR_USBCLKGATE_SHIFT)) & USBPHY_CTRL_ENAUTOCLR_USBCLKGATE_MASK)\r
+#define USBPHY_CTRL_ENAUTOSET_USBCLKS_MASK (0x4000000U)\r
+#define USBPHY_CTRL_ENAUTOSET_USBCLKS_SHIFT (26U)\r
+#define USBPHY_CTRL_ENAUTOSET_USBCLKS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_ENAUTOSET_USBCLKS_SHIFT)) & USBPHY_CTRL_ENAUTOSET_USBCLKS_MASK)\r
+#define USBPHY_CTRL_HOST_FORCE_LS_SE0_MASK (0x10000000U)\r
+#define USBPHY_CTRL_HOST_FORCE_LS_SE0_SHIFT (28U)\r
+#define USBPHY_CTRL_HOST_FORCE_LS_SE0(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_HOST_FORCE_LS_SE0_SHIFT)) & USBPHY_CTRL_HOST_FORCE_LS_SE0_MASK)\r
+#define USBPHY_CTRL_UTMI_SUSPENDM_MASK (0x20000000U)\r
+#define USBPHY_CTRL_UTMI_SUSPENDM_SHIFT (29U)\r
+#define USBPHY_CTRL_UTMI_SUSPENDM(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_UTMI_SUSPENDM_SHIFT)) & USBPHY_CTRL_UTMI_SUSPENDM_MASK)\r
+#define USBPHY_CTRL_CLKGATE_MASK (0x40000000U)\r
+#define USBPHY_CTRL_CLKGATE_SHIFT (30U)\r
+#define USBPHY_CTRL_CLKGATE(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_CLKGATE_SHIFT)) & USBPHY_CTRL_CLKGATE_MASK)\r
+#define USBPHY_CTRL_SFTRST_MASK (0x80000000U)\r
+#define USBPHY_CTRL_SFTRST_SHIFT (31U)\r
+#define USBPHY_CTRL_SFTRST(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_SFTRST_SHIFT)) & USBPHY_CTRL_SFTRST_MASK)\r
 /*! @} */\r
 \r
 /*! @name CTRL_SET - USB PHY General Control Register */\r
 /*! @{ */\r
-#define USBPHY_CTRL_SET_ENHOSTDISCONDETECT_MASK  (0x2U)\r
+#define USBPHY_CTRL_SET_ENHOSTDISCONDETECT_MASK (0x2U)\r
 #define USBPHY_CTRL_SET_ENHOSTDISCONDETECT_SHIFT (1U)\r
-#define USBPHY_CTRL_SET_ENHOSTDISCONDETECT(x)    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_SET_ENHOSTDISCONDETECT_SHIFT)) & USBPHY_CTRL_SET_ENHOSTDISCONDETECT_MASK)\r
+#define USBPHY_CTRL_SET_ENHOSTDISCONDETECT(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_SET_ENHOSTDISCONDETECT_SHIFT)) & \\r
+     USBPHY_CTRL_SET_ENHOSTDISCONDETECT_MASK)\r
+#define USBPHY_CTRL_SET_ENIRQHOSTDISCON_MASK (0x4U)\r
+#define USBPHY_CTRL_SET_ENIRQHOSTDISCON_SHIFT (2U)\r
+#define USBPHY_CTRL_SET_ENIRQHOSTDISCON(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_SET_ENIRQHOSTDISCON_SHIFT)) & USBPHY_CTRL_SET_ENIRQHOSTDISCON_MASK)\r
 #define USBPHY_CTRL_SET_HOSTDISCONDETECT_IRQ_MASK (0x8U)\r
 #define USBPHY_CTRL_SET_HOSTDISCONDETECT_IRQ_SHIFT (3U)\r
-#define USBPHY_CTRL_SET_HOSTDISCONDETECT_IRQ(x)  (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_SET_HOSTDISCONDETECT_IRQ_SHIFT)) & USBPHY_CTRL_SET_HOSTDISCONDETECT_IRQ_MASK)\r
-#define USBPHY_CTRL_SET_ENDEVPLUGINDET_MASK      (0x10U)\r
-#define USBPHY_CTRL_SET_ENDEVPLUGINDET_SHIFT     (4U)\r
+#define USBPHY_CTRL_SET_HOSTDISCONDETECT_IRQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_SET_HOSTDISCONDETECT_IRQ_SHIFT)) & \\r
+     USBPHY_CTRL_SET_HOSTDISCONDETECT_IRQ_MASK)\r
+#define USBPHY_CTRL_SET_ENDEVPLUGINDET_MASK (0x10U)\r
+#define USBPHY_CTRL_SET_ENDEVPLUGINDET_SHIFT (4U)\r
 /*! ENDEVPLUGINDET\r
  *  0b0..Disables 200kohm pullup resistors on USB_DP and USB_DM pins (Default)\r
  *  0b1..Enables 200kohm pullup resistors on USB_DP and USB_DM pins\r
  */\r
-#define USBPHY_CTRL_SET_ENDEVPLUGINDET(x)        (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_SET_ENDEVPLUGINDET_SHIFT)) & USBPHY_CTRL_SET_ENDEVPLUGINDET_MASK)\r
-#define USBPHY_CTRL_SET_DEVPLUGIN_IRQ_MASK       (0x1000U)\r
-#define USBPHY_CTRL_SET_DEVPLUGIN_IRQ_SHIFT      (12U)\r
-#define USBPHY_CTRL_SET_DEVPLUGIN_IRQ(x)         (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_SET_DEVPLUGIN_IRQ_SHIFT)) & USBPHY_CTRL_SET_DEVPLUGIN_IRQ_MASK)\r
-#define USBPHY_CTRL_SET_ENUTMILEVEL2_MASK        (0x4000U)\r
-#define USBPHY_CTRL_SET_ENUTMILEVEL2_SHIFT       (14U)\r
-#define USBPHY_CTRL_SET_ENUTMILEVEL2(x)          (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_SET_ENUTMILEVEL2_SHIFT)) & USBPHY_CTRL_SET_ENUTMILEVEL2_MASK)\r
-#define USBPHY_CTRL_SET_ENUTMILEVEL3_MASK        (0x8000U)\r
-#define USBPHY_CTRL_SET_ENUTMILEVEL3_SHIFT       (15U)\r
-#define USBPHY_CTRL_SET_ENUTMILEVEL3(x)          (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_SET_ENUTMILEVEL3_SHIFT)) & USBPHY_CTRL_SET_ENUTMILEVEL3_MASK)\r
-#define USBPHY_CTRL_SET_AUTORESUME_EN_MASK       (0x40000U)\r
-#define USBPHY_CTRL_SET_AUTORESUME_EN_SHIFT      (18U)\r
-#define USBPHY_CTRL_SET_AUTORESUME_EN(x)         (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_SET_AUTORESUME_EN_SHIFT)) & USBPHY_CTRL_SET_AUTORESUME_EN_MASK)\r
-#define USBPHY_CTRL_SET_ENAUTOCLR_CLKGATE_MASK   (0x80000U)\r
-#define USBPHY_CTRL_SET_ENAUTOCLR_CLKGATE_SHIFT  (19U)\r
-#define USBPHY_CTRL_SET_ENAUTOCLR_CLKGATE(x)     (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_SET_ENAUTOCLR_CLKGATE_SHIFT)) & USBPHY_CTRL_SET_ENAUTOCLR_CLKGATE_MASK)\r
-#define USBPHY_CTRL_SET_ENAUTOCLR_PHY_PWD_MASK   (0x100000U)\r
-#define USBPHY_CTRL_SET_ENAUTOCLR_PHY_PWD_SHIFT  (20U)\r
-#define USBPHY_CTRL_SET_ENAUTOCLR_PHY_PWD(x)     (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_SET_ENAUTOCLR_PHY_PWD_SHIFT)) & USBPHY_CTRL_SET_ENAUTOCLR_PHY_PWD_MASK)\r
-#define USBPHY_CTRL_SET_FSDLL_RST_EN_MASK        (0x1000000U)\r
-#define USBPHY_CTRL_SET_FSDLL_RST_EN_SHIFT       (24U)\r
-#define USBPHY_CTRL_SET_FSDLL_RST_EN(x)          (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_SET_FSDLL_RST_EN_SHIFT)) & USBPHY_CTRL_SET_FSDLL_RST_EN_MASK)\r
-#define USBPHY_CTRL_SET_OTG_ID_VALUE_MASK        (0x8000000U)\r
-#define USBPHY_CTRL_SET_OTG_ID_VALUE_SHIFT       (27U)\r
-#define USBPHY_CTRL_SET_OTG_ID_VALUE(x)          (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_SET_OTG_ID_VALUE_SHIFT)) & USBPHY_CTRL_SET_OTG_ID_VALUE_MASK)\r
-#define USBPHY_CTRL_SET_HOST_FORCE_LS_SE0_MASK   (0x10000000U)\r
-#define USBPHY_CTRL_SET_HOST_FORCE_LS_SE0_SHIFT  (28U)\r
-#define USBPHY_CTRL_SET_HOST_FORCE_LS_SE0(x)     (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_SET_HOST_FORCE_LS_SE0_SHIFT)) & USBPHY_CTRL_SET_HOST_FORCE_LS_SE0_MASK)\r
-#define USBPHY_CTRL_SET_UTMI_SUSPENDM_MASK       (0x20000000U)\r
-#define USBPHY_CTRL_SET_UTMI_SUSPENDM_SHIFT      (29U)\r
-#define USBPHY_CTRL_SET_UTMI_SUSPENDM(x)         (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_SET_UTMI_SUSPENDM_SHIFT)) & USBPHY_CTRL_SET_UTMI_SUSPENDM_MASK)\r
-#define USBPHY_CTRL_SET_CLKGATE_MASK             (0x40000000U)\r
-#define USBPHY_CTRL_SET_CLKGATE_SHIFT            (30U)\r
-#define USBPHY_CTRL_SET_CLKGATE(x)               (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_SET_CLKGATE_SHIFT)) & USBPHY_CTRL_SET_CLKGATE_MASK)\r
-#define USBPHY_CTRL_SET_SFTRST_MASK              (0x80000000U)\r
-#define USBPHY_CTRL_SET_SFTRST_SHIFT             (31U)\r
-#define USBPHY_CTRL_SET_SFTRST(x)                (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_SET_SFTRST_SHIFT)) & USBPHY_CTRL_SET_SFTRST_MASK)\r
+#define USBPHY_CTRL_SET_ENDEVPLUGINDET(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_SET_ENDEVPLUGINDET_SHIFT)) & USBPHY_CTRL_SET_ENDEVPLUGINDET_MASK)\r
+#define USBPHY_CTRL_SET_DEVPLUGIN_POLARITY_MASK (0x20U)\r
+#define USBPHY_CTRL_SET_DEVPLUGIN_POLARITY_SHIFT (5U)\r
+#define USBPHY_CTRL_SET_DEVPLUGIN_POLARITY(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_SET_DEVPLUGIN_POLARITY_SHIFT)) & \\r
+     USBPHY_CTRL_SET_DEVPLUGIN_POLARITY_MASK)\r
+#define USBPHY_CTRL_SET_RESUMEIRQSTICKY_MASK (0x100U)\r
+#define USBPHY_CTRL_SET_RESUMEIRQSTICKY_SHIFT (8U)\r
+#define USBPHY_CTRL_SET_RESUMEIRQSTICKY(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_SET_RESUMEIRQSTICKY_SHIFT)) & USBPHY_CTRL_SET_RESUMEIRQSTICKY_MASK)\r
+#define USBPHY_CTRL_SET_ENIRQRESUMEDETECT_MASK (0x200U)\r
+#define USBPHY_CTRL_SET_ENIRQRESUMEDETECT_SHIFT (9U)\r
+#define USBPHY_CTRL_SET_ENIRQRESUMEDETECT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_SET_ENIRQRESUMEDETECT_SHIFT)) & USBPHY_CTRL_SET_ENIRQRESUMEDETECT_MASK)\r
+#define USBPHY_CTRL_SET_RESUME_IRQ_MASK (0x400U)\r
+#define USBPHY_CTRL_SET_RESUME_IRQ_SHIFT (10U)\r
+#define USBPHY_CTRL_SET_RESUME_IRQ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_SET_RESUME_IRQ_SHIFT)) & USBPHY_CTRL_SET_RESUME_IRQ_MASK)\r
+#define USBPHY_CTRL_SET_DEVPLUGIN_IRQ_MASK (0x1000U)\r
+#define USBPHY_CTRL_SET_DEVPLUGIN_IRQ_SHIFT (12U)\r
+#define USBPHY_CTRL_SET_DEVPLUGIN_IRQ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_SET_DEVPLUGIN_IRQ_SHIFT)) & USBPHY_CTRL_SET_DEVPLUGIN_IRQ_MASK)\r
+#define USBPHY_CTRL_SET_ENUTMILEVEL2_MASK (0x4000U)\r
+#define USBPHY_CTRL_SET_ENUTMILEVEL2_SHIFT (14U)\r
+#define USBPHY_CTRL_SET_ENUTMILEVEL2(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_SET_ENUTMILEVEL2_SHIFT)) & USBPHY_CTRL_SET_ENUTMILEVEL2_MASK)\r
+#define USBPHY_CTRL_SET_ENUTMILEVEL3_MASK (0x8000U)\r
+#define USBPHY_CTRL_SET_ENUTMILEVEL3_SHIFT (15U)\r
+#define USBPHY_CTRL_SET_ENUTMILEVEL3(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_SET_ENUTMILEVEL3_SHIFT)) & USBPHY_CTRL_SET_ENUTMILEVEL3_MASK)\r
+#define USBPHY_CTRL_SET_ENIRQWAKEUP_MASK (0x10000U)\r
+#define USBPHY_CTRL_SET_ENIRQWAKEUP_SHIFT (16U)\r
+#define USBPHY_CTRL_SET_ENIRQWAKEUP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_SET_ENIRQWAKEUP_SHIFT)) & USBPHY_CTRL_SET_ENIRQWAKEUP_MASK)\r
+#define USBPHY_CTRL_SET_WAKEUP_IRQ_MASK (0x20000U)\r
+#define USBPHY_CTRL_SET_WAKEUP_IRQ_SHIFT (17U)\r
+#define USBPHY_CTRL_SET_WAKEUP_IRQ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_SET_WAKEUP_IRQ_SHIFT)) & USBPHY_CTRL_SET_WAKEUP_IRQ_MASK)\r
+#define USBPHY_CTRL_SET_AUTORESUME_EN_MASK (0x40000U)\r
+#define USBPHY_CTRL_SET_AUTORESUME_EN_SHIFT (18U)\r
+#define USBPHY_CTRL_SET_AUTORESUME_EN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_SET_AUTORESUME_EN_SHIFT)) & USBPHY_CTRL_SET_AUTORESUME_EN_MASK)\r
+#define USBPHY_CTRL_SET_ENAUTOCLR_CLKGATE_MASK (0x80000U)\r
+#define USBPHY_CTRL_SET_ENAUTOCLR_CLKGATE_SHIFT (19U)\r
+#define USBPHY_CTRL_SET_ENAUTOCLR_CLKGATE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_SET_ENAUTOCLR_CLKGATE_SHIFT)) & USBPHY_CTRL_SET_ENAUTOCLR_CLKGATE_MASK)\r
+#define USBPHY_CTRL_SET_ENAUTOCLR_PHY_PWD_MASK (0x100000U)\r
+#define USBPHY_CTRL_SET_ENAUTOCLR_PHY_PWD_SHIFT (20U)\r
+#define USBPHY_CTRL_SET_ENAUTOCLR_PHY_PWD(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_SET_ENAUTOCLR_PHY_PWD_SHIFT)) & USBPHY_CTRL_SET_ENAUTOCLR_PHY_PWD_MASK)\r
+#define USBPHY_CTRL_SET_ENDPDMCHG_WKUP_MASK (0x200000U)\r
+#define USBPHY_CTRL_SET_ENDPDMCHG_WKUP_SHIFT (21U)\r
+#define USBPHY_CTRL_SET_ENDPDMCHG_WKUP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_SET_ENDPDMCHG_WKUP_SHIFT)) & USBPHY_CTRL_SET_ENDPDMCHG_WKUP_MASK)\r
+#define USBPHY_CTRL_SET_ENVBUSCHG_WKUP_MASK (0x800000U)\r
+#define USBPHY_CTRL_SET_ENVBUSCHG_WKUP_SHIFT (23U)\r
+#define USBPHY_CTRL_SET_ENVBUSCHG_WKUP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_SET_ENVBUSCHG_WKUP_SHIFT)) & USBPHY_CTRL_SET_ENVBUSCHG_WKUP_MASK)\r
+#define USBPHY_CTRL_SET_ENAUTOCLR_USBCLKGATE_MASK (0x2000000U)\r
+#define USBPHY_CTRL_SET_ENAUTOCLR_USBCLKGATE_SHIFT (25U)\r
+#define USBPHY_CTRL_SET_ENAUTOCLR_USBCLKGATE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_SET_ENAUTOCLR_USBCLKGATE_SHIFT)) & \\r
+     USBPHY_CTRL_SET_ENAUTOCLR_USBCLKGATE_MASK)\r
+#define USBPHY_CTRL_SET_ENAUTOSET_USBCLKS_MASK (0x4000000U)\r
+#define USBPHY_CTRL_SET_ENAUTOSET_USBCLKS_SHIFT (26U)\r
+#define USBPHY_CTRL_SET_ENAUTOSET_USBCLKS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_SET_ENAUTOSET_USBCLKS_SHIFT)) & USBPHY_CTRL_SET_ENAUTOSET_USBCLKS_MASK)\r
+#define USBPHY_CTRL_SET_HOST_FORCE_LS_SE0_MASK (0x10000000U)\r
+#define USBPHY_CTRL_SET_HOST_FORCE_LS_SE0_SHIFT (28U)\r
+#define USBPHY_CTRL_SET_HOST_FORCE_LS_SE0(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_SET_HOST_FORCE_LS_SE0_SHIFT)) & USBPHY_CTRL_SET_HOST_FORCE_LS_SE0_MASK)\r
+#define USBPHY_CTRL_SET_UTMI_SUSPENDM_MASK (0x20000000U)\r
+#define USBPHY_CTRL_SET_UTMI_SUSPENDM_SHIFT (29U)\r
+#define USBPHY_CTRL_SET_UTMI_SUSPENDM(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_SET_UTMI_SUSPENDM_SHIFT)) & USBPHY_CTRL_SET_UTMI_SUSPENDM_MASK)\r
+#define USBPHY_CTRL_SET_CLKGATE_MASK (0x40000000U)\r
+#define USBPHY_CTRL_SET_CLKGATE_SHIFT (30U)\r
+#define USBPHY_CTRL_SET_CLKGATE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_SET_CLKGATE_SHIFT)) & USBPHY_CTRL_SET_CLKGATE_MASK)\r
+#define USBPHY_CTRL_SET_SFTRST_MASK (0x80000000U)\r
+#define USBPHY_CTRL_SET_SFTRST_SHIFT (31U)\r
+#define USBPHY_CTRL_SET_SFTRST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_SET_SFTRST_SHIFT)) & USBPHY_CTRL_SET_SFTRST_MASK)\r
 /*! @} */\r
 \r
 /*! @name CTRL_CLR - USB PHY General Control Register */\r
 /*! @{ */\r
-#define USBPHY_CTRL_CLR_ENHOSTDISCONDETECT_MASK  (0x2U)\r
+#define USBPHY_CTRL_CLR_ENHOSTDISCONDETECT_MASK (0x2U)\r
 #define USBPHY_CTRL_CLR_ENHOSTDISCONDETECT_SHIFT (1U)\r
-#define USBPHY_CTRL_CLR_ENHOSTDISCONDETECT(x)    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_CLR_ENHOSTDISCONDETECT_SHIFT)) & USBPHY_CTRL_CLR_ENHOSTDISCONDETECT_MASK)\r
+#define USBPHY_CTRL_CLR_ENHOSTDISCONDETECT(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_CLR_ENHOSTDISCONDETECT_SHIFT)) & \\r
+     USBPHY_CTRL_CLR_ENHOSTDISCONDETECT_MASK)\r
+#define USBPHY_CTRL_CLR_ENIRQHOSTDISCON_MASK (0x4U)\r
+#define USBPHY_CTRL_CLR_ENIRQHOSTDISCON_SHIFT (2U)\r
+#define USBPHY_CTRL_CLR_ENIRQHOSTDISCON(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_CLR_ENIRQHOSTDISCON_SHIFT)) & USBPHY_CTRL_CLR_ENIRQHOSTDISCON_MASK)\r
 #define USBPHY_CTRL_CLR_HOSTDISCONDETECT_IRQ_MASK (0x8U)\r
 #define USBPHY_CTRL_CLR_HOSTDISCONDETECT_IRQ_SHIFT (3U)\r
-#define USBPHY_CTRL_CLR_HOSTDISCONDETECT_IRQ(x)  (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_CLR_HOSTDISCONDETECT_IRQ_SHIFT)) & USBPHY_CTRL_CLR_HOSTDISCONDETECT_IRQ_MASK)\r
-#define USBPHY_CTRL_CLR_ENDEVPLUGINDET_MASK      (0x10U)\r
-#define USBPHY_CTRL_CLR_ENDEVPLUGINDET_SHIFT     (4U)\r
+#define USBPHY_CTRL_CLR_HOSTDISCONDETECT_IRQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_CLR_HOSTDISCONDETECT_IRQ_SHIFT)) & \\r
+     USBPHY_CTRL_CLR_HOSTDISCONDETECT_IRQ_MASK)\r
+#define USBPHY_CTRL_CLR_ENDEVPLUGINDET_MASK (0x10U)\r
+#define USBPHY_CTRL_CLR_ENDEVPLUGINDET_SHIFT (4U)\r
 /*! ENDEVPLUGINDET\r
  *  0b0..Disables 200kohm pullup resistors on USB_DP and USB_DM pins (Default)\r
  *  0b1..Enables 200kohm pullup resistors on USB_DP and USB_DM pins\r
  */\r
-#define USBPHY_CTRL_CLR_ENDEVPLUGINDET(x)        (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_CLR_ENDEVPLUGINDET_SHIFT)) & USBPHY_CTRL_CLR_ENDEVPLUGINDET_MASK)\r
-#define USBPHY_CTRL_CLR_DEVPLUGIN_IRQ_MASK       (0x1000U)\r
-#define USBPHY_CTRL_CLR_DEVPLUGIN_IRQ_SHIFT      (12U)\r
-#define USBPHY_CTRL_CLR_DEVPLUGIN_IRQ(x)         (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_CLR_DEVPLUGIN_IRQ_SHIFT)) & USBPHY_CTRL_CLR_DEVPLUGIN_IRQ_MASK)\r
-#define USBPHY_CTRL_CLR_ENUTMILEVEL2_MASK        (0x4000U)\r
-#define USBPHY_CTRL_CLR_ENUTMILEVEL2_SHIFT       (14U)\r
-#define USBPHY_CTRL_CLR_ENUTMILEVEL2(x)          (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_CLR_ENUTMILEVEL2_SHIFT)) & USBPHY_CTRL_CLR_ENUTMILEVEL2_MASK)\r
-#define USBPHY_CTRL_CLR_ENUTMILEVEL3_MASK        (0x8000U)\r
-#define USBPHY_CTRL_CLR_ENUTMILEVEL3_SHIFT       (15U)\r
-#define USBPHY_CTRL_CLR_ENUTMILEVEL3(x)          (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_CLR_ENUTMILEVEL3_SHIFT)) & USBPHY_CTRL_CLR_ENUTMILEVEL3_MASK)\r
-#define USBPHY_CTRL_CLR_AUTORESUME_EN_MASK       (0x40000U)\r
-#define USBPHY_CTRL_CLR_AUTORESUME_EN_SHIFT      (18U)\r
-#define USBPHY_CTRL_CLR_AUTORESUME_EN(x)         (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_CLR_AUTORESUME_EN_SHIFT)) & USBPHY_CTRL_CLR_AUTORESUME_EN_MASK)\r
-#define USBPHY_CTRL_CLR_ENAUTOCLR_CLKGATE_MASK   (0x80000U)\r
-#define USBPHY_CTRL_CLR_ENAUTOCLR_CLKGATE_SHIFT  (19U)\r
-#define USBPHY_CTRL_CLR_ENAUTOCLR_CLKGATE(x)     (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_CLR_ENAUTOCLR_CLKGATE_SHIFT)) & USBPHY_CTRL_CLR_ENAUTOCLR_CLKGATE_MASK)\r
-#define USBPHY_CTRL_CLR_ENAUTOCLR_PHY_PWD_MASK   (0x100000U)\r
-#define USBPHY_CTRL_CLR_ENAUTOCLR_PHY_PWD_SHIFT  (20U)\r
-#define USBPHY_CTRL_CLR_ENAUTOCLR_PHY_PWD(x)     (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_CLR_ENAUTOCLR_PHY_PWD_SHIFT)) & USBPHY_CTRL_CLR_ENAUTOCLR_PHY_PWD_MASK)\r
-#define USBPHY_CTRL_CLR_FSDLL_RST_EN_MASK        (0x1000000U)\r
-#define USBPHY_CTRL_CLR_FSDLL_RST_EN_SHIFT       (24U)\r
-#define USBPHY_CTRL_CLR_FSDLL_RST_EN(x)          (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_CLR_FSDLL_RST_EN_SHIFT)) & USBPHY_CTRL_CLR_FSDLL_RST_EN_MASK)\r
-#define USBPHY_CTRL_CLR_OTG_ID_VALUE_MASK        (0x8000000U)\r
-#define USBPHY_CTRL_CLR_OTG_ID_VALUE_SHIFT       (27U)\r
-#define USBPHY_CTRL_CLR_OTG_ID_VALUE(x)          (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_CLR_OTG_ID_VALUE_SHIFT)) & USBPHY_CTRL_CLR_OTG_ID_VALUE_MASK)\r
-#define USBPHY_CTRL_CLR_HOST_FORCE_LS_SE0_MASK   (0x10000000U)\r
-#define USBPHY_CTRL_CLR_HOST_FORCE_LS_SE0_SHIFT  (28U)\r
-#define USBPHY_CTRL_CLR_HOST_FORCE_LS_SE0(x)     (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_CLR_HOST_FORCE_LS_SE0_SHIFT)) & USBPHY_CTRL_CLR_HOST_FORCE_LS_SE0_MASK)\r
-#define USBPHY_CTRL_CLR_UTMI_SUSPENDM_MASK       (0x20000000U)\r
-#define USBPHY_CTRL_CLR_UTMI_SUSPENDM_SHIFT      (29U)\r
-#define USBPHY_CTRL_CLR_UTMI_SUSPENDM(x)         (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_CLR_UTMI_SUSPENDM_SHIFT)) & USBPHY_CTRL_CLR_UTMI_SUSPENDM_MASK)\r
-#define USBPHY_CTRL_CLR_CLKGATE_MASK             (0x40000000U)\r
-#define USBPHY_CTRL_CLR_CLKGATE_SHIFT            (30U)\r
-#define USBPHY_CTRL_CLR_CLKGATE(x)               (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_CLR_CLKGATE_SHIFT)) & USBPHY_CTRL_CLR_CLKGATE_MASK)\r
-#define USBPHY_CTRL_CLR_SFTRST_MASK              (0x80000000U)\r
-#define USBPHY_CTRL_CLR_SFTRST_SHIFT             (31U)\r
-#define USBPHY_CTRL_CLR_SFTRST(x)                (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_CLR_SFTRST_SHIFT)) & USBPHY_CTRL_CLR_SFTRST_MASK)\r
+#define USBPHY_CTRL_CLR_ENDEVPLUGINDET(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_CLR_ENDEVPLUGINDET_SHIFT)) & USBPHY_CTRL_CLR_ENDEVPLUGINDET_MASK)\r
+#define USBPHY_CTRL_CLR_DEVPLUGIN_POLARITY_MASK (0x20U)\r
+#define USBPHY_CTRL_CLR_DEVPLUGIN_POLARITY_SHIFT (5U)\r
+#define USBPHY_CTRL_CLR_DEVPLUGIN_POLARITY(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_CLR_DEVPLUGIN_POLARITY_SHIFT)) & \\r
+     USBPHY_CTRL_CLR_DEVPLUGIN_POLARITY_MASK)\r
+#define USBPHY_CTRL_CLR_RESUMEIRQSTICKY_MASK (0x100U)\r
+#define USBPHY_CTRL_CLR_RESUMEIRQSTICKY_SHIFT (8U)\r
+#define USBPHY_CTRL_CLR_RESUMEIRQSTICKY(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_CLR_RESUMEIRQSTICKY_SHIFT)) & USBPHY_CTRL_CLR_RESUMEIRQSTICKY_MASK)\r
+#define USBPHY_CTRL_CLR_ENIRQRESUMEDETECT_MASK (0x200U)\r
+#define USBPHY_CTRL_CLR_ENIRQRESUMEDETECT_SHIFT (9U)\r
+#define USBPHY_CTRL_CLR_ENIRQRESUMEDETECT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_CLR_ENIRQRESUMEDETECT_SHIFT)) & USBPHY_CTRL_CLR_ENIRQRESUMEDETECT_MASK)\r
+#define USBPHY_CTRL_CLR_RESUME_IRQ_MASK (0x400U)\r
+#define USBPHY_CTRL_CLR_RESUME_IRQ_SHIFT (10U)\r
+#define USBPHY_CTRL_CLR_RESUME_IRQ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_CLR_RESUME_IRQ_SHIFT)) & USBPHY_CTRL_CLR_RESUME_IRQ_MASK)\r
+#define USBPHY_CTRL_CLR_DEVPLUGIN_IRQ_MASK (0x1000U)\r
+#define USBPHY_CTRL_CLR_DEVPLUGIN_IRQ_SHIFT (12U)\r
+#define USBPHY_CTRL_CLR_DEVPLUGIN_IRQ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_CLR_DEVPLUGIN_IRQ_SHIFT)) & USBPHY_CTRL_CLR_DEVPLUGIN_IRQ_MASK)\r
+#define USBPHY_CTRL_CLR_ENUTMILEVEL2_MASK (0x4000U)\r
+#define USBPHY_CTRL_CLR_ENUTMILEVEL2_SHIFT (14U)\r
+#define USBPHY_CTRL_CLR_ENUTMILEVEL2(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_CLR_ENUTMILEVEL2_SHIFT)) & USBPHY_CTRL_CLR_ENUTMILEVEL2_MASK)\r
+#define USBPHY_CTRL_CLR_ENUTMILEVEL3_MASK (0x8000U)\r
+#define USBPHY_CTRL_CLR_ENUTMILEVEL3_SHIFT (15U)\r
+#define USBPHY_CTRL_CLR_ENUTMILEVEL3(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_CLR_ENUTMILEVEL3_SHIFT)) & USBPHY_CTRL_CLR_ENUTMILEVEL3_MASK)\r
+#define USBPHY_CTRL_CLR_ENIRQWAKEUP_MASK (0x10000U)\r
+#define USBPHY_CTRL_CLR_ENIRQWAKEUP_SHIFT (16U)\r
+#define USBPHY_CTRL_CLR_ENIRQWAKEUP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_CLR_ENIRQWAKEUP_SHIFT)) & USBPHY_CTRL_CLR_ENIRQWAKEUP_MASK)\r
+#define USBPHY_CTRL_CLR_WAKEUP_IRQ_MASK (0x20000U)\r
+#define USBPHY_CTRL_CLR_WAKEUP_IRQ_SHIFT (17U)\r
+#define USBPHY_CTRL_CLR_WAKEUP_IRQ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_CLR_WAKEUP_IRQ_SHIFT)) & USBPHY_CTRL_CLR_WAKEUP_IRQ_MASK)\r
+#define USBPHY_CTRL_CLR_AUTORESUME_EN_MASK (0x40000U)\r
+#define USBPHY_CTRL_CLR_AUTORESUME_EN_SHIFT (18U)\r
+#define USBPHY_CTRL_CLR_AUTORESUME_EN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_CLR_AUTORESUME_EN_SHIFT)) & USBPHY_CTRL_CLR_AUTORESUME_EN_MASK)\r
+#define USBPHY_CTRL_CLR_ENAUTOCLR_CLKGATE_MASK (0x80000U)\r
+#define USBPHY_CTRL_CLR_ENAUTOCLR_CLKGATE_SHIFT (19U)\r
+#define USBPHY_CTRL_CLR_ENAUTOCLR_CLKGATE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_CLR_ENAUTOCLR_CLKGATE_SHIFT)) & USBPHY_CTRL_CLR_ENAUTOCLR_CLKGATE_MASK)\r
+#define USBPHY_CTRL_CLR_ENAUTOCLR_PHY_PWD_MASK (0x100000U)\r
+#define USBPHY_CTRL_CLR_ENAUTOCLR_PHY_PWD_SHIFT (20U)\r
+#define USBPHY_CTRL_CLR_ENAUTOCLR_PHY_PWD(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_CLR_ENAUTOCLR_PHY_PWD_SHIFT)) & USBPHY_CTRL_CLR_ENAUTOCLR_PHY_PWD_MASK)\r
+#define USBPHY_CTRL_CLR_ENDPDMCHG_WKUP_MASK (0x200000U)\r
+#define USBPHY_CTRL_CLR_ENDPDMCHG_WKUP_SHIFT (21U)\r
+#define USBPHY_CTRL_CLR_ENDPDMCHG_WKUP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_CLR_ENDPDMCHG_WKUP_SHIFT)) & USBPHY_CTRL_CLR_ENDPDMCHG_WKUP_MASK)\r
+#define USBPHY_CTRL_CLR_ENVBUSCHG_WKUP_MASK (0x800000U)\r
+#define USBPHY_CTRL_CLR_ENVBUSCHG_WKUP_SHIFT (23U)\r
+#define USBPHY_CTRL_CLR_ENVBUSCHG_WKUP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_CLR_ENVBUSCHG_WKUP_SHIFT)) & USBPHY_CTRL_CLR_ENVBUSCHG_WKUP_MASK)\r
+#define USBPHY_CTRL_CLR_ENAUTOCLR_USBCLKGATE_MASK (0x2000000U)\r
+#define USBPHY_CTRL_CLR_ENAUTOCLR_USBCLKGATE_SHIFT (25U)\r
+#define USBPHY_CTRL_CLR_ENAUTOCLR_USBCLKGATE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_CLR_ENAUTOCLR_USBCLKGATE_SHIFT)) & \\r
+     USBPHY_CTRL_CLR_ENAUTOCLR_USBCLKGATE_MASK)\r
+#define USBPHY_CTRL_CLR_ENAUTOSET_USBCLKS_MASK (0x4000000U)\r
+#define USBPHY_CTRL_CLR_ENAUTOSET_USBCLKS_SHIFT (26U)\r
+#define USBPHY_CTRL_CLR_ENAUTOSET_USBCLKS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_CLR_ENAUTOSET_USBCLKS_SHIFT)) & USBPHY_CTRL_CLR_ENAUTOSET_USBCLKS_MASK)\r
+#define USBPHY_CTRL_CLR_HOST_FORCE_LS_SE0_MASK (0x10000000U)\r
+#define USBPHY_CTRL_CLR_HOST_FORCE_LS_SE0_SHIFT (28U)\r
+#define USBPHY_CTRL_CLR_HOST_FORCE_LS_SE0(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_CLR_HOST_FORCE_LS_SE0_SHIFT)) & USBPHY_CTRL_CLR_HOST_FORCE_LS_SE0_MASK)\r
+#define USBPHY_CTRL_CLR_UTMI_SUSPENDM_MASK (0x20000000U)\r
+#define USBPHY_CTRL_CLR_UTMI_SUSPENDM_SHIFT (29U)\r
+#define USBPHY_CTRL_CLR_UTMI_SUSPENDM(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_CLR_UTMI_SUSPENDM_SHIFT)) & USBPHY_CTRL_CLR_UTMI_SUSPENDM_MASK)\r
+#define USBPHY_CTRL_CLR_CLKGATE_MASK (0x40000000U)\r
+#define USBPHY_CTRL_CLR_CLKGATE_SHIFT (30U)\r
+#define USBPHY_CTRL_CLR_CLKGATE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_CLR_CLKGATE_SHIFT)) & USBPHY_CTRL_CLR_CLKGATE_MASK)\r
+#define USBPHY_CTRL_CLR_SFTRST_MASK (0x80000000U)\r
+#define USBPHY_CTRL_CLR_SFTRST_SHIFT (31U)\r
+#define USBPHY_CTRL_CLR_SFTRST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_CLR_SFTRST_SHIFT)) & USBPHY_CTRL_CLR_SFTRST_MASK)\r
 /*! @} */\r
 \r
 /*! @name CTRL_TOG - USB PHY General Control Register */\r
 /*! @{ */\r
-#define USBPHY_CTRL_TOG_ENHOSTDISCONDETECT_MASK  (0x2U)\r
+#define USBPHY_CTRL_TOG_ENHOSTDISCONDETECT_MASK (0x2U)\r
 #define USBPHY_CTRL_TOG_ENHOSTDISCONDETECT_SHIFT (1U)\r
-#define USBPHY_CTRL_TOG_ENHOSTDISCONDETECT(x)    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_TOG_ENHOSTDISCONDETECT_SHIFT)) & USBPHY_CTRL_TOG_ENHOSTDISCONDETECT_MASK)\r
+#define USBPHY_CTRL_TOG_ENHOSTDISCONDETECT(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_TOG_ENHOSTDISCONDETECT_SHIFT)) & \\r
+     USBPHY_CTRL_TOG_ENHOSTDISCONDETECT_MASK)\r
+#define USBPHY_CTRL_TOG_ENIRQHOSTDISCON_MASK (0x4U)\r
+#define USBPHY_CTRL_TOG_ENIRQHOSTDISCON_SHIFT (2U)\r
+#define USBPHY_CTRL_TOG_ENIRQHOSTDISCON(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_TOG_ENIRQHOSTDISCON_SHIFT)) & USBPHY_CTRL_TOG_ENIRQHOSTDISCON_MASK)\r
 #define USBPHY_CTRL_TOG_HOSTDISCONDETECT_IRQ_MASK (0x8U)\r
 #define USBPHY_CTRL_TOG_HOSTDISCONDETECT_IRQ_SHIFT (3U)\r
-#define USBPHY_CTRL_TOG_HOSTDISCONDETECT_IRQ(x)  (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_TOG_HOSTDISCONDETECT_IRQ_SHIFT)) & USBPHY_CTRL_TOG_HOSTDISCONDETECT_IRQ_MASK)\r
-#define USBPHY_CTRL_TOG_ENDEVPLUGINDET_MASK      (0x10U)\r
-#define USBPHY_CTRL_TOG_ENDEVPLUGINDET_SHIFT     (4U)\r
+#define USBPHY_CTRL_TOG_HOSTDISCONDETECT_IRQ(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_TOG_HOSTDISCONDETECT_IRQ_SHIFT)) & \\r
+     USBPHY_CTRL_TOG_HOSTDISCONDETECT_IRQ_MASK)\r
+#define USBPHY_CTRL_TOG_ENDEVPLUGINDET_MASK (0x10U)\r
+#define USBPHY_CTRL_TOG_ENDEVPLUGINDET_SHIFT (4U)\r
 /*! ENDEVPLUGINDET\r
  *  0b0..Disables 200kohm pullup resistors on USB_DP and USB_DM pins (Default)\r
  *  0b1..Enables 200kohm pullup resistors on USB_DP and USB_DM pins\r
  */\r
-#define USBPHY_CTRL_TOG_ENDEVPLUGINDET(x)        (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_TOG_ENDEVPLUGINDET_SHIFT)) & USBPHY_CTRL_TOG_ENDEVPLUGINDET_MASK)\r
-#define USBPHY_CTRL_TOG_DEVPLUGIN_IRQ_MASK       (0x1000U)\r
-#define USBPHY_CTRL_TOG_DEVPLUGIN_IRQ_SHIFT      (12U)\r
-#define USBPHY_CTRL_TOG_DEVPLUGIN_IRQ(x)         (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_TOG_DEVPLUGIN_IRQ_SHIFT)) & USBPHY_CTRL_TOG_DEVPLUGIN_IRQ_MASK)\r
-#define USBPHY_CTRL_TOG_ENUTMILEVEL2_MASK        (0x4000U)\r
-#define USBPHY_CTRL_TOG_ENUTMILEVEL2_SHIFT       (14U)\r
-#define USBPHY_CTRL_TOG_ENUTMILEVEL2(x)          (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_TOG_ENUTMILEVEL2_SHIFT)) & USBPHY_CTRL_TOG_ENUTMILEVEL2_MASK)\r
-#define USBPHY_CTRL_TOG_ENUTMILEVEL3_MASK        (0x8000U)\r
-#define USBPHY_CTRL_TOG_ENUTMILEVEL3_SHIFT       (15U)\r
-#define USBPHY_CTRL_TOG_ENUTMILEVEL3(x)          (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_TOG_ENUTMILEVEL3_SHIFT)) & USBPHY_CTRL_TOG_ENUTMILEVEL3_MASK)\r
-#define USBPHY_CTRL_TOG_AUTORESUME_EN_MASK       (0x40000U)\r
-#define USBPHY_CTRL_TOG_AUTORESUME_EN_SHIFT      (18U)\r
-#define USBPHY_CTRL_TOG_AUTORESUME_EN(x)         (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_TOG_AUTORESUME_EN_SHIFT)) & USBPHY_CTRL_TOG_AUTORESUME_EN_MASK)\r
-#define USBPHY_CTRL_TOG_ENAUTOCLR_CLKGATE_MASK   (0x80000U)\r
-#define USBPHY_CTRL_TOG_ENAUTOCLR_CLKGATE_SHIFT  (19U)\r
-#define USBPHY_CTRL_TOG_ENAUTOCLR_CLKGATE(x)     (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_TOG_ENAUTOCLR_CLKGATE_SHIFT)) & USBPHY_CTRL_TOG_ENAUTOCLR_CLKGATE_MASK)\r
-#define USBPHY_CTRL_TOG_ENAUTOCLR_PHY_PWD_MASK   (0x100000U)\r
-#define USBPHY_CTRL_TOG_ENAUTOCLR_PHY_PWD_SHIFT  (20U)\r
-#define USBPHY_CTRL_TOG_ENAUTOCLR_PHY_PWD(x)     (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_TOG_ENAUTOCLR_PHY_PWD_SHIFT)) & USBPHY_CTRL_TOG_ENAUTOCLR_PHY_PWD_MASK)\r
-#define USBPHY_CTRL_TOG_FSDLL_RST_EN_MASK        (0x1000000U)\r
-#define USBPHY_CTRL_TOG_FSDLL_RST_EN_SHIFT       (24U)\r
-#define USBPHY_CTRL_TOG_FSDLL_RST_EN(x)          (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_TOG_FSDLL_RST_EN_SHIFT)) & USBPHY_CTRL_TOG_FSDLL_RST_EN_MASK)\r
-#define USBPHY_CTRL_TOG_OTG_ID_VALUE_MASK        (0x8000000U)\r
-#define USBPHY_CTRL_TOG_OTG_ID_VALUE_SHIFT       (27U)\r
-#define USBPHY_CTRL_TOG_OTG_ID_VALUE(x)          (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_TOG_OTG_ID_VALUE_SHIFT)) & USBPHY_CTRL_TOG_OTG_ID_VALUE_MASK)\r
-#define USBPHY_CTRL_TOG_HOST_FORCE_LS_SE0_MASK   (0x10000000U)\r
-#define USBPHY_CTRL_TOG_HOST_FORCE_LS_SE0_SHIFT  (28U)\r
-#define USBPHY_CTRL_TOG_HOST_FORCE_LS_SE0(x)     (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_TOG_HOST_FORCE_LS_SE0_SHIFT)) & USBPHY_CTRL_TOG_HOST_FORCE_LS_SE0_MASK)\r
-#define USBPHY_CTRL_TOG_UTMI_SUSPENDM_MASK       (0x20000000U)\r
-#define USBPHY_CTRL_TOG_UTMI_SUSPENDM_SHIFT      (29U)\r
-#define USBPHY_CTRL_TOG_UTMI_SUSPENDM(x)         (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_TOG_UTMI_SUSPENDM_SHIFT)) & USBPHY_CTRL_TOG_UTMI_SUSPENDM_MASK)\r
-#define USBPHY_CTRL_TOG_CLKGATE_MASK             (0x40000000U)\r
-#define USBPHY_CTRL_TOG_CLKGATE_SHIFT            (30U)\r
-#define USBPHY_CTRL_TOG_CLKGATE(x)               (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_TOG_CLKGATE_SHIFT)) & USBPHY_CTRL_TOG_CLKGATE_MASK)\r
-#define USBPHY_CTRL_TOG_SFTRST_MASK              (0x80000000U)\r
-#define USBPHY_CTRL_TOG_SFTRST_SHIFT             (31U)\r
-#define USBPHY_CTRL_TOG_SFTRST(x)                (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_TOG_SFTRST_SHIFT)) & USBPHY_CTRL_TOG_SFTRST_MASK)\r
+#define USBPHY_CTRL_TOG_ENDEVPLUGINDET(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_TOG_ENDEVPLUGINDET_SHIFT)) & USBPHY_CTRL_TOG_ENDEVPLUGINDET_MASK)\r
+#define USBPHY_CTRL_TOG_DEVPLUGIN_POLARITY_MASK (0x20U)\r
+#define USBPHY_CTRL_TOG_DEVPLUGIN_POLARITY_SHIFT (5U)\r
+#define USBPHY_CTRL_TOG_DEVPLUGIN_POLARITY(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_TOG_DEVPLUGIN_POLARITY_SHIFT)) & \\r
+     USBPHY_CTRL_TOG_DEVPLUGIN_POLARITY_MASK)\r
+#define USBPHY_CTRL_TOG_RESUMEIRQSTICKY_MASK (0x100U)\r
+#define USBPHY_CTRL_TOG_RESUMEIRQSTICKY_SHIFT (8U)\r
+#define USBPHY_CTRL_TOG_RESUMEIRQSTICKY(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_TOG_RESUMEIRQSTICKY_SHIFT)) & USBPHY_CTRL_TOG_RESUMEIRQSTICKY_MASK)\r
+#define USBPHY_CTRL_TOG_ENIRQRESUMEDETECT_MASK (0x200U)\r
+#define USBPHY_CTRL_TOG_ENIRQRESUMEDETECT_SHIFT (9U)\r
+#define USBPHY_CTRL_TOG_ENIRQRESUMEDETECT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_TOG_ENIRQRESUMEDETECT_SHIFT)) & USBPHY_CTRL_TOG_ENIRQRESUMEDETECT_MASK)\r
+#define USBPHY_CTRL_TOG_RESUME_IRQ_MASK (0x400U)\r
+#define USBPHY_CTRL_TOG_RESUME_IRQ_SHIFT (10U)\r
+#define USBPHY_CTRL_TOG_RESUME_IRQ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_TOG_RESUME_IRQ_SHIFT)) & USBPHY_CTRL_TOG_RESUME_IRQ_MASK)\r
+#define USBPHY_CTRL_TOG_DEVPLUGIN_IRQ_MASK (0x1000U)\r
+#define USBPHY_CTRL_TOG_DEVPLUGIN_IRQ_SHIFT (12U)\r
+#define USBPHY_CTRL_TOG_DEVPLUGIN_IRQ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_TOG_DEVPLUGIN_IRQ_SHIFT)) & USBPHY_CTRL_TOG_DEVPLUGIN_IRQ_MASK)\r
+#define USBPHY_CTRL_TOG_ENUTMILEVEL2_MASK (0x4000U)\r
+#define USBPHY_CTRL_TOG_ENUTMILEVEL2_SHIFT (14U)\r
+#define USBPHY_CTRL_TOG_ENUTMILEVEL2(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_TOG_ENUTMILEVEL2_SHIFT)) & USBPHY_CTRL_TOG_ENUTMILEVEL2_MASK)\r
+#define USBPHY_CTRL_TOG_ENUTMILEVEL3_MASK (0x8000U)\r
+#define USBPHY_CTRL_TOG_ENUTMILEVEL3_SHIFT (15U)\r
+#define USBPHY_CTRL_TOG_ENUTMILEVEL3(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_TOG_ENUTMILEVEL3_SHIFT)) & USBPHY_CTRL_TOG_ENUTMILEVEL3_MASK)\r
+#define USBPHY_CTRL_TOG_ENIRQWAKEUP_MASK (0x10000U)\r
+#define USBPHY_CTRL_TOG_ENIRQWAKEUP_SHIFT (16U)\r
+#define USBPHY_CTRL_TOG_ENIRQWAKEUP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_TOG_ENIRQWAKEUP_SHIFT)) & USBPHY_CTRL_TOG_ENIRQWAKEUP_MASK)\r
+#define USBPHY_CTRL_TOG_WAKEUP_IRQ_MASK (0x20000U)\r
+#define USBPHY_CTRL_TOG_WAKEUP_IRQ_SHIFT (17U)\r
+#define USBPHY_CTRL_TOG_WAKEUP_IRQ(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_TOG_WAKEUP_IRQ_SHIFT)) & USBPHY_CTRL_TOG_WAKEUP_IRQ_MASK)\r
+#define USBPHY_CTRL_TOG_AUTORESUME_EN_MASK (0x40000U)\r
+#define USBPHY_CTRL_TOG_AUTORESUME_EN_SHIFT (18U)\r
+#define USBPHY_CTRL_TOG_AUTORESUME_EN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_TOG_AUTORESUME_EN_SHIFT)) & USBPHY_CTRL_TOG_AUTORESUME_EN_MASK)\r
+#define USBPHY_CTRL_TOG_ENAUTOCLR_CLKGATE_MASK (0x80000U)\r
+#define USBPHY_CTRL_TOG_ENAUTOCLR_CLKGATE_SHIFT (19U)\r
+#define USBPHY_CTRL_TOG_ENAUTOCLR_CLKGATE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_TOG_ENAUTOCLR_CLKGATE_SHIFT)) & USBPHY_CTRL_TOG_ENAUTOCLR_CLKGATE_MASK)\r
+#define USBPHY_CTRL_TOG_ENAUTOCLR_PHY_PWD_MASK (0x100000U)\r
+#define USBPHY_CTRL_TOG_ENAUTOCLR_PHY_PWD_SHIFT (20U)\r
+#define USBPHY_CTRL_TOG_ENAUTOCLR_PHY_PWD(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_TOG_ENAUTOCLR_PHY_PWD_SHIFT)) & USBPHY_CTRL_TOG_ENAUTOCLR_PHY_PWD_MASK)\r
+#define USBPHY_CTRL_TOG_ENDPDMCHG_WKUP_MASK (0x200000U)\r
+#define USBPHY_CTRL_TOG_ENDPDMCHG_WKUP_SHIFT (21U)\r
+#define USBPHY_CTRL_TOG_ENDPDMCHG_WKUP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_TOG_ENDPDMCHG_WKUP_SHIFT)) & USBPHY_CTRL_TOG_ENDPDMCHG_WKUP_MASK)\r
+#define USBPHY_CTRL_TOG_ENVBUSCHG_WKUP_MASK (0x800000U)\r
+#define USBPHY_CTRL_TOG_ENVBUSCHG_WKUP_SHIFT (23U)\r
+#define USBPHY_CTRL_TOG_ENVBUSCHG_WKUP(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_TOG_ENVBUSCHG_WKUP_SHIFT)) & USBPHY_CTRL_TOG_ENVBUSCHG_WKUP_MASK)\r
+#define USBPHY_CTRL_TOG_ENAUTOCLR_USBCLKGATE_MASK (0x2000000U)\r
+#define USBPHY_CTRL_TOG_ENAUTOCLR_USBCLKGATE_SHIFT (25U)\r
+#define USBPHY_CTRL_TOG_ENAUTOCLR_USBCLKGATE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_TOG_ENAUTOCLR_USBCLKGATE_SHIFT)) & \\r
+     USBPHY_CTRL_TOG_ENAUTOCLR_USBCLKGATE_MASK)\r
+#define USBPHY_CTRL_TOG_ENAUTOSET_USBCLKS_MASK (0x4000000U)\r
+#define USBPHY_CTRL_TOG_ENAUTOSET_USBCLKS_SHIFT (26U)\r
+#define USBPHY_CTRL_TOG_ENAUTOSET_USBCLKS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_TOG_ENAUTOSET_USBCLKS_SHIFT)) & USBPHY_CTRL_TOG_ENAUTOSET_USBCLKS_MASK)\r
+#define USBPHY_CTRL_TOG_HOST_FORCE_LS_SE0_MASK (0x10000000U)\r
+#define USBPHY_CTRL_TOG_HOST_FORCE_LS_SE0_SHIFT (28U)\r
+#define USBPHY_CTRL_TOG_HOST_FORCE_LS_SE0(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_TOG_HOST_FORCE_LS_SE0_SHIFT)) & USBPHY_CTRL_TOG_HOST_FORCE_LS_SE0_MASK)\r
+#define USBPHY_CTRL_TOG_UTMI_SUSPENDM_MASK (0x20000000U)\r
+#define USBPHY_CTRL_TOG_UTMI_SUSPENDM_SHIFT (29U)\r
+#define USBPHY_CTRL_TOG_UTMI_SUSPENDM(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_TOG_UTMI_SUSPENDM_SHIFT)) & USBPHY_CTRL_TOG_UTMI_SUSPENDM_MASK)\r
+#define USBPHY_CTRL_TOG_CLKGATE_MASK (0x40000000U)\r
+#define USBPHY_CTRL_TOG_CLKGATE_SHIFT (30U)\r
+#define USBPHY_CTRL_TOG_CLKGATE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_TOG_CLKGATE_SHIFT)) & USBPHY_CTRL_TOG_CLKGATE_MASK)\r
+#define USBPHY_CTRL_TOG_SFTRST_MASK (0x80000000U)\r
+#define USBPHY_CTRL_TOG_SFTRST_SHIFT (31U)\r
+#define USBPHY_CTRL_TOG_SFTRST(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_CTRL_TOG_SFTRST_SHIFT)) & USBPHY_CTRL_TOG_SFTRST_MASK)\r
 /*! @} */\r
 \r
 /*! @name STATUS - USB PHY Status Register */\r
 /*! @{ */\r
+#define USBPHY_STATUS_OK_STATUS_3V_MASK (0x1U)\r
+#define USBPHY_STATUS_OK_STATUS_3V_SHIFT (0U)\r
+#define USBPHY_STATUS_OK_STATUS_3V(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_STATUS_OK_STATUS_3V_SHIFT)) & USBPHY_STATUS_OK_STATUS_3V_MASK)\r
 #define USBPHY_STATUS_HOSTDISCONDETECT_STATUS_MASK (0x8U)\r
 #define USBPHY_STATUS_HOSTDISCONDETECT_STATUS_SHIFT (3U)\r
 /*! HOSTDISCONDETECT_STATUS\r
  *  0b0..USB cable disconnect has not been detected at the local host\r
  *  0b1..USB cable disconnect has been detected at the local host\r
  */\r
-#define USBPHY_STATUS_HOSTDISCONDETECT_STATUS(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_STATUS_HOSTDISCONDETECT_STATUS_SHIFT)) & USBPHY_STATUS_HOSTDISCONDETECT_STATUS_MASK)\r
-#define USBPHY_STATUS_DEVPLUGIN_STATUS_MASK      (0x40U)\r
-#define USBPHY_STATUS_DEVPLUGIN_STATUS_SHIFT     (6U)\r
+#define USBPHY_STATUS_HOSTDISCONDETECT_STATUS(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_STATUS_HOSTDISCONDETECT_STATUS_SHIFT)) & \\r
+     USBPHY_STATUS_HOSTDISCONDETECT_STATUS_MASK)\r
+#define USBPHY_STATUS_DEVPLUGIN_STATUS_MASK (0x40U)\r
+#define USBPHY_STATUS_DEVPLUGIN_STATUS_SHIFT (6U)\r
 /*! DEVPLUGIN_STATUS\r
  *  0b0..No attachment to a USB host is detected\r
  *  0b1..Cable attachment to a USB host is detected\r
  */\r
-#define USBPHY_STATUS_DEVPLUGIN_STATUS(x)        (((uint32_t)(((uint32_t)(x)) << USBPHY_STATUS_DEVPLUGIN_STATUS_SHIFT)) & USBPHY_STATUS_DEVPLUGIN_STATUS_MASK)\r
-#define USBPHY_STATUS_OTGID_STATUS_MASK          (0x100U)\r
-#define USBPHY_STATUS_OTGID_STATUS_SHIFT         (8U)\r
-#define USBPHY_STATUS_OTGID_STATUS(x)            (((uint32_t)(((uint32_t)(x)) << USBPHY_STATUS_OTGID_STATUS_SHIFT)) & USBPHY_STATUS_OTGID_STATUS_MASK)\r
-#define USBPHY_STATUS_RESUME_STATUS_MASK         (0x400U)\r
-#define USBPHY_STATUS_RESUME_STATUS_SHIFT        (10U)\r
-#define USBPHY_STATUS_RESUME_STATUS(x)           (((uint32_t)(((uint32_t)(x)) << USBPHY_STATUS_RESUME_STATUS_SHIFT)) & USBPHY_STATUS_RESUME_STATUS_MASK)\r
-/*! @} */\r
-\r
-/*! @name DEBUG0 - USB PHY Debug Register 0 */\r
-/*! @{ */\r
-#define USBPHY_DEBUG0_OTGIDPIOLOCK_MASK          (0x1U)\r
-#define USBPHY_DEBUG0_OTGIDPIOLOCK_SHIFT         (0U)\r
-#define USBPHY_DEBUG0_OTGIDPIOLOCK(x)            (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_OTGIDPIOLOCK_SHIFT)) & USBPHY_DEBUG0_OTGIDPIOLOCK_MASK)\r
-#define USBPHY_DEBUG0_DEBUG_INTERFACE_HOLD_MASK  (0x2U)\r
-#define USBPHY_DEBUG0_DEBUG_INTERFACE_HOLD_SHIFT (1U)\r
-#define USBPHY_DEBUG0_DEBUG_INTERFACE_HOLD(x)    (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_DEBUG_INTERFACE_HOLD_SHIFT)) & USBPHY_DEBUG0_DEBUG_INTERFACE_HOLD_MASK)\r
-#define USBPHY_DEBUG0_HSTPULLDOWN_MASK           (0xCU)\r
-#define USBPHY_DEBUG0_HSTPULLDOWN_SHIFT          (2U)\r
-#define USBPHY_DEBUG0_HSTPULLDOWN(x)             (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_HSTPULLDOWN_SHIFT)) & USBPHY_DEBUG0_HSTPULLDOWN_MASK)\r
-#define USBPHY_DEBUG0_ENHSTPULLDOWN_MASK         (0x30U)\r
-#define USBPHY_DEBUG0_ENHSTPULLDOWN_SHIFT        (4U)\r
-#define USBPHY_DEBUG0_ENHSTPULLDOWN(x)           (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_ENHSTPULLDOWN_SHIFT)) & USBPHY_DEBUG0_ENHSTPULLDOWN_MASK)\r
-#define USBPHY_DEBUG0_TX2RXCOUNT_MASK            (0xF00U)\r
-#define USBPHY_DEBUG0_TX2RXCOUNT_SHIFT           (8U)\r
-#define USBPHY_DEBUG0_TX2RXCOUNT(x)              (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_TX2RXCOUNT_SHIFT)) & USBPHY_DEBUG0_TX2RXCOUNT_MASK)\r
-#define USBPHY_DEBUG0_ENTX2RXCOUNT_MASK          (0x1000U)\r
-#define USBPHY_DEBUG0_ENTX2RXCOUNT_SHIFT         (12U)\r
-#define USBPHY_DEBUG0_ENTX2RXCOUNT(x)            (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_ENTX2RXCOUNT_SHIFT)) & USBPHY_DEBUG0_ENTX2RXCOUNT_MASK)\r
-#define USBPHY_DEBUG0_SQUELCHRESETCOUNT_MASK     (0x1F0000U)\r
-#define USBPHY_DEBUG0_SQUELCHRESETCOUNT_SHIFT    (16U)\r
-#define USBPHY_DEBUG0_SQUELCHRESETCOUNT(x)       (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_SQUELCHRESETCOUNT_SHIFT)) & USBPHY_DEBUG0_SQUELCHRESETCOUNT_MASK)\r
-#define USBPHY_DEBUG0_ENSQUELCHRESET_MASK        (0x1000000U)\r
-#define USBPHY_DEBUG0_ENSQUELCHRESET_SHIFT       (24U)\r
-#define USBPHY_DEBUG0_ENSQUELCHRESET(x)          (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_ENSQUELCHRESET_SHIFT)) & USBPHY_DEBUG0_ENSQUELCHRESET_MASK)\r
-#define USBPHY_DEBUG0_SQUELCHRESETLENGTH_MASK    (0x1E000000U)\r
-#define USBPHY_DEBUG0_SQUELCHRESETLENGTH_SHIFT   (25U)\r
-#define USBPHY_DEBUG0_SQUELCHRESETLENGTH(x)      (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_SQUELCHRESETLENGTH_SHIFT)) & USBPHY_DEBUG0_SQUELCHRESETLENGTH_MASK)\r
-#define USBPHY_DEBUG0_HOST_RESUME_DEBUG_MASK     (0x20000000U)\r
-#define USBPHY_DEBUG0_HOST_RESUME_DEBUG_SHIFT    (29U)\r
-#define USBPHY_DEBUG0_HOST_RESUME_DEBUG(x)       (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_HOST_RESUME_DEBUG_SHIFT)) & USBPHY_DEBUG0_HOST_RESUME_DEBUG_MASK)\r
-#define USBPHY_DEBUG0_CLKGATE_MASK               (0x40000000U)\r
-#define USBPHY_DEBUG0_CLKGATE_SHIFT              (30U)\r
-#define USBPHY_DEBUG0_CLKGATE(x)                 (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_CLKGATE_SHIFT)) & USBPHY_DEBUG0_CLKGATE_MASK)\r
-/*! @} */\r
-\r
-/*! @name DEBUG0_SET - USB PHY Debug Register 0 */\r
-/*! @{ */\r
-#define USBPHY_DEBUG0_SET_OTGIDPIOLOCK_MASK      (0x1U)\r
-#define USBPHY_DEBUG0_SET_OTGIDPIOLOCK_SHIFT     (0U)\r
-#define USBPHY_DEBUG0_SET_OTGIDPIOLOCK(x)        (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_SET_OTGIDPIOLOCK_SHIFT)) & USBPHY_DEBUG0_SET_OTGIDPIOLOCK_MASK)\r
-#define USBPHY_DEBUG0_SET_DEBUG_INTERFACE_HOLD_MASK (0x2U)\r
-#define USBPHY_DEBUG0_SET_DEBUG_INTERFACE_HOLD_SHIFT (1U)\r
-#define USBPHY_DEBUG0_SET_DEBUG_INTERFACE_HOLD(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_SET_DEBUG_INTERFACE_HOLD_SHIFT)) & USBPHY_DEBUG0_SET_DEBUG_INTERFACE_HOLD_MASK)\r
-#define USBPHY_DEBUG0_SET_HSTPULLDOWN_MASK       (0xCU)\r
-#define USBPHY_DEBUG0_SET_HSTPULLDOWN_SHIFT      (2U)\r
-#define USBPHY_DEBUG0_SET_HSTPULLDOWN(x)         (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_SET_HSTPULLDOWN_SHIFT)) & USBPHY_DEBUG0_SET_HSTPULLDOWN_MASK)\r
-#define USBPHY_DEBUG0_SET_ENHSTPULLDOWN_MASK     (0x30U)\r
-#define USBPHY_DEBUG0_SET_ENHSTPULLDOWN_SHIFT    (4U)\r
-#define USBPHY_DEBUG0_SET_ENHSTPULLDOWN(x)       (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_SET_ENHSTPULLDOWN_SHIFT)) & USBPHY_DEBUG0_SET_ENHSTPULLDOWN_MASK)\r
-#define USBPHY_DEBUG0_SET_TX2RXCOUNT_MASK        (0xF00U)\r
-#define USBPHY_DEBUG0_SET_TX2RXCOUNT_SHIFT       (8U)\r
-#define USBPHY_DEBUG0_SET_TX2RXCOUNT(x)          (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_SET_TX2RXCOUNT_SHIFT)) & USBPHY_DEBUG0_SET_TX2RXCOUNT_MASK)\r
-#define USBPHY_DEBUG0_SET_ENTX2RXCOUNT_MASK      (0x1000U)\r
-#define USBPHY_DEBUG0_SET_ENTX2RXCOUNT_SHIFT     (12U)\r
-#define USBPHY_DEBUG0_SET_ENTX2RXCOUNT(x)        (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_SET_ENTX2RXCOUNT_SHIFT)) & USBPHY_DEBUG0_SET_ENTX2RXCOUNT_MASK)\r
-#define USBPHY_DEBUG0_SET_SQUELCHRESETCOUNT_MASK (0x1F0000U)\r
-#define USBPHY_DEBUG0_SET_SQUELCHRESETCOUNT_SHIFT (16U)\r
-#define USBPHY_DEBUG0_SET_SQUELCHRESETCOUNT(x)   (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_SET_SQUELCHRESETCOUNT_SHIFT)) & USBPHY_DEBUG0_SET_SQUELCHRESETCOUNT_MASK)\r
-#define USBPHY_DEBUG0_SET_ENSQUELCHRESET_MASK    (0x1000000U)\r
-#define USBPHY_DEBUG0_SET_ENSQUELCHRESET_SHIFT   (24U)\r
-#define USBPHY_DEBUG0_SET_ENSQUELCHRESET(x)      (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_SET_ENSQUELCHRESET_SHIFT)) & USBPHY_DEBUG0_SET_ENSQUELCHRESET_MASK)\r
-#define USBPHY_DEBUG0_SET_SQUELCHRESETLENGTH_MASK (0x1E000000U)\r
-#define USBPHY_DEBUG0_SET_SQUELCHRESETLENGTH_SHIFT (25U)\r
-#define USBPHY_DEBUG0_SET_SQUELCHRESETLENGTH(x)  (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_SET_SQUELCHRESETLENGTH_SHIFT)) & USBPHY_DEBUG0_SET_SQUELCHRESETLENGTH_MASK)\r
-#define USBPHY_DEBUG0_SET_HOST_RESUME_DEBUG_MASK (0x20000000U)\r
-#define USBPHY_DEBUG0_SET_HOST_RESUME_DEBUG_SHIFT (29U)\r
-#define USBPHY_DEBUG0_SET_HOST_RESUME_DEBUG(x)   (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_SET_HOST_RESUME_DEBUG_SHIFT)) & USBPHY_DEBUG0_SET_HOST_RESUME_DEBUG_MASK)\r
-#define USBPHY_DEBUG0_SET_CLKGATE_MASK           (0x40000000U)\r
-#define USBPHY_DEBUG0_SET_CLKGATE_SHIFT          (30U)\r
-#define USBPHY_DEBUG0_SET_CLKGATE(x)             (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_SET_CLKGATE_SHIFT)) & USBPHY_DEBUG0_SET_CLKGATE_MASK)\r
-/*! @} */\r
-\r
-/*! @name DEBUG0_CLR - USB PHY Debug Register 0 */\r
-/*! @{ */\r
-#define USBPHY_DEBUG0_CLR_OTGIDPIOLOCK_MASK      (0x1U)\r
-#define USBPHY_DEBUG0_CLR_OTGIDPIOLOCK_SHIFT     (0U)\r
-#define USBPHY_DEBUG0_CLR_OTGIDPIOLOCK(x)        (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_CLR_OTGIDPIOLOCK_SHIFT)) & USBPHY_DEBUG0_CLR_OTGIDPIOLOCK_MASK)\r
-#define USBPHY_DEBUG0_CLR_DEBUG_INTERFACE_HOLD_MASK (0x2U)\r
-#define USBPHY_DEBUG0_CLR_DEBUG_INTERFACE_HOLD_SHIFT (1U)\r
-#define USBPHY_DEBUG0_CLR_DEBUG_INTERFACE_HOLD(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_CLR_DEBUG_INTERFACE_HOLD_SHIFT)) & USBPHY_DEBUG0_CLR_DEBUG_INTERFACE_HOLD_MASK)\r
-#define USBPHY_DEBUG0_CLR_HSTPULLDOWN_MASK       (0xCU)\r
-#define USBPHY_DEBUG0_CLR_HSTPULLDOWN_SHIFT      (2U)\r
-#define USBPHY_DEBUG0_CLR_HSTPULLDOWN(x)         (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_CLR_HSTPULLDOWN_SHIFT)) & USBPHY_DEBUG0_CLR_HSTPULLDOWN_MASK)\r
-#define USBPHY_DEBUG0_CLR_ENHSTPULLDOWN_MASK     (0x30U)\r
-#define USBPHY_DEBUG0_CLR_ENHSTPULLDOWN_SHIFT    (4U)\r
-#define USBPHY_DEBUG0_CLR_ENHSTPULLDOWN(x)       (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_CLR_ENHSTPULLDOWN_SHIFT)) & USBPHY_DEBUG0_CLR_ENHSTPULLDOWN_MASK)\r
-#define USBPHY_DEBUG0_CLR_TX2RXCOUNT_MASK        (0xF00U)\r
-#define USBPHY_DEBUG0_CLR_TX2RXCOUNT_SHIFT       (8U)\r
-#define USBPHY_DEBUG0_CLR_TX2RXCOUNT(x)          (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_CLR_TX2RXCOUNT_SHIFT)) & USBPHY_DEBUG0_CLR_TX2RXCOUNT_MASK)\r
-#define USBPHY_DEBUG0_CLR_ENTX2RXCOUNT_MASK      (0x1000U)\r
-#define USBPHY_DEBUG0_CLR_ENTX2RXCOUNT_SHIFT     (12U)\r
-#define USBPHY_DEBUG0_CLR_ENTX2RXCOUNT(x)        (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_CLR_ENTX2RXCOUNT_SHIFT)) & USBPHY_DEBUG0_CLR_ENTX2RXCOUNT_MASK)\r
-#define USBPHY_DEBUG0_CLR_SQUELCHRESETCOUNT_MASK (0x1F0000U)\r
-#define USBPHY_DEBUG0_CLR_SQUELCHRESETCOUNT_SHIFT (16U)\r
-#define USBPHY_DEBUG0_CLR_SQUELCHRESETCOUNT(x)   (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_CLR_SQUELCHRESETCOUNT_SHIFT)) & USBPHY_DEBUG0_CLR_SQUELCHRESETCOUNT_MASK)\r
-#define USBPHY_DEBUG0_CLR_ENSQUELCHRESET_MASK    (0x1000000U)\r
-#define USBPHY_DEBUG0_CLR_ENSQUELCHRESET_SHIFT   (24U)\r
-#define USBPHY_DEBUG0_CLR_ENSQUELCHRESET(x)      (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_CLR_ENSQUELCHRESET_SHIFT)) & USBPHY_DEBUG0_CLR_ENSQUELCHRESET_MASK)\r
-#define USBPHY_DEBUG0_CLR_SQUELCHRESETLENGTH_MASK (0x1E000000U)\r
-#define USBPHY_DEBUG0_CLR_SQUELCHRESETLENGTH_SHIFT (25U)\r
-#define USBPHY_DEBUG0_CLR_SQUELCHRESETLENGTH(x)  (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_CLR_SQUELCHRESETLENGTH_SHIFT)) & USBPHY_DEBUG0_CLR_SQUELCHRESETLENGTH_MASK)\r
-#define USBPHY_DEBUG0_CLR_HOST_RESUME_DEBUG_MASK (0x20000000U)\r
-#define USBPHY_DEBUG0_CLR_HOST_RESUME_DEBUG_SHIFT (29U)\r
-#define USBPHY_DEBUG0_CLR_HOST_RESUME_DEBUG(x)   (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_CLR_HOST_RESUME_DEBUG_SHIFT)) & USBPHY_DEBUG0_CLR_HOST_RESUME_DEBUG_MASK)\r
-#define USBPHY_DEBUG0_CLR_CLKGATE_MASK           (0x40000000U)\r
-#define USBPHY_DEBUG0_CLR_CLKGATE_SHIFT          (30U)\r
-#define USBPHY_DEBUG0_CLR_CLKGATE(x)             (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_CLR_CLKGATE_SHIFT)) & USBPHY_DEBUG0_CLR_CLKGATE_MASK)\r
-/*! @} */\r
-\r
-/*! @name DEBUG0_TOG - USB PHY Debug Register 0 */\r
-/*! @{ */\r
-#define USBPHY_DEBUG0_TOG_OTGIDPIOLOCK_MASK      (0x1U)\r
-#define USBPHY_DEBUG0_TOG_OTGIDPIOLOCK_SHIFT     (0U)\r
-#define USBPHY_DEBUG0_TOG_OTGIDPIOLOCK(x)        (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_TOG_OTGIDPIOLOCK_SHIFT)) & USBPHY_DEBUG0_TOG_OTGIDPIOLOCK_MASK)\r
-#define USBPHY_DEBUG0_TOG_DEBUG_INTERFACE_HOLD_MASK (0x2U)\r
-#define USBPHY_DEBUG0_TOG_DEBUG_INTERFACE_HOLD_SHIFT (1U)\r
-#define USBPHY_DEBUG0_TOG_DEBUG_INTERFACE_HOLD(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_TOG_DEBUG_INTERFACE_HOLD_SHIFT)) & USBPHY_DEBUG0_TOG_DEBUG_INTERFACE_HOLD_MASK)\r
-#define USBPHY_DEBUG0_TOG_HSTPULLDOWN_MASK       (0xCU)\r
-#define USBPHY_DEBUG0_TOG_HSTPULLDOWN_SHIFT      (2U)\r
-#define USBPHY_DEBUG0_TOG_HSTPULLDOWN(x)         (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_TOG_HSTPULLDOWN_SHIFT)) & USBPHY_DEBUG0_TOG_HSTPULLDOWN_MASK)\r
-#define USBPHY_DEBUG0_TOG_ENHSTPULLDOWN_MASK     (0x30U)\r
-#define USBPHY_DEBUG0_TOG_ENHSTPULLDOWN_SHIFT    (4U)\r
-#define USBPHY_DEBUG0_TOG_ENHSTPULLDOWN(x)       (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_TOG_ENHSTPULLDOWN_SHIFT)) & USBPHY_DEBUG0_TOG_ENHSTPULLDOWN_MASK)\r
-#define USBPHY_DEBUG0_TOG_TX2RXCOUNT_MASK        (0xF00U)\r
-#define USBPHY_DEBUG0_TOG_TX2RXCOUNT_SHIFT       (8U)\r
-#define USBPHY_DEBUG0_TOG_TX2RXCOUNT(x)          (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_TOG_TX2RXCOUNT_SHIFT)) & USBPHY_DEBUG0_TOG_TX2RXCOUNT_MASK)\r
-#define USBPHY_DEBUG0_TOG_ENTX2RXCOUNT_MASK      (0x1000U)\r
-#define USBPHY_DEBUG0_TOG_ENTX2RXCOUNT_SHIFT     (12U)\r
-#define USBPHY_DEBUG0_TOG_ENTX2RXCOUNT(x)        (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_TOG_ENTX2RXCOUNT_SHIFT)) & USBPHY_DEBUG0_TOG_ENTX2RXCOUNT_MASK)\r
-#define USBPHY_DEBUG0_TOG_SQUELCHRESETCOUNT_MASK (0x1F0000U)\r
-#define USBPHY_DEBUG0_TOG_SQUELCHRESETCOUNT_SHIFT (16U)\r
-#define USBPHY_DEBUG0_TOG_SQUELCHRESETCOUNT(x)   (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_TOG_SQUELCHRESETCOUNT_SHIFT)) & USBPHY_DEBUG0_TOG_SQUELCHRESETCOUNT_MASK)\r
-#define USBPHY_DEBUG0_TOG_ENSQUELCHRESET_MASK    (0x1000000U)\r
-#define USBPHY_DEBUG0_TOG_ENSQUELCHRESET_SHIFT   (24U)\r
-#define USBPHY_DEBUG0_TOG_ENSQUELCHRESET(x)      (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_TOG_ENSQUELCHRESET_SHIFT)) & USBPHY_DEBUG0_TOG_ENSQUELCHRESET_MASK)\r
-#define USBPHY_DEBUG0_TOG_SQUELCHRESETLENGTH_MASK (0x1E000000U)\r
-#define USBPHY_DEBUG0_TOG_SQUELCHRESETLENGTH_SHIFT (25U)\r
-#define USBPHY_DEBUG0_TOG_SQUELCHRESETLENGTH(x)  (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_TOG_SQUELCHRESETLENGTH_SHIFT)) & USBPHY_DEBUG0_TOG_SQUELCHRESETLENGTH_MASK)\r
-#define USBPHY_DEBUG0_TOG_HOST_RESUME_DEBUG_MASK (0x20000000U)\r
-#define USBPHY_DEBUG0_TOG_HOST_RESUME_DEBUG_SHIFT (29U)\r
-#define USBPHY_DEBUG0_TOG_HOST_RESUME_DEBUG(x)   (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_TOG_HOST_RESUME_DEBUG_SHIFT)) & USBPHY_DEBUG0_TOG_HOST_RESUME_DEBUG_MASK)\r
-#define USBPHY_DEBUG0_TOG_CLKGATE_MASK           (0x40000000U)\r
-#define USBPHY_DEBUG0_TOG_CLKGATE_SHIFT          (30U)\r
-#define USBPHY_DEBUG0_TOG_CLKGATE(x)             (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG0_TOG_CLKGATE_SHIFT)) & USBPHY_DEBUG0_TOG_CLKGATE_MASK)\r
-/*! @} */\r
-\r
-/*! @name DEBUG1 - UTMI Debug Status Register 1 */\r
-/*! @{ */\r
-#define USBPHY_DEBUG1_ENTAILADJVD_MASK           (0x6000U)\r
-#define USBPHY_DEBUG1_ENTAILADJVD_SHIFT          (13U)\r
-/*! ENTAILADJVD\r
- *  0b00..Delay is nominal\r
- *  0b01..Delay is +20%\r
- *  0b10..Delay is -20%\r
- *  0b11..Delay is -40%\r
- */\r
-#define USBPHY_DEBUG1_ENTAILADJVD(x)             (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG1_ENTAILADJVD_SHIFT)) & USBPHY_DEBUG1_ENTAILADJVD_MASK)\r
-#define USBPHY_DEBUG1_USB2_REFBIAS_VBGADJ_MASK   (0x1C0000U)\r
-#define USBPHY_DEBUG1_USB2_REFBIAS_VBGADJ_SHIFT  (18U)\r
-#define USBPHY_DEBUG1_USB2_REFBIAS_VBGADJ(x)     (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG1_USB2_REFBIAS_VBGADJ_SHIFT)) & USBPHY_DEBUG1_USB2_REFBIAS_VBGADJ_MASK)\r
-#define USBPHY_DEBUG1_USB2_REFBIAS_TST_MASK      (0x600000U)\r
-#define USBPHY_DEBUG1_USB2_REFBIAS_TST_SHIFT     (21U)\r
-#define USBPHY_DEBUG1_USB2_REFBIAS_TST(x)        (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG1_USB2_REFBIAS_TST_SHIFT)) & USBPHY_DEBUG1_USB2_REFBIAS_TST_MASK)\r
-/*! @} */\r
-\r
-/*! @name DEBUG1_SET - UTMI Debug Status Register 1 */\r
-/*! @{ */\r
-#define USBPHY_DEBUG1_SET_ENTAILADJVD_MASK       (0x6000U)\r
-#define USBPHY_DEBUG1_SET_ENTAILADJVD_SHIFT      (13U)\r
-/*! ENTAILADJVD\r
- *  0b00..Delay is nominal\r
- *  0b01..Delay is +20%\r
- *  0b10..Delay is -20%\r
- *  0b11..Delay is -40%\r
- */\r
-#define USBPHY_DEBUG1_SET_ENTAILADJVD(x)         (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG1_SET_ENTAILADJVD_SHIFT)) & USBPHY_DEBUG1_SET_ENTAILADJVD_MASK)\r
-#define USBPHY_DEBUG1_SET_USB2_REFBIAS_VBGADJ_MASK (0x1C0000U)\r
-#define USBPHY_DEBUG1_SET_USB2_REFBIAS_VBGADJ_SHIFT (18U)\r
-#define USBPHY_DEBUG1_SET_USB2_REFBIAS_VBGADJ(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG1_SET_USB2_REFBIAS_VBGADJ_SHIFT)) & USBPHY_DEBUG1_SET_USB2_REFBIAS_VBGADJ_MASK)\r
-#define USBPHY_DEBUG1_SET_USB2_REFBIAS_TST_MASK  (0x600000U)\r
-#define USBPHY_DEBUG1_SET_USB2_REFBIAS_TST_SHIFT (21U)\r
-#define USBPHY_DEBUG1_SET_USB2_REFBIAS_TST(x)    (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG1_SET_USB2_REFBIAS_TST_SHIFT)) & USBPHY_DEBUG1_SET_USB2_REFBIAS_TST_MASK)\r
-/*! @} */\r
-\r
-/*! @name DEBUG1_CLR - UTMI Debug Status Register 1 */\r
-/*! @{ */\r
-#define USBPHY_DEBUG1_CLR_ENTAILADJVD_MASK       (0x6000U)\r
-#define USBPHY_DEBUG1_CLR_ENTAILADJVD_SHIFT      (13U)\r
-/*! ENTAILADJVD\r
- *  0b00..Delay is nominal\r
- *  0b01..Delay is +20%\r
- *  0b10..Delay is -20%\r
- *  0b11..Delay is -40%\r
- */\r
-#define USBPHY_DEBUG1_CLR_ENTAILADJVD(x)         (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG1_CLR_ENTAILADJVD_SHIFT)) & USBPHY_DEBUG1_CLR_ENTAILADJVD_MASK)\r
-#define USBPHY_DEBUG1_CLR_USB2_REFBIAS_VBGADJ_MASK (0x1C0000U)\r
-#define USBPHY_DEBUG1_CLR_USB2_REFBIAS_VBGADJ_SHIFT (18U)\r
-#define USBPHY_DEBUG1_CLR_USB2_REFBIAS_VBGADJ(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG1_CLR_USB2_REFBIAS_VBGADJ_SHIFT)) & USBPHY_DEBUG1_CLR_USB2_REFBIAS_VBGADJ_MASK)\r
-#define USBPHY_DEBUG1_CLR_USB2_REFBIAS_TST_MASK  (0x600000U)\r
-#define USBPHY_DEBUG1_CLR_USB2_REFBIAS_TST_SHIFT (21U)\r
-#define USBPHY_DEBUG1_CLR_USB2_REFBIAS_TST(x)    (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG1_CLR_USB2_REFBIAS_TST_SHIFT)) & USBPHY_DEBUG1_CLR_USB2_REFBIAS_TST_MASK)\r
-/*! @} */\r
-\r
-/*! @name DEBUG1_TOG - UTMI Debug Status Register 1 */\r
-/*! @{ */\r
-#define USBPHY_DEBUG1_TOG_ENTAILADJVD_MASK       (0x6000U)\r
-#define USBPHY_DEBUG1_TOG_ENTAILADJVD_SHIFT      (13U)\r
-/*! ENTAILADJVD\r
- *  0b00..Delay is nominal\r
- *  0b01..Delay is +20%\r
- *  0b10..Delay is -20%\r
- *  0b11..Delay is -40%\r
- */\r
-#define USBPHY_DEBUG1_TOG_ENTAILADJVD(x)         (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG1_TOG_ENTAILADJVD_SHIFT)) & USBPHY_DEBUG1_TOG_ENTAILADJVD_MASK)\r
-#define USBPHY_DEBUG1_TOG_USB2_REFBIAS_VBGADJ_MASK (0x1C0000U)\r
-#define USBPHY_DEBUG1_TOG_USB2_REFBIAS_VBGADJ_SHIFT (18U)\r
-#define USBPHY_DEBUG1_TOG_USB2_REFBIAS_VBGADJ(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG1_TOG_USB2_REFBIAS_VBGADJ_SHIFT)) & USBPHY_DEBUG1_TOG_USB2_REFBIAS_VBGADJ_MASK)\r
-#define USBPHY_DEBUG1_TOG_USB2_REFBIAS_TST_MASK  (0x600000U)\r
-#define USBPHY_DEBUG1_TOG_USB2_REFBIAS_TST_SHIFT (21U)\r
-#define USBPHY_DEBUG1_TOG_USB2_REFBIAS_TST(x)    (((uint32_t)(((uint32_t)(x)) << USBPHY_DEBUG1_TOG_USB2_REFBIAS_TST_SHIFT)) & USBPHY_DEBUG1_TOG_USB2_REFBIAS_TST_MASK)\r
-/*! @} */\r
-\r
-/*! @name VERSION - UTMI RTL Version */\r
-/*! @{ */\r
-#define USBPHY_VERSION_STEP_MASK                 (0xFFFFU)\r
-#define USBPHY_VERSION_STEP_SHIFT                (0U)\r
-#define USBPHY_VERSION_STEP(x)                   (((uint32_t)(((uint32_t)(x)) << USBPHY_VERSION_STEP_SHIFT)) & USBPHY_VERSION_STEP_MASK)\r
-#define USBPHY_VERSION_MINOR_MASK                (0xFF0000U)\r
-#define USBPHY_VERSION_MINOR_SHIFT               (16U)\r
-#define USBPHY_VERSION_MINOR(x)                  (((uint32_t)(((uint32_t)(x)) << USBPHY_VERSION_MINOR_SHIFT)) & USBPHY_VERSION_MINOR_MASK)\r
-#define USBPHY_VERSION_MAJOR_MASK                (0xFF000000U)\r
-#define USBPHY_VERSION_MAJOR_SHIFT               (24U)\r
-#define USBPHY_VERSION_MAJOR(x)                  (((uint32_t)(((uint32_t)(x)) << USBPHY_VERSION_MAJOR_SHIFT)) & USBPHY_VERSION_MAJOR_MASK)\r
+#define USBPHY_STATUS_DEVPLUGIN_STATUS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_STATUS_DEVPLUGIN_STATUS_SHIFT)) & USBPHY_STATUS_DEVPLUGIN_STATUS_MASK)\r
+#define USBPHY_STATUS_RESUME_STATUS_MASK (0x400U)\r
+#define USBPHY_STATUS_RESUME_STATUS_SHIFT (10U)\r
+#define USBPHY_STATUS_RESUME_STATUS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_STATUS_RESUME_STATUS_SHIFT)) & USBPHY_STATUS_RESUME_STATUS_MASK)\r
 /*! @} */\r
 \r
 /*! @name PLL_SIC - USB PHY PLL Control/Status Register */\r
 /*! @{ */\r
-#define USBPHY_PLL_SIC_MISC2_CONTROL0_MASK       (0x20U)\r
-#define USBPHY_PLL_SIC_MISC2_CONTROL0_SHIFT      (5U)\r
-#define USBPHY_PLL_SIC_MISC2_CONTROL0(x)         (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_MISC2_CONTROL0_SHIFT)) & USBPHY_PLL_SIC_MISC2_CONTROL0_MASK)\r
-#define USBPHY_PLL_SIC_PLL_EN_USB_CLKS_MASK      (0x40U)\r
-#define USBPHY_PLL_SIC_PLL_EN_USB_CLKS_SHIFT     (6U)\r
-#define USBPHY_PLL_SIC_PLL_EN_USB_CLKS(x)        (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_PLL_EN_USB_CLKS_SHIFT)) & USBPHY_PLL_SIC_PLL_EN_USB_CLKS_MASK)\r
-#define USBPHY_PLL_SIC_PLL_POWER_MASK            (0x1000U)\r
-#define USBPHY_PLL_SIC_PLL_POWER_SHIFT           (12U)\r
-#define USBPHY_PLL_SIC_PLL_POWER(x)              (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_PLL_POWER_SHIFT)) & USBPHY_PLL_SIC_PLL_POWER_MASK)\r
-#define USBPHY_PLL_SIC_PLL_ENABLE_MASK           (0x2000U)\r
-#define USBPHY_PLL_SIC_PLL_ENABLE_SHIFT          (13U)\r
-#define USBPHY_PLL_SIC_PLL_ENABLE(x)             (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_PLL_ENABLE_SHIFT)) & USBPHY_PLL_SIC_PLL_ENABLE_MASK)\r
-#define USBPHY_PLL_SIC_PLL_BYPASS_MASK           (0x10000U)\r
-#define USBPHY_PLL_SIC_PLL_BYPASS_SHIFT          (16U)\r
-#define USBPHY_PLL_SIC_PLL_BYPASS(x)             (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_PLL_BYPASS_SHIFT)) & USBPHY_PLL_SIC_PLL_BYPASS_MASK)\r
-#define USBPHY_PLL_SIC_REFBIAS_PWD_SEL_MASK      (0x80000U)\r
-#define USBPHY_PLL_SIC_REFBIAS_PWD_SEL_SHIFT     (19U)\r
+#define USBPHY_PLL_SIC_PLL_EN_USB_CLKS_MASK (0x40U)\r
+#define USBPHY_PLL_SIC_PLL_EN_USB_CLKS_SHIFT (6U)\r
+#define USBPHY_PLL_SIC_PLL_EN_USB_CLKS(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_PLL_EN_USB_CLKS_SHIFT)) & USBPHY_PLL_SIC_PLL_EN_USB_CLKS_MASK)\r
+#define USBPHY_PLL_SIC_PLL_POWER_MASK (0x1000U)\r
+#define USBPHY_PLL_SIC_PLL_POWER_SHIFT (12U)\r
+#define USBPHY_PLL_SIC_PLL_POWER(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_PLL_POWER_SHIFT)) & USBPHY_PLL_SIC_PLL_POWER_MASK)\r
+#define USBPHY_PLL_SIC_PLL_ENABLE_MASK (0x2000U)\r
+#define USBPHY_PLL_SIC_PLL_ENABLE_SHIFT (13U)\r
+#define USBPHY_PLL_SIC_PLL_ENABLE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_PLL_ENABLE_SHIFT)) & USBPHY_PLL_SIC_PLL_ENABLE_MASK)\r
+#define USBPHY_PLL_SIC_REFBIAS_PWD_SEL_MASK (0x80000U)\r
+#define USBPHY_PLL_SIC_REFBIAS_PWD_SEL_SHIFT (19U)\r
 /*! REFBIAS_PWD_SEL\r
  *  0b0..Selects PLL_POWER to control the reference bias\r
  *  0b1..Selects REFBIAS_PWD to control the reference bias\r
  */\r
-#define USBPHY_PLL_SIC_REFBIAS_PWD_SEL(x)        (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_REFBIAS_PWD_SEL_SHIFT)) & USBPHY_PLL_SIC_REFBIAS_PWD_SEL_MASK)\r
-#define USBPHY_PLL_SIC_REFBIAS_PWD_MASK          (0x100000U)\r
-#define USBPHY_PLL_SIC_REFBIAS_PWD_SHIFT         (20U)\r
-#define USBPHY_PLL_SIC_REFBIAS_PWD(x)            (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_REFBIAS_PWD_SHIFT)) & USBPHY_PLL_SIC_REFBIAS_PWD_MASK)\r
-#define USBPHY_PLL_SIC_PLL_REG_ENABLE_MASK       (0x200000U)\r
-#define USBPHY_PLL_SIC_PLL_REG_ENABLE_SHIFT      (21U)\r
-#define USBPHY_PLL_SIC_PLL_REG_ENABLE(x)         (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_PLL_REG_ENABLE_SHIFT)) & USBPHY_PLL_SIC_PLL_REG_ENABLE_MASK)\r
-#define USBPHY_PLL_SIC_PLL_DIV_SEL_MASK          (0x1C00000U)\r
-#define USBPHY_PLL_SIC_PLL_DIV_SEL_SHIFT         (22U)\r
+#define USBPHY_PLL_SIC_REFBIAS_PWD_SEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_REFBIAS_PWD_SEL_SHIFT)) & USBPHY_PLL_SIC_REFBIAS_PWD_SEL_MASK)\r
+#define USBPHY_PLL_SIC_REFBIAS_PWD_MASK (0x100000U)\r
+#define USBPHY_PLL_SIC_REFBIAS_PWD_SHIFT (20U)\r
+#define USBPHY_PLL_SIC_REFBIAS_PWD(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_REFBIAS_PWD_SHIFT)) & USBPHY_PLL_SIC_REFBIAS_PWD_MASK)\r
+#define USBPHY_PLL_SIC_PLL_REG_ENABLE_MASK (0x200000U)\r
+#define USBPHY_PLL_SIC_PLL_REG_ENABLE_SHIFT (21U)\r
+#define USBPHY_PLL_SIC_PLL_REG_ENABLE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_PLL_REG_ENABLE_SHIFT)) & USBPHY_PLL_SIC_PLL_REG_ENABLE_MASK)\r
+#define USBPHY_PLL_SIC_PLL_DIV_SEL_MASK (0x1C00000U)\r
+#define USBPHY_PLL_SIC_PLL_DIV_SEL_SHIFT (22U)\r
 /*! PLL_DIV_SEL\r
  *  0b000..Divide by 13\r
  *  0b001..Divide by 15\r
@@ -23649,48 +29633,56 @@ typedef struct {
  *  0b110..Divide by 30\r
  *  0b111..Divide by 240\r
  */\r
-#define USBPHY_PLL_SIC_PLL_DIV_SEL(x)            (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_PLL_DIV_SEL_SHIFT)) & USBPHY_PLL_SIC_PLL_DIV_SEL_MASK)\r
-#define USBPHY_PLL_SIC_PLL_LOCK_MASK             (0x80000000U)\r
-#define USBPHY_PLL_SIC_PLL_LOCK_SHIFT            (31U)\r
+#define USBPHY_PLL_SIC_PLL_DIV_SEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_PLL_DIV_SEL_SHIFT)) & USBPHY_PLL_SIC_PLL_DIV_SEL_MASK)\r
+#define USBPHY_PLL_SIC_PLL_PREDIV_MASK (0x40000000U)\r
+#define USBPHY_PLL_SIC_PLL_PREDIV_SHIFT (30U)\r
+#define USBPHY_PLL_SIC_PLL_PREDIV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_PLL_PREDIV_SHIFT)) & USBPHY_PLL_SIC_PLL_PREDIV_MASK)\r
+#define USBPHY_PLL_SIC_PLL_LOCK_MASK (0x80000000U)\r
+#define USBPHY_PLL_SIC_PLL_LOCK_SHIFT (31U)\r
 /*! PLL_LOCK\r
  *  0b0..PLL is not currently locked\r
  *  0b1..PLL is currently locked\r
  */\r
-#define USBPHY_PLL_SIC_PLL_LOCK(x)               (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_PLL_LOCK_SHIFT)) & USBPHY_PLL_SIC_PLL_LOCK_MASK)\r
+#define USBPHY_PLL_SIC_PLL_LOCK(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_PLL_LOCK_SHIFT)) & USBPHY_PLL_SIC_PLL_LOCK_MASK)\r
 /*! @} */\r
 \r
 /*! @name PLL_SIC_SET - USB PHY PLL Control/Status Register */\r
 /*! @{ */\r
-#define USBPHY_PLL_SIC_SET_MISC2_CONTROL0_MASK   (0x20U)\r
-#define USBPHY_PLL_SIC_SET_MISC2_CONTROL0_SHIFT  (5U)\r
-#define USBPHY_PLL_SIC_SET_MISC2_CONTROL0(x)     (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_SET_MISC2_CONTROL0_SHIFT)) & USBPHY_PLL_SIC_SET_MISC2_CONTROL0_MASK)\r
-#define USBPHY_PLL_SIC_SET_PLL_EN_USB_CLKS_MASK  (0x40U)\r
+#define USBPHY_PLL_SIC_SET_PLL_EN_USB_CLKS_MASK (0x40U)\r
 #define USBPHY_PLL_SIC_SET_PLL_EN_USB_CLKS_SHIFT (6U)\r
-#define USBPHY_PLL_SIC_SET_PLL_EN_USB_CLKS(x)    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_SET_PLL_EN_USB_CLKS_SHIFT)) & USBPHY_PLL_SIC_SET_PLL_EN_USB_CLKS_MASK)\r
-#define USBPHY_PLL_SIC_SET_PLL_POWER_MASK        (0x1000U)\r
-#define USBPHY_PLL_SIC_SET_PLL_POWER_SHIFT       (12U)\r
-#define USBPHY_PLL_SIC_SET_PLL_POWER(x)          (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_SET_PLL_POWER_SHIFT)) & USBPHY_PLL_SIC_SET_PLL_POWER_MASK)\r
-#define USBPHY_PLL_SIC_SET_PLL_ENABLE_MASK       (0x2000U)\r
-#define USBPHY_PLL_SIC_SET_PLL_ENABLE_SHIFT      (13U)\r
-#define USBPHY_PLL_SIC_SET_PLL_ENABLE(x)         (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_SET_PLL_ENABLE_SHIFT)) & USBPHY_PLL_SIC_SET_PLL_ENABLE_MASK)\r
-#define USBPHY_PLL_SIC_SET_PLL_BYPASS_MASK       (0x10000U)\r
-#define USBPHY_PLL_SIC_SET_PLL_BYPASS_SHIFT      (16U)\r
-#define USBPHY_PLL_SIC_SET_PLL_BYPASS(x)         (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_SET_PLL_BYPASS_SHIFT)) & USBPHY_PLL_SIC_SET_PLL_BYPASS_MASK)\r
-#define USBPHY_PLL_SIC_SET_REFBIAS_PWD_SEL_MASK  (0x80000U)\r
+#define USBPHY_PLL_SIC_SET_PLL_EN_USB_CLKS(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_SET_PLL_EN_USB_CLKS_SHIFT)) & \\r
+     USBPHY_PLL_SIC_SET_PLL_EN_USB_CLKS_MASK)\r
+#define USBPHY_PLL_SIC_SET_PLL_POWER_MASK (0x1000U)\r
+#define USBPHY_PLL_SIC_SET_PLL_POWER_SHIFT (12U)\r
+#define USBPHY_PLL_SIC_SET_PLL_POWER(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_SET_PLL_POWER_SHIFT)) & USBPHY_PLL_SIC_SET_PLL_POWER_MASK)\r
+#define USBPHY_PLL_SIC_SET_PLL_ENABLE_MASK (0x2000U)\r
+#define USBPHY_PLL_SIC_SET_PLL_ENABLE_SHIFT (13U)\r
+#define USBPHY_PLL_SIC_SET_PLL_ENABLE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_SET_PLL_ENABLE_SHIFT)) & USBPHY_PLL_SIC_SET_PLL_ENABLE_MASK)\r
+#define USBPHY_PLL_SIC_SET_REFBIAS_PWD_SEL_MASK (0x80000U)\r
 #define USBPHY_PLL_SIC_SET_REFBIAS_PWD_SEL_SHIFT (19U)\r
 /*! REFBIAS_PWD_SEL\r
  *  0b0..Selects PLL_POWER to control the reference bias\r
  *  0b1..Selects REFBIAS_PWD to control the reference bias\r
  */\r
-#define USBPHY_PLL_SIC_SET_REFBIAS_PWD_SEL(x)    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_SET_REFBIAS_PWD_SEL_SHIFT)) & USBPHY_PLL_SIC_SET_REFBIAS_PWD_SEL_MASK)\r
-#define USBPHY_PLL_SIC_SET_REFBIAS_PWD_MASK      (0x100000U)\r
-#define USBPHY_PLL_SIC_SET_REFBIAS_PWD_SHIFT     (20U)\r
-#define USBPHY_PLL_SIC_SET_REFBIAS_PWD(x)        (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_SET_REFBIAS_PWD_SHIFT)) & USBPHY_PLL_SIC_SET_REFBIAS_PWD_MASK)\r
-#define USBPHY_PLL_SIC_SET_PLL_REG_ENABLE_MASK   (0x200000U)\r
-#define USBPHY_PLL_SIC_SET_PLL_REG_ENABLE_SHIFT  (21U)\r
-#define USBPHY_PLL_SIC_SET_PLL_REG_ENABLE(x)     (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_SET_PLL_REG_ENABLE_SHIFT)) & USBPHY_PLL_SIC_SET_PLL_REG_ENABLE_MASK)\r
-#define USBPHY_PLL_SIC_SET_PLL_DIV_SEL_MASK      (0x1C00000U)\r
-#define USBPHY_PLL_SIC_SET_PLL_DIV_SEL_SHIFT     (22U)\r
+#define USBPHY_PLL_SIC_SET_REFBIAS_PWD_SEL(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_SET_REFBIAS_PWD_SEL_SHIFT)) & \\r
+     USBPHY_PLL_SIC_SET_REFBIAS_PWD_SEL_MASK)\r
+#define USBPHY_PLL_SIC_SET_REFBIAS_PWD_MASK (0x100000U)\r
+#define USBPHY_PLL_SIC_SET_REFBIAS_PWD_SHIFT (20U)\r
+#define USBPHY_PLL_SIC_SET_REFBIAS_PWD(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_SET_REFBIAS_PWD_SHIFT)) & USBPHY_PLL_SIC_SET_REFBIAS_PWD_MASK)\r
+#define USBPHY_PLL_SIC_SET_PLL_REG_ENABLE_MASK (0x200000U)\r
+#define USBPHY_PLL_SIC_SET_PLL_REG_ENABLE_SHIFT (21U)\r
+#define USBPHY_PLL_SIC_SET_PLL_REG_ENABLE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_SET_PLL_REG_ENABLE_SHIFT)) & USBPHY_PLL_SIC_SET_PLL_REG_ENABLE_MASK)\r
+#define USBPHY_PLL_SIC_SET_PLL_DIV_SEL_MASK (0x1C00000U)\r
+#define USBPHY_PLL_SIC_SET_PLL_DIV_SEL_SHIFT (22U)\r
 /*! PLL_DIV_SEL\r
  *  0b000..Divide by 13\r
  *  0b001..Divide by 15\r
@@ -23701,48 +29693,56 @@ typedef struct {
  *  0b110..Divide by 30\r
  *  0b111..Divide by 240\r
  */\r
-#define USBPHY_PLL_SIC_SET_PLL_DIV_SEL(x)        (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_SET_PLL_DIV_SEL_SHIFT)) & USBPHY_PLL_SIC_SET_PLL_DIV_SEL_MASK)\r
-#define USBPHY_PLL_SIC_SET_PLL_LOCK_MASK         (0x80000000U)\r
-#define USBPHY_PLL_SIC_SET_PLL_LOCK_SHIFT        (31U)\r
+#define USBPHY_PLL_SIC_SET_PLL_DIV_SEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_SET_PLL_DIV_SEL_SHIFT)) & USBPHY_PLL_SIC_SET_PLL_DIV_SEL_MASK)\r
+#define USBPHY_PLL_SIC_SET_PLL_PREDIV_MASK (0x40000000U)\r
+#define USBPHY_PLL_SIC_SET_PLL_PREDIV_SHIFT (30U)\r
+#define USBPHY_PLL_SIC_SET_PLL_PREDIV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_SET_PLL_PREDIV_SHIFT)) & USBPHY_PLL_SIC_SET_PLL_PREDIV_MASK)\r
+#define USBPHY_PLL_SIC_SET_PLL_LOCK_MASK (0x80000000U)\r
+#define USBPHY_PLL_SIC_SET_PLL_LOCK_SHIFT (31U)\r
 /*! PLL_LOCK\r
  *  0b0..PLL is not currently locked\r
  *  0b1..PLL is currently locked\r
  */\r
-#define USBPHY_PLL_SIC_SET_PLL_LOCK(x)           (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_SET_PLL_LOCK_SHIFT)) & USBPHY_PLL_SIC_SET_PLL_LOCK_MASK)\r
+#define USBPHY_PLL_SIC_SET_PLL_LOCK(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_SET_PLL_LOCK_SHIFT)) & USBPHY_PLL_SIC_SET_PLL_LOCK_MASK)\r
 /*! @} */\r
 \r
 /*! @name PLL_SIC_CLR - USB PHY PLL Control/Status Register */\r
 /*! @{ */\r
-#define USBPHY_PLL_SIC_CLR_MISC2_CONTROL0_MASK   (0x20U)\r
-#define USBPHY_PLL_SIC_CLR_MISC2_CONTROL0_SHIFT  (5U)\r
-#define USBPHY_PLL_SIC_CLR_MISC2_CONTROL0(x)     (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_CLR_MISC2_CONTROL0_SHIFT)) & USBPHY_PLL_SIC_CLR_MISC2_CONTROL0_MASK)\r
-#define USBPHY_PLL_SIC_CLR_PLL_EN_USB_CLKS_MASK  (0x40U)\r
+#define USBPHY_PLL_SIC_CLR_PLL_EN_USB_CLKS_MASK (0x40U)\r
 #define USBPHY_PLL_SIC_CLR_PLL_EN_USB_CLKS_SHIFT (6U)\r
-#define USBPHY_PLL_SIC_CLR_PLL_EN_USB_CLKS(x)    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_CLR_PLL_EN_USB_CLKS_SHIFT)) & USBPHY_PLL_SIC_CLR_PLL_EN_USB_CLKS_MASK)\r
-#define USBPHY_PLL_SIC_CLR_PLL_POWER_MASK        (0x1000U)\r
-#define USBPHY_PLL_SIC_CLR_PLL_POWER_SHIFT       (12U)\r
-#define USBPHY_PLL_SIC_CLR_PLL_POWER(x)          (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_CLR_PLL_POWER_SHIFT)) & USBPHY_PLL_SIC_CLR_PLL_POWER_MASK)\r
-#define USBPHY_PLL_SIC_CLR_PLL_ENABLE_MASK       (0x2000U)\r
-#define USBPHY_PLL_SIC_CLR_PLL_ENABLE_SHIFT      (13U)\r
-#define USBPHY_PLL_SIC_CLR_PLL_ENABLE(x)         (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_CLR_PLL_ENABLE_SHIFT)) & USBPHY_PLL_SIC_CLR_PLL_ENABLE_MASK)\r
-#define USBPHY_PLL_SIC_CLR_PLL_BYPASS_MASK       (0x10000U)\r
-#define USBPHY_PLL_SIC_CLR_PLL_BYPASS_SHIFT      (16U)\r
-#define USBPHY_PLL_SIC_CLR_PLL_BYPASS(x)         (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_CLR_PLL_BYPASS_SHIFT)) & USBPHY_PLL_SIC_CLR_PLL_BYPASS_MASK)\r
-#define USBPHY_PLL_SIC_CLR_REFBIAS_PWD_SEL_MASK  (0x80000U)\r
+#define USBPHY_PLL_SIC_CLR_PLL_EN_USB_CLKS(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_CLR_PLL_EN_USB_CLKS_SHIFT)) & \\r
+     USBPHY_PLL_SIC_CLR_PLL_EN_USB_CLKS_MASK)\r
+#define USBPHY_PLL_SIC_CLR_PLL_POWER_MASK (0x1000U)\r
+#define USBPHY_PLL_SIC_CLR_PLL_POWER_SHIFT (12U)\r
+#define USBPHY_PLL_SIC_CLR_PLL_POWER(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_CLR_PLL_POWER_SHIFT)) & USBPHY_PLL_SIC_CLR_PLL_POWER_MASK)\r
+#define USBPHY_PLL_SIC_CLR_PLL_ENABLE_MASK (0x2000U)\r
+#define USBPHY_PLL_SIC_CLR_PLL_ENABLE_SHIFT (13U)\r
+#define USBPHY_PLL_SIC_CLR_PLL_ENABLE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_CLR_PLL_ENABLE_SHIFT)) & USBPHY_PLL_SIC_CLR_PLL_ENABLE_MASK)\r
+#define USBPHY_PLL_SIC_CLR_REFBIAS_PWD_SEL_MASK (0x80000U)\r
 #define USBPHY_PLL_SIC_CLR_REFBIAS_PWD_SEL_SHIFT (19U)\r
 /*! REFBIAS_PWD_SEL\r
  *  0b0..Selects PLL_POWER to control the reference bias\r
  *  0b1..Selects REFBIAS_PWD to control the reference bias\r
  */\r
-#define USBPHY_PLL_SIC_CLR_REFBIAS_PWD_SEL(x)    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_CLR_REFBIAS_PWD_SEL_SHIFT)) & USBPHY_PLL_SIC_CLR_REFBIAS_PWD_SEL_MASK)\r
-#define USBPHY_PLL_SIC_CLR_REFBIAS_PWD_MASK      (0x100000U)\r
-#define USBPHY_PLL_SIC_CLR_REFBIAS_PWD_SHIFT     (20U)\r
-#define USBPHY_PLL_SIC_CLR_REFBIAS_PWD(x)        (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_CLR_REFBIAS_PWD_SHIFT)) & USBPHY_PLL_SIC_CLR_REFBIAS_PWD_MASK)\r
-#define USBPHY_PLL_SIC_CLR_PLL_REG_ENABLE_MASK   (0x200000U)\r
-#define USBPHY_PLL_SIC_CLR_PLL_REG_ENABLE_SHIFT  (21U)\r
-#define USBPHY_PLL_SIC_CLR_PLL_REG_ENABLE(x)     (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_CLR_PLL_REG_ENABLE_SHIFT)) & USBPHY_PLL_SIC_CLR_PLL_REG_ENABLE_MASK)\r
-#define USBPHY_PLL_SIC_CLR_PLL_DIV_SEL_MASK      (0x1C00000U)\r
-#define USBPHY_PLL_SIC_CLR_PLL_DIV_SEL_SHIFT     (22U)\r
+#define USBPHY_PLL_SIC_CLR_REFBIAS_PWD_SEL(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_CLR_REFBIAS_PWD_SEL_SHIFT)) & \\r
+     USBPHY_PLL_SIC_CLR_REFBIAS_PWD_SEL_MASK)\r
+#define USBPHY_PLL_SIC_CLR_REFBIAS_PWD_MASK (0x100000U)\r
+#define USBPHY_PLL_SIC_CLR_REFBIAS_PWD_SHIFT (20U)\r
+#define USBPHY_PLL_SIC_CLR_REFBIAS_PWD(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_CLR_REFBIAS_PWD_SHIFT)) & USBPHY_PLL_SIC_CLR_REFBIAS_PWD_MASK)\r
+#define USBPHY_PLL_SIC_CLR_PLL_REG_ENABLE_MASK (0x200000U)\r
+#define USBPHY_PLL_SIC_CLR_PLL_REG_ENABLE_SHIFT (21U)\r
+#define USBPHY_PLL_SIC_CLR_PLL_REG_ENABLE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_CLR_PLL_REG_ENABLE_SHIFT)) & USBPHY_PLL_SIC_CLR_PLL_REG_ENABLE_MASK)\r
+#define USBPHY_PLL_SIC_CLR_PLL_DIV_SEL_MASK (0x1C00000U)\r
+#define USBPHY_PLL_SIC_CLR_PLL_DIV_SEL_SHIFT (22U)\r
 /*! PLL_DIV_SEL\r
  *  0b000..Divide by 13\r
  *  0b001..Divide by 15\r
@@ -23753,48 +29753,56 @@ typedef struct {
  *  0b110..Divide by 30\r
  *  0b111..Divide by 240\r
  */\r
-#define USBPHY_PLL_SIC_CLR_PLL_DIV_SEL(x)        (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_CLR_PLL_DIV_SEL_SHIFT)) & USBPHY_PLL_SIC_CLR_PLL_DIV_SEL_MASK)\r
-#define USBPHY_PLL_SIC_CLR_PLL_LOCK_MASK         (0x80000000U)\r
-#define USBPHY_PLL_SIC_CLR_PLL_LOCK_SHIFT        (31U)\r
+#define USBPHY_PLL_SIC_CLR_PLL_DIV_SEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_CLR_PLL_DIV_SEL_SHIFT)) & USBPHY_PLL_SIC_CLR_PLL_DIV_SEL_MASK)\r
+#define USBPHY_PLL_SIC_CLR_PLL_PREDIV_MASK (0x40000000U)\r
+#define USBPHY_PLL_SIC_CLR_PLL_PREDIV_SHIFT (30U)\r
+#define USBPHY_PLL_SIC_CLR_PLL_PREDIV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_CLR_PLL_PREDIV_SHIFT)) & USBPHY_PLL_SIC_CLR_PLL_PREDIV_MASK)\r
+#define USBPHY_PLL_SIC_CLR_PLL_LOCK_MASK (0x80000000U)\r
+#define USBPHY_PLL_SIC_CLR_PLL_LOCK_SHIFT (31U)\r
 /*! PLL_LOCK\r
  *  0b0..PLL is not currently locked\r
  *  0b1..PLL is currently locked\r
  */\r
-#define USBPHY_PLL_SIC_CLR_PLL_LOCK(x)           (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_CLR_PLL_LOCK_SHIFT)) & USBPHY_PLL_SIC_CLR_PLL_LOCK_MASK)\r
+#define USBPHY_PLL_SIC_CLR_PLL_LOCK(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_CLR_PLL_LOCK_SHIFT)) & USBPHY_PLL_SIC_CLR_PLL_LOCK_MASK)\r
 /*! @} */\r
 \r
 /*! @name PLL_SIC_TOG - USB PHY PLL Control/Status Register */\r
 /*! @{ */\r
-#define USBPHY_PLL_SIC_TOG_MISC2_CONTROL0_MASK   (0x20U)\r
-#define USBPHY_PLL_SIC_TOG_MISC2_CONTROL0_SHIFT  (5U)\r
-#define USBPHY_PLL_SIC_TOG_MISC2_CONTROL0(x)     (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_TOG_MISC2_CONTROL0_SHIFT)) & USBPHY_PLL_SIC_TOG_MISC2_CONTROL0_MASK)\r
-#define USBPHY_PLL_SIC_TOG_PLL_EN_USB_CLKS_MASK  (0x40U)\r
+#define USBPHY_PLL_SIC_TOG_PLL_EN_USB_CLKS_MASK (0x40U)\r
 #define USBPHY_PLL_SIC_TOG_PLL_EN_USB_CLKS_SHIFT (6U)\r
-#define USBPHY_PLL_SIC_TOG_PLL_EN_USB_CLKS(x)    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_TOG_PLL_EN_USB_CLKS_SHIFT)) & USBPHY_PLL_SIC_TOG_PLL_EN_USB_CLKS_MASK)\r
-#define USBPHY_PLL_SIC_TOG_PLL_POWER_MASK        (0x1000U)\r
-#define USBPHY_PLL_SIC_TOG_PLL_POWER_SHIFT       (12U)\r
-#define USBPHY_PLL_SIC_TOG_PLL_POWER(x)          (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_TOG_PLL_POWER_SHIFT)) & USBPHY_PLL_SIC_TOG_PLL_POWER_MASK)\r
-#define USBPHY_PLL_SIC_TOG_PLL_ENABLE_MASK       (0x2000U)\r
-#define USBPHY_PLL_SIC_TOG_PLL_ENABLE_SHIFT      (13U)\r
-#define USBPHY_PLL_SIC_TOG_PLL_ENABLE(x)         (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_TOG_PLL_ENABLE_SHIFT)) & USBPHY_PLL_SIC_TOG_PLL_ENABLE_MASK)\r
-#define USBPHY_PLL_SIC_TOG_PLL_BYPASS_MASK       (0x10000U)\r
-#define USBPHY_PLL_SIC_TOG_PLL_BYPASS_SHIFT      (16U)\r
-#define USBPHY_PLL_SIC_TOG_PLL_BYPASS(x)         (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_TOG_PLL_BYPASS_SHIFT)) & USBPHY_PLL_SIC_TOG_PLL_BYPASS_MASK)\r
-#define USBPHY_PLL_SIC_TOG_REFBIAS_PWD_SEL_MASK  (0x80000U)\r
+#define USBPHY_PLL_SIC_TOG_PLL_EN_USB_CLKS(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_TOG_PLL_EN_USB_CLKS_SHIFT)) & \\r
+     USBPHY_PLL_SIC_TOG_PLL_EN_USB_CLKS_MASK)\r
+#define USBPHY_PLL_SIC_TOG_PLL_POWER_MASK (0x1000U)\r
+#define USBPHY_PLL_SIC_TOG_PLL_POWER_SHIFT (12U)\r
+#define USBPHY_PLL_SIC_TOG_PLL_POWER(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_TOG_PLL_POWER_SHIFT)) & USBPHY_PLL_SIC_TOG_PLL_POWER_MASK)\r
+#define USBPHY_PLL_SIC_TOG_PLL_ENABLE_MASK (0x2000U)\r
+#define USBPHY_PLL_SIC_TOG_PLL_ENABLE_SHIFT (13U)\r
+#define USBPHY_PLL_SIC_TOG_PLL_ENABLE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_TOG_PLL_ENABLE_SHIFT)) & USBPHY_PLL_SIC_TOG_PLL_ENABLE_MASK)\r
+#define USBPHY_PLL_SIC_TOG_REFBIAS_PWD_SEL_MASK (0x80000U)\r
 #define USBPHY_PLL_SIC_TOG_REFBIAS_PWD_SEL_SHIFT (19U)\r
 /*! REFBIAS_PWD_SEL\r
  *  0b0..Selects PLL_POWER to control the reference bias\r
  *  0b1..Selects REFBIAS_PWD to control the reference bias\r
  */\r
-#define USBPHY_PLL_SIC_TOG_REFBIAS_PWD_SEL(x)    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_TOG_REFBIAS_PWD_SEL_SHIFT)) & USBPHY_PLL_SIC_TOG_REFBIAS_PWD_SEL_MASK)\r
-#define USBPHY_PLL_SIC_TOG_REFBIAS_PWD_MASK      (0x100000U)\r
-#define USBPHY_PLL_SIC_TOG_REFBIAS_PWD_SHIFT     (20U)\r
-#define USBPHY_PLL_SIC_TOG_REFBIAS_PWD(x)        (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_TOG_REFBIAS_PWD_SHIFT)) & USBPHY_PLL_SIC_TOG_REFBIAS_PWD_MASK)\r
-#define USBPHY_PLL_SIC_TOG_PLL_REG_ENABLE_MASK   (0x200000U)\r
-#define USBPHY_PLL_SIC_TOG_PLL_REG_ENABLE_SHIFT  (21U)\r
-#define USBPHY_PLL_SIC_TOG_PLL_REG_ENABLE(x)     (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_TOG_PLL_REG_ENABLE_SHIFT)) & USBPHY_PLL_SIC_TOG_PLL_REG_ENABLE_MASK)\r
-#define USBPHY_PLL_SIC_TOG_PLL_DIV_SEL_MASK      (0x1C00000U)\r
-#define USBPHY_PLL_SIC_TOG_PLL_DIV_SEL_SHIFT     (22U)\r
+#define USBPHY_PLL_SIC_TOG_REFBIAS_PWD_SEL(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_TOG_REFBIAS_PWD_SEL_SHIFT)) & \\r
+     USBPHY_PLL_SIC_TOG_REFBIAS_PWD_SEL_MASK)\r
+#define USBPHY_PLL_SIC_TOG_REFBIAS_PWD_MASK (0x100000U)\r
+#define USBPHY_PLL_SIC_TOG_REFBIAS_PWD_SHIFT (20U)\r
+#define USBPHY_PLL_SIC_TOG_REFBIAS_PWD(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_TOG_REFBIAS_PWD_SHIFT)) & USBPHY_PLL_SIC_TOG_REFBIAS_PWD_MASK)\r
+#define USBPHY_PLL_SIC_TOG_PLL_REG_ENABLE_MASK (0x200000U)\r
+#define USBPHY_PLL_SIC_TOG_PLL_REG_ENABLE_SHIFT (21U)\r
+#define USBPHY_PLL_SIC_TOG_PLL_REG_ENABLE(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_TOG_PLL_REG_ENABLE_SHIFT)) & USBPHY_PLL_SIC_TOG_PLL_REG_ENABLE_MASK)\r
+#define USBPHY_PLL_SIC_TOG_PLL_DIV_SEL_MASK (0x1C00000U)\r
+#define USBPHY_PLL_SIC_TOG_PLL_DIV_SEL_SHIFT (22U)\r
 /*! PLL_DIV_SEL\r
  *  0b000..Divide by 13\r
  *  0b001..Divide by 15\r
@@ -23805,14 +29813,20 @@ typedef struct {
  *  0b110..Divide by 30\r
  *  0b111..Divide by 240\r
  */\r
-#define USBPHY_PLL_SIC_TOG_PLL_DIV_SEL(x)        (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_TOG_PLL_DIV_SEL_SHIFT)) & USBPHY_PLL_SIC_TOG_PLL_DIV_SEL_MASK)\r
-#define USBPHY_PLL_SIC_TOG_PLL_LOCK_MASK         (0x80000000U)\r
-#define USBPHY_PLL_SIC_TOG_PLL_LOCK_SHIFT        (31U)\r
+#define USBPHY_PLL_SIC_TOG_PLL_DIV_SEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_TOG_PLL_DIV_SEL_SHIFT)) & USBPHY_PLL_SIC_TOG_PLL_DIV_SEL_MASK)\r
+#define USBPHY_PLL_SIC_TOG_PLL_PREDIV_MASK (0x40000000U)\r
+#define USBPHY_PLL_SIC_TOG_PLL_PREDIV_SHIFT (30U)\r
+#define USBPHY_PLL_SIC_TOG_PLL_PREDIV(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_TOG_PLL_PREDIV_SHIFT)) & USBPHY_PLL_SIC_TOG_PLL_PREDIV_MASK)\r
+#define USBPHY_PLL_SIC_TOG_PLL_LOCK_MASK (0x80000000U)\r
+#define USBPHY_PLL_SIC_TOG_PLL_LOCK_SHIFT (31U)\r
 /*! PLL_LOCK\r
  *  0b0..PLL is not currently locked\r
  *  0b1..PLL is currently locked\r
  */\r
-#define USBPHY_PLL_SIC_TOG_PLL_LOCK(x)           (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_TOG_PLL_LOCK_SHIFT)) & USBPHY_PLL_SIC_TOG_PLL_LOCK_MASK)\r
+#define USBPHY_PLL_SIC_TOG_PLL_LOCK(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_PLL_SIC_TOG_PLL_LOCK_SHIFT)) & USBPHY_PLL_SIC_TOG_PLL_LOCK_MASK)\r
 /*! @} */\r
 \r
 /*! @name USB1_VBUS_DETECT - USB PHY VBUS Detect Control Register */\r
@@ -23829,33 +29843,47 @@ typedef struct {
  *  0b110..4.6V\r
  *  0b111..4.7V\r
  */\r
-#define USBPHY_USB1_VBUS_DETECT_VBUSVALID_THRESH(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_VBUSVALID_THRESH_SHIFT)) & USBPHY_USB1_VBUS_DETECT_VBUSVALID_THRESH_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_VBUSVALID_THRESH(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_VBUSVALID_THRESH_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_VBUSVALID_THRESH_MASK)\r
 #define USBPHY_USB1_VBUS_DETECT_VBUS_OVERRIDE_EN_MASK (0x8U)\r
 #define USBPHY_USB1_VBUS_DETECT_VBUS_OVERRIDE_EN_SHIFT (3U)\r
 /*! VBUS_OVERRIDE_EN\r
- *  0b0..Use the results of the internal VBUS_VALID and Session Valid comparators for VBUS_VALID, AVALID, BVALID, and SESSEND (Default)\r
- *  0b1..Use the override values for VBUS_VALID, AVALID, BVALID, and SESSEND\r
+ *  0b0..Use the results of the internal VBUS_VALID and Session Valid comparators for VBUS_VALID, AVALID, BVALID, and\r
+ * SESSEND (Default) 0b1..Use the override values for VBUS_VALID, AVALID, BVALID, and SESSEND\r
  */\r
-#define USBPHY_USB1_VBUS_DETECT_VBUS_OVERRIDE_EN(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_VBUS_OVERRIDE_EN_SHIFT)) & USBPHY_USB1_VBUS_DETECT_VBUS_OVERRIDE_EN_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_VBUS_OVERRIDE_EN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_VBUS_OVERRIDE_EN_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_VBUS_OVERRIDE_EN_MASK)\r
 #define USBPHY_USB1_VBUS_DETECT_SESSEND_OVERRIDE_MASK (0x10U)\r
 #define USBPHY_USB1_VBUS_DETECT_SESSEND_OVERRIDE_SHIFT (4U)\r
-#define USBPHY_USB1_VBUS_DETECT_SESSEND_OVERRIDE(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_SESSEND_OVERRIDE_SHIFT)) & USBPHY_USB1_VBUS_DETECT_SESSEND_OVERRIDE_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_SESSEND_OVERRIDE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_SESSEND_OVERRIDE_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_SESSEND_OVERRIDE_MASK)\r
 #define USBPHY_USB1_VBUS_DETECT_BVALID_OVERRIDE_MASK (0x20U)\r
 #define USBPHY_USB1_VBUS_DETECT_BVALID_OVERRIDE_SHIFT (5U)\r
-#define USBPHY_USB1_VBUS_DETECT_BVALID_OVERRIDE(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_BVALID_OVERRIDE_SHIFT)) & USBPHY_USB1_VBUS_DETECT_BVALID_OVERRIDE_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_BVALID_OVERRIDE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_BVALID_OVERRIDE_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_BVALID_OVERRIDE_MASK)\r
 #define USBPHY_USB1_VBUS_DETECT_AVALID_OVERRIDE_MASK (0x40U)\r
 #define USBPHY_USB1_VBUS_DETECT_AVALID_OVERRIDE_SHIFT (6U)\r
-#define USBPHY_USB1_VBUS_DETECT_AVALID_OVERRIDE(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_AVALID_OVERRIDE_SHIFT)) & USBPHY_USB1_VBUS_DETECT_AVALID_OVERRIDE_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_AVALID_OVERRIDE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_AVALID_OVERRIDE_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_AVALID_OVERRIDE_MASK)\r
 #define USBPHY_USB1_VBUS_DETECT_VBUSVALID_OVERRIDE_MASK (0x80U)\r
 #define USBPHY_USB1_VBUS_DETECT_VBUSVALID_OVERRIDE_SHIFT (7U)\r
-#define USBPHY_USB1_VBUS_DETECT_VBUSVALID_OVERRIDE(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_VBUSVALID_OVERRIDE_SHIFT)) & USBPHY_USB1_VBUS_DETECT_VBUSVALID_OVERRIDE_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_VBUSVALID_OVERRIDE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_VBUSVALID_OVERRIDE_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_VBUSVALID_OVERRIDE_MASK)\r
 #define USBPHY_USB1_VBUS_DETECT_VBUSVALID_SEL_MASK (0x100U)\r
 #define USBPHY_USB1_VBUS_DETECT_VBUSVALID_SEL_SHIFT (8U)\r
 /*! VBUSVALID_SEL\r
  *  0b0..Use the VBUS_VALID comparator results for signal reported to the USB controller (Default)\r
  *  0b1..Use the VBUS_VALID_3V detector results for signal reported to the USB controller\r
  */\r
-#define USBPHY_USB1_VBUS_DETECT_VBUSVALID_SEL(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_VBUSVALID_SEL_SHIFT)) & USBPHY_USB1_VBUS_DETECT_VBUSVALID_SEL_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_VBUSVALID_SEL(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_VBUSVALID_SEL_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_VBUSVALID_SEL_MASK)\r
 #define USBPHY_USB1_VBUS_DETECT_VBUS_SOURCE_SEL_MASK (0x600U)\r
 #define USBPHY_USB1_VBUS_DETECT_VBUS_SOURCE_SEL_SHIFT (9U)\r
 /*! VBUS_SOURCE_SEL\r
@@ -23864,35 +29892,69 @@ typedef struct {
  *  0b10..Use the Session Valid comparator results for signal reported to the USB controller\r
  *  0b11..Reserved, do not use\r
  */\r
-#define USBPHY_USB1_VBUS_DETECT_VBUS_SOURCE_SEL(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_VBUS_SOURCE_SEL_SHIFT)) & USBPHY_USB1_VBUS_DETECT_VBUS_SOURCE_SEL_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_VBUS_SOURCE_SEL(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_VBUS_SOURCE_SEL_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_VBUS_SOURCE_SEL_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_ID_OVERRIDE_EN_MASK (0x800U)\r
+#define USBPHY_USB1_VBUS_DETECT_ID_OVERRIDE_EN_SHIFT (11U)\r
+#define USBPHY_USB1_VBUS_DETECT_ID_OVERRIDE_EN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_ID_OVERRIDE_EN_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_ID_OVERRIDE_EN_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_ID_OVERRIDE_MASK (0x1000U)\r
+#define USBPHY_USB1_VBUS_DETECT_ID_OVERRIDE_SHIFT (12U)\r
+#define USBPHY_USB1_VBUS_DETECT_ID_OVERRIDE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_ID_OVERRIDE_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_ID_OVERRIDE_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_EXT_ID_OVERRIDE_EN_MASK (0x2000U)\r
+#define USBPHY_USB1_VBUS_DETECT_EXT_ID_OVERRIDE_EN_SHIFT (13U)\r
+/*! EXT_ID_OVERRIDE_EN\r
+ *  0b0..Select the Muxed value chosen using ID_OVERRIDE_EN.\r
+ *  0b1..Select the external ID value.\r
+ */\r
+#define USBPHY_USB1_VBUS_DETECT_EXT_ID_OVERRIDE_EN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_EXT_ID_OVERRIDE_EN_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_EXT_ID_OVERRIDE_EN_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_EXT_VBUS_OVERRIDE_EN_MASK (0x4000U)\r
+#define USBPHY_USB1_VBUS_DETECT_EXT_VBUS_OVERRIDE_EN_SHIFT (14U)\r
+/*! EXT_VBUS_OVERRIDE_EN\r
+ *  0b0..Select the Muxed value chosen using VBUS_OVERRIDE_EN.\r
+ *  0b1..Select the external VBUS VALID value.\r
+ */\r
+#define USBPHY_USB1_VBUS_DETECT_EXT_VBUS_OVERRIDE_EN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_EXT_VBUS_OVERRIDE_EN_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_EXT_VBUS_OVERRIDE_EN_MASK)\r
 #define USBPHY_USB1_VBUS_DETECT_VBUSVALID_TO_SESSVALID_MASK (0x40000U)\r
 #define USBPHY_USB1_VBUS_DETECT_VBUSVALID_TO_SESSVALID_SHIFT (18U)\r
 /*! VBUSVALID_TO_SESSVALID\r
  *  0b0..Use the VBUS_VALID comparator for VBUS_VALID results\r
  *  0b1..Use the Session End comparator for VBUS_VALID results. The Session End threshold is >0.8V and <4.0V.\r
  */\r
-#define USBPHY_USB1_VBUS_DETECT_VBUSVALID_TO_SESSVALID(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_VBUSVALID_TO_SESSVALID_SHIFT)) & USBPHY_USB1_VBUS_DETECT_VBUSVALID_TO_SESSVALID_MASK)\r
-#define USBPHY_USB1_VBUS_DETECT_PWRUP_CMPS_MASK  (0x100000U)\r
+#define USBPHY_USB1_VBUS_DETECT_VBUSVALID_TO_SESSVALID(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_VBUSVALID_TO_SESSVALID_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_VBUSVALID_TO_SESSVALID_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_VBUSVALID_5VDETECT_MASK (0x80000U)\r
+#define USBPHY_USB1_VBUS_DETECT_VBUSVALID_5VDETECT_SHIFT (19U)\r
+#define USBPHY_USB1_VBUS_DETECT_VBUSVALID_5VDETECT(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_VBUSVALID_5VDETECT_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_VBUSVALID_5VDETECT_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_PWRUP_CMPS_MASK (0x700000U)\r
 #define USBPHY_USB1_VBUS_DETECT_PWRUP_CMPS_SHIFT (20U)\r
 /*! PWRUP_CMPS\r
- *  0b0..Powers down the VBUS_VALID comparator\r
- *  0b1..Enables the VBUS_VALID comparator (default)\r
+ *  0b000..Powers down the VBUS_VALID comparator\r
+ *  0b111..Enables the VBUS_VALID comparator (default)\r
  */\r
-#define USBPHY_USB1_VBUS_DETECT_PWRUP_CMPS(x)    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_PWRUP_CMPS_SHIFT)) & USBPHY_USB1_VBUS_DETECT_PWRUP_CMPS_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_PWRUP_CMPS(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_PWRUP_CMPS_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_PWRUP_CMPS_MASK)\r
 #define USBPHY_USB1_VBUS_DETECT_DISCHARGE_VBUS_MASK (0x4000000U)\r
 #define USBPHY_USB1_VBUS_DETECT_DISCHARGE_VBUS_SHIFT (26U)\r
 /*! DISCHARGE_VBUS\r
  *  0b0..VBUS discharge resistor is disabled (Default)\r
  *  0b1..VBUS discharge resistor is enabled\r
  */\r
-#define USBPHY_USB1_VBUS_DETECT_DISCHARGE_VBUS(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_DISCHARGE_VBUS_SHIFT)) & USBPHY_USB1_VBUS_DETECT_DISCHARGE_VBUS_MASK)\r
-#define USBPHY_USB1_VBUS_DETECT_EN_CHARGER_RESISTOR_MASK (0x80000000U)\r
-#define USBPHY_USB1_VBUS_DETECT_EN_CHARGER_RESISTOR_SHIFT (31U)\r
-/*! EN_CHARGER_RESISTOR\r
- *  0b0..Disable resistive charger detection resistors on USB_DP and USB_DP\r
- *  0b1..Enable resistive charger detection resistors on USB_DP and USB_DP\r
- */\r
-#define USBPHY_USB1_VBUS_DETECT_EN_CHARGER_RESISTOR(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_EN_CHARGER_RESISTOR_SHIFT)) & USBPHY_USB1_VBUS_DETECT_EN_CHARGER_RESISTOR_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_DISCHARGE_VBUS(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_DISCHARGE_VBUS_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_DISCHARGE_VBUS_MASK)\r
 /*! @} */\r
 \r
 /*! @name USB1_VBUS_DETECT_SET - USB PHY VBUS Detect Control Register */\r
@@ -23909,33 +29971,47 @@ typedef struct {
  *  0b110..4.6V\r
  *  0b111..4.7V\r
  */\r
-#define USBPHY_USB1_VBUS_DETECT_SET_VBUSVALID_THRESH(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_SET_VBUSVALID_THRESH_SHIFT)) & USBPHY_USB1_VBUS_DETECT_SET_VBUSVALID_THRESH_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_SET_VBUSVALID_THRESH(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_SET_VBUSVALID_THRESH_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_SET_VBUSVALID_THRESH_MASK)\r
 #define USBPHY_USB1_VBUS_DETECT_SET_VBUS_OVERRIDE_EN_MASK (0x8U)\r
 #define USBPHY_USB1_VBUS_DETECT_SET_VBUS_OVERRIDE_EN_SHIFT (3U)\r
 /*! VBUS_OVERRIDE_EN\r
- *  0b0..Use the results of the internal VBUS_VALID and Session Valid comparators for VBUS_VALID, AVALID, BVALID, and SESSEND (Default)\r
- *  0b1..Use the override values for VBUS_VALID, AVALID, BVALID, and SESSEND\r
+ *  0b0..Use the results of the internal VBUS_VALID and Session Valid comparators for VBUS_VALID, AVALID, BVALID, and\r
+ * SESSEND (Default) 0b1..Use the override values for VBUS_VALID, AVALID, BVALID, and SESSEND\r
  */\r
-#define USBPHY_USB1_VBUS_DETECT_SET_VBUS_OVERRIDE_EN(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_SET_VBUS_OVERRIDE_EN_SHIFT)) & USBPHY_USB1_VBUS_DETECT_SET_VBUS_OVERRIDE_EN_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_SET_VBUS_OVERRIDE_EN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_SET_VBUS_OVERRIDE_EN_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_SET_VBUS_OVERRIDE_EN_MASK)\r
 #define USBPHY_USB1_VBUS_DETECT_SET_SESSEND_OVERRIDE_MASK (0x10U)\r
 #define USBPHY_USB1_VBUS_DETECT_SET_SESSEND_OVERRIDE_SHIFT (4U)\r
-#define USBPHY_USB1_VBUS_DETECT_SET_SESSEND_OVERRIDE(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_SET_SESSEND_OVERRIDE_SHIFT)) & USBPHY_USB1_VBUS_DETECT_SET_SESSEND_OVERRIDE_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_SET_SESSEND_OVERRIDE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_SET_SESSEND_OVERRIDE_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_SET_SESSEND_OVERRIDE_MASK)\r
 #define USBPHY_USB1_VBUS_DETECT_SET_BVALID_OVERRIDE_MASK (0x20U)\r
 #define USBPHY_USB1_VBUS_DETECT_SET_BVALID_OVERRIDE_SHIFT (5U)\r
-#define USBPHY_USB1_VBUS_DETECT_SET_BVALID_OVERRIDE(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_SET_BVALID_OVERRIDE_SHIFT)) & USBPHY_USB1_VBUS_DETECT_SET_BVALID_OVERRIDE_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_SET_BVALID_OVERRIDE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_SET_BVALID_OVERRIDE_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_SET_BVALID_OVERRIDE_MASK)\r
 #define USBPHY_USB1_VBUS_DETECT_SET_AVALID_OVERRIDE_MASK (0x40U)\r
 #define USBPHY_USB1_VBUS_DETECT_SET_AVALID_OVERRIDE_SHIFT (6U)\r
-#define USBPHY_USB1_VBUS_DETECT_SET_AVALID_OVERRIDE(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_SET_AVALID_OVERRIDE_SHIFT)) & USBPHY_USB1_VBUS_DETECT_SET_AVALID_OVERRIDE_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_SET_AVALID_OVERRIDE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_SET_AVALID_OVERRIDE_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_SET_AVALID_OVERRIDE_MASK)\r
 #define USBPHY_USB1_VBUS_DETECT_SET_VBUSVALID_OVERRIDE_MASK (0x80U)\r
 #define USBPHY_USB1_VBUS_DETECT_SET_VBUSVALID_OVERRIDE_SHIFT (7U)\r
-#define USBPHY_USB1_VBUS_DETECT_SET_VBUSVALID_OVERRIDE(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_SET_VBUSVALID_OVERRIDE_SHIFT)) & USBPHY_USB1_VBUS_DETECT_SET_VBUSVALID_OVERRIDE_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_SET_VBUSVALID_OVERRIDE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_SET_VBUSVALID_OVERRIDE_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_SET_VBUSVALID_OVERRIDE_MASK)\r
 #define USBPHY_USB1_VBUS_DETECT_SET_VBUSVALID_SEL_MASK (0x100U)\r
 #define USBPHY_USB1_VBUS_DETECT_SET_VBUSVALID_SEL_SHIFT (8U)\r
 /*! VBUSVALID_SEL\r
  *  0b0..Use the VBUS_VALID comparator results for signal reported to the USB controller (Default)\r
  *  0b1..Use the VBUS_VALID_3V detector results for signal reported to the USB controller\r
  */\r
-#define USBPHY_USB1_VBUS_DETECT_SET_VBUSVALID_SEL(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_SET_VBUSVALID_SEL_SHIFT)) & USBPHY_USB1_VBUS_DETECT_SET_VBUSVALID_SEL_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_SET_VBUSVALID_SEL(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_SET_VBUSVALID_SEL_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_SET_VBUSVALID_SEL_MASK)\r
 #define USBPHY_USB1_VBUS_DETECT_SET_VBUS_SOURCE_SEL_MASK (0x600U)\r
 #define USBPHY_USB1_VBUS_DETECT_SET_VBUS_SOURCE_SEL_SHIFT (9U)\r
 /*! VBUS_SOURCE_SEL\r
@@ -23944,35 +30020,69 @@ typedef struct {
  *  0b10..Use the Session Valid comparator results for signal reported to the USB controller\r
  *  0b11..Reserved, do not use\r
  */\r
-#define USBPHY_USB1_VBUS_DETECT_SET_VBUS_SOURCE_SEL(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_SET_VBUS_SOURCE_SEL_SHIFT)) & USBPHY_USB1_VBUS_DETECT_SET_VBUS_SOURCE_SEL_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_SET_VBUS_SOURCE_SEL(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_SET_VBUS_SOURCE_SEL_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_SET_VBUS_SOURCE_SEL_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_SET_ID_OVERRIDE_EN_MASK (0x800U)\r
+#define USBPHY_USB1_VBUS_DETECT_SET_ID_OVERRIDE_EN_SHIFT (11U)\r
+#define USBPHY_USB1_VBUS_DETECT_SET_ID_OVERRIDE_EN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_SET_ID_OVERRIDE_EN_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_SET_ID_OVERRIDE_EN_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_SET_ID_OVERRIDE_MASK (0x1000U)\r
+#define USBPHY_USB1_VBUS_DETECT_SET_ID_OVERRIDE_SHIFT (12U)\r
+#define USBPHY_USB1_VBUS_DETECT_SET_ID_OVERRIDE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_SET_ID_OVERRIDE_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_SET_ID_OVERRIDE_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_SET_EXT_ID_OVERRIDE_EN_MASK (0x2000U)\r
+#define USBPHY_USB1_VBUS_DETECT_SET_EXT_ID_OVERRIDE_EN_SHIFT (13U)\r
+/*! EXT_ID_OVERRIDE_EN\r
+ *  0b0..Select the Muxed value chosen using ID_OVERRIDE_EN.\r
+ *  0b1..Select the external ID value.\r
+ */\r
+#define USBPHY_USB1_VBUS_DETECT_SET_EXT_ID_OVERRIDE_EN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_SET_EXT_ID_OVERRIDE_EN_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_SET_EXT_ID_OVERRIDE_EN_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_SET_EXT_VBUS_OVERRIDE_EN_MASK (0x4000U)\r
+#define USBPHY_USB1_VBUS_DETECT_SET_EXT_VBUS_OVERRIDE_EN_SHIFT (14U)\r
+/*! EXT_VBUS_OVERRIDE_EN\r
+ *  0b0..Select the Muxed value chosen using VBUS_OVERRIDE_EN.\r
+ *  0b1..Select the external VBUS VALID value.\r
+ */\r
+#define USBPHY_USB1_VBUS_DETECT_SET_EXT_VBUS_OVERRIDE_EN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_SET_EXT_VBUS_OVERRIDE_EN_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_SET_EXT_VBUS_OVERRIDE_EN_MASK)\r
 #define USBPHY_USB1_VBUS_DETECT_SET_VBUSVALID_TO_SESSVALID_MASK (0x40000U)\r
 #define USBPHY_USB1_VBUS_DETECT_SET_VBUSVALID_TO_SESSVALID_SHIFT (18U)\r
 /*! VBUSVALID_TO_SESSVALID\r
  *  0b0..Use the VBUS_VALID comparator for VBUS_VALID results\r
  *  0b1..Use the Session End comparator for VBUS_VALID results. The Session End threshold is >0.8V and <4.0V.\r
  */\r
-#define USBPHY_USB1_VBUS_DETECT_SET_VBUSVALID_TO_SESSVALID(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_SET_VBUSVALID_TO_SESSVALID_SHIFT)) & USBPHY_USB1_VBUS_DETECT_SET_VBUSVALID_TO_SESSVALID_MASK)\r
-#define USBPHY_USB1_VBUS_DETECT_SET_PWRUP_CMPS_MASK (0x100000U)\r
+#define USBPHY_USB1_VBUS_DETECT_SET_VBUSVALID_TO_SESSVALID(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_SET_VBUSVALID_TO_SESSVALID_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_SET_VBUSVALID_TO_SESSVALID_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_SET_VBUSVALID_5VDETECT_MASK (0x80000U)\r
+#define USBPHY_USB1_VBUS_DETECT_SET_VBUSVALID_5VDETECT_SHIFT (19U)\r
+#define USBPHY_USB1_VBUS_DETECT_SET_VBUSVALID_5VDETECT(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_SET_VBUSVALID_5VDETECT_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_SET_VBUSVALID_5VDETECT_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_SET_PWRUP_CMPS_MASK (0x700000U)\r
 #define USBPHY_USB1_VBUS_DETECT_SET_PWRUP_CMPS_SHIFT (20U)\r
 /*! PWRUP_CMPS\r
- *  0b0..Powers down the VBUS_VALID comparator\r
- *  0b1..Enables the VBUS_VALID comparator (default)\r
+ *  0b000..Powers down the VBUS_VALID comparator\r
+ *  0b111..Enables the VBUS_VALID comparator (default)\r
  */\r
-#define USBPHY_USB1_VBUS_DETECT_SET_PWRUP_CMPS(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_SET_PWRUP_CMPS_SHIFT)) & USBPHY_USB1_VBUS_DETECT_SET_PWRUP_CMPS_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_SET_PWRUP_CMPS(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_SET_PWRUP_CMPS_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_SET_PWRUP_CMPS_MASK)\r
 #define USBPHY_USB1_VBUS_DETECT_SET_DISCHARGE_VBUS_MASK (0x4000000U)\r
 #define USBPHY_USB1_VBUS_DETECT_SET_DISCHARGE_VBUS_SHIFT (26U)\r
 /*! DISCHARGE_VBUS\r
  *  0b0..VBUS discharge resistor is disabled (Default)\r
  *  0b1..VBUS discharge resistor is enabled\r
  */\r
-#define USBPHY_USB1_VBUS_DETECT_SET_DISCHARGE_VBUS(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_SET_DISCHARGE_VBUS_SHIFT)) & USBPHY_USB1_VBUS_DETECT_SET_DISCHARGE_VBUS_MASK)\r
-#define USBPHY_USB1_VBUS_DETECT_SET_EN_CHARGER_RESISTOR_MASK (0x80000000U)\r
-#define USBPHY_USB1_VBUS_DETECT_SET_EN_CHARGER_RESISTOR_SHIFT (31U)\r
-/*! EN_CHARGER_RESISTOR\r
- *  0b0..Disable resistive charger detection resistors on USB_DP and USB_DP\r
- *  0b1..Enable resistive charger detection resistors on USB_DP and USB_DP\r
- */\r
-#define USBPHY_USB1_VBUS_DETECT_SET_EN_CHARGER_RESISTOR(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_SET_EN_CHARGER_RESISTOR_SHIFT)) & USBPHY_USB1_VBUS_DETECT_SET_EN_CHARGER_RESISTOR_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_SET_DISCHARGE_VBUS(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_SET_DISCHARGE_VBUS_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_SET_DISCHARGE_VBUS_MASK)\r
 /*! @} */\r
 \r
 /*! @name USB1_VBUS_DETECT_CLR - USB PHY VBUS Detect Control Register */\r
@@ -23989,33 +30099,47 @@ typedef struct {
  *  0b110..4.6V\r
  *  0b111..4.7V\r
  */\r
-#define USBPHY_USB1_VBUS_DETECT_CLR_VBUSVALID_THRESH(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_CLR_VBUSVALID_THRESH_SHIFT)) & USBPHY_USB1_VBUS_DETECT_CLR_VBUSVALID_THRESH_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_CLR_VBUSVALID_THRESH(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_CLR_VBUSVALID_THRESH_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_CLR_VBUSVALID_THRESH_MASK)\r
 #define USBPHY_USB1_VBUS_DETECT_CLR_VBUS_OVERRIDE_EN_MASK (0x8U)\r
 #define USBPHY_USB1_VBUS_DETECT_CLR_VBUS_OVERRIDE_EN_SHIFT (3U)\r
 /*! VBUS_OVERRIDE_EN\r
- *  0b0..Use the results of the internal VBUS_VALID and Session Valid comparators for VBUS_VALID, AVALID, BVALID, and SESSEND (Default)\r
- *  0b1..Use the override values for VBUS_VALID, AVALID, BVALID, and SESSEND\r
+ *  0b0..Use the results of the internal VBUS_VALID and Session Valid comparators for VBUS_VALID, AVALID, BVALID, and\r
+ * SESSEND (Default) 0b1..Use the override values for VBUS_VALID, AVALID, BVALID, and SESSEND\r
  */\r
-#define USBPHY_USB1_VBUS_DETECT_CLR_VBUS_OVERRIDE_EN(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_CLR_VBUS_OVERRIDE_EN_SHIFT)) & USBPHY_USB1_VBUS_DETECT_CLR_VBUS_OVERRIDE_EN_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_CLR_VBUS_OVERRIDE_EN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_CLR_VBUS_OVERRIDE_EN_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_CLR_VBUS_OVERRIDE_EN_MASK)\r
 #define USBPHY_USB1_VBUS_DETECT_CLR_SESSEND_OVERRIDE_MASK (0x10U)\r
 #define USBPHY_USB1_VBUS_DETECT_CLR_SESSEND_OVERRIDE_SHIFT (4U)\r
-#define USBPHY_USB1_VBUS_DETECT_CLR_SESSEND_OVERRIDE(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_CLR_SESSEND_OVERRIDE_SHIFT)) & USBPHY_USB1_VBUS_DETECT_CLR_SESSEND_OVERRIDE_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_CLR_SESSEND_OVERRIDE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_CLR_SESSEND_OVERRIDE_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_CLR_SESSEND_OVERRIDE_MASK)\r
 #define USBPHY_USB1_VBUS_DETECT_CLR_BVALID_OVERRIDE_MASK (0x20U)\r
 #define USBPHY_USB1_VBUS_DETECT_CLR_BVALID_OVERRIDE_SHIFT (5U)\r
-#define USBPHY_USB1_VBUS_DETECT_CLR_BVALID_OVERRIDE(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_CLR_BVALID_OVERRIDE_SHIFT)) & USBPHY_USB1_VBUS_DETECT_CLR_BVALID_OVERRIDE_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_CLR_BVALID_OVERRIDE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_CLR_BVALID_OVERRIDE_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_CLR_BVALID_OVERRIDE_MASK)\r
 #define USBPHY_USB1_VBUS_DETECT_CLR_AVALID_OVERRIDE_MASK (0x40U)\r
 #define USBPHY_USB1_VBUS_DETECT_CLR_AVALID_OVERRIDE_SHIFT (6U)\r
-#define USBPHY_USB1_VBUS_DETECT_CLR_AVALID_OVERRIDE(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_CLR_AVALID_OVERRIDE_SHIFT)) & USBPHY_USB1_VBUS_DETECT_CLR_AVALID_OVERRIDE_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_CLR_AVALID_OVERRIDE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_CLR_AVALID_OVERRIDE_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_CLR_AVALID_OVERRIDE_MASK)\r
 #define USBPHY_USB1_VBUS_DETECT_CLR_VBUSVALID_OVERRIDE_MASK (0x80U)\r
 #define USBPHY_USB1_VBUS_DETECT_CLR_VBUSVALID_OVERRIDE_SHIFT (7U)\r
-#define USBPHY_USB1_VBUS_DETECT_CLR_VBUSVALID_OVERRIDE(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_CLR_VBUSVALID_OVERRIDE_SHIFT)) & USBPHY_USB1_VBUS_DETECT_CLR_VBUSVALID_OVERRIDE_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_CLR_VBUSVALID_OVERRIDE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_CLR_VBUSVALID_OVERRIDE_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_CLR_VBUSVALID_OVERRIDE_MASK)\r
 #define USBPHY_USB1_VBUS_DETECT_CLR_VBUSVALID_SEL_MASK (0x100U)\r
 #define USBPHY_USB1_VBUS_DETECT_CLR_VBUSVALID_SEL_SHIFT (8U)\r
 /*! VBUSVALID_SEL\r
  *  0b0..Use the VBUS_VALID comparator results for signal reported to the USB controller (Default)\r
  *  0b1..Use the VBUS_VALID_3V detector results for signal reported to the USB controller\r
  */\r
-#define USBPHY_USB1_VBUS_DETECT_CLR_VBUSVALID_SEL(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_CLR_VBUSVALID_SEL_SHIFT)) & USBPHY_USB1_VBUS_DETECT_CLR_VBUSVALID_SEL_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_CLR_VBUSVALID_SEL(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_CLR_VBUSVALID_SEL_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_CLR_VBUSVALID_SEL_MASK)\r
 #define USBPHY_USB1_VBUS_DETECT_CLR_VBUS_SOURCE_SEL_MASK (0x600U)\r
 #define USBPHY_USB1_VBUS_DETECT_CLR_VBUS_SOURCE_SEL_SHIFT (9U)\r
 /*! VBUS_SOURCE_SEL\r
@@ -24024,35 +30148,69 @@ typedef struct {
  *  0b10..Use the Session Valid comparator results for signal reported to the USB controller\r
  *  0b11..Reserved, do not use\r
  */\r
-#define USBPHY_USB1_VBUS_DETECT_CLR_VBUS_SOURCE_SEL(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_CLR_VBUS_SOURCE_SEL_SHIFT)) & USBPHY_USB1_VBUS_DETECT_CLR_VBUS_SOURCE_SEL_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_CLR_VBUS_SOURCE_SEL(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_CLR_VBUS_SOURCE_SEL_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_CLR_VBUS_SOURCE_SEL_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_CLR_ID_OVERRIDE_EN_MASK (0x800U)\r
+#define USBPHY_USB1_VBUS_DETECT_CLR_ID_OVERRIDE_EN_SHIFT (11U)\r
+#define USBPHY_USB1_VBUS_DETECT_CLR_ID_OVERRIDE_EN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_CLR_ID_OVERRIDE_EN_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_CLR_ID_OVERRIDE_EN_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_CLR_ID_OVERRIDE_MASK (0x1000U)\r
+#define USBPHY_USB1_VBUS_DETECT_CLR_ID_OVERRIDE_SHIFT (12U)\r
+#define USBPHY_USB1_VBUS_DETECT_CLR_ID_OVERRIDE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_CLR_ID_OVERRIDE_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_CLR_ID_OVERRIDE_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_CLR_EXT_ID_OVERRIDE_EN_MASK (0x2000U)\r
+#define USBPHY_USB1_VBUS_DETECT_CLR_EXT_ID_OVERRIDE_EN_SHIFT (13U)\r
+/*! EXT_ID_OVERRIDE_EN\r
+ *  0b0..Select the Muxed value chosen using ID_OVERRIDE_EN.\r
+ *  0b1..Select the external ID value.\r
+ */\r
+#define USBPHY_USB1_VBUS_DETECT_CLR_EXT_ID_OVERRIDE_EN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_CLR_EXT_ID_OVERRIDE_EN_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_CLR_EXT_ID_OVERRIDE_EN_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_CLR_EXT_VBUS_OVERRIDE_EN_MASK (0x4000U)\r
+#define USBPHY_USB1_VBUS_DETECT_CLR_EXT_VBUS_OVERRIDE_EN_SHIFT (14U)\r
+/*! EXT_VBUS_OVERRIDE_EN\r
+ *  0b0..Select the muxed value chosen using VBUS_OVERRIDE_EN.\r
+ *  0b1..Select the external VBUS VALID value.\r
+ */\r
+#define USBPHY_USB1_VBUS_DETECT_CLR_EXT_VBUS_OVERRIDE_EN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_CLR_EXT_VBUS_OVERRIDE_EN_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_CLR_EXT_VBUS_OVERRIDE_EN_MASK)\r
 #define USBPHY_USB1_VBUS_DETECT_CLR_VBUSVALID_TO_SESSVALID_MASK (0x40000U)\r
 #define USBPHY_USB1_VBUS_DETECT_CLR_VBUSVALID_TO_SESSVALID_SHIFT (18U)\r
 /*! VBUSVALID_TO_SESSVALID\r
  *  0b0..Use the VBUS_VALID comparator for VBUS_VALID results\r
  *  0b1..Use the Session End comparator for VBUS_VALID results. The Session End threshold is >0.8V and <4.0V.\r
  */\r
-#define USBPHY_USB1_VBUS_DETECT_CLR_VBUSVALID_TO_SESSVALID(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_CLR_VBUSVALID_TO_SESSVALID_SHIFT)) & USBPHY_USB1_VBUS_DETECT_CLR_VBUSVALID_TO_SESSVALID_MASK)\r
-#define USBPHY_USB1_VBUS_DETECT_CLR_PWRUP_CMPS_MASK (0x100000U)\r
+#define USBPHY_USB1_VBUS_DETECT_CLR_VBUSVALID_TO_SESSVALID(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_CLR_VBUSVALID_TO_SESSVALID_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_CLR_VBUSVALID_TO_SESSVALID_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_CLR_VBUSVALID_5VDETECT_MASK (0x80000U)\r
+#define USBPHY_USB1_VBUS_DETECT_CLR_VBUSVALID_5VDETECT_SHIFT (19U)\r
+#define USBPHY_USB1_VBUS_DETECT_CLR_VBUSVALID_5VDETECT(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_CLR_VBUSVALID_5VDETECT_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_CLR_VBUSVALID_5VDETECT_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_CLR_PWRUP_CMPS_MASK (0x700000U)\r
 #define USBPHY_USB1_VBUS_DETECT_CLR_PWRUP_CMPS_SHIFT (20U)\r
 /*! PWRUP_CMPS\r
- *  0b0..Powers down the VBUS_VALID comparator\r
- *  0b1..Enables the VBUS_VALID comparator (default)\r
+ *  0b000..Powers down the VBUS_VALID comparator\r
+ *  0b111..Enables the VBUS_VALID comparator (default)\r
  */\r
-#define USBPHY_USB1_VBUS_DETECT_CLR_PWRUP_CMPS(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_CLR_PWRUP_CMPS_SHIFT)) & USBPHY_USB1_VBUS_DETECT_CLR_PWRUP_CMPS_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_CLR_PWRUP_CMPS(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_CLR_PWRUP_CMPS_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_CLR_PWRUP_CMPS_MASK)\r
 #define USBPHY_USB1_VBUS_DETECT_CLR_DISCHARGE_VBUS_MASK (0x4000000U)\r
 #define USBPHY_USB1_VBUS_DETECT_CLR_DISCHARGE_VBUS_SHIFT (26U)\r
 /*! DISCHARGE_VBUS\r
  *  0b0..VBUS discharge resistor is disabled (Default)\r
  *  0b1..VBUS discharge resistor is enabled\r
  */\r
-#define USBPHY_USB1_VBUS_DETECT_CLR_DISCHARGE_VBUS(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_CLR_DISCHARGE_VBUS_SHIFT)) & USBPHY_USB1_VBUS_DETECT_CLR_DISCHARGE_VBUS_MASK)\r
-#define USBPHY_USB1_VBUS_DETECT_CLR_EN_CHARGER_RESISTOR_MASK (0x80000000U)\r
-#define USBPHY_USB1_VBUS_DETECT_CLR_EN_CHARGER_RESISTOR_SHIFT (31U)\r
-/*! EN_CHARGER_RESISTOR\r
- *  0b0..Disable resistive charger detection resistors on USB_DP and USB_DP\r
- *  0b1..Enable resistive charger detection resistors on USB_DP and USB_DP\r
- */\r
-#define USBPHY_USB1_VBUS_DETECT_CLR_EN_CHARGER_RESISTOR(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_CLR_EN_CHARGER_RESISTOR_SHIFT)) & USBPHY_USB1_VBUS_DETECT_CLR_EN_CHARGER_RESISTOR_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_CLR_DISCHARGE_VBUS(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_CLR_DISCHARGE_VBUS_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_CLR_DISCHARGE_VBUS_MASK)\r
 /*! @} */\r
 \r
 /*! @name USB1_VBUS_DETECT_TOG - USB PHY VBUS Detect Control Register */\r
@@ -24069,33 +30227,47 @@ typedef struct {
  *  0b110..4.6V\r
  *  0b111..4.7V\r
  */\r
-#define USBPHY_USB1_VBUS_DETECT_TOG_VBUSVALID_THRESH(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_TOG_VBUSVALID_THRESH_SHIFT)) & USBPHY_USB1_VBUS_DETECT_TOG_VBUSVALID_THRESH_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_TOG_VBUSVALID_THRESH(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_TOG_VBUSVALID_THRESH_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_TOG_VBUSVALID_THRESH_MASK)\r
 #define USBPHY_USB1_VBUS_DETECT_TOG_VBUS_OVERRIDE_EN_MASK (0x8U)\r
 #define USBPHY_USB1_VBUS_DETECT_TOG_VBUS_OVERRIDE_EN_SHIFT (3U)\r
 /*! VBUS_OVERRIDE_EN\r
- *  0b0..Use the results of the internal VBUS_VALID and Session Valid comparators for VBUS_VALID, AVALID, BVALID, and SESSEND (Default)\r
- *  0b1..Use the override values for VBUS_VALID, AVALID, BVALID, and SESSEND\r
+ *  0b0..Use the results of the internal VBUS_VALID and Session Valid comparators for VBUS_VALID, AVALID, BVALID, and\r
+ * SESSEND (Default) 0b1..Use the override values for VBUS_VALID, AVALID, BVALID, and SESSEND\r
  */\r
-#define USBPHY_USB1_VBUS_DETECT_TOG_VBUS_OVERRIDE_EN(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_TOG_VBUS_OVERRIDE_EN_SHIFT)) & USBPHY_USB1_VBUS_DETECT_TOG_VBUS_OVERRIDE_EN_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_TOG_VBUS_OVERRIDE_EN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_TOG_VBUS_OVERRIDE_EN_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_TOG_VBUS_OVERRIDE_EN_MASK)\r
 #define USBPHY_USB1_VBUS_DETECT_TOG_SESSEND_OVERRIDE_MASK (0x10U)\r
 #define USBPHY_USB1_VBUS_DETECT_TOG_SESSEND_OVERRIDE_SHIFT (4U)\r
-#define USBPHY_USB1_VBUS_DETECT_TOG_SESSEND_OVERRIDE(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_TOG_SESSEND_OVERRIDE_SHIFT)) & USBPHY_USB1_VBUS_DETECT_TOG_SESSEND_OVERRIDE_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_TOG_SESSEND_OVERRIDE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_TOG_SESSEND_OVERRIDE_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_TOG_SESSEND_OVERRIDE_MASK)\r
 #define USBPHY_USB1_VBUS_DETECT_TOG_BVALID_OVERRIDE_MASK (0x20U)\r
 #define USBPHY_USB1_VBUS_DETECT_TOG_BVALID_OVERRIDE_SHIFT (5U)\r
-#define USBPHY_USB1_VBUS_DETECT_TOG_BVALID_OVERRIDE(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_TOG_BVALID_OVERRIDE_SHIFT)) & USBPHY_USB1_VBUS_DETECT_TOG_BVALID_OVERRIDE_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_TOG_BVALID_OVERRIDE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_TOG_BVALID_OVERRIDE_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_TOG_BVALID_OVERRIDE_MASK)\r
 #define USBPHY_USB1_VBUS_DETECT_TOG_AVALID_OVERRIDE_MASK (0x40U)\r
 #define USBPHY_USB1_VBUS_DETECT_TOG_AVALID_OVERRIDE_SHIFT (6U)\r
-#define USBPHY_USB1_VBUS_DETECT_TOG_AVALID_OVERRIDE(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_TOG_AVALID_OVERRIDE_SHIFT)) & USBPHY_USB1_VBUS_DETECT_TOG_AVALID_OVERRIDE_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_TOG_AVALID_OVERRIDE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_TOG_AVALID_OVERRIDE_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_TOG_AVALID_OVERRIDE_MASK)\r
 #define USBPHY_USB1_VBUS_DETECT_TOG_VBUSVALID_OVERRIDE_MASK (0x80U)\r
 #define USBPHY_USB1_VBUS_DETECT_TOG_VBUSVALID_OVERRIDE_SHIFT (7U)\r
-#define USBPHY_USB1_VBUS_DETECT_TOG_VBUSVALID_OVERRIDE(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_TOG_VBUSVALID_OVERRIDE_SHIFT)) & USBPHY_USB1_VBUS_DETECT_TOG_VBUSVALID_OVERRIDE_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_TOG_VBUSVALID_OVERRIDE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_TOG_VBUSVALID_OVERRIDE_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_TOG_VBUSVALID_OVERRIDE_MASK)\r
 #define USBPHY_USB1_VBUS_DETECT_TOG_VBUSVALID_SEL_MASK (0x100U)\r
 #define USBPHY_USB1_VBUS_DETECT_TOG_VBUSVALID_SEL_SHIFT (8U)\r
 /*! VBUSVALID_SEL\r
  *  0b0..Use the VBUS_VALID comparator results for signal reported to the USB controller (Default)\r
  *  0b1..Use the VBUS_VALID_3V detector results for signal reported to the USB controller\r
  */\r
-#define USBPHY_USB1_VBUS_DETECT_TOG_VBUSVALID_SEL(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_TOG_VBUSVALID_SEL_SHIFT)) & USBPHY_USB1_VBUS_DETECT_TOG_VBUSVALID_SEL_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_TOG_VBUSVALID_SEL(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_TOG_VBUSVALID_SEL_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_TOG_VBUSVALID_SEL_MASK)\r
 #define USBPHY_USB1_VBUS_DETECT_TOG_VBUS_SOURCE_SEL_MASK (0x600U)\r
 #define USBPHY_USB1_VBUS_DETECT_TOG_VBUS_SOURCE_SEL_SHIFT (9U)\r
 /*! VBUS_SOURCE_SEL\r
@@ -24104,255 +30276,211 @@ typedef struct {
  *  0b10..Use the Session Valid comparator results for signal reported to the USB controller\r
  *  0b11..Reserved, do not use\r
  */\r
-#define USBPHY_USB1_VBUS_DETECT_TOG_VBUS_SOURCE_SEL(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_TOG_VBUS_SOURCE_SEL_SHIFT)) & USBPHY_USB1_VBUS_DETECT_TOG_VBUS_SOURCE_SEL_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_TOG_VBUS_SOURCE_SEL(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_TOG_VBUS_SOURCE_SEL_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_TOG_VBUS_SOURCE_SEL_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_TOG_ID_OVERRIDE_EN_MASK (0x800U)\r
+#define USBPHY_USB1_VBUS_DETECT_TOG_ID_OVERRIDE_EN_SHIFT (11U)\r
+#define USBPHY_USB1_VBUS_DETECT_TOG_ID_OVERRIDE_EN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_TOG_ID_OVERRIDE_EN_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_TOG_ID_OVERRIDE_EN_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_TOG_ID_OVERRIDE_MASK (0x1000U)\r
+#define USBPHY_USB1_VBUS_DETECT_TOG_ID_OVERRIDE_SHIFT (12U)\r
+#define USBPHY_USB1_VBUS_DETECT_TOG_ID_OVERRIDE(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_TOG_ID_OVERRIDE_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_TOG_ID_OVERRIDE_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_TOG_EXT_ID_OVERRIDE_EN_MASK (0x2000U)\r
+#define USBPHY_USB1_VBUS_DETECT_TOG_EXT_ID_OVERRIDE_EN_SHIFT (13U)\r
+/*! EXT_ID_OVERRIDE_EN\r
+ *  0b0..Select the muxed value chosen using ID_OVERRIDE_EN.\r
+ *  0b1..Select the external ID value.\r
+ */\r
+#define USBPHY_USB1_VBUS_DETECT_TOG_EXT_ID_OVERRIDE_EN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_TOG_EXT_ID_OVERRIDE_EN_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_TOG_EXT_ID_OVERRIDE_EN_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_TOG_EXT_VBUS_OVERRIDE_EN_MASK (0x4000U)\r
+#define USBPHY_USB1_VBUS_DETECT_TOG_EXT_VBUS_OVERRIDE_EN_SHIFT (14U)\r
+/*! EXT_VBUS_OVERRIDE_EN\r
+ *  0b0..Select the Muxed value chosen using VBUS_OVERRIDE_EN.\r
+ *  0b1..Select the external VBUS VALID value.\r
+ */\r
+#define USBPHY_USB1_VBUS_DETECT_TOG_EXT_VBUS_OVERRIDE_EN(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_TOG_EXT_VBUS_OVERRIDE_EN_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_TOG_EXT_VBUS_OVERRIDE_EN_MASK)\r
 #define USBPHY_USB1_VBUS_DETECT_TOG_VBUSVALID_TO_SESSVALID_MASK (0x40000U)\r
 #define USBPHY_USB1_VBUS_DETECT_TOG_VBUSVALID_TO_SESSVALID_SHIFT (18U)\r
 /*! VBUSVALID_TO_SESSVALID\r
  *  0b0..Use the VBUS_VALID comparator for VBUS_VALID results\r
  *  0b1..Use the Session End comparator for VBUS_VALID results. The Session End threshold is >0.8V and <4.0V.\r
  */\r
-#define USBPHY_USB1_VBUS_DETECT_TOG_VBUSVALID_TO_SESSVALID(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_TOG_VBUSVALID_TO_SESSVALID_SHIFT)) & USBPHY_USB1_VBUS_DETECT_TOG_VBUSVALID_TO_SESSVALID_MASK)\r
-#define USBPHY_USB1_VBUS_DETECT_TOG_PWRUP_CMPS_MASK (0x100000U)\r
+#define USBPHY_USB1_VBUS_DETECT_TOG_VBUSVALID_TO_SESSVALID(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_TOG_VBUSVALID_TO_SESSVALID_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_TOG_VBUSVALID_TO_SESSVALID_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_TOG_VBUSVALID_5VDETECT_MASK (0x80000U)\r
+#define USBPHY_USB1_VBUS_DETECT_TOG_VBUSVALID_5VDETECT_SHIFT (19U)\r
+#define USBPHY_USB1_VBUS_DETECT_TOG_VBUSVALID_5VDETECT(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_TOG_VBUSVALID_5VDETECT_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_TOG_VBUSVALID_5VDETECT_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_TOG_PWRUP_CMPS_MASK (0x700000U)\r
 #define USBPHY_USB1_VBUS_DETECT_TOG_PWRUP_CMPS_SHIFT (20U)\r
 /*! PWRUP_CMPS\r
- *  0b0..Powers down the VBUS_VALID comparator\r
- *  0b1..Enables the VBUS_VALID comparator (default)\r
+ *  0b000..Powers down the VBUS_VALID comparator\r
+ *  0b111..Enables the VBUS_VALID comparator (default)\r
  */\r
-#define USBPHY_USB1_VBUS_DETECT_TOG_PWRUP_CMPS(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_TOG_PWRUP_CMPS_SHIFT)) & USBPHY_USB1_VBUS_DETECT_TOG_PWRUP_CMPS_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_TOG_PWRUP_CMPS(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_TOG_PWRUP_CMPS_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_TOG_PWRUP_CMPS_MASK)\r
 #define USBPHY_USB1_VBUS_DETECT_TOG_DISCHARGE_VBUS_MASK (0x4000000U)\r
 #define USBPHY_USB1_VBUS_DETECT_TOG_DISCHARGE_VBUS_SHIFT (26U)\r
 /*! DISCHARGE_VBUS\r
  *  0b0..VBUS discharge resistor is disabled (Default)\r
  *  0b1..VBUS discharge resistor is enabled\r
  */\r
-#define USBPHY_USB1_VBUS_DETECT_TOG_DISCHARGE_VBUS(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_TOG_DISCHARGE_VBUS_SHIFT)) & USBPHY_USB1_VBUS_DETECT_TOG_DISCHARGE_VBUS_MASK)\r
-#define USBPHY_USB1_VBUS_DETECT_TOG_EN_CHARGER_RESISTOR_MASK (0x80000000U)\r
-#define USBPHY_USB1_VBUS_DETECT_TOG_EN_CHARGER_RESISTOR_SHIFT (31U)\r
-/*! EN_CHARGER_RESISTOR\r
- *  0b0..Disable resistive charger detection resistors on USB_DP and USB_DP\r
- *  0b1..Enable resistive charger detection resistors on USB_DP and USB_DP\r
- */\r
-#define USBPHY_USB1_VBUS_DETECT_TOG_EN_CHARGER_RESISTOR(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_TOG_EN_CHARGER_RESISTOR_SHIFT)) & USBPHY_USB1_VBUS_DETECT_TOG_EN_CHARGER_RESISTOR_MASK)\r
-/*! @} */\r
-\r
-/*! @name USB1_VBUS_DET_STAT - USB PHY VBUS Detector Status Register */\r
-/*! @{ */\r
-#define USBPHY_USB1_VBUS_DET_STAT_SESSEND_MASK   (0x1U)\r
-#define USBPHY_USB1_VBUS_DET_STAT_SESSEND_SHIFT  (0U)\r
-/*! SESSEND\r
- *  0b0..The VBUS voltage is above the Session Valid threshold\r
- *  0b1..The VBUS voltage is below the Session Valid threshold\r
- */\r
-#define USBPHY_USB1_VBUS_DET_STAT_SESSEND(x)     (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DET_STAT_SESSEND_SHIFT)) & USBPHY_USB1_VBUS_DET_STAT_SESSEND_MASK)\r
-#define USBPHY_USB1_VBUS_DET_STAT_BVALID_MASK    (0x2U)\r
-#define USBPHY_USB1_VBUS_DET_STAT_BVALID_SHIFT   (1U)\r
-/*! BVALID\r
- *  0b0..The VBUS voltage is below the Session Valid threshold\r
- *  0b1..The VBUS voltage is above the Session Valid threshold\r
- */\r
-#define USBPHY_USB1_VBUS_DET_STAT_BVALID(x)      (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DET_STAT_BVALID_SHIFT)) & USBPHY_USB1_VBUS_DET_STAT_BVALID_MASK)\r
-#define USBPHY_USB1_VBUS_DET_STAT_AVALID_MASK    (0x4U)\r
-#define USBPHY_USB1_VBUS_DET_STAT_AVALID_SHIFT   (2U)\r
-/*! AVALID\r
- *  0b0..The VBUS voltage is below the Session Valid threshold\r
- *  0b1..The VBUS voltage is above the Session Valid threshold\r
- */\r
-#define USBPHY_USB1_VBUS_DET_STAT_AVALID(x)      (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DET_STAT_AVALID_SHIFT)) & USBPHY_USB1_VBUS_DET_STAT_AVALID_MASK)\r
-#define USBPHY_USB1_VBUS_DET_STAT_VBUS_VALID_MASK (0x8U)\r
-#define USBPHY_USB1_VBUS_DET_STAT_VBUS_VALID_SHIFT (3U)\r
-/*! VBUS_VALID\r
- *  0b0..VBUS is below the comparator threshold\r
- *  0b1..VBUS is above the comparator threshold\r
- */\r
-#define USBPHY_USB1_VBUS_DET_STAT_VBUS_VALID(x)  (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DET_STAT_VBUS_VALID_SHIFT)) & USBPHY_USB1_VBUS_DET_STAT_VBUS_VALID_MASK)\r
-#define USBPHY_USB1_VBUS_DET_STAT_VBUS_VALID_3V_MASK (0x10U)\r
-#define USBPHY_USB1_VBUS_DET_STAT_VBUS_VALID_3V_SHIFT (4U)\r
-/*! VBUS_VALID_3V\r
- *  0b0..VBUS voltage is below VBUS_VALID_3V threshold\r
- *  0b1..VBUS voltage is above VBUS_VALID_3V threshold\r
- */\r
-#define USBPHY_USB1_VBUS_DET_STAT_VBUS_VALID_3V(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DET_STAT_VBUS_VALID_3V_SHIFT)) & USBPHY_USB1_VBUS_DET_STAT_VBUS_VALID_3V_MASK)\r
-/*! @} */\r
-\r
-/*! @name USB1_CHRG_DETECT - USB PHY Charger Detect Control Register */\r
-/*! @{ */\r
-#define USBPHY_USB1_CHRG_DETECT_PULLUP_DP_MASK   (0x4U)\r
-#define USBPHY_USB1_CHRG_DETECT_PULLUP_DP_SHIFT  (2U)\r
-#define USBPHY_USB1_CHRG_DETECT_PULLUP_DP(x)     (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_CHRG_DETECT_PULLUP_DP_SHIFT)) & USBPHY_USB1_CHRG_DETECT_PULLUP_DP_MASK)\r
-#define USBPHY_USB1_CHRG_DETECT_BGR_IBIAS_MASK   (0x800000U)\r
-#define USBPHY_USB1_CHRG_DETECT_BGR_IBIAS_SHIFT  (23U)\r
-/*! BGR_IBIAS\r
- *  0b0..Bias current is derived from the USB PHY internal current generator.\r
- *  0b1..Bias current is derived from the reference generator of the bandgap.\r
- */\r
-#define USBPHY_USB1_CHRG_DETECT_BGR_IBIAS(x)     (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_CHRG_DETECT_BGR_IBIAS_SHIFT)) & USBPHY_USB1_CHRG_DETECT_BGR_IBIAS_MASK)\r
-/*! @} */\r
-\r
-/*! @name USB1_CHRG_DETECT_SET - USB PHY Charger Detect Control Register */\r
-/*! @{ */\r
-#define USBPHY_USB1_CHRG_DETECT_SET_PULLUP_DP_MASK (0x4U)\r
-#define USBPHY_USB1_CHRG_DETECT_SET_PULLUP_DP_SHIFT (2U)\r
-#define USBPHY_USB1_CHRG_DETECT_SET_PULLUP_DP(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_CHRG_DETECT_SET_PULLUP_DP_SHIFT)) & USBPHY_USB1_CHRG_DETECT_SET_PULLUP_DP_MASK)\r
-#define USBPHY_USB1_CHRG_DETECT_SET_BGR_IBIAS_MASK (0x800000U)\r
-#define USBPHY_USB1_CHRG_DETECT_SET_BGR_IBIAS_SHIFT (23U)\r
-/*! BGR_IBIAS\r
- *  0b0..Bias current is derived from the USB PHY internal current generator.\r
- *  0b1..Bias current is derived from the reference generator of the bandgap.\r
- */\r
-#define USBPHY_USB1_CHRG_DETECT_SET_BGR_IBIAS(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_CHRG_DETECT_SET_BGR_IBIAS_SHIFT)) & USBPHY_USB1_CHRG_DETECT_SET_BGR_IBIAS_MASK)\r
-/*! @} */\r
-\r
-/*! @name USB1_CHRG_DETECT_CLR - USB PHY Charger Detect Control Register */\r
-/*! @{ */\r
-#define USBPHY_USB1_CHRG_DETECT_CLR_PULLUP_DP_MASK (0x4U)\r
-#define USBPHY_USB1_CHRG_DETECT_CLR_PULLUP_DP_SHIFT (2U)\r
-#define USBPHY_USB1_CHRG_DETECT_CLR_PULLUP_DP(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_CHRG_DETECT_CLR_PULLUP_DP_SHIFT)) & USBPHY_USB1_CHRG_DETECT_CLR_PULLUP_DP_MASK)\r
-#define USBPHY_USB1_CHRG_DETECT_CLR_BGR_IBIAS_MASK (0x800000U)\r
-#define USBPHY_USB1_CHRG_DETECT_CLR_BGR_IBIAS_SHIFT (23U)\r
-/*! BGR_IBIAS\r
- *  0b0..Bias current is derived from the USB PHY internal current generator.\r
- *  0b1..Bias current is derived from the reference generator of the bandgap.\r
- */\r
-#define USBPHY_USB1_CHRG_DETECT_CLR_BGR_IBIAS(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_CHRG_DETECT_CLR_BGR_IBIAS_SHIFT)) & USBPHY_USB1_CHRG_DETECT_CLR_BGR_IBIAS_MASK)\r
-/*! @} */\r
-\r
-/*! @name USB1_CHRG_DETECT_TOG - USB PHY Charger Detect Control Register */\r
-/*! @{ */\r
-#define USBPHY_USB1_CHRG_DETECT_TOG_PULLUP_DP_MASK (0x4U)\r
-#define USBPHY_USB1_CHRG_DETECT_TOG_PULLUP_DP_SHIFT (2U)\r
-#define USBPHY_USB1_CHRG_DETECT_TOG_PULLUP_DP(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_CHRG_DETECT_TOG_PULLUP_DP_SHIFT)) & USBPHY_USB1_CHRG_DETECT_TOG_PULLUP_DP_MASK)\r
-#define USBPHY_USB1_CHRG_DETECT_TOG_BGR_IBIAS_MASK (0x800000U)\r
-#define USBPHY_USB1_CHRG_DETECT_TOG_BGR_IBIAS_SHIFT (23U)\r
-/*! BGR_IBIAS\r
- *  0b0..Bias current is derived from the USB PHY internal current generator.\r
- *  0b1..Bias current is derived from the reference generator of the bandgap.\r
- */\r
-#define USBPHY_USB1_CHRG_DETECT_TOG_BGR_IBIAS(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_CHRG_DETECT_TOG_BGR_IBIAS_SHIFT)) & USBPHY_USB1_CHRG_DETECT_TOG_BGR_IBIAS_MASK)\r
-/*! @} */\r
-\r
-/*! @name USB1_CHRG_DET_STAT - USB PHY Charger Detect Status Register */\r
-/*! @{ */\r
-#define USBPHY_USB1_CHRG_DET_STAT_PLUG_CONTACT_MASK (0x1U)\r
-#define USBPHY_USB1_CHRG_DET_STAT_PLUG_CONTACT_SHIFT (0U)\r
-/*! PLUG_CONTACT\r
- *  0b0..No USB cable attachment has been detected\r
- *  0b1..A USB cable attachment between the device and host has been detected\r
- */\r
-#define USBPHY_USB1_CHRG_DET_STAT_PLUG_CONTACT(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_CHRG_DET_STAT_PLUG_CONTACT_SHIFT)) & USBPHY_USB1_CHRG_DET_STAT_PLUG_CONTACT_MASK)\r
-#define USBPHY_USB1_CHRG_DET_STAT_CHRG_DETECTED_MASK (0x2U)\r
-#define USBPHY_USB1_CHRG_DET_STAT_CHRG_DETECTED_SHIFT (1U)\r
-/*! CHRG_DETECTED\r
- *  0b0..Standard Downstream Port (SDP) has been detected\r
- *  0b1..Charging Port has been detected\r
- */\r
-#define USBPHY_USB1_CHRG_DET_STAT_CHRG_DETECTED(x) (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_CHRG_DET_STAT_CHRG_DETECTED_SHIFT)) & USBPHY_USB1_CHRG_DET_STAT_CHRG_DETECTED_MASK)\r
-#define USBPHY_USB1_CHRG_DET_STAT_DM_STATE_MASK  (0x4U)\r
-#define USBPHY_USB1_CHRG_DET_STAT_DM_STATE_SHIFT (2U)\r
-/*! DM_STATE\r
- *  0b0..USB_DM pin voltage is < 0.8V\r
- *  0b1..USB_DM pin voltage is > 2.0V\r
- */\r
-#define USBPHY_USB1_CHRG_DET_STAT_DM_STATE(x)    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_CHRG_DET_STAT_DM_STATE_SHIFT)) & USBPHY_USB1_CHRG_DET_STAT_DM_STATE_MASK)\r
-#define USBPHY_USB1_CHRG_DET_STAT_DP_STATE_MASK  (0x8U)\r
-#define USBPHY_USB1_CHRG_DET_STAT_DP_STATE_SHIFT (3U)\r
-/*! DP_STATE\r
- *  0b0..USB_DP pin voltage is < 0.8V\r
- *  0b1..USB_DP pin voltage is > 2.0V\r
- */\r
-#define USBPHY_USB1_CHRG_DET_STAT_DP_STATE(x)    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_CHRG_DET_STAT_DP_STATE_SHIFT)) & USBPHY_USB1_CHRG_DET_STAT_DP_STATE_MASK)\r
-#define USBPHY_USB1_CHRG_DET_STAT_SECDET_DCP_MASK (0x10U)\r
-#define USBPHY_USB1_CHRG_DET_STAT_SECDET_DCP_SHIFT (4U)\r
-/*! SECDET_DCP\r
- *  0b0..Charging Downstream Port (CDP) has been detected\r
- *  0b1..Downstream Charging Port (DCP) has been detected\r
- */\r
-#define USBPHY_USB1_CHRG_DET_STAT_SECDET_DCP(x)  (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_CHRG_DET_STAT_SECDET_DCP_SHIFT)) & USBPHY_USB1_CHRG_DET_STAT_SECDET_DCP_MASK)\r
+#define USBPHY_USB1_VBUS_DETECT_TOG_DISCHARGE_VBUS(x)                                    \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_USB1_VBUS_DETECT_TOG_DISCHARGE_VBUS_SHIFT)) & \\r
+     USBPHY_USB1_VBUS_DETECT_TOG_DISCHARGE_VBUS_MASK)\r
 /*! @} */\r
 \r
 /*! @name ANACTRL - USB PHY Analog Control Register */\r
 /*! @{ */\r
-#define USBPHY_ANACTRL_DEV_PULLDOWN_MASK         (0x400U)\r
-#define USBPHY_ANACTRL_DEV_PULLDOWN_SHIFT        (10U)\r
+#define USBPHY_ANACTRL_LVI_EN_MASK (0x2U)\r
+#define USBPHY_ANACTRL_LVI_EN_SHIFT (1U)\r
+#define USBPHY_ANACTRL_LVI_EN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_ANACTRL_LVI_EN_SHIFT)) & USBPHY_ANACTRL_LVI_EN_MASK)\r
+#define USBPHY_ANACTRL_PFD_CLK_SEL_MASK (0xCU)\r
+#define USBPHY_ANACTRL_PFD_CLK_SEL_SHIFT (2U)\r
+#define USBPHY_ANACTRL_PFD_CLK_SEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_ANACTRL_PFD_CLK_SEL_SHIFT)) & USBPHY_ANACTRL_PFD_CLK_SEL_MASK)\r
+#define USBPHY_ANACTRL_DEV_PULLDOWN_MASK (0x400U)\r
+#define USBPHY_ANACTRL_DEV_PULLDOWN_SHIFT (10U)\r
 /*! DEV_PULLDOWN\r
  *  0b0..The 15kohm nominal pulldowns on the USB_DP and USB_DM pinsare disabled in device mode.\r
  *  0b1..The 15kohm nominal pulldowns on the USB_DP and USB_DM pinsare enabled in device mode.\r
  */\r
-#define USBPHY_ANACTRL_DEV_PULLDOWN(x)           (((uint32_t)(((uint32_t)(x)) << USBPHY_ANACTRL_DEV_PULLDOWN_SHIFT)) & USBPHY_ANACTRL_DEV_PULLDOWN_MASK)\r
+#define USBPHY_ANACTRL_DEV_PULLDOWN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_ANACTRL_DEV_PULLDOWN_SHIFT)) & USBPHY_ANACTRL_DEV_PULLDOWN_MASK)\r
 /*! @} */\r
 \r
 /*! @name ANACTRL_SET - USB PHY Analog Control Register */\r
 /*! @{ */\r
-#define USBPHY_ANACTRL_SET_DEV_PULLDOWN_MASK     (0x400U)\r
-#define USBPHY_ANACTRL_SET_DEV_PULLDOWN_SHIFT    (10U)\r
+#define USBPHY_ANACTRL_SET_LVI_EN_MASK (0x2U)\r
+#define USBPHY_ANACTRL_SET_LVI_EN_SHIFT (1U)\r
+#define USBPHY_ANACTRL_SET_LVI_EN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_ANACTRL_SET_LVI_EN_SHIFT)) & USBPHY_ANACTRL_SET_LVI_EN_MASK)\r
+#define USBPHY_ANACTRL_SET_PFD_CLK_SEL_MASK (0xCU)\r
+#define USBPHY_ANACTRL_SET_PFD_CLK_SEL_SHIFT (2U)\r
+#define USBPHY_ANACTRL_SET_PFD_CLK_SEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_ANACTRL_SET_PFD_CLK_SEL_SHIFT)) & USBPHY_ANACTRL_SET_PFD_CLK_SEL_MASK)\r
+#define USBPHY_ANACTRL_SET_DEV_PULLDOWN_MASK (0x400U)\r
+#define USBPHY_ANACTRL_SET_DEV_PULLDOWN_SHIFT (10U)\r
 /*! DEV_PULLDOWN\r
  *  0b0..The 15kohm nominal pulldowns on the USB_DP and USB_DM pinsare disabled in device mode.\r
  *  0b1..The 15kohm nominal pulldowns on the USB_DP and USB_DM pinsare enabled in device mode.\r
  */\r
-#define USBPHY_ANACTRL_SET_DEV_PULLDOWN(x)       (((uint32_t)(((uint32_t)(x)) << USBPHY_ANACTRL_SET_DEV_PULLDOWN_SHIFT)) & USBPHY_ANACTRL_SET_DEV_PULLDOWN_MASK)\r
+#define USBPHY_ANACTRL_SET_DEV_PULLDOWN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_ANACTRL_SET_DEV_PULLDOWN_SHIFT)) & USBPHY_ANACTRL_SET_DEV_PULLDOWN_MASK)\r
 /*! @} */\r
 \r
 /*! @name ANACTRL_CLR - USB PHY Analog Control Register */\r
 /*! @{ */\r
-#define USBPHY_ANACTRL_CLR_DEV_PULLDOWN_MASK     (0x400U)\r
-#define USBPHY_ANACTRL_CLR_DEV_PULLDOWN_SHIFT    (10U)\r
+#define USBPHY_ANACTRL_CLR_LVI_EN_MASK (0x2U)\r
+#define USBPHY_ANACTRL_CLR_LVI_EN_SHIFT (1U)\r
+#define USBPHY_ANACTRL_CLR_LVI_EN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_ANACTRL_CLR_LVI_EN_SHIFT)) & USBPHY_ANACTRL_CLR_LVI_EN_MASK)\r
+#define USBPHY_ANACTRL_CLR_PFD_CLK_SEL_MASK (0xCU)\r
+#define USBPHY_ANACTRL_CLR_PFD_CLK_SEL_SHIFT (2U)\r
+#define USBPHY_ANACTRL_CLR_PFD_CLK_SEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_ANACTRL_CLR_PFD_CLK_SEL_SHIFT)) & USBPHY_ANACTRL_CLR_PFD_CLK_SEL_MASK)\r
+#define USBPHY_ANACTRL_CLR_DEV_PULLDOWN_MASK (0x400U)\r
+#define USBPHY_ANACTRL_CLR_DEV_PULLDOWN_SHIFT (10U)\r
 /*! DEV_PULLDOWN\r
  *  0b0..The 15kohm nominal pulldowns on the USB_DP and USB_DM pinsare disabled in device mode.\r
  *  0b1..The 15kohm nominal pulldowns on the USB_DP and USB_DM pinsare enabled in device mode.\r
  */\r
-#define USBPHY_ANACTRL_CLR_DEV_PULLDOWN(x)       (((uint32_t)(((uint32_t)(x)) << USBPHY_ANACTRL_CLR_DEV_PULLDOWN_SHIFT)) & USBPHY_ANACTRL_CLR_DEV_PULLDOWN_MASK)\r
+#define USBPHY_ANACTRL_CLR_DEV_PULLDOWN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_ANACTRL_CLR_DEV_PULLDOWN_SHIFT)) & USBPHY_ANACTRL_CLR_DEV_PULLDOWN_MASK)\r
 /*! @} */\r
 \r
 /*! @name ANACTRL_TOG - USB PHY Analog Control Register */\r
 /*! @{ */\r
-#define USBPHY_ANACTRL_TOG_DEV_PULLDOWN_MASK     (0x400U)\r
-#define USBPHY_ANACTRL_TOG_DEV_PULLDOWN_SHIFT    (10U)\r
+#define USBPHY_ANACTRL_TOG_LVI_EN_MASK (0x2U)\r
+#define USBPHY_ANACTRL_TOG_LVI_EN_SHIFT (1U)\r
+#define USBPHY_ANACTRL_TOG_LVI_EN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_ANACTRL_TOG_LVI_EN_SHIFT)) & USBPHY_ANACTRL_TOG_LVI_EN_MASK)\r
+#define USBPHY_ANACTRL_TOG_PFD_CLK_SEL_MASK (0xCU)\r
+#define USBPHY_ANACTRL_TOG_PFD_CLK_SEL_SHIFT (2U)\r
+#define USBPHY_ANACTRL_TOG_PFD_CLK_SEL(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_ANACTRL_TOG_PFD_CLK_SEL_SHIFT)) & USBPHY_ANACTRL_TOG_PFD_CLK_SEL_MASK)\r
+#define USBPHY_ANACTRL_TOG_DEV_PULLDOWN_MASK (0x400U)\r
+#define USBPHY_ANACTRL_TOG_DEV_PULLDOWN_SHIFT (10U)\r
 /*! DEV_PULLDOWN\r
  *  0b0..The 15kohm nominal pulldowns on the USB_DP and USB_DM pinsare disabled in device mode.\r
  *  0b1..The 15kohm nominal pulldowns on the USB_DP and USB_DM pinsare enabled in device mode.\r
  */\r
-#define USBPHY_ANACTRL_TOG_DEV_PULLDOWN(x)       (((uint32_t)(((uint32_t)(x)) << USBPHY_ANACTRL_TOG_DEV_PULLDOWN_SHIFT)) & USBPHY_ANACTRL_TOG_DEV_PULLDOWN_MASK)\r
+#define USBPHY_ANACTRL_TOG_DEV_PULLDOWN(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << USBPHY_ANACTRL_TOG_DEV_PULLDOWN_SHIFT)) & USBPHY_ANACTRL_TOG_DEV_PULLDOWN_MASK)\r
 /*! @} */\r
 \r
-\r
 /*!\r
  * @}\r
  */ /* end of group USBPHY_Register_Masks */\r
 \r
-\r
 /* USBPHY - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral USBPHY base address */\r
-  #define USBPHY_BASE                              (0x50038000u)\r
-  /** Peripheral USBPHY base address */\r
-  #define USBPHY_BASE_NS                           (0x40038000u)\r
-  /** Peripheral USBPHY base pointer */\r
-  #define USBPHY                                   ((USBPHY_Type *)USBPHY_BASE)\r
-  /** Peripheral USBPHY base pointer */\r
-  #define USBPHY_NS                                ((USBPHY_Type *)USBPHY_BASE_NS)\r
-  /** Array initializer of USBPHY peripheral base addresses */\r
-  #define USBPHY_BASE_ADDRS                        { USBPHY_BASE }\r
-  /** Array initializer of USBPHY peripheral base pointers */\r
-  #define USBPHY_BASE_PTRS                         { USBPHY }\r
-  /** Array initializer of USBPHY peripheral base addresses */\r
-  #define USBPHY_BASE_ADDRS_NS                     { USBPHY_BASE_NS }\r
-  /** Array initializer of USBPHY peripheral base pointers */\r
-  #define USBPHY_BASE_PTRS_NS                      { USBPHY_NS }\r
+/** Peripheral USBPHY base address */\r
+#define USBPHY_BASE (0x50038000u)\r
+/** Peripheral USBPHY base address */\r
+#define USBPHY_BASE_NS (0x40038000u)\r
+/** Peripheral USBPHY base pointer */\r
+#define USBPHY ((USBPHY_Type *)USBPHY_BASE)\r
+/** Peripheral USBPHY base pointer */\r
+#define USBPHY_NS ((USBPHY_Type *)USBPHY_BASE_NS)\r
+/** Array initializer of USBPHY peripheral base addresses */\r
+#define USBPHY_BASE_ADDRS \\r
+    {                     \\r
+        USBPHY_BASE       \\r
+    }\r
+/** Array initializer of USBPHY peripheral base pointers */\r
+#define USBPHY_BASE_PTRS \\r
+    {                    \\r
+        USBPHY           \\r
+    }\r
+/** Array initializer of USBPHY peripheral base addresses */\r
+#define USBPHY_BASE_ADDRS_NS \\r
+    {                        \\r
+        USBPHY_BASE_NS       \\r
+    }\r
+/** Array initializer of USBPHY peripheral base pointers */\r
+#define USBPHY_BASE_PTRS_NS \\r
+    {                       \\r
+        USBPHY_NS           \\r
+    }\r
 #else\r
-  /** Peripheral USBPHY base address */\r
-  #define USBPHY_BASE                              (0x40038000u)\r
-  /** Peripheral USBPHY base pointer */\r
-  #define USBPHY                                   ((USBPHY_Type *)USBPHY_BASE)\r
-  /** Array initializer of USBPHY peripheral base addresses */\r
-  #define USBPHY_BASE_ADDRS                        { USBPHY_BASE }\r
-  /** Array initializer of USBPHY peripheral base pointers */\r
-  #define USBPHY_BASE_PTRS                         { USBPHY }\r
+/** Peripheral USBPHY base address */\r
+#define USBPHY_BASE (0x40038000u)\r
+/** Peripheral USBPHY base pointer */\r
+#define USBPHY ((USBPHY_Type *)USBPHY_BASE)\r
+/** Array initializer of USBPHY peripheral base addresses */\r
+#define USBPHY_BASE_ADDRS \\r
+    {                     \\r
+        USBPHY_BASE       \\r
+    }\r
+/** Array initializer of USBPHY peripheral base pointers */\r
+#define USBPHY_BASE_PTRS \\r
+    {                    \\r
+        USBPHY           \\r
+    }\r
 #endif\r
+/** Interrupt vectors for the USBPHY peripheral type */\r
+#define USBPHY_IRQS   \\r
+    {                 \\r
+        USB1_PHY_IRQn \\r
+    }\r
 \r
 /*!\r
  * @}\r
  */ /* end of group USBPHY_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- UTICK Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -24363,12 +30491,13 @@ typedef struct {
  */\r
 \r
 /** UTICK - Register Layout Typedef */\r
-typedef struct {\r
-  __IO uint32_t CTRL;                              /**< Control register., offset: 0x0 */\r
-  __IO uint32_t STAT;                              /**< Status register., offset: 0x4 */\r
-  __IO uint32_t CFG;                               /**< Capture configuration register., offset: 0x8 */\r
-  __O  uint32_t CAPCLR;                            /**< Capture clear register., offset: 0xC */\r
-  __I  uint32_t CAP[4];                            /**< Capture register ., array offset: 0x10, array step: 0x4 */\r
+typedef struct\r
+{\r
+    __IO uint32_t CTRL;  /**< Control register., offset: 0x0 */\r
+    __IO uint32_t STAT;  /**< Status register., offset: 0x4 */\r
+    __IO uint32_t CFG;   /**< Capture configuration register., offset: 0x8 */\r
+    __O uint32_t CAPCLR; /**< Capture clear register., offset: 0xC */\r
+    __I uint32_t CAP[4]; /**< Capture register ., array offset: 0x10, array step: 0x4 */\r
 } UTICK_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -24382,123 +30511,185 @@ typedef struct {
 \r
 /*! @name CTRL - Control register. */\r
 /*! @{ */\r
-#define UTICK_CTRL_DELAYVAL_MASK                 (0x7FFFFFFFU)\r
-#define UTICK_CTRL_DELAYVAL_SHIFT                (0U)\r
-#define UTICK_CTRL_DELAYVAL(x)                   (((uint32_t)(((uint32_t)(x)) << UTICK_CTRL_DELAYVAL_SHIFT)) & UTICK_CTRL_DELAYVAL_MASK)\r
-#define UTICK_CTRL_REPEAT_MASK                   (0x80000000U)\r
-#define UTICK_CTRL_REPEAT_SHIFT                  (31U)\r
-#define UTICK_CTRL_REPEAT(x)                     (((uint32_t)(((uint32_t)(x)) << UTICK_CTRL_REPEAT_SHIFT)) & UTICK_CTRL_REPEAT_MASK)\r
+#define UTICK_CTRL_DELAYVAL_MASK (0x7FFFFFFFU)\r
+#define UTICK_CTRL_DELAYVAL_SHIFT (0U)\r
+/*! DELAYVAL - Tick interval value. The delay will be equal to DELAYVAL + 1 periods of the timer\r
+ *    clock. The minimum usable value is 1, for a delay of 2 timer clocks. A value of 0 stops the timer.\r
+ */\r
+#define UTICK_CTRL_DELAYVAL(x) (((uint32_t)(((uint32_t)(x)) << UTICK_CTRL_DELAYVAL_SHIFT)) & UTICK_CTRL_DELAYVAL_MASK)\r
+#define UTICK_CTRL_REPEAT_MASK (0x80000000U)\r
+#define UTICK_CTRL_REPEAT_SHIFT (31U)\r
+/*! REPEAT - Repeat delay. 0 = One-time delay. 1 = Delay repeats continuously.\r
+ */\r
+#define UTICK_CTRL_REPEAT(x) (((uint32_t)(((uint32_t)(x)) << UTICK_CTRL_REPEAT_SHIFT)) & UTICK_CTRL_REPEAT_MASK)\r
 /*! @} */\r
 \r
 /*! @name STAT - Status register. */\r
 /*! @{ */\r
-#define UTICK_STAT_INTR_MASK                     (0x1U)\r
-#define UTICK_STAT_INTR_SHIFT                    (0U)\r
-#define UTICK_STAT_INTR(x)                       (((uint32_t)(((uint32_t)(x)) << UTICK_STAT_INTR_SHIFT)) & UTICK_STAT_INTR_MASK)\r
-#define UTICK_STAT_ACTIVE_MASK                   (0x2U)\r
-#define UTICK_STAT_ACTIVE_SHIFT                  (1U)\r
-#define UTICK_STAT_ACTIVE(x)                     (((uint32_t)(((uint32_t)(x)) << UTICK_STAT_ACTIVE_SHIFT)) & UTICK_STAT_ACTIVE_MASK)\r
+#define UTICK_STAT_INTR_MASK (0x1U)\r
+#define UTICK_STAT_INTR_SHIFT (0U)\r
+/*! INTR - Interrupt flag. 0 = No interrupt is pending. 1 = An interrupt is pending. A write of any\r
+ *    value to this register clears this flag.\r
+ */\r
+#define UTICK_STAT_INTR(x) (((uint32_t)(((uint32_t)(x)) << UTICK_STAT_INTR_SHIFT)) & UTICK_STAT_INTR_MASK)\r
+#define UTICK_STAT_ACTIVE_MASK (0x2U)\r
+#define UTICK_STAT_ACTIVE_SHIFT (1U)\r
+/*! ACTIVE - Active flag. 0 = The Micro-Tick Timer is stopped. 1 = The Micro-Tick Timer is currently active.\r
+ */\r
+#define UTICK_STAT_ACTIVE(x) (((uint32_t)(((uint32_t)(x)) << UTICK_STAT_ACTIVE_SHIFT)) & UTICK_STAT_ACTIVE_MASK)\r
 /*! @} */\r
 \r
 /*! @name CFG - Capture configuration register. */\r
 /*! @{ */\r
-#define UTICK_CFG_CAPEN0_MASK                    (0x1U)\r
-#define UTICK_CFG_CAPEN0_SHIFT                   (0U)\r
-#define UTICK_CFG_CAPEN0(x)                      (((uint32_t)(((uint32_t)(x)) << UTICK_CFG_CAPEN0_SHIFT)) & UTICK_CFG_CAPEN0_MASK)\r
-#define UTICK_CFG_CAPEN1_MASK                    (0x2U)\r
-#define UTICK_CFG_CAPEN1_SHIFT                   (1U)\r
-#define UTICK_CFG_CAPEN1(x)                      (((uint32_t)(((uint32_t)(x)) << UTICK_CFG_CAPEN1_SHIFT)) & UTICK_CFG_CAPEN1_MASK)\r
-#define UTICK_CFG_CAPEN2_MASK                    (0x4U)\r
-#define UTICK_CFG_CAPEN2_SHIFT                   (2U)\r
-#define UTICK_CFG_CAPEN2(x)                      (((uint32_t)(((uint32_t)(x)) << UTICK_CFG_CAPEN2_SHIFT)) & UTICK_CFG_CAPEN2_MASK)\r
-#define UTICK_CFG_CAPEN3_MASK                    (0x8U)\r
-#define UTICK_CFG_CAPEN3_SHIFT                   (3U)\r
-#define UTICK_CFG_CAPEN3(x)                      (((uint32_t)(((uint32_t)(x)) << UTICK_CFG_CAPEN3_SHIFT)) & UTICK_CFG_CAPEN3_MASK)\r
-#define UTICK_CFG_CAPPOL0_MASK                   (0x100U)\r
-#define UTICK_CFG_CAPPOL0_SHIFT                  (8U)\r
-#define UTICK_CFG_CAPPOL0(x)                     (((uint32_t)(((uint32_t)(x)) << UTICK_CFG_CAPPOL0_SHIFT)) & UTICK_CFG_CAPPOL0_MASK)\r
-#define UTICK_CFG_CAPPOL1_MASK                   (0x200U)\r
-#define UTICK_CFG_CAPPOL1_SHIFT                  (9U)\r
-#define UTICK_CFG_CAPPOL1(x)                     (((uint32_t)(((uint32_t)(x)) << UTICK_CFG_CAPPOL1_SHIFT)) & UTICK_CFG_CAPPOL1_MASK)\r
-#define UTICK_CFG_CAPPOL2_MASK                   (0x400U)\r
-#define UTICK_CFG_CAPPOL2_SHIFT                  (10U)\r
-#define UTICK_CFG_CAPPOL2(x)                     (((uint32_t)(((uint32_t)(x)) << UTICK_CFG_CAPPOL2_SHIFT)) & UTICK_CFG_CAPPOL2_MASK)\r
-#define UTICK_CFG_CAPPOL3_MASK                   (0x800U)\r
-#define UTICK_CFG_CAPPOL3_SHIFT                  (11U)\r
-#define UTICK_CFG_CAPPOL3(x)                     (((uint32_t)(((uint32_t)(x)) << UTICK_CFG_CAPPOL3_SHIFT)) & UTICK_CFG_CAPPOL3_MASK)\r
+#define UTICK_CFG_CAPEN0_MASK (0x1U)\r
+#define UTICK_CFG_CAPEN0_SHIFT (0U)\r
+/*! CAPEN0 - Enable Capture 0. 1 = Enabled, 0 = Disabled.\r
+ */\r
+#define UTICK_CFG_CAPEN0(x) (((uint32_t)(((uint32_t)(x)) << UTICK_CFG_CAPEN0_SHIFT)) & UTICK_CFG_CAPEN0_MASK)\r
+#define UTICK_CFG_CAPEN1_MASK (0x2U)\r
+#define UTICK_CFG_CAPEN1_SHIFT (1U)\r
+/*! CAPEN1 - Enable Capture 1. 1 = Enabled, 0 = Disabled.\r
+ */\r
+#define UTICK_CFG_CAPEN1(x) (((uint32_t)(((uint32_t)(x)) << UTICK_CFG_CAPEN1_SHIFT)) & UTICK_CFG_CAPEN1_MASK)\r
+#define UTICK_CFG_CAPEN2_MASK (0x4U)\r
+#define UTICK_CFG_CAPEN2_SHIFT (2U)\r
+/*! CAPEN2 - Enable Capture 2. 1 = Enabled, 0 = Disabled.\r
+ */\r
+#define UTICK_CFG_CAPEN2(x) (((uint32_t)(((uint32_t)(x)) << UTICK_CFG_CAPEN2_SHIFT)) & UTICK_CFG_CAPEN2_MASK)\r
+#define UTICK_CFG_CAPEN3_MASK (0x8U)\r
+#define UTICK_CFG_CAPEN3_SHIFT (3U)\r
+/*! CAPEN3 - Enable Capture 3. 1 = Enabled, 0 = Disabled.\r
+ */\r
+#define UTICK_CFG_CAPEN3(x) (((uint32_t)(((uint32_t)(x)) << UTICK_CFG_CAPEN3_SHIFT)) & UTICK_CFG_CAPEN3_MASK)\r
+#define UTICK_CFG_CAPPOL0_MASK (0x100U)\r
+#define UTICK_CFG_CAPPOL0_SHIFT (8U)\r
+/*! CAPPOL0 - Capture Polarity 0. 0 = Positive edge capture, 1 = Negative edge capture.\r
+ */\r
+#define UTICK_CFG_CAPPOL0(x) (((uint32_t)(((uint32_t)(x)) << UTICK_CFG_CAPPOL0_SHIFT)) & UTICK_CFG_CAPPOL0_MASK)\r
+#define UTICK_CFG_CAPPOL1_MASK (0x200U)\r
+#define UTICK_CFG_CAPPOL1_SHIFT (9U)\r
+/*! CAPPOL1 - Capture Polarity 1. 0 = Positive edge capture, 1 = Negative edge capture.\r
+ */\r
+#define UTICK_CFG_CAPPOL1(x) (((uint32_t)(((uint32_t)(x)) << UTICK_CFG_CAPPOL1_SHIFT)) & UTICK_CFG_CAPPOL1_MASK)\r
+#define UTICK_CFG_CAPPOL2_MASK (0x400U)\r
+#define UTICK_CFG_CAPPOL2_SHIFT (10U)\r
+/*! CAPPOL2 - Capture Polarity 2. 0 = Positive edge capture, 1 = Negative edge capture.\r
+ */\r
+#define UTICK_CFG_CAPPOL2(x) (((uint32_t)(((uint32_t)(x)) << UTICK_CFG_CAPPOL2_SHIFT)) & UTICK_CFG_CAPPOL2_MASK)\r
+#define UTICK_CFG_CAPPOL3_MASK (0x800U)\r
+#define UTICK_CFG_CAPPOL3_SHIFT (11U)\r
+/*! CAPPOL3 - Capture Polarity 3. 0 = Positive edge capture, 1 = Negative edge capture.\r
+ */\r
+#define UTICK_CFG_CAPPOL3(x) (((uint32_t)(((uint32_t)(x)) << UTICK_CFG_CAPPOL3_SHIFT)) & UTICK_CFG_CAPPOL3_MASK)\r
 /*! @} */\r
 \r
 /*! @name CAPCLR - Capture clear register. */\r
 /*! @{ */\r
-#define UTICK_CAPCLR_CAPCLR0_MASK                (0x1U)\r
-#define UTICK_CAPCLR_CAPCLR0_SHIFT               (0U)\r
-#define UTICK_CAPCLR_CAPCLR0(x)                  (((uint32_t)(((uint32_t)(x)) << UTICK_CAPCLR_CAPCLR0_SHIFT)) & UTICK_CAPCLR_CAPCLR0_MASK)\r
-#define UTICK_CAPCLR_CAPCLR1_MASK                (0x2U)\r
-#define UTICK_CAPCLR_CAPCLR1_SHIFT               (1U)\r
-#define UTICK_CAPCLR_CAPCLR1(x)                  (((uint32_t)(((uint32_t)(x)) << UTICK_CAPCLR_CAPCLR1_SHIFT)) & UTICK_CAPCLR_CAPCLR1_MASK)\r
-#define UTICK_CAPCLR_CAPCLR2_MASK                (0x4U)\r
-#define UTICK_CAPCLR_CAPCLR2_SHIFT               (2U)\r
-#define UTICK_CAPCLR_CAPCLR2(x)                  (((uint32_t)(((uint32_t)(x)) << UTICK_CAPCLR_CAPCLR2_SHIFT)) & UTICK_CAPCLR_CAPCLR2_MASK)\r
-#define UTICK_CAPCLR_CAPCLR3_MASK                (0x8U)\r
-#define UTICK_CAPCLR_CAPCLR3_SHIFT               (3U)\r
-#define UTICK_CAPCLR_CAPCLR3(x)                  (((uint32_t)(((uint32_t)(x)) << UTICK_CAPCLR_CAPCLR3_SHIFT)) & UTICK_CAPCLR_CAPCLR3_MASK)\r
+#define UTICK_CAPCLR_CAPCLR0_MASK (0x1U)\r
+#define UTICK_CAPCLR_CAPCLR0_SHIFT (0U)\r
+/*! CAPCLR0 - Clear capture 0. Writing 1 to this bit clears the CAP0 register value.\r
+ */\r
+#define UTICK_CAPCLR_CAPCLR0(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << UTICK_CAPCLR_CAPCLR0_SHIFT)) & UTICK_CAPCLR_CAPCLR0_MASK)\r
+#define UTICK_CAPCLR_CAPCLR1_MASK (0x2U)\r
+#define UTICK_CAPCLR_CAPCLR1_SHIFT (1U)\r
+/*! CAPCLR1 - Clear capture 1. Writing 1 to this bit clears the CAP1 register value.\r
+ */\r
+#define UTICK_CAPCLR_CAPCLR1(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << UTICK_CAPCLR_CAPCLR1_SHIFT)) & UTICK_CAPCLR_CAPCLR1_MASK)\r
+#define UTICK_CAPCLR_CAPCLR2_MASK (0x4U)\r
+#define UTICK_CAPCLR_CAPCLR2_SHIFT (2U)\r
+/*! CAPCLR2 - Clear capture 2. Writing 1 to this bit clears the CAP2 register value.\r
+ */\r
+#define UTICK_CAPCLR_CAPCLR2(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << UTICK_CAPCLR_CAPCLR2_SHIFT)) & UTICK_CAPCLR_CAPCLR2_MASK)\r
+#define UTICK_CAPCLR_CAPCLR3_MASK (0x8U)\r
+#define UTICK_CAPCLR_CAPCLR3_SHIFT (3U)\r
+/*! CAPCLR3 - Clear capture 3. Writing 1 to this bit clears the CAP3 register value.\r
+ */\r
+#define UTICK_CAPCLR_CAPCLR3(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << UTICK_CAPCLR_CAPCLR3_SHIFT)) & UTICK_CAPCLR_CAPCLR3_MASK)\r
 /*! @} */\r
 \r
 /*! @name CAP - Capture register . */\r
 /*! @{ */\r
-#define UTICK_CAP_CAP_VALUE_MASK                 (0x7FFFFFFFU)\r
-#define UTICK_CAP_CAP_VALUE_SHIFT                (0U)\r
-#define UTICK_CAP_CAP_VALUE(x)                   (((uint32_t)(((uint32_t)(x)) << UTICK_CAP_CAP_VALUE_SHIFT)) & UTICK_CAP_CAP_VALUE_MASK)\r
-#define UTICK_CAP_VALID_MASK                     (0x80000000U)\r
-#define UTICK_CAP_VALID_SHIFT                    (31U)\r
-#define UTICK_CAP_VALID(x)                       (((uint32_t)(((uint32_t)(x)) << UTICK_CAP_VALID_SHIFT)) & UTICK_CAP_VALID_MASK)\r
+#define UTICK_CAP_CAP_VALUE_MASK (0x7FFFFFFFU)\r
+#define UTICK_CAP_CAP_VALUE_SHIFT (0U)\r
+/*! CAP_VALUE - Capture value for the related capture event (UTICK_CAPn. Note: the value is 1 lower\r
+ *    than the actual value of the Micro-tick Timer at the moment of the capture event.\r
+ */\r
+#define UTICK_CAP_CAP_VALUE(x) (((uint32_t)(((uint32_t)(x)) << UTICK_CAP_CAP_VALUE_SHIFT)) & UTICK_CAP_CAP_VALUE_MASK)\r
+#define UTICK_CAP_VALID_MASK (0x80000000U)\r
+#define UTICK_CAP_VALID_SHIFT (31U)\r
+/*! VALID - Capture Valid. When 1, a value has been captured based on a transition of the related\r
+ *    UTICK_CAPn pin. Cleared by writing to the related bit in the CAPCLR register.\r
+ */\r
+#define UTICK_CAP_VALID(x) (((uint32_t)(((uint32_t)(x)) << UTICK_CAP_VALID_SHIFT)) & UTICK_CAP_VALID_MASK)\r
 /*! @} */\r
 \r
 /* The count of UTICK_CAP */\r
-#define UTICK_CAP_COUNT                          (4U)\r
-\r
+#define UTICK_CAP_COUNT (4U)\r
 \r
 /*!\r
  * @}\r
  */ /* end of group UTICK_Register_Masks */\r
 \r
-\r
 /* UTICK - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral UTICK0 base address */\r
-  #define UTICK0_BASE                              (0x5000E000u)\r
-  /** Peripheral UTICK0 base address */\r
-  #define UTICK0_BASE_NS                           (0x4000E000u)\r
-  /** Peripheral UTICK0 base pointer */\r
-  #define UTICK0                                   ((UTICK_Type *)UTICK0_BASE)\r
-  /** Peripheral UTICK0 base pointer */\r
-  #define UTICK0_NS                                ((UTICK_Type *)UTICK0_BASE_NS)\r
-  /** Array initializer of UTICK peripheral base addresses */\r
-  #define UTICK_BASE_ADDRS                         { UTICK0_BASE }\r
-  /** Array initializer of UTICK peripheral base pointers */\r
-  #define UTICK_BASE_PTRS                          { UTICK0 }\r
-  /** Array initializer of UTICK peripheral base addresses */\r
-  #define UTICK_BASE_ADDRS_NS                      { UTICK0_BASE_NS }\r
-  /** Array initializer of UTICK peripheral base pointers */\r
-  #define UTICK_BASE_PTRS_NS                       { UTICK0_NS }\r
+/** Peripheral UTICK0 base address */\r
+#define UTICK0_BASE (0x5000E000u)\r
+/** Peripheral UTICK0 base address */\r
+#define UTICK0_BASE_NS (0x4000E000u)\r
+/** Peripheral UTICK0 base pointer */\r
+#define UTICK0 ((UTICK_Type *)UTICK0_BASE)\r
+/** Peripheral UTICK0 base pointer */\r
+#define UTICK0_NS ((UTICK_Type *)UTICK0_BASE_NS)\r
+/** Array initializer of UTICK peripheral base addresses */\r
+#define UTICK_BASE_ADDRS \\r
+    {                    \\r
+        UTICK0_BASE      \\r
+    }\r
+/** Array initializer of UTICK peripheral base pointers */\r
+#define UTICK_BASE_PTRS \\r
+    {                   \\r
+        UTICK0          \\r
+    }\r
+/** Array initializer of UTICK peripheral base addresses */\r
+#define UTICK_BASE_ADDRS_NS \\r
+    {                       \\r
+        UTICK0_BASE_NS      \\r
+    }\r
+/** Array initializer of UTICK peripheral base pointers */\r
+#define UTICK_BASE_PTRS_NS \\r
+    {                      \\r
+        UTICK0_NS          \\r
+    }\r
 #else\r
-  /** Peripheral UTICK0 base address */\r
-  #define UTICK0_BASE                              (0x4000E000u)\r
-  /** Peripheral UTICK0 base pointer */\r
-  #define UTICK0                                   ((UTICK_Type *)UTICK0_BASE)\r
-  /** Array initializer of UTICK peripheral base addresses */\r
-  #define UTICK_BASE_ADDRS                         { UTICK0_BASE }\r
-  /** Array initializer of UTICK peripheral base pointers */\r
-  #define UTICK_BASE_PTRS                          { UTICK0 }\r
+/** Peripheral UTICK0 base address */\r
+#define UTICK0_BASE (0x4000E000u)\r
+/** Peripheral UTICK0 base pointer */\r
+#define UTICK0 ((UTICK_Type *)UTICK0_BASE)\r
+/** Array initializer of UTICK peripheral base addresses */\r
+#define UTICK_BASE_ADDRS \\r
+    {                    \\r
+        UTICK0_BASE      \\r
+    }\r
+/** Array initializer of UTICK peripheral base pointers */\r
+#define UTICK_BASE_PTRS \\r
+    {                   \\r
+        UTICK0          \\r
+    }\r
 #endif\r
 /** Interrupt vectors for the UTICK peripheral type */\r
-#define UTICK_IRQS                               { UTICK0_IRQn }\r
+#define UTICK_IRQS  \\r
+    {               \\r
+        UTICK0_IRQn \\r
+    }\r
 \r
 /*!\r
  * @}\r
  */ /* end of group UTICK_Peripheral_Access_Layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- WWDT Peripheral Access Layer\r
    ---------------------------------------------------------------------------- */\r
@@ -24509,14 +30700,19 @@ typedef struct {
  */\r
 \r
 /** WWDT - Register Layout Typedef */\r
-typedef struct {\r
-  __IO uint32_t MOD;                               /**< Watchdog mode register. This register contains the basic mode and status of the Watchdog Timer., offset: 0x0 */\r
-  __IO uint32_t TC;                                /**< Watchdog timer constant register. This 24-bit register determines the time-out value., offset: 0x4 */\r
-  __O  uint32_t FEED;                              /**< Watchdog feed sequence register. Writing 0xAA followed by 0x55 to this register reloads the Watchdog timer with the value contained in TC., offset: 0x8 */\r
-  __I  uint32_t TV;                                /**< Watchdog timer value register. This 24-bit register reads out the current value of the Watchdog timer., offset: 0xC */\r
-       uint8_t RESERVED_0[4];\r
-  __IO uint32_t WARNINT;                           /**< Watchdog Warning Interrupt compare value., offset: 0x14 */\r
-  __IO uint32_t WINDOW;                            /**< Watchdog Window compare value., offset: 0x18 */\r
+typedef struct\r
+{\r
+    __IO uint32_t MOD; /**< Watchdog mode register. This register contains the basic mode and status of the Watchdog\r
+                          Timer., offset: 0x0 */\r
+    __IO uint32_t\r
+        TC; /**< Watchdog timer constant register. This 24-bit register determines the time-out value., offset: 0x4 */\r
+    __O uint32_t FEED; /**< Watchdog feed sequence register. Writing 0xAA followed by 0x55 to this register reloads the\r
+                          Watchdog timer with the value contained in TC., offset: 0x8 */\r
+    __I uint32_t TV;   /**< Watchdog timer value register. This 24-bit register reads out the current value of the\r
+                          Watchdog timer., offset: 0xC */\r
+    uint8_t RESERVED_0[4];\r
+    __IO uint32_t WARNINT; /**< Watchdog Warning Interrupt compare value., offset: 0x14 */\r
+    __IO uint32_t WINDOW;  /**< Watchdog Window compare value., offset: 0x18 */\r
 } WWDT_Type;\r
 \r
 /* ----------------------------------------------------------------------------\r
@@ -24530,135 +30726,175 @@ typedef struct {
 \r
 /*! @name MOD - Watchdog mode register. This register contains the basic mode and status of the Watchdog Timer. */\r
 /*! @{ */\r
-#define WWDT_MOD_WDEN_MASK                       (0x1U)\r
-#define WWDT_MOD_WDEN_SHIFT                      (0U)\r
-/*! WDEN - Watchdog enable bit. Once this bit is set to one and a watchdog feed is performed, the watchdog timer will run permanently.\r
+#define WWDT_MOD_WDEN_MASK (0x1U)\r
+#define WWDT_MOD_WDEN_SHIFT (0U)\r
+/*! WDEN - Watchdog enable bit. Once this bit is set to one and a watchdog feed is performed, the\r
+ *    watchdog timer will run permanently.\r
  *  0b0..Stop. The watchdog timer is stopped.\r
  *  0b1..Run. The watchdog timer is running.\r
  */\r
-#define WWDT_MOD_WDEN(x)                         (((uint32_t)(((uint32_t)(x)) << WWDT_MOD_WDEN_SHIFT)) & WWDT_MOD_WDEN_MASK)\r
-#define WWDT_MOD_WDRESET_MASK                    (0x2U)\r
-#define WWDT_MOD_WDRESET_SHIFT                   (1U)\r
+#define WWDT_MOD_WDEN(x) (((uint32_t)(((uint32_t)(x)) << WWDT_MOD_WDEN_SHIFT)) & WWDT_MOD_WDEN_MASK)\r
+#define WWDT_MOD_WDRESET_MASK (0x2U)\r
+#define WWDT_MOD_WDRESET_SHIFT (1U)\r
 /*! WDRESET - Watchdog reset enable bit. Once this bit has been written with a 1 it cannot be re-written with a 0.\r
  *  0b0..Interrupt. A watchdog time-out will not cause a chip reset.\r
  *  0b1..Reset. A watchdog time-out will cause a chip reset.\r
  */\r
-#define WWDT_MOD_WDRESET(x)                      (((uint32_t)(((uint32_t)(x)) << WWDT_MOD_WDRESET_SHIFT)) & WWDT_MOD_WDRESET_MASK)\r
-#define WWDT_MOD_WDTOF_MASK                      (0x4U)\r
-#define WWDT_MOD_WDTOF_SHIFT                     (2U)\r
-#define WWDT_MOD_WDTOF(x)                        (((uint32_t)(((uint32_t)(x)) << WWDT_MOD_WDTOF_SHIFT)) & WWDT_MOD_WDTOF_MASK)\r
-#define WWDT_MOD_WDINT_MASK                      (0x8U)\r
-#define WWDT_MOD_WDINT_SHIFT                     (3U)\r
-#define WWDT_MOD_WDINT(x)                        (((uint32_t)(((uint32_t)(x)) << WWDT_MOD_WDINT_SHIFT)) & WWDT_MOD_WDINT_MASK)\r
-#define WWDT_MOD_WDPROTECT_MASK                  (0x10U)\r
-#define WWDT_MOD_WDPROTECT_SHIFT                 (4U)\r
+#define WWDT_MOD_WDRESET(x) (((uint32_t)(((uint32_t)(x)) << WWDT_MOD_WDRESET_SHIFT)) & WWDT_MOD_WDRESET_MASK)\r
+#define WWDT_MOD_WDTOF_MASK (0x4U)\r
+#define WWDT_MOD_WDTOF_SHIFT (2U)\r
+/*! WDTOF - Watchdog time-out flag. Set when the watchdog timer times out, by a feed error, or by\r
+ *    events associated with WDPROTECT. Cleared by software writing a 0 to this bit position. Causes a\r
+ *    chip reset if WDRESET = 1.\r
+ */\r
+#define WWDT_MOD_WDTOF(x) (((uint32_t)(((uint32_t)(x)) << WWDT_MOD_WDTOF_SHIFT)) & WWDT_MOD_WDTOF_MASK)\r
+#define WWDT_MOD_WDINT_MASK (0x8U)\r
+#define WWDT_MOD_WDINT_SHIFT (3U)\r
+/*! WDINT - Warning interrupt flag. Set when the timer is at or below the value in WDWARNINT.\r
+ *    Cleared by software writing a 1 to this bit position. Note that this bit cannot be cleared while the\r
+ *    WARNINT value is equal to the value of the TV register. This can occur if the value of\r
+ *    WARNINT is 0 and the WDRESET bit is 0 when TV decrements to 0.\r
+ */\r
+#define WWDT_MOD_WDINT(x) (((uint32_t)(((uint32_t)(x)) << WWDT_MOD_WDINT_SHIFT)) & WWDT_MOD_WDINT_MASK)\r
+#define WWDT_MOD_WDPROTECT_MASK (0x10U)\r
+#define WWDT_MOD_WDPROTECT_SHIFT (4U)\r
 /*! WDPROTECT - Watchdog update mode. This bit can be set once by software and is only cleared by a reset.\r
  *  0b0..Flexible. The watchdog time-out value (TC) can be changed at any time.\r
- *  0b1..Threshold. The watchdog time-out value (TC) can be changed only after the counter is below the value of WDWARNINT and WDWINDOW.\r
+ *  0b1..Threshold. The watchdog time-out value (TC) can be changed only after the counter is below the value of\r
+ * WDWARNINT and WDWINDOW.\r
  */\r
-#define WWDT_MOD_WDPROTECT(x)                    (((uint32_t)(((uint32_t)(x)) << WWDT_MOD_WDPROTECT_SHIFT)) & WWDT_MOD_WDPROTECT_MASK)\r
+#define WWDT_MOD_WDPROTECT(x) (((uint32_t)(((uint32_t)(x)) << WWDT_MOD_WDPROTECT_SHIFT)) & WWDT_MOD_WDPROTECT_MASK)\r
 /*! @} */\r
 \r
 /*! @name TC - Watchdog timer constant register. This 24-bit register determines the time-out value. */\r
 /*! @{ */\r
-#define WWDT_TC_COUNT_MASK                       (0xFFFFFFU)\r
-#define WWDT_TC_COUNT_SHIFT                      (0U)\r
-#define WWDT_TC_COUNT(x)                         (((uint32_t)(((uint32_t)(x)) << WWDT_TC_COUNT_SHIFT)) & WWDT_TC_COUNT_MASK)\r
+#define WWDT_TC_COUNT_MASK (0xFFFFFFU)\r
+#define WWDT_TC_COUNT_SHIFT (0U)\r
+/*! COUNT - Watchdog time-out value.\r
+ */\r
+#define WWDT_TC_COUNT(x) (((uint32_t)(((uint32_t)(x)) << WWDT_TC_COUNT_SHIFT)) & WWDT_TC_COUNT_MASK)\r
 /*! @} */\r
 \r
-/*! @name FEED - Watchdog feed sequence register. Writing 0xAA followed by 0x55 to this register reloads the Watchdog timer with the value contained in TC. */\r
+/*! @name FEED - Watchdog feed sequence register. Writing 0xAA followed by 0x55 to this register reloads the Watchdog\r
+ * timer with the value contained in TC. */\r
 /*! @{ */\r
-#define WWDT_FEED_FEED_MASK                      (0xFFU)\r
-#define WWDT_FEED_FEED_SHIFT                     (0U)\r
-#define WWDT_FEED_FEED(x)                        (((uint32_t)(((uint32_t)(x)) << WWDT_FEED_FEED_SHIFT)) & WWDT_FEED_FEED_MASK)\r
+#define WWDT_FEED_FEED_MASK (0xFFU)\r
+#define WWDT_FEED_FEED_SHIFT (0U)\r
+/*! FEED - Feed value should be 0xAA followed by 0x55.\r
+ */\r
+#define WWDT_FEED_FEED(x) (((uint32_t)(((uint32_t)(x)) << WWDT_FEED_FEED_SHIFT)) & WWDT_FEED_FEED_MASK)\r
 /*! @} */\r
 \r
 /*! @name TV - Watchdog timer value register. This 24-bit register reads out the current value of the Watchdog timer. */\r
 /*! @{ */\r
-#define WWDT_TV_COUNT_MASK                       (0xFFFFFFU)\r
-#define WWDT_TV_COUNT_SHIFT                      (0U)\r
-#define WWDT_TV_COUNT(x)                         (((uint32_t)(((uint32_t)(x)) << WWDT_TV_COUNT_SHIFT)) & WWDT_TV_COUNT_MASK)\r
+#define WWDT_TV_COUNT_MASK (0xFFFFFFU)\r
+#define WWDT_TV_COUNT_SHIFT (0U)\r
+/*! COUNT - Counter timer value.\r
+ */\r
+#define WWDT_TV_COUNT(x) (((uint32_t)(((uint32_t)(x)) << WWDT_TV_COUNT_SHIFT)) & WWDT_TV_COUNT_MASK)\r
 /*! @} */\r
 \r
 /*! @name WARNINT - Watchdog Warning Interrupt compare value. */\r
 /*! @{ */\r
-#define WWDT_WARNINT_WARNINT_MASK                (0x3FFU)\r
-#define WWDT_WARNINT_WARNINT_SHIFT               (0U)\r
-#define WWDT_WARNINT_WARNINT(x)                  (((uint32_t)(((uint32_t)(x)) << WWDT_WARNINT_WARNINT_SHIFT)) & WWDT_WARNINT_WARNINT_MASK)\r
+#define WWDT_WARNINT_WARNINT_MASK (0x3FFU)\r
+#define WWDT_WARNINT_WARNINT_SHIFT (0U)\r
+/*! WARNINT - Watchdog warning interrupt compare value.\r
+ */\r
+#define WWDT_WARNINT_WARNINT(x) \\r
+    (((uint32_t)(((uint32_t)(x)) << WWDT_WARNINT_WARNINT_SHIFT)) & WWDT_WARNINT_WARNINT_MASK)\r
 /*! @} */\r
 \r
 /*! @name WINDOW - Watchdog Window compare value. */\r
 /*! @{ */\r
-#define WWDT_WINDOW_WINDOW_MASK                  (0xFFFFFFU)\r
-#define WWDT_WINDOW_WINDOW_SHIFT                 (0U)\r
-#define WWDT_WINDOW_WINDOW(x)                    (((uint32_t)(((uint32_t)(x)) << WWDT_WINDOW_WINDOW_SHIFT)) & WWDT_WINDOW_WINDOW_MASK)\r
+#define WWDT_WINDOW_WINDOW_MASK (0xFFFFFFU)\r
+#define WWDT_WINDOW_WINDOW_SHIFT (0U)\r
+/*! WINDOW - Watchdog window value.\r
+ */\r
+#define WWDT_WINDOW_WINDOW(x) (((uint32_t)(((uint32_t)(x)) << WWDT_WINDOW_WINDOW_SHIFT)) & WWDT_WINDOW_WINDOW_MASK)\r
 /*! @} */\r
 \r
-\r
 /*!\r
  * @}\r
  */ /* end of group WWDT_Register_Masks */\r
 \r
-\r
 /* WWDT - Peripheral instance base addresses */\r
 #if (__ARM_FEATURE_CMSE & 0x2)\r
-  /** Peripheral WWDT base address */\r
-  #define WWDT_BASE                                (0x5000C000u)\r
-  /** Peripheral WWDT base address */\r
-  #define WWDT_BASE_NS                             (0x4000C000u)\r
-  /** Peripheral WWDT base pointer */\r
-  #define WWDT                                     ((WWDT_Type *)WWDT_BASE)\r
-  /** Peripheral WWDT base pointer */\r
-  #define WWDT_NS                                  ((WWDT_Type *)WWDT_BASE_NS)\r
-  /** Array initializer of WWDT peripheral base addresses */\r
-  #define WWDT_BASE_ADDRS                          { WWDT_BASE }\r
-  /** Array initializer of WWDT peripheral base pointers */\r
-  #define WWDT_BASE_PTRS                           { WWDT }\r
-  /** Array initializer of WWDT peripheral base addresses */\r
-  #define WWDT_BASE_ADDRS_NS                       { WWDT_BASE_NS }\r
-  /** Array initializer of WWDT peripheral base pointers */\r
-  #define WWDT_BASE_PTRS_NS                        { WWDT_NS }\r
+/** Peripheral WWDT base address */\r
+#define WWDT_BASE (0x5000C000u)\r
+/** Peripheral WWDT base address */\r
+#define WWDT_BASE_NS (0x4000C000u)\r
+/** Peripheral WWDT base pointer */\r
+#define WWDT ((WWDT_Type *)WWDT_BASE)\r
+/** Peripheral WWDT base pointer */\r
+#define WWDT_NS ((WWDT_Type *)WWDT_BASE_NS)\r
+/** Array initializer of WWDT peripheral base addresses */\r
+#define WWDT_BASE_ADDRS \\r
+    {                   \\r
+        WWDT_BASE       \\r
+    }\r
+/** Array initializer of WWDT peripheral base pointers */\r
+#define WWDT_BASE_PTRS \\r
+    {                  \\r
+        WWDT           \\r
+    }\r
+/** Array initializer of WWDT peripheral base addresses */\r
+#define WWDT_BASE_ADDRS_NS \\r
+    {                      \\r
+        WWDT_BASE_NS       \\r
+    }\r
+/** Array initializer of WWDT peripheral base pointers */\r
+#define WWDT_BASE_PTRS_NS \\r
+    {                     \\r
+        WWDT_NS           \\r
+    }\r
 #else\r
-  /** Peripheral WWDT base address */\r
-  #define WWDT_BASE                                (0x4000C000u)\r
-  /** Peripheral WWDT base pointer */\r
-  #define WWDT                                     ((WWDT_Type *)WWDT_BASE)\r
-  /** Array initializer of WWDT peripheral base addresses */\r
-  #define WWDT_BASE_ADDRS                          { WWDT_BASE }\r
-  /** Array initializer of WWDT peripheral base pointers */\r
-  #define WWDT_BASE_PTRS                           { WWDT }\r
+/** Peripheral WWDT base address */\r
+#define WWDT_BASE (0x4000C000u)\r
+/** Peripheral WWDT base pointer */\r
+#define WWDT ((WWDT_Type *)WWDT_BASE)\r
+/** Array initializer of WWDT peripheral base addresses */\r
+#define WWDT_BASE_ADDRS \\r
+    {                   \\r
+        WWDT_BASE       \\r
+    }\r
+/** Array initializer of WWDT peripheral base pointers */\r
+#define WWDT_BASE_PTRS \\r
+    {                  \\r
+        WWDT           \\r
+    }\r
 #endif\r
 /** Interrupt vectors for the WWDT peripheral type */\r
-#define WWDT_IRQS                                { WDT_BOD_IRQn }\r
+#define WWDT_IRQS    \\r
+    {                \\r
+        WDT_BOD_IRQn \\r
+    }\r
 \r
 /*!\r
  * @}\r
  */ /* end of group WWDT_Peripheral_Access_Layer */\r
 \r
-\r
 /*\r
 ** End of section using anonymous unions\r
 */\r
 \r
 #if defined(__ARMCC_VERSION)\r
-  #if (__ARMCC_VERSION >= 6010050)\r
-    #pragma clang diagnostic pop\r
-  #else\r
-    #pragma pop\r
-  #endif\r
+#if (__ARMCC_VERSION >= 6010050)\r
+#pragma clang diagnostic pop\r
+#else\r
+#pragma pop\r
+#endif\r
 #elif defined(__GNUC__)\r
-  /* leave anonymous unions enabled */\r
+/* leave anonymous unions enabled */\r
 #elif defined(__IAR_SYSTEMS_ICC__)\r
-  #pragma language=default\r
+#pragma language = default\r
 #else\r
-  #error Not supported compiler type\r
+#error Not supported compiler type\r
 #endif\r
 \r
 /*!\r
  * @}\r
  */ /* end of group Peripheral_access_layer */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- Macros for use with bit field definitions (xxx_SHIFT, xxx_MASK).\r
    ---------------------------------------------------------------------------- */\r
@@ -24669,11 +30905,11 @@ typedef struct {
  */\r
 \r
 #if defined(__ARMCC_VERSION)\r
-  #if (__ARMCC_VERSION >= 6010050)\r
-    #pragma clang system_header\r
-  #endif\r
+#if (__ARMCC_VERSION >= 6010050)\r
+#pragma clang system_header\r
+#endif\r
 #elif defined(__IAR_SYSTEMS_ICC__)\r
-  #pragma system_include\r
+#pragma system_include\r
 #endif\r
 \r
 /**\r
@@ -24682,20 +30918,19 @@ typedef struct {
  * @param value Value of the bit field.\r
  * @return Masked and shifted value.\r
  */\r
-#define NXP_VAL2FLD(field, value)    (((value) << (field ## _SHIFT)) & (field ## _MASK))\r
+#define NXP_VAL2FLD(field, value) (((value) << (field##_SHIFT)) & (field##_MASK))\r
 /**\r
  * @brief Mask and right-shift a register value to extract a bit field value.\r
  * @param field Name of the register bit field.\r
  * @param value Value of the register.\r
  * @return Masked and shifted bit field value.\r
  */\r
-#define NXP_FLD2VAL(field, value)    (((value) & (field ## _MASK)) >> (field ## _SHIFT))\r
+#define NXP_FLD2VAL(field, value) (((value) & (field##_MASK)) >> (field##_SHIFT))\r
 \r
 /*!\r
  * @}\r
  */ /* end of group Bit_Field_Generic_Macros */\r
 \r
-\r
 /* ----------------------------------------------------------------------------\r
    -- SDK Compatibility\r
    ---------------------------------------------------------------------------- */\r
@@ -24705,53 +30940,36 @@ typedef struct {
  * @{\r
  */\r
 \r
-/** EMC CS base address */\r
-#define EMC_CS0_BASE                                (0x80000000u)\r
-#define EMC_CS1_BASE                                (0x90000000u)\r
-#define EMC_CS2_BASE                                (0x98000000u)\r
-#define EMC_CS3_BASE                                (0x9C000000u)\r
-#define EMC_DYCS0_BASE                              (0xA0000000u)\r
-#define EMC_DYCS1_BASE                              (0xB0000000u)\r
-#define EMC_DYCS2_BASE                              (0xC0000000u)\r
-#define EMC_DYCS3_BASE                              (0xD0000000u)\r
-#define EMC_CS_ADDRESS                              {EMC_CS0_BASE, EMC_CS1_BASE, EMC_CS2_BASE, EMC_CS3_BASE}\r
-#define EMC_DYCS_ADDRESS                            {EMC_DYCS0_BASE, EMC_DYCS1_BASE, EMC_DYCS2_BASE, EMC_DYCS3_BASE}\r
-\r
-/** OTP API */\r
-typedef struct {\r
-  uint32_t (*otpInit)(void);                                    /** Initializes OTP controller */\r
-  uint32_t (*otpEnableBankWriteMask)(uint32_t bankMask);        /** Unlock one or more OTP banks for write access */\r
-  uint32_t (*otpDisableBankWriteMask)(uint32_t bankMask);       /** Lock one or more OTP banks for write access */\r
-  uint32_t (*otpEnableBankWriteLock)(uint32_t bankIndex, uint32_t regEnableMask, uint32_t regDisableMask,\r
-                                          uint32_t lockWrite);  /** Locks or unlocks write access to a register of an OTP bank and the write lock */\r
-  uint32_t (*otpEnableBankReadLock)(uint32_t bankIndex, uint32_t regEnableMask, uint32_t regDisableMask,\r
-                                         uint32_t lockWrite);   /** Locks or unlocks read access to a register of an OTP bank and the write lock */\r
-  uint32_t (*otpProgramReg)(uint32_t bankIndex, uint32_t regIndex, uint32_t value);  /** Program a single register in an OTP bank */\r
-  uint32_t RESERVED_0[5];\r
-  uint32_t (*rngRead)(void);                                    /** Returns 32-bit number from hardware random number generator */\r
-  uint32_t (*otpGetDriverVersion)(void);                        /** Returns the version of the OTP driver in ROM */\r
-} OTP_API_Type;\r
-\r
-/** ROM API */\r
-typedef struct {\r
-  __I uint32_t usbdApiBase;                      /** USB API Base */\r
-      uint32_t RESERVED_0[13];\r
-  __I OTP_API_Type *otpApiBase;                  /** OTP API Base */\r
-  __I uint32_t aesApiBase;                       /** AES API Base */\r
-  __I uint32_t secureApiBase;                    /** Secure API Base */\r
-} ROM_API_Type;\r
-\r
-/** ROM API base address */\r
-#define ROM_API_BASE                             (0x03000200u)\r
-/** ROM API base pointer */\r
-#define ROM_API                                  (*(ROM_API_Type**) ROM_API_BASE)\r
-/** OTP API base pointer */\r
-#define OTP_API                                  (ROM_API->otpApiBase)\r
+/** High Speed SPI (Flexcomm 8) interrupt name */\r
+#define LSPI_HS_IRQn FLEXCOMM8_IRQn\r
+\r
+/*!\r
+ * @brief Get the chip value.\r
+ *\r
+ * @return chip version, 0x0: A0 version chip, 0x1: A1 version chip, 0xFF: invalid version.\r
+ */\r
+static inline uint32_t Chip_GetVersion(void)\r
+{\r
+    uint32_t deviceRevision;\r
+\r
+    deviceRevision = SYSCON->DIEID & SYSCON_DIEID_REV_ID_MASK;\r
+\r
+    if (0UL == deviceRevision) /* A0 device revision is 0 */\r
+    {\r
+        return 0x0;\r
+    }\r
+    else if (1UL == deviceRevision) /* A1 device revision is 1 */\r
+    {\r
+        return 0x1;\r
+    }\r
+    else\r
+    {\r
+        return 0xFF;\r
+    }\r
+}\r
 \r
 /*!\r
  * @}\r
  */ /* end of group SDK_Compatibility_Symbols */\r
 \r
-\r
-#endif  /* _LPC55S69_CM33_CORE0_H_ */\r
-\r
+#endif /* _LPC55S69_CM33_CORE0_H_ */\r
index 777e83d99f4368db86a6ea5e261fc3fc5282e561..b8c24e2b5d87ec9a9d34e71241a7aebe2fc6dedc 100644 (file)
@@ -1,7 +1,7 @@
 /*\r
 ** ###################################################################\r
-**     Version:             rev. 1.0, 2018-08-22\r
-**     Build:               b190122\r
+**     Version:             rev. 1.1, 2019-05-16\r
+**     Build:               b190719\r
 **\r
 **     Abstract:\r
 **         Chip specific module features.\r
@@ -18,6 +18,8 @@
 **     Revisions:\r
 **     - rev. 1.0 (2018-08-22)\r
 **         Initial version based on v0.2UM\r
+**     - rev. 1.1 (2019-05-16)\r
+**         Initial A1 version based on v1.3UM\r
 **\r
 ** ###################################################################\r
 */\r
 \r
 /* @brief FIFO availability on the SoC. */\r
 #define FSL_FEATURE_LPADC_FIFO_COUNT (2)\r
+/* @brief Has subsequent trigger priority (bitfield CFG[TPRICTRL]). */\r
+#define FSL_FEATURE_LPADC_HAS_CFG_SUBSEQUENT_PRIORITY (1)\r
 /* @brief Has differential mode (bitfield CMDLn[DIFF]). */\r
 #define FSL_FEATURE_LPADC_HAS_CMDL_DIFF (0)\r
 /* @brief Has channel scale (bitfield CMDLn[CSCALE]). */\r
 #define FSL_FEATURE_LPADC_HAS_CFG_CALOFS (0)\r
 /* @brief Has offset trim (register OFSTRIM). */\r
 #define FSL_FEATURE_LPADC_HAS_OFSTRIM (1)\r
+/* @brief Has internal temperature sensor. */\r
+#define FSL_FEATURE_LPADC_HAS_INTERNAL_TEMP_SENSOR (1)\r
+/* @brief Temperature sensor parameter A (slope). */\r
+#define FSL_FEATURE_LPADC_TEMP_PARAMETER_A (744.6f)\r
+/* @brief Temperature sensor parameter B (offset). */\r
+#define FSL_FEATURE_LPADC_TEMP_PARAMETER_B (313.7f)\r
+/* @brief Temperature sensor parameter Alpha. */\r
+#define FSL_FEATURE_LPADC_TEMP_PARAMETER_ALPHA (11.5f)\r
 \r
 /* CASPER module features */\r
 \r
 #define FSL_FEATURE_CASPER_RAM_BASE_ADDRESS (0x04000000)\r
 /* @brief Interleaving of the CASPER dedicated RAM */\r
 #define FSL_FEATURE_CASPER_RAM_IS_INTERLEAVED (1)\r
+/* @brief CASPER dedicated RAM offset */\r
+#define FSL_FEATURE_CASPER_RAM_OFFSET (0xE)\r
 \r
 /* DMA module features */\r
 \r
 /* @brief Number of channels */\r
-#define FSL_FEATURE_DMA_NUMBER_OF_CHANNELS (30)\r
+#define FSL_FEATURE_DMA_NUMBER_OF_CHANNELS (23)\r
+/* @brief Align size of DMA descriptor */\r
+#define FSL_FEATURE_DMA_DESCRIPTOR_ALIGN_SIZE (512)\r
+/* @brief DMA head link descriptor table align size */\r
+#define FSL_FEATURE_DMA_LINK_DESCRIPTOR_ALIGN_SIZE (16U)\r
+\r
+/* FLEXCOMM module features */\r
+\r
+/* @brief FLEXCOMM0 USART INDEX 0 */\r
+#define FSL_FEATURE_FLEXCOMM0_USART_INDEX (0)\r
+/* @brief FLEXCOMM0 SPI INDEX 0 */\r
+#define FSL_FEATURE_FLEXCOMM0_SPI_INDEX (0)\r
+/* @brief FLEXCOMM0 I2C INDEX 0 */\r
+#define FSL_FEATURE_FLEXCOMM0_I2C_INDEX (0)\r
+/* @brief FLEXCOMM0 I2S INDEX 0 */\r
+#define FSL_FEATURE_FLEXCOMM0_I2S_INDEX (0)\r
+/* @brief FLEXCOMM1 USART INDEX 1 */\r
+#define FSL_FEATURE_FLEXCOMM1_USART_INDEX (1)\r
+/* @brief FLEXCOMM1 SPI INDEX 1 */\r
+#define FSL_FEATURE_FLEXCOMM1_SPI_INDEX (1)\r
+/* @brief FLEXCOMM1 I2C INDEX 1 */\r
+#define FSL_FEATURE_FLEXCOMM1_I2C_INDEX (1)\r
+/* @brief FLEXCOMM1 I2S INDEX 1 */\r
+#define FSL_FEATURE_FLEXCOMM1_I2S_INDEX (1)\r
+/* @brief FLEXCOMM2 USART INDEX 2 */\r
+#define FSL_FEATURE_FLEXCOMM2_USART_INDEX (2)\r
+/* @brief FLEXCOMM2 SPI INDEX 2 */\r
+#define FSL_FEATURE_FLEXCOMM2_SPI_INDEX (2)\r
+/* @brief FLEXCOMM2 I2C INDEX 2 */\r
+#define FSL_FEATURE_FLEXCOMM2_I2C_INDEX (2)\r
+/* @brief FLEXCOMM2 I2S INDEX 2 */\r
+#define FSL_FEATURE_FLEXCOMM2_I2S_INDEX (2)\r
+/* @brief FLEXCOMM3 USART INDEX 3 */\r
+#define FSL_FEATURE_FLEXCOMM3_USART_INDEX (3)\r
+/* @brief FLEXCOMM3 SPI INDEX 3 */\r
+#define FSL_FEATURE_FLEXCOMM3_SPI_INDEX (3)\r
+/* @brief FLEXCOMM3 I2C INDEX 3 */\r
+#define FSL_FEATURE_FLEXCOMM3_I2C_INDEX (3)\r
+/* @brief FLEXCOMM3 I2S INDEX 3 */\r
+#define FSL_FEATURE_FLEXCOMM3_I2S_INDEX (3)\r
+/* @brief FLEXCOMM4 USART INDEX 4 */\r
+#define FSL_FEATURE_FLEXCOMM4_USART_INDEX (4)\r
+/* @brief FLEXCOMM4 SPI INDEX 4 */\r
+#define FSL_FEATURE_FLEXCOMM4_SPI_INDEX (4)\r
+/* @brief FLEXCOMM4 I2C INDEX 4 */\r
+#define FSL_FEATURE_FLEXCOMM4_I2C_INDEX (4)\r
+/* @brief FLEXCOMM4 I2S INDEX 4 */\r
+#define FSL_FEATURE_FLEXCOMM4_I2S_INDEX (4)\r
+/* @brief FLEXCOMM5 USART INDEX 5 */\r
+#define FSL_FEATURE_FLEXCOMM5_USART_INDEX (5)\r
+/* @brief FLEXCOMM5 SPI INDEX 5 */\r
+#define FSL_FEATURE_FLEXCOMM5_SPI_INDEX (5)\r
+/* @brief FLEXCOMM5 I2C INDEX 5 */\r
+#define FSL_FEATURE_FLEXCOMM5_I2C_INDEX (5)\r
+/* @brief FLEXCOMM5 I2S INDEX 5 */\r
+#define FSL_FEATURE_FLEXCOMM5_I2S_INDEX (5)\r
+/* @brief FLEXCOMM6 USART INDEX 6 */\r
+#define FSL_FEATURE_FLEXCOMM6_USART_INDEX (6)\r
+/* @brief FLEXCOMM6 SPI INDEX 6 */\r
+#define FSL_FEATURE_FLEXCOMM6_SPI_INDEX (6)\r
+/* @brief FLEXCOMM6 I2C INDEX 6 */\r
+#define FSL_FEATURE_FLEXCOMM6_I2C_INDEX (6)\r
+/* @brief FLEXCOMM6 I2S INDEX 6 */\r
+#define FSL_FEATURE_FLEXCOMM6_I2S_INDEX (6)\r
+/* @brief FLEXCOMM7 USART INDEX 7 */\r
+#define FSL_FEATURE_FLEXCOMM7_USART_INDEX (7)\r
+/* @brief FLEXCOMM7 SPI INDEX 7 */\r
+#define FSL_FEATURE_FLEXCOMM7_SPI_INDEX (7)\r
+/* @brief FLEXCOMM7 I2C INDEX 7 */\r
+#define FSL_FEATURE_FLEXCOMM7_I2C_INDEX (7)\r
+/* @brief FLEXCOMM7 I2S INDEX 7 */\r
+#define FSL_FEATURE_FLEXCOMM7_I2S_INDEX (7)\r
+/* @brief FLEXCOMM8 SPI(HS_SPI) INDEX 8 */\r
+#define FSL_FEATURE_FLEXCOMM8_SPI_INDEX (8)\r
+/* @brief I2S has DMIC interconnection */\r
+#define FSL_FEATURE_FLEXCOMM_INSTANCE_I2S_HAS_DMIC_INTERCONNECTIONn(x) (0)\r
 \r
 /* HASHCRYPT module features */\r
 \r
 /* I2S module features */\r
 \r
 /* @brief I2S support dual channel transfer. */\r
-#define FSL_FEATURE_I2S_SUPPORT_SECONDARY_CHANNEL (1)\r
+#define FSL_FEATURE_I2S_SUPPORT_SECONDARY_CHANNEL (0)\r
+/* @brief I2S has DMIC interconnection. */\r
+#define FSL_FEATURE_FLEXCOMM_I2S_HAS_DMIC_INTERCONNECTION (0)\r
 \r
 /* IOCON module features */\r
 \r
 /* MRT module features */\r
 \r
 /* @brief number of channels. */\r
-#define FSL_FEATURE_MRT_NUMBER_OF_CHANNELS  (4)\r
+#define FSL_FEATURE_MRT_NUMBER_OF_CHANNELS (4)\r
 \r
 /* PINT module features */\r
 \r
 /* @brief Number of connected outputs */\r
-#define FSL_FEATURE_PINT_NUMBER_OF_CONNECTED_OUTPUTS (10)\r
+#define FSL_FEATURE_PINT_NUMBER_OF_CONNECTED_OUTPUTS (8)\r
+\r
+/* PLU module features */\r
+\r
+/* @brief Has WAKEINT_CTRL register. */\r
+#define FSL_FEATURE_PLU_HAS_WAKEINT_CTRL_REG (1)\r
 \r
 /* POWERLIB module features */\r
 \r
-/* @brief Niobe4's Powerlib API is different with other LPC series devices. */\r
-#define FSL_FEATURE_POWERLIB_NIOBE4_EXTEND (1)\r
+/* @brief Powerlib API is different with other LPC series devices. */\r
+#define FSL_FEATURE_POWERLIB_EXTEND (1)\r
 \r
 /* POWERQUAD module features */\r
 \r
 /* @brief Sine and Cossine fix errata */\r
-#define FSL_FEATURE_POWERQUAD_SIN_COS_FIX_ERRATA  (1)\r
+#define FSL_FEATURE_POWERQUAD_SIN_COS_FIX_ERRATA (1)\r
 \r
 /* PUF module features */\r
 \r
 /* SDIF module features */\r
 \r
 /* @brief FIFO depth, every location is a WORD */\r
-#define FSL_FEATURE_SDIF_FIFO_DEPTH_64_32BITS  (64)\r
+#define FSL_FEATURE_SDIF_FIFO_DEPTH_64_32BITS (64)\r
 /* @brief Max DMA buffer size */\r
-#define FSL_FEATURE_SDIF_INTERNAL_DMA_MAX_BUFFER_SIZE  (4096)\r
+#define FSL_FEATURE_SDIF_INTERNAL_DMA_MAX_BUFFER_SIZE (4096)\r
 /* @brief Max source clock in HZ */\r
-#define FSL_FEATURE_SDIF_MAX_SOURCE_CLOCK  (52000000)\r
+#define FSL_FEATURE_SDIF_MAX_SOURCE_CLOCK (52000000)\r
 /* @brief support 2 cards */\r
-#define FSL_FEATURE_SDIF_ONE_INSTANCE_SUPPORT_TWO_CARD  (1)\r
+#define FSL_FEATURE_SDIF_ONE_INSTANCE_SUPPORT_TWO_CARD (1)\r
 \r
 /* SECPINT module features */\r
 \r
 #define FSL_FEATURE_SYSCON_HAS_POWERDOWN_MODE (1)\r
 /* @brief CCM_ANALOG availability on the SoC.  */\r
 #define FSL_FEATURE_SOC_CCM_ANALOG_COUNT (1)\r
+/* @brief Starter register discontinuous. */\r
+#define FSL_FEATURE_SYSCON_STARTER_DISCONTINUOUS (1)\r
 \r
 /* USB module features */\r
 \r
 #define FSL_FEATURE_WWDT_HAS_NO_PDCFG (1)\r
 \r
 #endif /* _LPC55S69_cm33_core0_FEATURES_H_ */\r
-\r
index 9c55fb2415e63f15c7d15258da1dc3f855d36f14..a06db4ce52db03643f1eff57f6fd058c9ec98589 100644 (file)
@@ -1,6 +1,6 @@
 /*\r
  * Copyright 2014-2016 Freescale Semiconductor, Inc.\r
- * Copyright 2016-2018 NXP\r
+ * Copyright 2016-2019 NXP\r
  * All rights reserved.\r
  *\r
  * SPDX-License-Identifier: BSD-3-Clause\r
@@ -15,7 +15,8 @@
  *\r
  * The CPU macro should be declared in the project or makefile.\r
  */\r
-#if (defined(CPU_LPC55S69JBD100_cm33_core0) || defined(CPU_LPC55S69JET98_cm33_core0))\r
+#if (defined(CPU_LPC55S69JBD100_cm33_core0) || defined(CPU_LPC55S69JBD64_cm33_core0) || \\r
+     defined(CPU_LPC55S69JEV98_cm33_core0))\r
 \r
 #define LPC55S69_cm33_core0_SERIES\r
 \r
@@ -24,7 +25,8 @@
 /* CPU specific feature definitions */\r
 #include "LPC55S69_cm33_core0_features.h"\r
 \r
-#elif (defined(CPU_LPC55S69JBD100_cm33_core1) || defined(CPU_LPC55S69JET98_cm33_core1))\r
+#elif (defined(CPU_LPC55S69JBD100_cm33_core1) || defined(CPU_LPC55S69JBD64_cm33_core1) || \\r
+       defined(CPU_LPC55S69JEV98_cm33_core1))\r
 \r
 #define LPC55S69_cm33_core1_SERIES\r
 \r
@@ -34,7 +36,7 @@
 #include "LPC55S69_cm33_core1_features.h"\r
 \r
 #else\r
-    #error "No valid CPU defined!"\r
+#error "No valid CPU defined!"\r
 #endif\r
 \r
 #endif /* __FSL_DEVICE_REGISTERS_H__ */\r
index 3cfbbd3152b6162ade54296e9de2cacf56a6b180..cca0d35c96b407a54b82e44bb0ac468a43186fe1 100644 (file)
@@ -1,16 +1,17 @@
 /*\r
 ** ###################################################################\r
 **     Processors:          LPC55S69JBD100_cm33_core0\r
-**                          LPC55S69JET98_cm33_core0\r
+**                          LPC55S69JBD64_cm33_core0\r
+**                          LPC55S69JEV98_cm33_core0\r
 **\r
 **     Compilers:           GNU C Compiler\r
 **                          IAR ANSI C/C++ Compiler for ARM\r
 **                          Keil ARM C/C++ Compiler\r
 **                          MCUXpresso Compiler\r
 **\r
-**     Reference manual:    LPC55xx/LPC55Sxx User manual Rev.0.4  25 Sep 2018\r
-**     Version:             rev. 1.0, 2018-08-22\r
-**     Build:               b181219\r
+**     Reference manual:    LPC55S6x/LPC55S2x/LPC552x User manual(UM11126) Rev.1.3  16 May 2019\r
+**     Version:             rev. 1.1, 2019-05-16\r
+**     Build:               b190830\r
 **\r
 **     Abstract:\r
 **         Provides a system configuration function and a global variable that\r
@@ -18,7 +19,7 @@
 **         the oscillator (PLL) that is part of the microcontroller device.\r
 **\r
 **     Copyright 2016 Freescale Semiconductor, Inc.\r
-**     Copyright 2016-2018 NXP\r
+**     Copyright 2016-2019 NXP\r
 **     All rights reserved.\r
 **\r
 **     SPDX-License-Identifier: BSD-3-Clause\r
 **     Revisions:\r
 **     - rev. 1.0 (2018-08-22)\r
 **         Initial version based on v0.2UM\r
+**     - rev. 1.1 (2019-05-16)\r
+**         Initial A1 version based on v1.3UM\r
 **\r
 ** ###################################################################\r
 */\r
 \r
 /*!\r
  * @file LPC55S69_cm33_core0\r
- * @version 1.0\r
- * @date 2018-08-22\r
+ * @version 1.1\r
+ * @date 2019-05-16\r
  * @brief Device specific configuration file for LPC55S69_cm33_core0\r
  *        (implementation file)\r
  *\r
@@ -107,9 +110,10 @@ static float findPll0MMult(void)
     }\r
     else\r
     {\r
-        mMult_int = ((SYSCON->PLL0SSCG1 & SYSCON_PLL0SSCG1_MD_MBS_MASK) << 7U) | ((SYSCON->PLL0SSCG0) >> PLL_SSCG_MD_INT_P);\r
-        mMult_fract = ((float)((SYSCON->PLL0SSCG0) & PLL_SSCG_MD_FRACT_M)/(1 << PLL_SSCG_MD_INT_P));\r
-        mMult = (float)mMult_int + mMult_fract;\r
+        mMult_int =\r
+            ((SYSCON->PLL0SSCG1 & SYSCON_PLL0SSCG1_MD_MBS_MASK) << 7U) | ((SYSCON->PLL0SSCG0) >> PLL_SSCG_MD_INT_P);\r
+        mMult_fract = ((float)((SYSCON->PLL0SSCG0) & PLL_SSCG_MD_FRACT_M) / (1 << PLL_SSCG_MD_INT_P));\r
+        mMult       = (float)mMult_int + mMult_fract;\r
     }\r
     if (mMult == 0)\r
     {\r
@@ -178,9 +182,7 @@ static uint32_t findPll1MMult(void)
  */\r
 static uint32_t CLOCK_GetFro12MFreq(void)\r
 {\r
-    return (PMC->PDRUNCFG0 & PMC_PDRUNCFG0_PDEN_FRO192M_MASK) ?\r
-               0 :\r
-               (ANACTRL->FRO192M_CTRL & ANACTRL_FRO192M_CTRL_ENA_12MHZCLK_MASK) ? 12000000U : 0U;\r
+    return (ANACTRL->FRO192M_CTRL & ANACTRL_FRO192M_CTRL_ENA_12MHZCLK_MASK) ? 12000000U : 0U;\r
 }\r
 \r
 /* Get FRO 1M Clk */\r
@@ -207,9 +209,7 @@ static uint32_t CLOCK_GetExtClkFreq(void)
  */\r
 static uint32_t CLOCK_GetFroHfFreq(void)\r
 {\r
-    return (PMC->PDRUNCFG0 & PMC_PDRUNCFG0_PDEN_FRO192M_MASK) ?\r
-               0 :\r
-               (ANACTRL->FRO192M_CTRL & ANACTRL_FRO192M_CTRL_ENA_96MHZCLK_MASK) ? 96000000U : 0U;\r
+    return (ANACTRL->FRO192M_CTRL & ANACTRL_FRO192M_CTRL_ENA_96MHZCLK_MASK) ? 96000000U : 0U;\r
 }\r
 \r
 /* Get RTC OSC Clk */\r
@@ -218,15 +218,13 @@ static uint32_t CLOCK_GetFroHfFreq(void)
  */\r
 static uint32_t CLOCK_GetOsc32KFreq(void)\r
 {\r
-    return ((~(PMC->PDRUNCFG0 & PMC_PDRUNCFG0_PDEN_FRO32K_MASK)) && (PMC->RTCOSC32K & PMC_RTCOSC32K_SEL(0))) ?\r
+    return ((!(PMC->PDRUNCFG0 & PMC_PDRUNCFG0_PDEN_FRO32K_MASK)) && (!(PMC->RTCOSC32K & PMC_RTCOSC32K_SEL_MASK))) ?\r
                CLK_RTC_32K_CLK :\r
-               ((~(PMC->PDRUNCFG0 & PMC_PDRUNCFG0_PDEN_XTAL32K_MASK)) && (PMC->RTCOSC32K & PMC_RTCOSC32K_SEL(1))) ?\r
+               ((!(PMC->PDRUNCFG0 & PMC_PDRUNCFG0_PDEN_XTAL32K_MASK)) && (PMC->RTCOSC32K & PMC_RTCOSC32K_SEL_MASK)) ?\r
                CLK_RTC_32K_CLK :\r
                0U;\r
 }\r
 \r
-\r
-\r
 /* ----------------------------------------------------------------------------\r
    -- Core clock\r
    ---------------------------------------------------------------------------- */\r
@@ -237,36 +235,44 @@ uint32_t SystemCoreClock = DEFAULT_SYSTEM_CLOCK;
    -- SystemInit()\r
    ---------------------------------------------------------------------------- */\r
 \r
-__attribute__ ((weak)) void SystemInit (void) {\r
+__attribute__((weak)) void SystemInit(void)\r
+{\r
 #if ((__FPU_PRESENT == 1) && (__FPU_USED == 1))\r
-  SCB->CPACR |= ((3UL << 10*2) | (3UL << 11*2));    /* set CP10, CP11 Full Access */\r
-#endif /* ((__FPU_PRESENT == 1) && (__FPU_USED == 1)) */\r
+    SCB->CPACR |= ((3UL << 10 * 2) | (3UL << 11 * 2)); /* set CP10, CP11 Full Access in Secure mode */\r
+#if defined(__ARM_FEATURE_CMSE) && (__ARM_FEATURE_CMSE == 3U)\r
+    SCB_NS->CPACR |= ((3UL << 10 * 2) | (3UL << 11 * 2)); /* set CP10, CP11 Full Access in Normal mode */\r
+#endif                                                    /* (__ARM_FEATURE_CMSE) && (__ARM_FEATURE_CMSE == 3U) */\r
+#endif                                                    /* ((__FPU_PRESENT == 1) && (__FPU_USED == 1)) */\r
 \r
-  SCB->CPACR |= ((3UL << 0*2) | (3UL << 1*2));    /* set CP0, CP1 Full Access (enable PowerQuad) */\r
+    SCB->CPACR |= ((3UL << 0 * 2) | (3UL << 1 * 2)); /* set CP0, CP1 Full Access in Secure mode (enable PowerQuad) */\r
+#if defined(__ARM_FEATURE_CMSE) && (__ARM_FEATURE_CMSE == 3U)\r
+    SCB_NS->CPACR |= ((3UL << 0 * 2) | (3UL << 1 * 2)); /* set CP0, CP1 Full Access in Normal mode (enable PowerQuad) */\r
+#endif                                                  /* (__ARM_FEATURE_CMSE) && (__ARM_FEATURE_CMSE == 3U) */\r
 \r
-  SCB->NSACR |= ((3UL << 0) | (3UL << 10));   /* enable CP0, CP1, CP10, CP11 Non-secure Access */\r
+    SCB->NSACR |= ((3UL << 0) | (3UL << 10)); /* enable CP0, CP1, CP10, CP11 Non-secure Access */\r
 \r
 #if defined(__MCUXPRESSO)\r
-    extern void(*const g_pfnVectors[]) (void);\r
-    SCB->VTOR = (uint32_t) &g_pfnVectors;\r
+    extern void (*const g_pfnVectors[])(void);\r
+    SCB->VTOR = (uint32_t)&g_pfnVectors;\r
 #else\r
     extern void *__Vectors;\r
-    SCB->VTOR = (uint32_t) &__Vectors;\r
+    SCB->VTOR = (uint32_t)&__Vectors;\r
 #endif\r
     SYSCON->TRACECLKDIV = 0;\r
 /* Optionally enable RAM banks that may be off by default at reset */\r
 #if !defined(DONT_ENABLE_DISABLED_RAMBANKS)\r
-    SYSCON->AHBCLKCTRLSET[0] = SYSCON_AHBCLKCTRL0_SRAM_CTRL1_MASK | SYSCON_AHBCLKCTRL0_SRAM_CTRL2_MASK\r
-                          | SYSCON_AHBCLKCTRL0_SRAM_CTRL3_MASK | SYSCON_AHBCLKCTRL0_SRAM_CTRL4_MASK;\r
+    SYSCON->AHBCLKCTRLSET[0] = SYSCON_AHBCLKCTRL0_SRAM_CTRL1_MASK | SYSCON_AHBCLKCTRL0_SRAM_CTRL2_MASK |\r
+                               SYSCON_AHBCLKCTRL0_SRAM_CTRL3_MASK | SYSCON_AHBCLKCTRL0_SRAM_CTRL4_MASK;\r
 #endif\r
-  SystemInitHook();\r
+    SystemInitHook();\r
 }\r
 \r
 /* ----------------------------------------------------------------------------\r
    -- SystemCoreClockUpdate()\r
    ---------------------------------------------------------------------------- */\r
 \r
-void SystemCoreClockUpdate (void) {\r
+void SystemCoreClockUpdate(void)\r
+{\r
     uint32_t clkRate = 0;\r
     uint32_t prediv, postdiv;\r
     float workRate;\r
@@ -309,15 +315,18 @@ void SystemCoreClockUpdate (void) {
                 default:\r
                     break;\r
             }\r
-            if (((SYSCON->PLL0CTRL & SYSCON_PLL0CTRL_BYPASSPLL_MASK) == 0) && (SYSCON->PLL0CTRL & SYSCON_PLL0CTRL_CLKEN_MASK) && ((PMC->PDRUNCFG0 & PMC_PDRUNCFG0_PDEN_PLL0_MASK) == 0) && ((PMC->PDRUNCFG0 & PMC_PDRUNCFG0_PDEN_PLL0_SSCG_MASK) == 0))\r
+            if (((SYSCON->PLL0CTRL & SYSCON_PLL0CTRL_BYPASSPLL_MASK) == 0) &&\r
+                (SYSCON->PLL0CTRL & SYSCON_PLL0CTRL_CLKEN_MASK) &&\r
+                ((PMC->PDRUNCFG0 & PMC_PDRUNCFG0_PDEN_PLL0_MASK) == 0) &&\r
+                ((PMC->PDRUNCFG0 & PMC_PDRUNCFG0_PDEN_PLL0_SSCG_MASK) == 0))\r
             {\r
-                prediv = findPll0PreDiv();\r
+                prediv  = findPll0PreDiv();\r
                 postdiv = findPll0PostDiv();\r
                 /* Adjust input clock */\r
                 clkRate = clkRate / prediv;\r
                 /* MDEC used for rate */\r
                 workRate = (float)clkRate * (float)findPll0MMult();\r
-                clkRate = (uint32_t)(workRate / ((float)postdiv));\r
+                clkRate  = (uint32_t)(workRate / ((float)postdiv));\r
             }\r
             break;\r
         case 0x02: /* PLL1 clock (pll1_clk)*/\r
@@ -338,17 +347,19 @@ void SystemCoreClockUpdate (void) {
                 default:\r
                     break;\r
             }\r
-            if (((SYSCON->PLL1CTRL & SYSCON_PLL1CTRL_BYPASSPLL_MASK) == 0) && (SYSCON->PLL1CTRL & SYSCON_PLL1CTRL_CLKEN_MASK) && ((PMC->PDRUNCFG0 & PMC_PDRUNCFG0_PDEN_PLL1_MASK) == 0))\r
+            if (((SYSCON->PLL1CTRL & SYSCON_PLL1CTRL_BYPASSPLL_MASK) == 0) &&\r
+                (SYSCON->PLL1CTRL & SYSCON_PLL1CTRL_CLKEN_MASK) &&\r
+                ((PMC->PDRUNCFG0 & PMC_PDRUNCFG0_PDEN_PLL1_MASK) == 0))\r
             {\r
                 /* PLL is not in bypass mode, get pre-divider, post-divider, and M divider */\r
-                prediv = findPll1PreDiv();\r
+                prediv  = findPll1PreDiv();\r
                 postdiv = findPll1PostDiv();\r
                 /* Adjust input clock */\r
                 clkRate = clkRate / prediv;\r
 \r
                 /* MDEC used for rate */\r
                 workRate1 = (uint64_t)clkRate * (uint64_t)findPll1MMult();\r
-                clkRate = workRate1 / ((uint64_t)postdiv);\r
+                clkRate   = workRate1 / ((uint64_t)postdiv);\r
             }\r
             break;\r
         case 0x03: /* RTC oscillator 32 kHz output (32k_clk) */\r
@@ -364,6 +375,7 @@ void SystemCoreClockUpdate (void) {
    -- SystemInitHook()\r
    ---------------------------------------------------------------------------- */\r
 \r
-__attribute__ ((weak)) void SystemInitHook (void) {\r
-  /* Void implementation of the weak function. */\r
+__attribute__((weak)) void SystemInitHook(void)\r
+{\r
+    /* Void implementation of the weak function. */\r
 }\r
index a462c9d3bbf18c58930abaa45f65726da2baa8e5..02f3760ef27c3f36a21c3f06a89cc44d8fc55d3e 100644 (file)
@@ -1,16 +1,17 @@
 /*\r
 ** ###################################################################\r
 **     Processors:          LPC55S69JBD100_cm33_core0\r
-**                          LPC55S69JET98_cm33_core0\r
+**                          LPC55S69JBD64_cm33_core0\r
+**                          LPC55S69JEV98_cm33_core0\r
 **\r
 **     Compilers:           GNU C Compiler\r
 **                          IAR ANSI C/C++ Compiler for ARM\r
 **                          Keil ARM C/C++ Compiler\r
 **                          MCUXpresso Compiler\r
 **\r
-**     Reference manual:    LPC55xx/LPC55Sxx User manual Rev.0.4  25 Sep 2018\r
-**     Version:             rev. 1.0, 2018-08-22\r
-**     Build:               b181219\r
+**     Reference manual:    LPC55S6x/LPC55S2x/LPC552x User manual(UM11126) Rev.1.3  16 May 2019\r
+**     Version:             rev. 1.1, 2019-05-16\r
+**     Build:               b190830\r
 **\r
 **     Abstract:\r
 **         Provides a system configuration function and a global variable that\r
@@ -18,7 +19,7 @@
 **         the oscillator (PLL) that is part of the microcontroller device.\r
 **\r
 **     Copyright 2016 Freescale Semiconductor, Inc.\r
-**     Copyright 2016-2018 NXP\r
+**     Copyright 2016-2019 NXP\r
 **     All rights reserved.\r
 **\r
 **     SPDX-License-Identifier: BSD-3-Clause\r
 **     Revisions:\r
 **     - rev. 1.0 (2018-08-22)\r
 **         Initial version based on v0.2UM\r
+**     - rev. 1.1 (2019-05-16)\r
+**         Initial A1 version based on v1.3UM\r
 **\r
 ** ###################################################################\r
 */\r
 \r
 /*!\r
  * @file LPC55S69_cm33_core0\r
- * @version 1.0\r
- * @date 2018-08-22\r
+ * @version 1.1\r
+ * @date 2019-05-16\r
  * @brief Device specific configuration file for LPC55S69_cm33_core0 (header\r
  *        file)\r
  *\r
@@ -46,7 +49,7 @@
  */\r
 \r
 #ifndef _SYSTEM_LPC55S69_cm33_core0_H_\r
-#define _SYSTEM_LPC55S69_cm33_core0_H_           /**< Symbol preventing repeated inclusion */\r
+#define _SYSTEM_LPC55S69_cm33_core0_H_ /**< Symbol preventing repeated inclusion */\r
 \r
 #ifdef __cplusplus\r
 extern "C" {\r
@@ -54,13 +57,12 @@ extern "C" {
 \r
 #include <stdint.h>\r
 \r
-#define DEFAULT_SYSTEM_CLOCK           12000000u           /* Default System clock value */\r
-#define CLK_RTC_32K_CLK                   32768u           /* RTC oscillator 32 kHz output (32k_clk */\r
-#define CLK_FRO_12MHZ                  12000000u           /* FRO 12 MHz (fro_12m) */\r
-#define CLK_FRO_48MHZ                  48000000u           /* FRO 48 MHz (fro_48m) */\r
-#define CLK_FRO_96MHZ                  96000000u           /* FRO 96 MHz (fro_96m) */\r
-#define CLK_CLK_IN                     16000000u           /* Default CLK_IN pin clock */\r
-\r
+#define DEFAULT_SYSTEM_CLOCK 12000000u /* Default System clock value */\r
+#define CLK_RTC_32K_CLK 32768u         /* RTC oscillator 32 kHz output (32k_clk */\r
+#define CLK_FRO_12MHZ 12000000u        /* FRO 12 MHz (fro_12m) */\r
+#define CLK_FRO_48MHZ 48000000u        /* FRO 48 MHz (fro_48m) */\r
+#define CLK_FRO_96MHZ 96000000u        /* FRO 96 MHz (fro_96m) */\r
+#define CLK_CLK_IN 16000000u           /* Default CLK_IN pin clock */\r
 \r
 /**\r
  * @brief System clock frequency (core clock)\r
@@ -80,7 +82,7 @@ extern uint32_t SystemCoreClock;
  * microcontroller device. For systems with variable clock speed it also updates\r
  * the variable SystemCoreClock. SystemInit is called from startup_device file.\r
  */\r
-void SystemInit (void);\r
+void SystemInit(void);\r
 \r
 /**\r
  * @brief Updates the SystemCoreClock variable.\r
@@ -89,7 +91,7 @@ void SystemInit (void);
  * execution. SystemCoreClockUpdate() evaluates the clock register settings and calculates\r
  * the current core clock.\r
  */\r
-void SystemCoreClockUpdate (void);\r
+void SystemCoreClockUpdate(void);\r
 \r
 /**\r
  * @brief SystemInit function hook.\r
@@ -101,10 +103,10 @@ void SystemCoreClockUpdate (void);
  * NOTE: No global r/w variables can be used in this hook function because the\r
  * initialization of these variables happens after this function.\r
  */\r
-void SystemInitHook (void);\r
+void SystemInitHook(void);\r
 \r
 #ifdef __cplusplus\r
 }\r
 #endif\r
 \r
-#endif  /* _SYSTEM_LPC55S69_cm33_core0_H_ */\r
+#endif /* _SYSTEM_LPC55S69_cm33_core0_H_ */\r
index 675319eba4fdf4a10157229974ee968185b4f407..db655a7121e7230e4d607797ba7e4461d8554a34 100644 (file)
@@ -1,11 +1,10 @@
 /*\r
- * Copyright (c) 2017 - 2018 , NXP\r
+ * Copyright 2017 - 2019 , NXP\r
  * All rights reserved.\r
  *\r
  * SPDX-License-Identifier: BSD-3-Clause\r
  */\r
 \r
-#include "fsl_common.h"\r
 #include "fsl_clock.h"\r
 #include "fsl_power.h"\r
 /*******************************************************************************\r
 !!! If required these #defines can be moved to chip library file\r
 ----------------------------------------------------------------------------*/\r
 \r
-#define PLL_SSCG1_MDEC_VAL_P (10U)                                  /* MDEC is in bits  16 downto 0 */\r
-#define PLL_SSCG1_MDEC_VAL_M (0x3FFFC00ULL << PLL_SSCG1_MDEC_VAL_P) /* NDEC is in bits  9 downto 0 */\r
-#define PLL_NDEC_VAL_P (0U)                                         /* NDEC is in bits  9:0 */\r
+#define PLL_SSCG1_MDEC_VAL_P (10U) /* MDEC is in bits  25 downto 10 */\r
+#define PLL_SSCG1_MDEC_VAL_M (0xFFFFULL << PLL_SSCG1_MDEC_VAL_P)\r
+#define PLL_NDEC_VAL_P (0U) /* NDEC is in bits  9:0 */\r
 #define PLL_NDEC_VAL_M (0xFFUL << PLL_NDEC_VAL_P)\r
 #define PLL_PDEC_VAL_P (0U) /*!<  PDEC is in bits 6:0 */\r
 #define PLL_PDEC_VAL_M (0x1FUL << PLL_PDEC_VAL_P)\r
 \r
 #define PLL_MIN_CCO_FREQ_MHZ (275000000U)\r
 #define PLL_MAX_CCO_FREQ_MHZ (550000000U)\r
-#define PLL_LOWER_IN_LIMIT (2000U) /*!<  Minimum PLL input rate */\r
+#define PLL_LOWER_IN_LIMIT (2000U)       /*!<  Minimum PLL input rate */\r
 #define PLL_HIGHER_IN_LIMIT (150000000U) /*!<  Maximum PLL input rate */\r
 #define PLL_MIN_IN_SSMODE (3000000U)\r
-#define PLL_MAX_IN_SSMODE (100000000U) /*!<  Not find the value in UM, Just use the maximum frequency which device support */\r
+#define PLL_MAX_IN_SSMODE \\r
+    (100000000U) /*!<  Not find the value in UM, Just use the maximum frequency which device support */\r
 \r
 /* PLL NDEC reg */\r
 #define PLL_NDEC_VAL_SET(value) (((unsigned long)(value) << PLL_NDEC_VAL_P) & PLL_NDEC_VAL_M)\r
 /* PLL PDEC reg */\r
 #define PLL_PDEC_VAL_SET(value) (((unsigned long)(value) << PLL_PDEC_VAL_P) & PLL_PDEC_VAL_M)\r
-/* SSCG control0 */\r
-#define PLL_SSCG1_MDEC_VAL_SET(value) (((unsigned long)(value) << PLL_SSCG1_MDEC_VAL_P) & PLL_SSCG1_MDEC_VAL_M)\r
+/* SSCG control1 */\r
+#define PLL_SSCG1_MDEC_VAL_SET(value) (((uint64_t)(value) << PLL_SSCG1_MDEC_VAL_P) & PLL_SSCG1_MDEC_VAL_M)\r
 \r
 /* PLL0 SSCG control1 */\r
 #define PLL0_SSCG_MD_FRACT_P 0U\r
@@ -63,8 +63,9 @@ static uint32_t s_Pll1_Freq;
 /** External clock rate on the CLKIN pin in Hz. If not used,\r
     set this to 0. Otherwise, set it to the exact rate in Hz this pin is\r
     being driven at. */\r
-static uint32_t s_Ext_Clk_Freq = 16000000U;\r
-static uint32_t s_I2S_Mclk_Freq = 0U;\r
+static uint32_t s_Ext_Clk_Freq   = 16000000U;\r
+static uint32_t s_I2S_Mclk_Freq  = 0U;\r
+static uint32_t s_PLU_ClkIn_Freq = 0U;\r
 \r
 /*******************************************************************************\r
  * Variables\r
@@ -86,8 +87,7 @@ static float findPll0MMult(void);
 /* Get the greatest common divisor */\r
 static uint32_t FindGreatestCommonDivisor(uint32_t m, uint32_t n);\r
 /* Set PLL output based on desired output rate */\r
-static pll_error_t CLOCK_GetPll0Config(\r
-    uint32_t finHz, uint32_t foutHz, pll_setup_t *pSetup, bool useSS);\r
+static pll_error_t CLOCK_GetPll0Config(uint32_t finHz, uint32_t foutHz, pll_setup_t *pSetup, bool useSS);\r
 /* Update local PLL rate variable */\r
 static void CLOCK_GetPLL0OutFromSetupUpdate(pll_setup_t *pSetup);\r
 \r
@@ -106,21 +106,22 @@ void CLOCK_AttachClk(clock_attach_id_t connection)
     uint8_t mux;\r
     uint8_t sel;\r
     uint16_t item;\r
+    uint32_t tmp32 = (uint32_t)connection;\r
     uint32_t i;\r
     volatile uint32_t *pClkSel;\r
 \r
     pClkSel = &(SYSCON->SYSTICKCLKSELX[0]);\r
 \r
-    if (connection != kNONE_to_NONE)\r
+    if (kNONE_to_NONE != connection)\r
     {\r
         for (i = 0U; i < 2U; i++)\r
         {\r
-            if (connection == 0U)\r
+            if (tmp32 == 0U)\r
             {\r
                 break;\r
             }\r
-            item = (uint16_t)GET_ID_ITEM(connection);\r
-            if (item)\r
+            item = (uint16_t)GET_ID_ITEM(tmp32);\r
+            if (item != 0U)\r
             {\r
                 mux = GET_ID_ITEM_MUX(item);\r
                 sel = GET_ID_ITEM_SEL(item);\r
@@ -133,7 +134,7 @@ void CLOCK_AttachClk(clock_attach_id_t connection)
                     pClkSel[mux] = sel;\r
                 }\r
             }\r
-            connection = GET_ID_NEXT_ITEM(connection); /* pick up next descriptor */\r
+            tmp32 = GET_ID_NEXT_ITEM(tmp32); /* pick up next descriptor */\r
         }\r
     }\r
 }\r
@@ -150,36 +151,37 @@ clock_attach_id_t CLOCK_GetClockAttachId(clock_attach_id_t attachId)
 {\r
     uint8_t mux;\r
     uint8_t actualSel;\r
+    uint32_t tmp32 = (uint32_t)attachId;\r
     uint32_t i;\r
     uint32_t actualAttachId = 0U;\r
-    uint32_t selector = GET_ID_SELECTOR(attachId);\r
+    uint32_t selector       = GET_ID_SELECTOR(tmp32);\r
     volatile uint32_t *pClkSel;\r
 \r
     pClkSel = &(SYSCON->SYSTICKCLKSELX[0]);\r
 \r
-    if (attachId == kNONE_to_NONE)\r
+    if (kNONE_to_NONE == attachId)\r
     {\r
         return kNONE_to_NONE;\r
     }\r
 \r
     for (i = 0U; i < 2U; i++)\r
     {\r
-        mux = GET_ID_ITEM_MUX(attachId);\r
-        if (attachId)\r
+        mux = GET_ID_ITEM_MUX(tmp32);\r
+        if (tmp32 != 0UL)\r
         {\r
             if (mux == CM_RTCOSC32KCLKSEL)\r
             {\r
-                actualSel = PMC->RTCOSC32K;\r
+                actualSel = (uint8_t)(PMC->RTCOSC32K);\r
             }\r
             else\r
             {\r
-                actualSel = pClkSel[mux];\r
+                actualSel = (uint8_t)(pClkSel[mux]);\r
             }\r
 \r
             /* Consider the combination of two registers */\r
             actualAttachId |= CLK_ATTACH_ID(mux, actualSel, i);\r
         }\r
-        attachId = GET_ID_NEXT_ITEM(attachId); /*!<  pick up next descriptor */\r
+        tmp32 = GET_ID_NEXT_ITEM(tmp32); /*!<  pick up next descriptor */\r
     }\r
 \r
     actualAttachId |= selector;\r
@@ -202,15 +204,15 @@ void CLOCK_SetClkDiv(clock_div_name_t div_name, uint32_t divided_by_value, bool
     pClkDiv = &(SYSCON->SYSTICKCLKDIV0);\r
     if (reset)\r
     {\r
-        pClkDiv[div_name] = 1U << 29U;\r
+        pClkDiv[(uint8_t)div_name] = 1UL << 29U;\r
     }\r
     if (divided_by_value == 0U) /*!<  halt */\r
     {\r
-        pClkDiv[div_name] = 1U << 30U;\r
+        pClkDiv[(uint8_t)div_name] = 1UL << 30U;\r
     }\r
     else\r
     {\r
-        pClkDiv[div_name] = (divided_by_value - 1U);\r
+        pClkDiv[(uint8_t)div_name] = (divided_by_value - 1U);\r
     }\r
 }\r
 \r
@@ -235,7 +237,7 @@ void CLOCK_SetRtc1hzClkDiv(uint32_t divided_by_value)
 {\r
     if (divided_by_value == 0U) /*!<  halt */\r
     {\r
-        PMC->RTCOSC32K |= (1U << PMC_RTCOSC32K_CLK1HZDIVHALT_SHIFT);\r
+        PMC->RTCOSC32K |= (1UL << PMC_RTCOSC32K_CLK1HZDIVHALT_SHIFT);\r
     }\r
     else\r
     {\r
@@ -259,7 +261,7 @@ status_t CLOCK_SetupFROClocking(uint32_t iFreq)
         return kStatus_Fail;\r
     }\r
     /* Enable Analog Control module */\r
-    SYSCON->PRESETCTRLCLR[2] = (1U << SYSCON_PRESETCTRL2_ANALOG_CTRL_RST_SHIFT);\r
+    SYSCON->PRESETCTRLCLR[2] = (1UL << SYSCON_PRESETCTRL2_ANALOG_CTRL_RST_SHIFT);\r
     SYSCON->AHBCLKCTRLSET[2] = SYSCON_AHBCLKCTRL2_ANALOG_CTRL_MASK;\r
     /* Power up the FRO192M */\r
     POWER_DisablePD(kPDRUNCFG_PD_FRO192M);\r
@@ -268,42 +270,110 @@ status_t CLOCK_SetupFROClocking(uint32_t iFreq)
     {\r
         ANACTRL->FRO192M_CTRL |= ANACTRL_FRO192M_CTRL_ENA_96MHZCLK(1);\r
     }\r
+    /* always enable\r
     else if (iFreq == 48000000U)\r
     {\r
         ANACTRL->FRO192M_CTRL |= ANACTRL_FRO192M_CTRL_ENA_48MHZCLK(1);\r
-    }\r
+    }*/\r
     else\r
     {\r
         ANACTRL->FRO192M_CTRL |= ANACTRL_FRO192M_CTRL_ENA_12MHZCLK(1);\r
     }\r
-    return 0U;\r
+    return kStatus_Success;\r
 }\r
 \r
 /* Set the FLASH wait states for the passed frequency */\r
 /**\r
- * brief       Set the flash wait states for the input freuqency.\r
- * param       iFreq   : Input frequency\r
- * return      Nothing\r
+ * brief    Set the flash wait states for the input freuqency.\r
+ * param    iFreq: Input frequency\r
+ * return    Nothing\r
  */\r
 void CLOCK_SetFLASHAccessCyclesForFreq(uint32_t iFreq)\r
 {\r
-    uint32_t num_wait_states;\r
-    float f_num_wait_states = 0.00000009 * ((float)iFreq);\r
-    /* Rational : timing is closed at 100MHz+10% tolerance, hence the Â¡Â®9¡¯ in the formula above */\r
-    num_wait_states = (uint32_t)f_num_wait_states;\r
+    uint32_t num_wait_states; /* Flash Controller & FMC internal number of Wait States (minus 1) */\r
+\r
+    if (iFreq <= 11000000UL)\r
+    {\r
+        /* [0 - 11 MHz] */\r
+        num_wait_states = 0UL;\r
+    }\r
+    else if (iFreq <= 22000000UL)\r
+    {\r
+        /* [11 MHz - 22 MHz] */\r
+        num_wait_states = 1UL;\r
+    }\r
+    else if (iFreq <= 33000000UL)\r
+    {\r
+        /* [22 MHz - 33 MHz] */\r
+        num_wait_states = 2UL;\r
+    }\r
+    else if (iFreq <= 44000000UL)\r
+    {\r
+        /* [33 MHz - 44 MHz] */\r
+        num_wait_states = 3UL;\r
+    }\r
+    else if (iFreq <= 55000000UL)\r
+    {\r
+        /* [44 MHz - 55 MHz] */\r
+        num_wait_states = 4UL;\r
+    }\r
+    else if (iFreq <= 66000000UL)\r
+    {\r
+        /* [55 MHz - 662 MHz] */\r
+        num_wait_states = 5UL;\r
+    }\r
+    else if (iFreq <= 77000000UL)\r
+    {\r
+        /* [66 MHz - 77 MHz] */\r
+        num_wait_states = 6UL;\r
+    }\r
+    else if (iFreq <= 88000000UL)\r
+    {\r
+        /* [77 MHz - 88 MHz] */\r
+        num_wait_states = 7UL;\r
+    }\r
+    else if (iFreq <= 100000000UL)\r
+    {\r
+        /* [88 MHz - 100 MHz] */\r
+        num_wait_states = 8UL;\r
+    }\r
+    else if (iFreq <= 115000000UL)\r
+    {\r
+        /* [100 MHz - 115 MHz] */\r
+        num_wait_states = 9UL;\r
+    }\r
+    else if (iFreq <= 130000000UL)\r
+    {\r
+        /* [115 MHz - 130 MHz] */\r
+        num_wait_states = 10UL;\r
+    }\r
+    else if (iFreq <= 150000000UL)\r
+    {\r
+        /* [130 MHz - 150 MHz] */\r
+        num_wait_states = 11UL;\r
+    }\r
+    else\r
+    {\r
+        /* Above 150 MHz */\r
+        num_wait_states = 12UL;\r
+    }\r
+\r
+    FLASH->INT_CLR_STATUS = 0x1FUL; /* Clear all status flags */\r
 \r
-    /*\r
-     * It is guaranteed by design that "num_wait_states = 8"\r
-     * will fit all frequencies (below and including) 100 MHz.\r
-     */\r
-    if (num_wait_states >= 9)\r
+    FLASH->DATAW[0] = (FLASH->DATAW[0] & 0xFFFFFFF0UL) |\r
+                      (num_wait_states & (SYSCON_FMCCR_FLASHTIM_MASK >> SYSCON_FMCCR_FLASHTIM_SHIFT));\r
+\r
+    FLASH->CMD = 0x2; /* CMD_SET_READ_MODE */\r
+\r
+    /* Wait until the cmd is completed (without error) */\r
+    while (0UL == (FLASH->INT_STATUS & FLASH_INT_STATUS_DONE_MASK))\r
     {\r
-        num_wait_states = 8;\r
+        ;\r
     }\r
 \r
-    /* Don't alter other bits */\r
-    SYSCON->FMCCR = (SYSCON->FMCCR & ~SYSCON_FMCCR_FMCTIM_MASK) |\r
-                    ((num_wait_states  << SYSCON_FMCCR_FMCTIM_SHIFT) & SYSCON_FMCCR_FMCTIM_MASK);\r
+    /* Adjust FMC waiting time cycles (num_wait_states) */\r
+    SYSCON->FMCCR = (SYSCON->FMCCR & ~SYSCON_FMCCR_FLASHTIM_MASK) |\r
+                    ((num_wait_states << SYSCON_FMCCR_FLASHTIM_SHIFT) & SYSCON_FMCCR_FLASHTIM_MASK);\r
 }\r
 \r
 /* Set EXT OSC Clk */\r
@@ -325,7 +395,7 @@ status_t CLOCK_SetupExtClocking(uint32_t iFreq)
     SYSCON->CLOCK_CTRL |= SYSCON_CLOCK_CTRL_CLKIN_ENA_MASK;\r
 \r
     s_Ext_Clk_Freq = iFreq;\r
-    return 0U;\r
+    return kStatus_Success;\r
 }\r
 \r
 /* Set I2S MCLK Clk */\r
@@ -337,7 +407,19 @@ status_t CLOCK_SetupExtClocking(uint32_t iFreq)
 status_t CLOCK_SetupI2SMClkClocking(uint32_t iFreq)\r
 {\r
     s_I2S_Mclk_Freq = iFreq;\r
-    return 0U;\r
+    return kStatus_Success;\r
+}\r
+\r
+/* Set PLU CLKIN Clk */\r
+/**\r
+ * brief   Initialize the PLU CLKIN clock to given frequency.\r
+ * param   iFreq   : Desired frequency (must be equal to exact rate in Hz)\r
+ * return  returns success or fail status.\r
+ */\r
+status_t CLOCK_SetupPLUClkInClocking(uint32_t iFreq)\r
+{\r
+    s_PLU_ClkIn_Freq = iFreq;\r
+    return kStatus_Success;\r
 }\r
 \r
 /* Get CLOCK OUT Clk */\r
@@ -383,6 +465,7 @@ uint32_t CLOCK_GetClockOutClkFreq(void)
             break;\r
 \r
         default:\r
+            assert(false);\r
             break;\r
     }\r
     return freq / ((SYSCON->CLKOUTDIV & 0xffU) + 1U);\r
@@ -412,6 +495,7 @@ uint32_t CLOCK_GetAdcClkFreq(void)
             break;\r
 \r
         default:\r
+            assert(false);\r
             break;\r
     }\r
 \r
@@ -445,6 +529,7 @@ uint32_t CLOCK_GetUsb0ClkFreq(void)
             break;\r
 \r
         default:\r
+            assert(false);\r
             break;\r
     }\r
 \r
@@ -457,7 +542,7 @@ uint32_t CLOCK_GetUsb0ClkFreq(void)
  */\r
 uint32_t CLOCK_GetUsb1ClkFreq(void)\r
 {\r
-    return (ANACTRL->XO32M_CTRL & ANACTRL_XO32M_CTRL_ENABLE_PLL_USB_OUT_MASK) ? s_Ext_Clk_Freq : 0U;\r
+    return ((ANACTRL->XO32M_CTRL & ANACTRL_XO32M_CTRL_ENABLE_PLL_USB_OUT_MASK) != 0UL) ? s_Ext_Clk_Freq : 0U;\r
 }\r
 \r
 /* Get MCLK Clk */\r
@@ -481,6 +566,7 @@ uint32_t CLOCK_GetMclkClkFreq(void)
             break;\r
 \r
         default:\r
+            assert(false);\r
             break;\r
     }\r
 \r
@@ -517,6 +603,7 @@ uint32_t CLOCK_GetSctClkFreq(void)
             break;\r
 \r
         default:\r
+            assert(false);\r
             break;\r
     }\r
 \r
@@ -549,6 +636,7 @@ uint32_t CLOCK_GetSdioClkFreq(void)
             freq = 0U;\r
             break;\r
         default:\r
+            assert(false);\r
             break;\r
     }\r
 \r
@@ -561,9 +649,7 @@ uint32_t CLOCK_GetSdioClkFreq(void)
  */\r
 uint32_t CLOCK_GetFro12MFreq(void)\r
 {\r
-    return (PMC->PDRUNCFG0 & PMC_PDRUNCFG0_PDEN_FRO192M_MASK) ?\r
-               0 :\r
-               (ANACTRL->FRO192M_CTRL & ANACTRL_FRO192M_CTRL_ENA_12MHZCLK_MASK) ? 12000000U : 0U;\r
+    return ((ANACTRL->FRO192M_CTRL & ANACTRL_FRO192M_CTRL_ENA_12MHZCLK_MASK) != 0UL) ? 12000000U : 0U;\r
 }\r
 \r
 /* Get FRO 1M Clk */\r
@@ -572,7 +658,7 @@ uint32_t CLOCK_GetFro12MFreq(void)
  */\r
 uint32_t CLOCK_GetFro1MFreq(void)\r
 {\r
-    return (SYSCON->CLOCK_CTRL & SYSCON_CLOCK_CTRL_FRO1MHZ_CLK_ENA_MASK) ? 1000000U : 0U;\r
+    return ((SYSCON->CLOCK_CTRL & SYSCON_CLOCK_CTRL_FRO1MHZ_CLK_ENA_MASK) != 0UL) ? 1000000U : 0U;\r
 }\r
 \r
 /* Get EXT OSC Clk */\r
@@ -581,7 +667,7 @@ uint32_t CLOCK_GetFro1MFreq(void)
  */\r
 uint32_t CLOCK_GetExtClkFreq(void)\r
 {\r
-    return (ANACTRL->XO32M_CTRL & ANACTRL_XO32M_CTRL_ENABLE_SYSTEM_CLK_OUT_MASK) ? s_Ext_Clk_Freq : 0U;\r
+    return ((ANACTRL->XO32M_CTRL & ANACTRL_XO32M_CTRL_ENABLE_SYSTEM_CLK_OUT_MASK) != 0UL) ? s_Ext_Clk_Freq : 0U;\r
 }\r
 \r
 /* Get WATCH DOG Clk */\r
@@ -599,9 +685,7 @@ uint32_t CLOCK_GetWdtClkFreq(void)
  */\r
 uint32_t CLOCK_GetFroHfFreq(void)\r
 {\r
-    return (PMC->PDRUNCFG0 & PMC_PDRUNCFG0_PDEN_FRO192M_MASK) ?\r
-               0 :\r
-               (ANACTRL->FRO192M_CTRL & ANACTRL_FRO192M_CTRL_ENA_96MHZCLK_MASK) ? 96000000U : 0U;\r
+    return ((ANACTRL->FRO192M_CTRL & ANACTRL_FRO192M_CTRL_ENA_96MHZCLK_MASK) != 0UL) ? 96000000U : 0U;\r
 }\r
 \r
 /* Get SYSTEM PLL Clk */\r
@@ -628,9 +712,11 @@ uint32_t CLOCK_GetPll1OutFreq(void)
  */\r
 uint32_t CLOCK_GetOsc32KFreq(void)\r
 {\r
-    return ((~(PMC->PDRUNCFG0 & PMC_PDRUNCFG0_PDEN_FRO32K_MASK)) && (PMC->RTCOSC32K & PMC_RTCOSC32K_SEL(0))) ?\r
+    return ((0UL == (PMC->PDRUNCFG0 & PMC_PDRUNCFG0_PDEN_FRO32K_MASK)) &&\r
+            (0UL == (PMC->RTCOSC32K & PMC_RTCOSC32K_SEL_MASK))) ?\r
                CLK_RTC_32K_CLK :\r
-               ((~(PMC->PDRUNCFG0 & PMC_PDRUNCFG0_PDEN_XTAL32K_MASK)) && (PMC->RTCOSC32K & PMC_RTCOSC32K_SEL(1))) ?\r
+               ((0UL == (PMC->PDRUNCFG0 & PMC_PDRUNCFG0_PDEN_XTAL32K_MASK)) &&\r
+                (0UL != (PMC->RTCOSC32K & PMC_RTCOSC32K_SEL_MASK))) ?\r
                CLK_RTC_32K_CLK :\r
                0U;\r
 }\r
@@ -664,6 +750,7 @@ uint32_t CLOCK_GetCoreSysClkFreq(void)
             }\r
             else\r
             {\r
+                /* Add comments to prevent the case of MISRA C-2012 rule 15.7. */\r
             }\r
             break;\r
         case 1U:\r
@@ -678,6 +765,7 @@ uint32_t CLOCK_GetCoreSysClkFreq(void)
             break;\r
 \r
         default:\r
+            freq = 0U;\r
             break;\r
     }\r
 \r
@@ -693,6 +781,15 @@ uint32_t CLOCK_GetI2SMClkFreq(void)
     return s_I2S_Mclk_Freq;\r
 }\r
 \r
+/* Get PLU CLKIN Clk */\r
+/*! brief  Return Frequency of PLU CLKIN Clock\r
+ *  return Frequency of PLU CLKIN Clock\r
+ */\r
+uint32_t CLOCK_GetPLUClkInFreq(void)\r
+{\r
+    return s_PLU_ClkIn_Freq;\r
+}\r
+\r
 /* Get FLEXCOMM input clock */\r
 /*! brief  Return Frequency of flexcomm input clock\r
  *  param  id     : flexcomm instance id\r
@@ -730,6 +827,7 @@ uint32_t CLOCK_GetFlexCommInputClock(uint32_t id)
             break;\r
 \r
         default:\r
+            assert(false);\r
             break;\r
     }\r
 \r
@@ -740,11 +838,11 @@ uint32_t CLOCK_GetFlexCommInputClock(uint32_t id)
 uint32_t CLOCK_GetFlexCommClkFreq(uint32_t id)\r
 {\r
     uint32_t freq = 0U;\r
+    uint32_t temp;\r
 \r
     freq = CLOCK_GetFlexCommInputClock(id);\r
-    return freq / (1 +\r
-                   (SYSCON->FLEXFRGXCTRL[id] & SYSCON_FLEXFRG0CTRL_MULT_MASK) /\r
-                       ((SYSCON->FLEXFRGXCTRL[id] & SYSCON_FLEXFRG0CTRL_DIV_MASK) + 1U));\r
+    temp = SYSCON->FLEXFRGXCTRL[id] & SYSCON_FLEXFRG0CTRL_MULT_MASK;\r
+    return freq / (1U + (temp) / ((SYSCON->FLEXFRGXCTRL[id] & SYSCON_FLEXFRG0CTRL_DIV_MASK) + 1U));\r
 }\r
 \r
 /* Get HS_LPSI Clk */\r
@@ -777,6 +875,7 @@ uint32_t CLOCK_GetHsLspiClkFreq(void)
             break;\r
 \r
         default:\r
+            assert(false);\r
             break;\r
     }\r
 \r
@@ -816,6 +915,7 @@ uint32_t CLOCK_GetCTimerClkFreq(uint32_t id)
             break;\r
 \r
         default:\r
+            assert(false);\r
             break;\r
     }\r
 \r
@@ -830,7 +930,7 @@ uint32_t CLOCK_GetSystickClkFreq(uint32_t id)
 {\r
     volatile uint32_t *pSystickClkDiv;\r
     pSystickClkDiv = &(SYSCON->SYSTICKCLKDIV0);\r
-    uint32_t freq = 0U;\r
+    uint32_t freq  = 0U;\r
 \r
     switch (SYSCON->SYSTICKCLKSELX[id])\r
     {\r
@@ -848,6 +948,7 @@ uint32_t CLOCK_GetSystickClkFreq(uint32_t id)
             break;\r
 \r
         default:\r
+            freq = 0U;\r
             break;\r
     }\r
 \r
@@ -867,16 +968,16 @@ uint32_t CLOCK_SetFlexCommClock(uint32_t id, uint32_t freq)
     uint32_t input = CLOCK_GetFlexCommClkFreq(id);\r
     uint32_t mul;\r
 \r
-    if ((freq > 48000000) || (freq > input) || (input / freq >= 2))\r
+    if ((freq > 48000000UL) || (freq > input) || (input / freq >= 2UL))\r
     {\r
         /* FRG output frequency should be less than equal to 48MHz */\r
-        return 0;\r
+        return 0UL;\r
     }\r
     else\r
     {\r
-        mul = ((uint64_t)(input - freq) * 256) / ((uint64_t)freq);\r
+        mul                      = (uint32_t)((((uint64_t)input - freq) * 256ULL) / ((uint64_t)freq));\r
         SYSCON->FLEXFRGXCTRL[id] = (mul << 8U) | 0xFFU;\r
-        return 1;\r
+        return 1UL;\r
     }\r
 }\r
 \r
@@ -898,15 +999,6 @@ uint32_t CLOCK_GetFreq(clock_name_t clockName)
         case kCLOCK_ClockOut:\r
             freq = CLOCK_GetClockOutClkFreq();\r
             break;\r
-        case kCLOCK_Adc:\r
-            freq = CLOCK_GetAdcClkFreq();\r
-            break;\r
-        case kCLOCK_Usb0:\r
-            freq = CLOCK_GetUsb0ClkFreq();\r
-            break;\r
-        case kCLOCK_Usb1:\r
-            freq = CLOCK_GetUsb1ClkFreq();\r
-            break;\r
         case kCLOCK_Pll1Out:\r
             freq = CLOCK_GetPll1OutFreq();\r
             break;\r
@@ -925,66 +1017,9 @@ uint32_t CLOCK_GetFreq(clock_name_t clockName)
         case kCLOCK_Pll0Out:\r
             freq = CLOCK_GetPll0OutFreq();\r
             break;\r
-        case kCLOCK_WdtClk:\r
-            freq = CLOCK_GetWdtClkFreq();\r
-            break;\r
-        case kCLOCK_Sct:\r
-            freq = CLOCK_GetSctClkFreq();\r
-            break;\r
-        case kCLOCK_SDio:\r
-            freq = CLOCK_GetSdioClkFreq();\r
-            break;\r
         case kCLOCK_FlexI2S:\r
             freq = CLOCK_GetI2SMClkFreq();\r
             break;\r
-        case kCLOCK_Flexcomm0:\r
-            freq = CLOCK_GetFlexCommClkFreq(0U);\r
-            break;\r
-        case kCLOCK_Flexcomm1:\r
-            freq = CLOCK_GetFlexCommClkFreq(1U);\r
-            break;\r
-        case kCLOCK_Flexcomm2:\r
-            freq = CLOCK_GetFlexCommClkFreq(2U);\r
-            break;\r
-        case kCLOCK_Flexcomm3:\r
-            freq = CLOCK_GetFlexCommClkFreq(3U);\r
-            break;\r
-        case kCLOCK_Flexcomm4:\r
-            freq = CLOCK_GetFlexCommClkFreq(4U);\r
-            break;\r
-        case kCLOCK_Flexcomm5:\r
-            freq = CLOCK_GetFlexCommClkFreq(5U);\r
-            break;\r
-        case kCLOCK_Flexcomm6:\r
-            freq = CLOCK_GetFlexCommClkFreq(6U);\r
-            break;\r
-        case kCLOCK_Flexcomm7:\r
-            freq = CLOCK_GetFlexCommClkFreq(7U);\r
-            break;\r
-        case kCLOCK_HsLspi:\r
-            freq = CLOCK_GetHsLspiClkFreq();\r
-            break;\r
-        case kCLOCK_CTmier0:\r
-            freq = CLOCK_GetCTimerClkFreq(0U);\r
-            break;\r
-        case kCLOCK_CTmier1:\r
-            freq = CLOCK_GetCTimerClkFreq(1U);\r
-            break;\r
-        case kCLOCK_CTmier2:\r
-            freq = CLOCK_GetCTimerClkFreq(2U);\r
-            break;\r
-        case kCLOCK_CTmier3:\r
-            freq = CLOCK_GetCTimerClkFreq(3U);\r
-            break;\r
-        case kCLOCK_CTmier4:\r
-            freq = CLOCK_GetCTimerClkFreq(4U);\r
-            break;\r
-        case kCLOCK_Systick0:\r
-            freq = CLOCK_GetSystickClkFreq(0U);\r
-            break;\r
-        case kCLOCK_Systick1:\r
-            freq = CLOCK_GetSystickClkFreq(1U);\r
-            break;\r
         default:\r
             freq = 0U;\r
             break;\r
@@ -997,7 +1032,7 @@ static void pllFindSel(uint32_t M, uint32_t *pSelP, uint32_t *pSelI, uint32_t *p
 {\r
     uint32_t seli, selp;\r
     /* bandwidth: compute selP from Multiplier */\r
-    if (SYSCON->PLL0SSCG1 & SYSCON_PLL0SSCG1_MDIV_EXT_MASK)\r
+    if ((SYSCON->PLL0SSCG1 & SYSCON_PLL0SSCG1_MDIV_EXT_MASK) != 0UL) /* normal mode */\r
     {\r
         selp = (M >> 2U) + 1U;\r
         if (selp >= 31U)\r
@@ -1006,34 +1041,22 @@ static void pllFindSel(uint32_t M, uint32_t *pSelP, uint32_t *pSelI, uint32_t *p
         }\r
         *pSelP = selp;\r
 \r
-        if (M >= 32768)\r
-        {\r
-            seli = 1;\r
-        }\r
-        else if (M >= 16384)\r
-        {\r
-            seli = 2;\r
-        }\r
-        else if (M >= 4096)\r
+        if (M >= 8000UL)\r
         {\r
-            seli = 4;\r
+            seli = 1UL;\r
         }\r
-        else if (M >= 1002)\r
+        else if (M >= 122UL)\r
         {\r
-            seli = 8;\r
-        }\r
-        else if (M >= 120)\r
-        {\r
-            seli = 4 * ((1024/(M/2 + 9)) + 1);\r
+            seli = (uint32_t)(8000UL / M); /*floor(8000/M) */\r
         }\r
         else\r
         {\r
-            seli = 4 * (M/8 + 1);\r
-        } \r
+            seli = 2UL * ((uint32_t)(M / 4UL)) + 3UL; /* 2*floor(M/4) + 3 */\r
+        }\r
 \r
-        if (seli >= 63)\r
+        if (seli >= 63UL)\r
         {\r
-            seli = 63;\r
+            seli = 63UL;\r
         }\r
         *pSelI = seli;\r
 \r
@@ -1041,6 +1064,7 @@ static void pllFindSel(uint32_t M, uint32_t *pSelP, uint32_t *pSelI, uint32_t *p
     }\r
     else\r
     {\r
+        /* Note: If the spread spectrum mode, choose N to ensure 3 MHz < Fin/N < 5 MHz */\r
         *pSelP = 3U;\r
         *pSelI = 4U;\r
         *pSelR = 4U;\r
@@ -1050,15 +1074,15 @@ static void pllFindSel(uint32_t M, uint32_t *pSelP, uint32_t *pSelI, uint32_t *p
 /* Get predivider (N) from PLL0 NDEC setting */\r
 static uint32_t findPll0PreDiv(void)\r
 {\r
-    uint32_t preDiv = 1;\r
+    uint32_t preDiv = 1UL;\r
 \r
     /* Direct input is not used? */\r
-    if ((SYSCON->PLL0CTRL & SYSCON_PLL0CTRL_BYPASSPREDIV_MASK) == 0)\r
+    if ((SYSCON->PLL0CTRL & SYSCON_PLL0CTRL_BYPASSPREDIV_MASK) == 0UL)\r
     {\r
         preDiv = SYSCON->PLL0NDEC & SYSCON_PLL0NDEC_NDIV_MASK;\r
-        if (preDiv == 0)\r
+        if (preDiv == 0UL)\r
         {\r
-            preDiv = 1;\r
+            preDiv = 1UL;\r
         }\r
     }\r
     return preDiv;\r
@@ -1067,15 +1091,15 @@ static uint32_t findPll0PreDiv(void)
 /* Get predivider (N) from PLL1 NDEC setting */\r
 static uint32_t findPll1PreDiv(void)\r
 {\r
-    uint32_t preDiv = 1;\r
+    uint32_t preDiv = 1UL;\r
 \r
     /* Direct input is not used? */\r
-    if ((SYSCON->PLL1CTRL & SYSCON_PLL1CTRL_BYPASSPREDIV_MASK) == 0)\r
+    if ((SYSCON->PLL1CTRL & SYSCON_PLL1CTRL_BYPASSPREDIV_MASK) == 0UL)\r
     {\r
         preDiv = SYSCON->PLL1NDEC & SYSCON_PLL1NDEC_NDIV_MASK;\r
-        if (preDiv == 0)\r
+        if (preDiv == 0UL)\r
         {\r
-            preDiv = 1;\r
+            preDiv = 1UL;\r
         }\r
     }\r
     return preDiv;\r
@@ -1084,21 +1108,21 @@ static uint32_t findPll1PreDiv(void)
 /* Get postdivider (P) from PLL0 PDEC setting */\r
 static uint32_t findPll0PostDiv(void)\r
 {\r
-    uint32_t postDiv = 1;\r
+    uint32_t postDiv = 1UL;\r
 \r
-    if ((SYSCON->PLL0CTRL & SYSCON_PLL0CTRL_BYPASSPOSTDIV_MASK) == 0)\r
+    if ((SYSCON->PLL0CTRL & SYSCON_PLL0CTRL_BYPASSPOSTDIV_MASK) == 0UL)\r
     {\r
-        if (SYSCON->PLL0CTRL & SYSCON_PLL0CTRL_BYPASSPOSTDIV2_MASK)\r
+        if ((SYSCON->PLL0CTRL & SYSCON_PLL0CTRL_BYPASSPOSTDIV2_MASK) != 0UL)\r
         {\r
             postDiv = SYSCON->PLL0PDEC & SYSCON_PLL0PDEC_PDIV_MASK;\r
         }\r
         else\r
         {\r
-            postDiv = 2 * (SYSCON->PLL0PDEC & SYSCON_PLL0PDEC_PDIV_MASK);\r
+            postDiv = 2UL * (SYSCON->PLL0PDEC & SYSCON_PLL0PDEC_PDIV_MASK);\r
         }\r
-        if (postDiv == 0)\r
+        if (postDiv == 0UL)\r
         {\r
-            postDiv = 2;\r
+            postDiv = 2UL;\r
         }\r
     }\r
     return postDiv;\r
@@ -1107,28 +1131,30 @@ static uint32_t findPll0PostDiv(void)
 /* Get multiplier (M) from PLL0 SSCG and SEL_EXT settings */\r
 static float findPll0MMult(void)\r
 {\r
-    float mMult = 1;\r
+    float mMult = 1.0F;\r
     float mMult_fract;\r
     uint32_t mMult_int;\r
 \r
-    if (SYSCON->PLL0SSCG1 & SYSCON_PLL0SSCG1_SEL_EXT_MASK)\r
+    if ((SYSCON->PLL0SSCG1 & SYSCON_PLL0SSCG1_SEL_EXT_MASK) != 0UL)\r
     {\r
-        mMult = (SYSCON->PLL0SSCG1 & SYSCON_PLL0SSCG1_MDIV_EXT_MASK) >> SYSCON_PLL0SSCG1_MDIV_EXT_SHIFT;\r
+        mMult =\r
+            (float)(uint32_t)((SYSCON->PLL0SSCG1 & SYSCON_PLL0SSCG1_MDIV_EXT_MASK) >> SYSCON_PLL0SSCG1_MDIV_EXT_SHIFT);\r
     }\r
     else\r
     {\r
-        mMult_int = ((SYSCON->PLL0SSCG1 & SYSCON_PLL0SSCG1_MD_MBS_MASK) << 7U) | ((SYSCON->PLL0SSCG0) >> PLL0_SSCG_MD_INT_P);\r
-        mMult_fract = ((float)((SYSCON->PLL0SSCG0) & PLL0_SSCG_MD_FRACT_M)/(1 << PLL0_SSCG_MD_INT_P));\r
-        mMult = (float)mMult_int + mMult_fract;\r
+        mMult_int   = ((SYSCON->PLL0SSCG1 & SYSCON_PLL0SSCG1_MD_MBS_MASK) << 7U);\r
+        mMult_int   = mMult_int | ((SYSCON->PLL0SSCG0) >> PLL0_SSCG_MD_INT_P);\r
+        mMult_fract = ((float)(uint32_t)((SYSCON->PLL0SSCG0) & PLL0_SSCG_MD_FRACT_M) /\r
+                       (float)(uint32_t)(1UL << PLL0_SSCG_MD_INT_P));\r
+        mMult       = (float)mMult_int + mMult_fract;\r
     }\r
-    if (mMult == 0)\r
+    if (mMult == 0.0F)\r
     {\r
-        mMult = 1;\r
+        mMult = 1.0F;\r
     }\r
     return mMult;\r
 }\r
 \r
-\r
 /* Find greatest common divisor between m and n */\r
 static uint32_t FindGreatestCommonDivisor(uint32_t m, uint32_t n)\r
 {\r
@@ -1137,8 +1163,8 @@ static uint32_t FindGreatestCommonDivisor(uint32_t m, uint32_t n)
     while (n != 0U)\r
     {\r
         tmp = n;\r
-        n = m % n;\r
-        m = tmp;\r
+        n   = m % n;\r
+        m   = tmp;\r
     }\r
 \r
     return m;\r
@@ -1150,8 +1176,7 @@ static uint32_t FindGreatestCommonDivisor(uint32_t m, uint32_t n)
  * frequency. The calculation would cost a few time. So it is not recommaned to use it frequently.\r
  * the "pllctrl", "pllndec", "pllpdec", "pllmdec" would updated in this function.\r
  */\r
-static pll_error_t CLOCK_GetPll0ConfigInternal(\r
-    uint32_t finHz, uint32_t foutHz, pll_setup_t *pSetup, bool useSS)\r
+static pll_error_t CLOCK_GetPll0ConfigInternal(uint32_t finHz, uint32_t foutHz, pll_setup_t *pSetup, bool useSS)\r
 {\r
     uint32_t nDivOutHz, fccoHz;\r
     uint32_t pllPreDivider, pllMultiplier, pllPostDivider;\r
@@ -1159,8 +1184,8 @@ static pll_error_t CLOCK_GetPll0ConfigInternal(
     uint32_t pllSelP, pllSelI, pllSelR, uplimoff;\r
 \r
     /* Baseline parameters (no input or output dividers) */\r
-    pllPreDivider = 1U;  /* 1 implies pre-divider will be disabled */\r
-    pllPostDivider = 1U; /* 1 implies post-divider will be disabled */\r
+    pllPreDivider   = 1U; /* 1 implies pre-divider will be disabled */\r
+    pllPostDivider  = 1U; /* 1 implies post-divider will be disabled */\r
     pllDirectOutput = 1U;\r
 \r
     /* Verify output rate parameter */\r
@@ -1222,7 +1247,7 @@ static pll_error_t CLOCK_GetPll0ConfigInternal(
 \r
         /* Target CCO goes up, PLL output goes down */\r
         /* divide-by-2 divider in the post-divider is always work*/\r
-        fccoHz = foutHz * (pllPostDivider * 2U);\r
+        fccoHz          = foutHz * (pllPostDivider * 2U);\r
         pllDirectOutput = 0U;\r
     }\r
 \r
@@ -1252,7 +1277,7 @@ static pll_error_t CLOCK_GetPll0ConfigInternal(
     }\r
 \r
     /* Determine PLL multipler */\r
-    nDivOutHz = (finHz / pllPreDivider);\r
+    nDivOutHz     = (finHz / pllPreDivider);\r
     pllMultiplier = (fccoHz / nDivOutHz);\r
 \r
     /* Find optimal values for filter */\r
@@ -1269,24 +1294,27 @@ static pll_error_t CLOCK_GetPll0ConfigInternal(
         uplimoff = 0U;\r
 \r
         /* Get encoded value for M (mult) and use manual filter, disable SS mode */\r
-        pSetup->pllsscg[1] = (PLL_SSCG1_MDEC_VAL_SET(pllMultiplier)) | (1U << SYSCON_PLL0SSCG1_SEL_EXT_SHIFT);\r
+        pSetup->pllsscg[1] =\r
+            (uint32_t)((PLL_SSCG1_MDEC_VAL_SET(pllMultiplier)) | (1UL << SYSCON_PLL0SSCG1_SEL_EXT_SHIFT));\r
     }\r
     else\r
     {\r
         uint64_t fc;\r
 \r
         /* Filtering will be handled by SSC */\r
-        pllSelR = pllSelI = pllSelP = 0U;\r
+        pllSelR  = 0U;\r
+        pllSelI  = 0U;\r
+        pllSelP  = 0U;\r
         uplimoff = 1U;\r
 \r
         /* The PLL multiplier will get very close and slightly under the\r
            desired target frequency. A small fractional component can be\r
            added to fine tune the frequency upwards to the target. */\r
-        fc = ((uint64_t)(fccoHz % nDivOutHz) << 25U) / nDivOutHz;\r
+        fc = (((uint64_t)fccoHz % (uint64_t)nDivOutHz) << 25U) / nDivOutHz;\r
 \r
         /* Set multiplier */\r
         pSetup->pllsscg[0] = (uint32_t)(PLL0_SSCG_MD_INT_SET(pllMultiplier) | PLL0_SSCG_MD_FRACT_SET((uint32_t)fc));\r
-        pSetup->pllsscg[1] = PLL0_SSCG_MD_INT_SET(pllMultiplier) >> 32U;\r
+        pSetup->pllsscg[1] = (uint32_t)(PLL0_SSCG_MD_INT_SET(pllMultiplier) >> 32U);\r
     }\r
 \r
     /* Get encoded values for N (prediv) and P (postdiv) */\r
@@ -1294,14 +1322,14 @@ static pll_error_t CLOCK_GetPll0ConfigInternal(
     pSetup->pllpdec = PLL_PDEC_VAL_SET(pllPostDivider);\r
 \r
     /* PLL control */\r
-    pSetup->pllctrl = (pllSelR << SYSCON_PLL0CTRL_SELR_SHIFT) |                   /* Filter coefficient */\r
-                      (pllSelI << SYSCON_PLL0CTRL_SELI_SHIFT) |                   /* Filter coefficient */\r
-                      (pllSelP << SYSCON_PLL0CTRL_SELP_SHIFT) |                   /* Filter coefficient */\r
-                      (0 << SYSCON_PLL0CTRL_BYPASSPLL_SHIFT) |                    /* PLL bypass mode disabled */\r
-                      (uplimoff << SYSCON_PLL0CTRL_LIMUPOFF_SHIFT) |              /* SS/fractional mode disabled */\r
-                      (pllDirectInput << SYSCON_PLL0CTRL_BYPASSPREDIV_SHIFT) |    /* Bypass pre-divider? */\r
-                      (pllDirectOutput << SYSCON_PLL0CTRL_BYPASSPOSTDIV_SHIFT) |  /* Bypass post-divider? */\r
-                      (1 << SYSCON_PLL0CTRL_CLKEN_SHIFT);                         /* Ensure the PLL clock output */\r
+    pSetup->pllctrl = (pllSelR << SYSCON_PLL0CTRL_SELR_SHIFT) |                  /* Filter coefficient */\r
+                      (pllSelI << SYSCON_PLL0CTRL_SELI_SHIFT) |                  /* Filter coefficient */\r
+                      (pllSelP << SYSCON_PLL0CTRL_SELP_SHIFT) |                  /* Filter coefficient */\r
+                      (0UL << SYSCON_PLL0CTRL_BYPASSPLL_SHIFT) |                 /* PLL bypass mode disabled */\r
+                      (uplimoff << SYSCON_PLL0CTRL_LIMUPOFF_SHIFT) |             /* SS/fractional mode disabled */\r
+                      (pllDirectInput << SYSCON_PLL0CTRL_BYPASSPREDIV_SHIFT) |   /* Bypass pre-divider? */\r
+                      (pllDirectOutput << SYSCON_PLL0CTRL_BYPASSPOSTDIV_SHIFT) | /* Bypass post-divider? */\r
+                      (1UL << SYSCON_PLL0CTRL_CLKEN_SHIFT);                      /* Ensure the PLL clock output */\r
 \r
     return kStatus_PLL_Success;\r
 }\r
@@ -1309,17 +1337,16 @@ static pll_error_t CLOCK_GetPll0ConfigInternal(
 #if (defined(CLOCK_USR_CFG_PLL_CONFIG_CACHE_COUNT) && CLOCK_USR_CFG_PLL_CONFIG_CACHE_COUNT)\r
 /* Alloct the static buffer for cache. */\r
 static pll_setup_t s_PllSetupCacheStruct[CLOCK_USR_CFG_PLL_CONFIG_CACHE_COUNT];\r
-static uint32_t s_FinHzCache[CLOCK_USR_CFG_PLL_CONFIG_CACHE_COUNT] = {0};\r
+static uint32_t s_FinHzCache[CLOCK_USR_CFG_PLL_CONFIG_CACHE_COUNT]  = {0};\r
 static uint32_t s_FoutHzCache[CLOCK_USR_CFG_PLL_CONFIG_CACHE_COUNT] = {0};\r
-static bool s_UseSSCache[CLOCK_USR_CFG_PLL_CONFIG_CACHE_COUNT] = {false};\r
-static uint32_t s_PllSetupCacheIdx = 0U;\r
+static bool s_UseSSCache[CLOCK_USR_CFG_PLL_CONFIG_CACHE_COUNT]      = {false};\r
+static uint32_t s_PllSetupCacheIdx                                  = 0U;\r
 #endif /* CLOCK_USR_CFG_PLL_CONFIG_CACHE_COUNT */\r
 \r
 /*\r
  * Calculate the PLL setting values from input clock freq to output freq.\r
  */\r
-static pll_error_t CLOCK_GetPll0Config(\r
-    uint32_t finHz, uint32_t foutHz, pll_setup_t *pSetup, bool useSS)\r
+static pll_error_t CLOCK_GetPll0Config(uint32_t finHz, uint32_t foutHz, pll_setup_t *pSetup, bool useSS)\r
 {\r
     pll_error_t retErr;\r
 #if (defined(CLOCK_USR_CFG_PLL_CONFIG_CACHE_COUNT) && CLOCK_USR_CFG_PLL_CONFIG_CACHE_COUNT)\r
@@ -1330,12 +1357,12 @@ static pll_error_t CLOCK_GetPll0Config(
         if ((finHz == s_FinHzCache[i]) && (foutHz == s_FoutHzCache[i]) && (useSS == s_UseSSCache[i]))\r
         {\r
             /* Hit the target in cache buffer. */\r
-            pSetup->pllctrl = s_PllSetupCacheStruct[i].pllctrl;\r
-            pSetup->pllndec = s_PllSetupCacheStruct[i].pllndec;\r
-            pSetup->pllpdec = s_PllSetupCacheStruct[i].pllpdec;\r
+            pSetup->pllctrl    = s_PllSetupCacheStruct[i].pllctrl;\r
+            pSetup->pllndec    = s_PllSetupCacheStruct[i].pllndec;\r
+            pSetup->pllpdec    = s_PllSetupCacheStruct[i].pllpdec;\r
             pSetup->pllsscg[0] = s_PllSetupCacheStruct[i].pllsscg[0];\r
             pSetup->pllsscg[1] = s_PllSetupCacheStruct[i].pllsscg[1];\r
-            retErr = kStatus_PLL_Success;\r
+            retErr             = kStatus_PLL_Success;\r
             break;\r
         }\r
     }\r
@@ -1350,13 +1377,13 @@ static pll_error_t CLOCK_GetPll0Config(
 \r
 #if (defined(CLOCK_USR_CFG_PLL_CONFIG_CACHE_COUNT) && CLOCK_USR_CFG_PLL_CONFIG_CACHE_COUNT)\r
     /* Cache the most recent calulation result into buffer. */\r
-    s_FinHzCache[s_PllSetupCacheIdx] = finHz;\r
+    s_FinHzCache[s_PllSetupCacheIdx]  = finHz;\r
     s_FoutHzCache[s_PllSetupCacheIdx] = foutHz;\r
-    s_UseSSCache[s_PllSetupCacheIdx] = useSS;\r
+    s_UseSSCache[s_PllSetupCacheIdx]  = useSS;\r
 \r
-    s_PllSetupCacheStruct[s_PllSetupCacheIdx].pllctrl = pSetup->pllctrl;\r
-    s_PllSetupCacheStruct[s_PllSetupCacheIdx].pllndec = pSetup->pllndec;\r
-    s_PllSetupCacheStruct[s_PllSetupCacheIdx].pllpdec = pSetup->pllpdec;\r
+    s_PllSetupCacheStruct[s_PllSetupCacheIdx].pllctrl    = pSetup->pllctrl;\r
+    s_PllSetupCacheStruct[s_PllSetupCacheIdx].pllndec    = pSetup->pllndec;\r
+    s_PllSetupCacheStruct[s_PllSetupCacheIdx].pllpdec    = pSetup->pllpdec;\r
     s_PllSetupCacheStruct[s_PllSetupCacheIdx].pllsscg[0] = pSetup->pllsscg[0];\r
     s_PllSetupCacheStruct[s_PllSetupCacheIdx].pllsscg[1] = pSetup->pllsscg[1];\r
     /* Update the index for next available buffer. */\r
@@ -1374,8 +1401,8 @@ static void CLOCK_GetPLL0OutFromSetupUpdate(pll_setup_t *pSetup)
 \r
 /* Return System PLL input clock rate */\r
 /*! brief    Return  PLL0 input clock rate\r
-*  return    PLL0 input clock rate\r
-*/\r
+ *  return    PLL0 input clock rate\r
+ */\r
 uint32_t CLOCK_GetPLL0InClockRate(void)\r
 {\r
     uint32_t clkRate = 0U;\r
@@ -1439,27 +1466,30 @@ uint32_t CLOCK_GetPLL1InClockRate(void)
 \r
 /* Return PLL0 output clock rate from setup structure */\r
 /*! brief    Return PLL0 output clock rate from setup structure\r
-*  param    pSetup : Pointer to a PLL setup structure\r
-*  return   PLL0 output clock rate the setup structure will generate\r
-*/\r
+ *  param    pSetup : Pointer to a PLL setup structure\r
+ *  return   PLL0 output clock rate the setup structure will generate\r
+ */\r
 uint32_t CLOCK_GetPLL0OutFromSetup(pll_setup_t *pSetup)\r
 {\r
     uint32_t clkRate = 0;\r
     uint32_t prediv, postdiv;\r
-    float workRate = 0;\r
+    float workRate = 0.0F;\r
 \r
     /* Get the input clock frequency of PLL. */\r
     clkRate = CLOCK_GetPLL0InClockRate();\r
 \r
-    if (((SYSCON->PLL0CTRL & SYSCON_PLL0CTRL_BYPASSPLL_MASK) == 0) && (SYSCON->PLL0CTRL & SYSCON_PLL0CTRL_CLKEN_MASK) && ((PMC->PDRUNCFG0 & PMC_PDRUNCFG0_PDEN_PLL0_MASK) == 0) && ((PMC->PDRUNCFG0 & PMC_PDRUNCFG0_PDEN_PLL0_SSCG_MASK) == 0))\r
+    if (((SYSCON->PLL0CTRL & SYSCON_PLL0CTRL_BYPASSPLL_MASK) == 0UL) &&\r
+        ((SYSCON->PLL0CTRL & SYSCON_PLL0CTRL_CLKEN_MASK) != 0UL) &&\r
+        ((PMC->PDRUNCFG0 & PMC_PDRUNCFG0_PDEN_PLL0_MASK) == 0UL) &&\r
+        ((PMC->PDRUNCFG0 & PMC_PDRUNCFG0_PDEN_PLL0_SSCG_MASK) == 0UL))\r
     {\r
-        prediv = findPll0PreDiv();\r
+        prediv  = findPll0PreDiv();\r
         postdiv = findPll0PostDiv();\r
         /* Adjust input clock */\r
         clkRate = clkRate / prediv;\r
         /* MDEC used for rate */\r
         workRate = (float)clkRate * (float)findPll0MMult();\r
-        clkRate = (uint32_t)(workRate / ((float)postdiv));\r
+        workRate /= (float)postdiv;\r
     }\r
 \r
     return (uint32_t)workRate;\r
@@ -1467,9 +1497,9 @@ uint32_t CLOCK_GetPLL0OutFromSetup(pll_setup_t *pSetup)
 \r
 /* Set the current PLL0 Rate */\r
 /*! brief Store the current PLL rate\r
-*  param    rate: Current rate of the PLL\r
-*  return   Nothing\r
-**/\r
+ *  param    rate: Current rate of the PLL\r
+ *  return   Nothing\r
+ **/\r
 void CLOCK_SetStoredPLL0ClockRate(uint32_t rate)\r
 {\r
     s_Pll0_Freq = rate;\r
@@ -1477,12 +1507,12 @@ void CLOCK_SetStoredPLL0ClockRate(uint32_t rate)
 \r
 /* Return PLL0 output clock rate */\r
 /*! brief    Return  PLL0 output clock rate\r
-*  param    recompute   : Forces a PLL rate recomputation if true\r
-*  return    PLL0 output clock rate\r
-*  note The PLL rate is cached in the driver in a variable as\r
-*  the rate computation function can take some time to perform. It\r
-*  is recommended to use 'false' with the 'recompute' parameter.\r
-*/\r
+ *  param    recompute   : Forces a PLL rate recomputation if true\r
+ *  return    PLL0 output clock rate\r
+ *  note The PLL rate is cached in the driver in a variable as\r
+ *  the rate computation function can take some time to perform. It\r
+ *  is recommended to use 'false' with the 'recompute' parameter.\r
+ */\r
 uint32_t CLOCK_GetPLL0OutClockRate(bool recompute)\r
 {\r
     pll_setup_t Setup;\r
@@ -1490,9 +1520,9 @@ uint32_t CLOCK_GetPLL0OutClockRate(bool recompute)
 \r
     if ((recompute) || (s_Pll0_Freq == 0U))\r
     {\r
-        Setup.pllctrl = SYSCON->PLL0CTRL;\r
-        Setup.pllndec = SYSCON->PLL0NDEC;\r
-        Setup.pllpdec = SYSCON->PLL0PDEC;\r
+        Setup.pllctrl    = SYSCON->PLL0CTRL;\r
+        Setup.pllndec    = SYSCON->PLL0NDEC;\r
+        Setup.pllpdec    = SYSCON->PLL0PDEC;\r
         Setup.pllsscg[0] = SYSCON->PLL0SSCG0;\r
         Setup.pllsscg[1] = SYSCON->PLL0SSCG1;\r
 \r
@@ -1506,16 +1536,16 @@ uint32_t CLOCK_GetPLL0OutClockRate(bool recompute)
 \r
 /* Set PLL0 output based on the passed PLL setup data */\r
 /*! brief    Set PLL output based on the passed PLL setup data\r
-*  param    pControl    : Pointer to populated PLL control structure to generate setup with\r
-*  param    pSetup      : Pointer to PLL setup structure to be filled\r
-*  return   PLL_ERROR_SUCCESS on success, or PLL setup error code\r
-*  note Actual frequency for setup may vary from the desired frequency based on the\r
-*  accuracy of input clocks, rounding, non-fractional PLL mode, etc.\r
-*/\r
+ *  param    pControl    : Pointer to populated PLL control structure to generate setup with\r
+ *  param    pSetup      : Pointer to PLL setup structure to be filled\r
+ *  return   PLL_ERROR_SUCCESS on success, or PLL setup error code\r
+ *  note Actual frequency for setup may vary from the desired frequency based on the\r
+ *  accuracy of input clocks, rounding, non-fractional PLL mode, etc.\r
+ */\r
 pll_error_t CLOCK_SetupPLL0Data(pll_config_t *pControl, pll_setup_t *pSetup)\r
 {\r
     uint32_t inRate;\r
-    bool useSS = (bool)((pControl->flags & PLL_CONFIGFLAG_FORCENOFRACT) == 0U);\r
+    bool useSS = ((pControl->flags & PLL_CONFIGFLAG_FORCENOFRACT) == 0U);\r
 \r
     pll_error_t pllError;\r
 \r
@@ -1537,7 +1567,7 @@ pll_error_t CLOCK_SetupPLL0Data(pll_config_t *pControl, pll_setup_t *pSetup)
         pSetup->pllsscg[1] |= (uint32_t)pControl->ss_mf | (uint32_t)pControl->ss_mr | (uint32_t)pControl->ss_mc;\r
         if (pControl->mfDither)\r
         {\r
-            pSetup->pllsscg[1] |= (1U << SYSCON_PLL0SSCG1_DITHER_SHIFT);\r
+            pSetup->pllsscg[1] |= (1UL << SYSCON_PLL0SSCG1_DITHER_SHIFT);\r
         }\r
     }\r
 \r
@@ -1546,17 +1576,17 @@ pll_error_t CLOCK_SetupPLL0Data(pll_config_t *pControl, pll_setup_t *pSetup)
 \r
 /* Set PLL0 output from PLL setup structure */\r
 /*! brief    Set PLL output from PLL setup structure (precise frequency)\r
-* param pSetup  : Pointer to populated PLL setup structure\r
-* param flagcfg : Flag configuration for PLL config structure\r
-* return    PLL_ERROR_SUCCESS on success, or PLL setup error code\r
-* note  This function will power off the PLL, setup the PLL with the\r
-* new setup data, and then optionally powerup the PLL, wait for PLL lock,\r
-* and adjust system voltages to the new PLL rate. The function will not\r
-* alter any source clocks (ie, main systen clock) that may use the PLL,\r
-* so these should be setup prior to and after exiting the function.\r
-*/\r
+ * param pSetup  : Pointer to populated PLL setup structure\r
+ * param flagcfg : Flag configuration for PLL config structure\r
+ * return    PLL_ERROR_SUCCESS on success, or PLL setup error code\r
+ * note  This function will power off the PLL, setup the PLL with the\r
+ * new setup data, and then optionally powerup the PLL, wait for PLL lock,\r
+ * and adjust system voltages to the new PLL rate. The function will not\r
+ * alter any source clocks (ie, main systen clock) that may use the PLL,\r
+ * so these should be setup prior to and after exiting the function.\r
+ */\r
 pll_error_t CLOCK_SetupPLL0Prec(pll_setup_t *pSetup, uint32_t flagcfg)\r
-{   \r
+{\r
     uint32_t inRate, clkRate, prediv;\r
 \r
     /* Power off PLL during setup changes */\r
@@ -1566,32 +1596,47 @@ pll_error_t CLOCK_SetupPLL0Prec(pll_setup_t *pSetup, uint32_t flagcfg)
     pSetup->flags = flagcfg;\r
 \r
     /* Write PLL setup data */\r
-    SYSCON->PLL0CTRL = pSetup->pllctrl;\r
-    SYSCON->PLL0NDEC = pSetup->pllndec;\r
-    SYSCON->PLL0NDEC = pSetup->pllndec | (1U << SYSCON_PLL0NDEC_NREQ_SHIFT); /* latch */\r
-    SYSCON->PLL0PDEC = pSetup->pllpdec;\r
-    SYSCON->PLL0PDEC = pSetup->pllpdec | (1U << SYSCON_PLL0PDEC_PREQ_SHIFT); /* latch */\r
+    SYSCON->PLL0CTRL  = pSetup->pllctrl;\r
+    SYSCON->PLL0NDEC  = pSetup->pllndec;\r
+    SYSCON->PLL0NDEC  = pSetup->pllndec | (1UL << SYSCON_PLL0NDEC_NREQ_SHIFT); /* latch */\r
+    SYSCON->PLL0PDEC  = pSetup->pllpdec;\r
+    SYSCON->PLL0PDEC  = pSetup->pllpdec | (1UL << SYSCON_PLL0PDEC_PREQ_SHIFT); /* latch */\r
     SYSCON->PLL0SSCG0 = pSetup->pllsscg[0];\r
     SYSCON->PLL0SSCG1 = pSetup->pllsscg[1];\r
     SYSCON->PLL0SSCG1 =\r
-        pSetup->pllsscg[1] | (1U << SYSCON_PLL0SSCG1_MREQ_SHIFT) | (1U << SYSCON_PLL0SSCG1_MD_REQ_SHIFT); /* latch */\r
+        pSetup->pllsscg[1] | (1UL << SYSCON_PLL0SSCG1_MREQ_SHIFT) | (1UL << SYSCON_PLL0SSCG1_MD_REQ_SHIFT); /* latch */\r
 \r
     POWER_DisablePD(kPDRUNCFG_PD_PLL0);\r
     POWER_DisablePD(kPDRUNCFG_PD_PLL0_SSCG);\r
 \r
     if ((pSetup->flags & PLL_SETUPFLAG_WAITLOCK) != 0U)\r
     {\r
-        inRate = CLOCK_GetPLL0InClockRate();\r
-        prediv = findPll0PreDiv();\r
-        /* Adjust input clock */\r
-        clkRate = inRate / prediv;\r
-        /* The lock signal is only reliable between fref[2] :100 kHz to 20 MHz. */\r
-        if ((clkRate >= 100000) && (clkRate <= 20000000))\r
+        if ((SYSCON->PLL0SSCG1 & SYSCON_PLL0SSCG1_MDIV_EXT_MASK) != 0UL) /* normal mode */\r
         {\r
-            while (CLOCK_IsPLL0Locked() == false)\r
+            inRate = CLOCK_GetPLL0InClockRate();\r
+            prediv = findPll0PreDiv();\r
+            /* Adjust input clock */\r
+            clkRate = inRate / prediv;\r
+            /* The lock signal is only reliable between fref[2] :100 kHz to 20 MHz. */\r
+            if ((clkRate >= 100000UL) && (clkRate <= 20000000UL))\r
+            {\r
+                while (CLOCK_IsPLL0Locked() == false)\r
+                {\r
+                }\r
+            }\r
+            else\r
             {\r
+                SDK_DelayAtLeastUs(6000U,\r
+                                   SDK_DEVICE_MAXIMUM_CPU_CLOCK_FREQUENCY); /* software should use a 6 ms time interval\r
+                                                                               to insure the PLL will be stable */\r
             }\r
         }\r
+        else /* spread spectrum mode */\r
+        {\r
+            SDK_DelayAtLeastUs(6000U,\r
+                               SDK_DEVICE_MAXIMUM_CPU_CLOCK_FREQUENCY); /* software should use a 6 ms time interval to\r
+                                                                           insure the PLL will be stable */\r
+        }\r
     }\r
 \r
     /* Update current programmed PLL rate var */\r
@@ -1608,15 +1653,15 @@ pll_error_t CLOCK_SetupPLL0Prec(pll_setup_t *pSetup, uint32_t flagcfg)
 \r
 /* Setup PLL Frequency from pre-calculated value */\r
 /**\r
-* brief Set PLL0 output from PLL setup structure (precise frequency)\r
-* param pSetup  : Pointer to populated PLL setup structure\r
-* return    kStatus_PLL_Success on success, or PLL setup error code\r
-* note  This function will power off the PLL, setup the PLL with the\r
-* new setup data, and then optionally powerup the PLL, wait for PLL lock,\r
-* and adjust system voltages to the new PLL rate. The function will not\r
-* alter any source clocks (ie, main systen clock) that may use the PLL,\r
-* so these should be setup prior to and after exiting the function.\r
-*/\r
+ * brief Set PLL0 output from PLL setup structure (precise frequency)\r
+ * param pSetup  : Pointer to populated PLL setup structure\r
+ * return    kStatus_PLL_Success on success, or PLL setup error code\r
+ * note  This function will power off the PLL, setup the PLL with the\r
+ * new setup data, and then optionally powerup the PLL, wait for PLL lock,\r
+ * and adjust system voltages to the new PLL rate. The function will not\r
+ * alter any source clocks (ie, main systen clock) that may use the PLL,\r
+ * so these should be setup prior to and after exiting the function.\r
+ */\r
 pll_error_t CLOCK_SetPLL0Freq(const pll_setup_t *pSetup)\r
 {\r
     uint32_t inRate, clkRate, prediv;\r
@@ -1625,32 +1670,47 @@ pll_error_t CLOCK_SetPLL0Freq(const pll_setup_t *pSetup)
     POWER_EnablePD(kPDRUNCFG_PD_PLL0_SSCG);\r
 \r
     /* Write PLL setup data */\r
-    SYSCON->PLL0CTRL = pSetup->pllctrl;\r
-    SYSCON->PLL0NDEC = pSetup->pllndec;\r
-    SYSCON->PLL0NDEC = pSetup->pllndec | (1U << SYSCON_PLL0NDEC_NREQ_SHIFT); /* latch */\r
-    SYSCON->PLL0PDEC = pSetup->pllpdec;\r
-    SYSCON->PLL0PDEC = pSetup->pllpdec | (1U << SYSCON_PLL0PDEC_PREQ_SHIFT); /* latch */\r
+    SYSCON->PLL0CTRL  = pSetup->pllctrl;\r
+    SYSCON->PLL0NDEC  = pSetup->pllndec;\r
+    SYSCON->PLL0NDEC  = pSetup->pllndec | (1UL << SYSCON_PLL0NDEC_NREQ_SHIFT); /* latch */\r
+    SYSCON->PLL0PDEC  = pSetup->pllpdec;\r
+    SYSCON->PLL0PDEC  = pSetup->pllpdec | (1UL << SYSCON_PLL0PDEC_PREQ_SHIFT); /* latch */\r
     SYSCON->PLL0SSCG0 = pSetup->pllsscg[0];\r
     SYSCON->PLL0SSCG1 = pSetup->pllsscg[1];\r
     SYSCON->PLL0SSCG1 =\r
-        pSetup->pllsscg[1] | (1U << SYSCON_PLL0SSCG1_MD_REQ_SHIFT) | (1U << SYSCON_PLL0SSCG1_MREQ_SHIFT); /* latch */\r
+        pSetup->pllsscg[1] | (1UL << SYSCON_PLL0SSCG1_MD_REQ_SHIFT) | (1UL << SYSCON_PLL0SSCG1_MREQ_SHIFT); /* latch */\r
 \r
     POWER_DisablePD(kPDRUNCFG_PD_PLL0);\r
     POWER_DisablePD(kPDRUNCFG_PD_PLL0_SSCG);\r
 \r
     if ((pSetup->flags & PLL_SETUPFLAG_WAITLOCK) != 0U)\r
     {\r
-        inRate = CLOCK_GetPLL0InClockRate();\r
-        prediv = findPll0PreDiv();\r
-        /* Adjust input clock */\r
-        clkRate = inRate / prediv;\r
-        /* The lock signal is only reliable between fref[2] :100 kHz to 20 MHz. */\r
-        if ((clkRate >= 100000) && (clkRate <= 20000000))\r
+        if ((SYSCON->PLL0SSCG1 & SYSCON_PLL0SSCG1_MDIV_EXT_MASK) != 0UL) /* normal mode */\r
         {\r
-            while (CLOCK_IsPLL0Locked() == false)\r
+            inRate = CLOCK_GetPLL0InClockRate();\r
+            prediv = findPll0PreDiv();\r
+            /* Adjust input clock */\r
+            clkRate = inRate / prediv;\r
+            /* The lock signal is only reliable between fref[2] :100 kHz to 20 MHz. */\r
+            if ((clkRate >= 100000UL) && (clkRate <= 20000000UL))\r
+            {\r
+                while (CLOCK_IsPLL0Locked() == false)\r
+                {\r
+                }\r
+            }\r
+            else\r
             {\r
+                SDK_DelayAtLeastUs(6000U,\r
+                                   SDK_DEVICE_MAXIMUM_CPU_CLOCK_FREQUENCY); /* software should use a 6 ms time interval\r
+                                                                               to insure the PLL will be stable */\r
             }\r
         }\r
+        else /* spread spectrum mode */\r
+        {\r
+            SDK_DelayAtLeastUs(6000U,\r
+                               SDK_DEVICE_MAXIMUM_CPU_CLOCK_FREQUENCY); /* software should use a 6 ms time interval to\r
+                                                                           insure the PLL will be stable */\r
+        }\r
     }\r
 \r
     /* Update current programmed PLL rate var */\r
@@ -1661,15 +1721,15 @@ pll_error_t CLOCK_SetPLL0Freq(const pll_setup_t *pSetup)
 \r
 /* Setup PLL1 Frequency from pre-calculated value */\r
 /**\r
-* brief Set PLL1 output from PLL setup structure (precise frequency)\r
-* param pSetup  : Pointer to populated PLL setup structure\r
-* return    kStatus_PLL_Success on success, or PLL setup error code\r
-* note  This function will power off the PLL, setup the PLL with the\r
-* new setup data, and then optionally powerup the PLL, wait for PLL lock,\r
-* and adjust system voltages to the new PLL rate. The function will not\r
-* alter any source clocks (ie, main systen clock) that may use the PLL,\r
-* so these should be setup prior to and after exiting the function.\r
-*/\r
+ * brief Set PLL1 output from PLL setup structure (precise frequency)\r
+ * param pSetup  : Pointer to populated PLL setup structure\r
+ * return    kStatus_PLL_Success on success, or PLL setup error code\r
+ * note  This function will power off the PLL, setup the PLL with the\r
+ * new setup data, and then optionally powerup the PLL, wait for PLL lock,\r
+ * and adjust system voltages to the new PLL rate. The function will not\r
+ * alter any source clocks (ie, main systen clock) that may use the PLL,\r
+ * so these should be setup prior to and after exiting the function.\r
+ */\r
 pll_error_t CLOCK_SetPLL1Freq(const pll_setup_t *pSetup)\r
 {\r
     uint32_t inRate, clkRate, prediv;\r
@@ -1679,11 +1739,11 @@ pll_error_t CLOCK_SetPLL1Freq(const pll_setup_t *pSetup)
     /* Write PLL setup data */\r
     SYSCON->PLL1CTRL = pSetup->pllctrl;\r
     SYSCON->PLL1NDEC = pSetup->pllndec;\r
-    SYSCON->PLL1NDEC = pSetup->pllndec | (1U << SYSCON_PLL1NDEC_NREQ_SHIFT); /* latch */\r
+    SYSCON->PLL1NDEC = pSetup->pllndec | (1UL << SYSCON_PLL1NDEC_NREQ_SHIFT); /* latch */\r
     SYSCON->PLL1PDEC = pSetup->pllpdec;\r
-    SYSCON->PLL1PDEC = pSetup->pllpdec | (1U << SYSCON_PLL1PDEC_PREQ_SHIFT); /* latch */\r
+    SYSCON->PLL1PDEC = pSetup->pllpdec | (1UL << SYSCON_PLL1PDEC_PREQ_SHIFT); /* latch */\r
     SYSCON->PLL1MDEC = pSetup->pllmdec;\r
-    SYSCON->PLL1MDEC = pSetup->pllmdec | (1U << SYSCON_PLL1MDEC_MREQ_SHIFT); /* latch */\r
+    SYSCON->PLL1MDEC = pSetup->pllmdec | (1UL << SYSCON_PLL1MDEC_MREQ_SHIFT); /* latch */\r
 \r
     POWER_DisablePD(kPDRUNCFG_PD_PLL1);\r
 \r
@@ -1694,12 +1754,18 @@ pll_error_t CLOCK_SetPLL1Freq(const pll_setup_t *pSetup)
         /* Adjust input clock */\r
         clkRate = inRate / prediv;\r
         /* The lock signal is only reliable between fref[2] :100 kHz to 20 MHz. */\r
-        if ((clkRate >= 100000) && (clkRate <= 20000000))\r
+        if ((clkRate >= 100000UL) && (clkRate <= 20000000UL))\r
         {\r
             while (CLOCK_IsPLL1Locked() == false)\r
             {\r
             }\r
         }\r
+        else\r
+        {\r
+            SDK_DelayAtLeastUs(6000U,\r
+                               SDK_DEVICE_MAXIMUM_CPU_CLOCK_FREQUENCY); /* software should use a 6 ms time interval to\r
+                                                                           insure the PLL will be stable */\r
+        }\r
     }\r
 \r
     /* Update current programmed PLL rate var */\r
@@ -1710,20 +1776,20 @@ pll_error_t CLOCK_SetPLL1Freq(const pll_setup_t *pSetup)
 \r
 /* Set PLL0 clock based on the input frequency and multiplier */\r
 /*! brief    Set PLL0 output based on the multiplier and input frequency\r
-* param multiply_by : multiplier\r
-* param input_freq  : Clock input frequency of the PLL\r
-* return    Nothing\r
-* note  Unlike the Chip_Clock_SetupSystemPLLPrec() function, this\r
-* function does not disable or enable PLL power, wait for PLL lock,\r
-* or adjust system voltages. These must be done in the application.\r
-* The function will not alter any source clocks (ie, main systen clock)\r
-* that may use the PLL, so these should be setup prior to and after\r
-* exiting the function.\r
-*/\r
+ * param multiply_by : multiplier\r
+ * param input_freq  : Clock input frequency of the PLL\r
+ * return    Nothing\r
+ * note  Unlike the Chip_Clock_SetupSystemPLLPrec() function, this\r
+ * function does not disable or enable PLL power, wait for PLL lock,\r
+ * or adjust system voltages. These must be done in the application.\r
+ * The function will not alter any source clocks (ie, main systen clock)\r
+ * that may use the PLL, so these should be setup prior to and after\r
+ * exiting the function.\r
+ */\r
 void CLOCK_SetupPLL0Mult(uint32_t multiply_by, uint32_t input_freq)\r
 {\r
     uint32_t cco_freq = input_freq * multiply_by;\r
-    uint32_t pdec = 1U;\r
+    uint32_t pdec     = 1U;\r
     uint32_t selr;\r
     uint32_t seli;\r
     uint32_t selp;\r
@@ -1738,36 +1804,24 @@ void CLOCK_SetupPLL0Mult(uint32_t multiply_by, uint32_t input_freq)
 \r
     selr = 0U;\r
 \r
-    if (multiply_by >= 32768)\r
-    {\r
-        seli = 1;\r
-    }\r
-    else if (multiply_by >= 16384)\r
-    {\r
-        seli = 2;\r
-    }\r
-    else if (multiply_by >= 4096)\r
-    {\r
-        seli = 4;\r
-    }\r
-    else if (multiply_by >= 1002)\r
+    if (multiply_by >= 8000UL)\r
     {\r
-        seli = 8;\r
+        seli = 1UL;\r
     }\r
-    else if (multiply_by >= 120)\r
+    else if (multiply_by >= 122UL)\r
     {\r
-        seli = 4 * ((1024/(multiply_by/2 + 9)) + 1);\r
+        seli = (uint32_t)(8000UL / multiply_by); /*floor(8000/M) */\r
     }\r
     else\r
     {\r
-        seli = 4 * (multiply_by/8 + 1);\r
-    } \r
+        seli = 2UL * ((uint32_t)(multiply_by / 4UL)) + 3UL; /* 2*floor(M/4) + 3 */\r
+    }\r
 \r
     if (seli >= 63U)\r
     {\r
         seli = 63U;\r
     }\r
-    selp = (multiply_by >> 2U) + 1U;\r
+\r
     {\r
         selp = 31U;\r
     }\r
@@ -1778,23 +1832,24 @@ void CLOCK_SetupPLL0Mult(uint32_t multiply_by, uint32_t input_freq)
                           /* Translate P value */\r
     }\r
 \r
-    mdec = PLL_SSCG1_MDEC_VAL_SET(multiply_by);\r
+    mdec = (uint32_t)PLL_SSCG1_MDEC_VAL_SET(multiply_by);\r
     ndec = 0x1U; /* pre divide by 1 (hardcoded) */\r
 \r
-    SYSCON->PLL0CTRL = SYSCON_PLL0CTRL_CLKEN_MASK |SYSCON_PLL0CTRL_BYPASSPOSTDIV(0) | SYSCON_PLL0CTRL_BYPASSPOSTDIV2(0) |\r
-                       (selr << SYSCON_PLL0CTRL_SELR_SHIFT) | (seli << SYSCON_PLL0CTRL_SELI_SHIFT) |\r
-                       (selp << SYSCON_PLL0CTRL_SELP_SHIFT);\r
-    SYSCON->PLL0PDEC = pdec | (1U << SYSCON_PLL0PDEC_PREQ_SHIFT);   /* set Pdec value and assert preq */\r
-    SYSCON->PLL0NDEC = ndec | (1U << SYSCON_PLL0NDEC_NREQ_SHIFT);   /* set Pdec value and assert preq */\r
-    SYSCON->PLL0SSCG1 = mdec | (1U << SYSCON_PLL0SSCG1_MREQ_SHIFT); /* select non sscg MDEC value, assert mreq and select mdec value */\r
+    SYSCON->PLL0CTRL = SYSCON_PLL0CTRL_CLKEN_MASK | SYSCON_PLL0CTRL_BYPASSPOSTDIV(0) |\r
+                       SYSCON_PLL0CTRL_BYPASSPOSTDIV2(0) | (selr << SYSCON_PLL0CTRL_SELR_SHIFT) |\r
+                       (seli << SYSCON_PLL0CTRL_SELI_SHIFT) | (selp << SYSCON_PLL0CTRL_SELP_SHIFT);\r
+    SYSCON->PLL0PDEC = pdec | (1UL << SYSCON_PLL0PDEC_PREQ_SHIFT); /* set Pdec value and assert preq */\r
+    SYSCON->PLL0NDEC = ndec | (1UL << SYSCON_PLL0NDEC_NREQ_SHIFT); /* set Pdec value and assert preq */\r
+    SYSCON->PLL0SSCG1 =\r
+        mdec | (1UL << SYSCON_PLL0SSCG1_MREQ_SHIFT); /* select non sscg MDEC value, assert mreq and select mdec value */\r
 }\r
 \r
 /* Enable USB DEVICE FULL SPEED clock */\r
 /*! brief Enable USB Device FS clock.\r
-* param src : clock source\r
-* param freq: clock frequency\r
-* Enable USB Device Full Speed clock.\r
-*/\r
+ * param src : clock source\r
+ * param freq: clock frequency\r
+ * Enable USB Device Full Speed clock.\r
+ */\r
 bool CLOCK_EnableUsbfs0DeviceClock(clock_usbfs_src_t src, uint32_t freq)\r
 {\r
     bool ret = true;\r
@@ -1822,33 +1877,28 @@ bool CLOCK_EnableUsbfs0DeviceClock(clock_usbfs_src_t src, uint32_t freq)
     }\r
     else\r
     {\r
-        /*Set the USB PLL as the Usb0 CLK*/\r
-        POWER_DisablePD(kPDRUNCFG_PD_PLL1);\r
-        POWER_DisablePD(kPDRUNCFG_PD_XTAL32M);                        /*!< Ensure XTAL32K is on  */\r
-        POWER_DisablePD(kPDRUNCFG_PD_LDOXO32M);                       /*!< Ensure XTAL32K is on  */\r
-        SYSCON->CLOCK_CTRL |= SYSCON_CLOCK_CTRL_CLKIN_ENA_MASK; /*!< Ensure CLK_IN is on  */\r
-        ANACTRL->XO32M_CTRL |= ANACTRL_XO32M_CTRL_ENABLE_SYSTEM_CLK_OUT_MASK;\r
-\r
-        CLOCK_AttachClk(kEXT_CLK_to_PLL1); /*!< Switch PLL0 clock source selector to XTAL16M */\r
+        /*!< Configure XTAL32M */\r
+        POWER_DisablePD(kPDRUNCFG_PD_XTAL32M);                                /* Ensure XTAL32M is powered */\r
+        POWER_DisablePD(kPDRUNCFG_PD_LDOXO32M);                               /* Ensure XTAL32M is powered */\r
+        (void)CLOCK_SetupExtClocking(16000000U);                              /* Enable clk_in clock */\r
+        SYSCON->CLOCK_CTRL |= SYSCON_CLOCK_CTRL_CLKIN_ENA_MASK;               /* Enable clk_in from XTAL32M clock  */\r
+        ANACTRL->XO32M_CTRL |= ANACTRL_XO32M_CTRL_ENABLE_SYSTEM_CLK_OUT_MASK; /* Enable clk_in to system  */\r
 \r
+        /*!< Set up PLL1 */\r
+        POWER_DisablePD(kPDRUNCFG_PD_PLL1);\r
+        CLOCK_AttachClk(kEXT_CLK_to_PLL1); /*!< Switch PLL1CLKSEL to EXT_CLK */\r
         const pll_setup_t pll1Setup = {\r
-            .pllctrl = SYSCON_PLL1CTRL_CLKEN_MASK | SYSCON_PLL1CTRL_SELI(16U) | SYSCON_PLL1CTRL_SELP(7U),\r
+            .pllctrl = SYSCON_PLL1CTRL_CLKEN_MASK | SYSCON_PLL1CTRL_SELI(19U) | SYSCON_PLL1CTRL_SELP(9U),\r
             .pllndec = SYSCON_PLL1NDEC_NDIV(1U),\r
-            .pllpdec = SYSCON_PLL1PDEC_PDIV(4U),\r
-            .pllmdec = SYSCON_PLL1MDEC_MDIV(24U),\r
+            .pllpdec = SYSCON_PLL1PDEC_PDIV(5U),\r
+            .pllmdec = SYSCON_PLL1MDEC_MDIV(30U),\r
             .pllRate = 48000000U,\r
-            .flags = PLL_SETUPFLAG_WAITLOCK,\r
-        };\r
-\r
-        CLOCK_SetPLL1Freq(&pll1Setup); /*!< Configure PLL1 to the desired values */\r
+            .flags   = PLL_SETUPFLAG_WAITLOCK};\r
+        (void)CLOCK_SetPLL1Freq(&pll1Setup);\r
 \r
         CLOCK_SetClkDiv(kCLOCK_DivUsb0Clk, 1U, false);\r
         CLOCK_AttachClk(kPLL1_to_USB0_CLK);\r
-        uint32_t delay = 100000;\r
-        while (delay--)\r
-        {\r
-            __asm("nop");\r
-        }\r
+        SDK_DelayAtLeastUs(50U, SDK_DEVICE_MAXIMUM_CPU_CLOCK_FREQUENCY);\r
     }\r
     CLOCK_EnableClock(kCLOCK_Usbd0);\r
     CLOCK_EnableClock(kCLOCK_UsbRam1);\r
@@ -1858,10 +1908,10 @@ bool CLOCK_EnableUsbfs0DeviceClock(clock_usbfs_src_t src, uint32_t freq)
 \r
 /* Enable USB HOST FULL SPEED clock */\r
 /*! brief Enable USB HOST FS clock.\r
-* param src : clock source\r
-* param freq: clock frequency\r
-* Enable USB HOST Full Speed clock.\r
-*/\r
+ * param src : clock source\r
+ * param freq: clock frequency\r
+ * Enable USB HOST Full Speed clock.\r
+ */\r
 bool CLOCK_EnableUsbfs0HostClock(clock_usbfs_src_t src, uint32_t freq)\r
 {\r
     bool ret = true;\r
@@ -1890,33 +1940,28 @@ bool CLOCK_EnableUsbfs0HostClock(clock_usbfs_src_t src, uint32_t freq)
     }\r
     else\r
     {\r
-        /*Set the USB PLL as the Usb0 CLK*/\r
-        POWER_DisablePD(kPDRUNCFG_PD_PLL1);\r
-        POWER_DisablePD(kPDRUNCFG_PD_XTAL32M);                        /*!< Ensure XTAL32K is on  */\r
-        POWER_DisablePD(kPDRUNCFG_PD_LDOXO32M);                       /*!< Ensure XTAL32K is on  */\r
-        SYSCON->CLOCK_CTRL |= SYSCON_CLOCK_CTRL_CLKIN_ENA_MASK; /*!< Ensure CLK_IN is on  */\r
-        ANACTRL->XO32M_CTRL |= ANACTRL_XO32M_CTRL_ENABLE_SYSTEM_CLK_OUT_MASK;\r
-\r
-        CLOCK_AttachClk(kEXT_CLK_to_PLL1); /*!< Switch PLL0 clock source selector to XTAL16M */\r
+        /*!< Configure XTAL32M */\r
+        POWER_DisablePD(kPDRUNCFG_PD_XTAL32M);                                /* Ensure XTAL32M is powered */\r
+        POWER_DisablePD(kPDRUNCFG_PD_LDOXO32M);                               /* Ensure XTAL32M is powered */\r
+        (void)CLOCK_SetupExtClocking(16000000U);                              /* Enable clk_in clock */\r
+        SYSCON->CLOCK_CTRL |= SYSCON_CLOCK_CTRL_CLKIN_ENA_MASK;               /* Enable clk_in from XTAL32M clock  */\r
+        ANACTRL->XO32M_CTRL |= ANACTRL_XO32M_CTRL_ENABLE_SYSTEM_CLK_OUT_MASK; /* Enable clk_in to system  */\r
 \r
+        /*!< Set up PLL1 */\r
+        POWER_DisablePD(kPDRUNCFG_PD_PLL1);\r
+        CLOCK_AttachClk(kEXT_CLK_to_PLL1); /*!< Switch PLL1CLKSEL to EXT_CLK */\r
         const pll_setup_t pll1Setup = {\r
-            .pllctrl = SYSCON_PLL1CTRL_CLKEN_MASK | SYSCON_PLL1CTRL_SELI(16U) | SYSCON_PLL1CTRL_SELP(7U),\r
+            .pllctrl = SYSCON_PLL1CTRL_CLKEN_MASK | SYSCON_PLL1CTRL_SELI(19U) | SYSCON_PLL1CTRL_SELP(9U),\r
             .pllndec = SYSCON_PLL1NDEC_NDIV(1U),\r
-            .pllpdec = SYSCON_PLL1PDEC_PDIV(4U),\r
-            .pllmdec = SYSCON_PLL1MDEC_MDIV(24U),\r
+            .pllpdec = SYSCON_PLL1PDEC_PDIV(5U),\r
+            .pllmdec = SYSCON_PLL1MDEC_MDIV(30U),\r
             .pllRate = 48000000U,\r
-            .flags = PLL_SETUPFLAG_WAITLOCK,\r
-        };\r
-\r
-        CLOCK_SetPLL1Freq(&pll1Setup); /*!< Configure PLL1 to the desired values */\r
+            .flags   = PLL_SETUPFLAG_WAITLOCK};\r
+        (void)CLOCK_SetPLL1Freq(&pll1Setup);\r
 \r
         CLOCK_SetClkDiv(kCLOCK_DivUsb0Clk, 1U, false);\r
         CLOCK_AttachClk(kPLL1_to_USB0_CLK);\r
-        uint32_t delay = 100000;\r
-        while (delay--)\r
-        {\r
-            __asm("nop");\r
-        }\r
+        SDK_DelayAtLeastUs(50U, SDK_DEVICE_MAXIMUM_CPU_CLOCK_FREQUENCY);\r
     }\r
     CLOCK_EnableClock(kCLOCK_Usbhmr0);\r
     CLOCK_EnableClock(kCLOCK_Usbhsl0);\r
@@ -1938,26 +1983,24 @@ bool CLOCK_EnableUsbhs0PhyPllClock(clock_usb_phy_src_t src, uint32_t freq)
     POWER_DisablePD(kPDRUNCFG_PD_LDOUSBHS); /*!< Ensure xtal32k is on  */\r
 \r
     /* wait to make sure PHY power is fully up */\r
-    i = 100000;\r
-    while (i--)\r
+    i = 100000U;\r
+    while ((i--) != 0U)\r
     {\r
-        __asm("nop");\r
+        __ASM("nop");\r
     }\r
 \r
     SYSCON->AHBCLKCTRLSET[2] = SYSCON_AHBCLKCTRL2_ANALOG_CTRL(1);\r
     SYSCON->AHBCLKCTRLSET[2] = SYSCON_AHBCLKCTRL2_USB1_PHY(1);\r
 \r
-    USBPHY->CTRL_CLR = USBPHY_CTRL_SFTRST_MASK;\r
-    USBPHY->PLL_SIC = (USBPHY->PLL_SIC & ~USBPHY_PLL_SIC_PLL_DIV_SEL(0x7)) | USBPHY_PLL_SIC_PLL_DIV_SEL(0x06);\r
+    USBPHY->CTRL_CLR    = USBPHY_CTRL_SFTRST_MASK;\r
+    USBPHY->PLL_SIC     = (USBPHY->PLL_SIC & ~USBPHY_PLL_SIC_PLL_DIV_SEL(0x7)) | USBPHY_PLL_SIC_PLL_DIV_SEL(0x06);\r
     USBPHY->PLL_SIC_SET = USBPHY_PLL_SIC_SET_PLL_REG_ENABLE_MASK;\r
-    USBPHY->PLL_SIC_CLR = USBPHY_PLL_SIC_SET_PLL_BYPASS_MASK;\r
+    USBPHY->PLL_SIC_CLR = (1UL << 16U); // Reserved. User must set this bit to 0x0\r
     USBPHY->PLL_SIC_SET = USBPHY_PLL_SIC_SET_PLL_POWER_MASK;\r
     USBPHY->PLL_SIC_SET = USBPHY_PLL_SIC_SET_PLL_EN_USB_CLKS_MASK;\r
-    USBPHY->PLL_SIC_SET =\r
-        USBPHY_PLL_SIC_SET_MISC2_CONTROL0_MASK; /* enables auto power down of PHY PLL during suspend */\r
 \r
     USBPHY->CTRL_CLR = USBPHY_CTRL_CLR_CLKGATE_MASK;\r
-    USBPHY->PWD_SET = 0x0;\r
+    USBPHY->PWD_SET  = 0x0;\r
 \r
     return true;\r
 }\r
index 039142823df8f3cb2442ac90dbe47063e78009e4..1f5451338a6f424e9a095284d835e8cb72f6d2c2 100644 (file)
@@ -1,5 +1,5 @@
 /*\r
- * Copyright (c) 2017 - 2018 , NXP\r
+ * Copyright 2017 - 2019 , NXP\r
  * All rights reserved.\r
  *\r
  * SPDX-License-Identifier: BSD-3-Clause\r
@@ -8,10 +8,7 @@
 #ifndef _FSL_CLOCK_H_\r
 #define _FSL_CLOCK_H_\r
 \r
-#include "fsl_device_registers.h"\r
-#include <stdint.h>\r
-#include <stdbool.h>\r
-#include <assert.h>\r
+#include "fsl_common.h"\r
 \r
 /*! @addtogroup clock */\r
 /*! @{ */\r
@@ -24,8 +21,8 @@
 \r
 /*! @name Driver version */\r
 /*@{*/\r
-/*! @brief CLOCK driver version 2.0.3. */\r
-#define FSL_CLOCK_DRIVER_VERSION (MAKE_VERSION(2, 0, 3))\r
+/*! @brief CLOCK driver version 2.3.1. */\r
+#define FSL_CLOCK_DRIVER_VERSION (MAKE_VERSION(2, 3, 1))\r
 /*@}*/\r
 \r
 /*! @brief Configure whether driver controls clock\r
 #define CLOCK_USR_CFG_PLL_CONFIG_CACHE_COUNT 2U\r
 #endif\r
 \r
+/* Definition for delay API in clock driver, users can redefine it to the real application. */\r
+#ifndef SDK_DEVICE_MAXIMUM_CPU_CLOCK_FREQUENCY\r
+#define SDK_DEVICE_MAXIMUM_CPU_CLOCK_FREQUENCY (150000000UL)\r
+#endif\r
+\r
 /*! @brief Clock ip name array for ROM. */\r
 #define ROM_CLOCKS \\r
     {              \\r
@@ -74,9 +76,9 @@
         kCLOCK_Fmc \\r
     }\r
 /*! @brief Clock ip name array for INPUTMUX. */\r
-#define INPUTMUX_CLOCKS                    \\r
-    {                                      \\r
-        kCLOCK_InputMux0, kCLOCK_InputMux1 \\r
+#define INPUTMUX_CLOCKS  \\r
+    {                    \\r
+        kCLOCK_InputMux0 \\r
     }\r
 /*! @brief Clock ip name array for IOCON. */\r
 #define IOCON_CLOCKS \\r
@@ -84,9 +86,9 @@
         kCLOCK_Iocon \\r
     }\r
 /*! @brief Clock ip name array for GPIO. */\r
-#define GPIO_CLOCKS                                                                        \\r
-    {                                                                                      \\r
-        kCLOCK_Gpio0, kCLOCK_Gpio1, kCLOCK_Gpio2, kCLOCK_Gpio3, kCLOCK_Gpio4, kCLOCK_Gpio5 \\r
+#define GPIO_CLOCKS                                            \\r
+    {                                                          \\r
+        kCLOCK_Gpio0, kCLOCK_Gpio1, kCLOCK_Gpio2, kCLOCK_Gpio3 \\r
     }\r
 /*! @brief Clock ip name array for PINT. */\r
 #define PINT_CLOCKS \\r
@@ -94,8 +96,8 @@
         kCLOCK_Pint \\r
     }\r
 /*! @brief Clock ip name array for GINT. */\r
-#define GINT_CLOCKS \\r
-    {               \\r
+#define GINT_CLOCKS              \\r
+    {                            \\r
         kCLOCK_Gint, kCLOCK_Gint \\r
     }\r
 /*! @brief Clock ip name array for DMA. */\r
     {               \\r
         kCLOCK_Sct0 \\r
     }\r
-/*! @brief Clock ip name array for SCTIPU. */\r
-#define SCTIPU_CLOCKS \\r
-    {                 \\r
-        kCLOCK_Sctipu \\r
-    }\r
 /*! @brief Clock ip name array for UTICK. */\r
 #define UTICK_CLOCKS  \\r
     {                 \\r
         kCLOCK_FlexI2s0, kCLOCK_FlexI2s1, kCLOCK_FlexI2s2, kCLOCK_FlexI2s3, kCLOCK_FlexI2s4, kCLOCK_FlexI2s5, \\r
             kCLOCK_FlexI2s6, kCLOCK_FlexI2s7                                                                  \\r
     }\r
-/*! @brief Clock ip name array for USBTYPC. */\r
-#define USBTYPC_CLOCKS \\r
-    {                  \\r
-        kCLOCK_UsbTypc \\r
-    }\r
 /*! @brief Clock ip name array for CTIMER. */\r
 #define CTIMER_CLOCKS                                                             \\r
     {                                                                             \\r
         kCLOCK_Timer0, kCLOCK_Timer1, kCLOCK_Timer2, kCLOCK_Timer3, kCLOCK_Timer4 \\r
     }\r
-/*! @brief Clock ip name array for PVT */\r
-#define PVT_CLOCKS \\r
-    {              \\r
-        kCLOCK_Pvt \\r
-    }\r
-/*! @brief Clock ip name array for EZHA */\r
-#define EZHA_CLOCKS \\r
-    {               \\r
-        kCLOCK_Ezha \\r
-    }\r
-/*! @brief Clock ip name array for EZHB */\r
-#define EZHB_CLOCKS \\r
-    {               \\r
-        kCLOCK_Ezhb \\r
-    }\r
 /*! @brief Clock ip name array for COMP */\r
 #define COMP_CLOCKS \\r
     {               \\r
     {                  \\r
         kCLOCK_UsbRam1 \\r
     }\r
-/*! @brief Clock ip name array for OTP. */\r
-#define OTP_CLOCKS \\r
-    {              \\r
-        kCLOCK_Otp \\r
-    }\r
 /*! @brief Clock ip name array for RNG. */\r
 #define RNG_CLOCKS \\r
     {              \\r
     {                 \\r
         kCLOCK_PluLut \\r
     }\r
-#define SYSCTL_CLOCKS    \\r
+#define SYSCTL_CLOCKS \\r
     {                 \\r
         kCLOCK_Sysctl \\r
     }\r
 /*! @brief Clock gate name used for CLOCK_EnableClock/CLOCK_DisableClock. */\r
 typedef enum _clock_ip_name\r
 {\r
-    kCLOCK_IpInvalid = 0U,\r
-    kCLOCK_Rom = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 1),\r
-    kCLOCK_Sram1 = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 3),\r
-    kCLOCK_Sram2 = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 4),\r
-    kCLOCK_Sram3 = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 5),\r
-    kCLOCK_Sram4 = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 6),\r
-    kCLOCK_Flash = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 7),\r
-    kCLOCK_Fmc = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 8),\r
-    kCLOCK_InputMux = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 11),\r
-    kCLOCK_Iocon = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 13),\r
-    kCLOCK_Gpio0 = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 14),\r
-    kCLOCK_Gpio1 = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 15),\r
-    kCLOCK_Gpio2 = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 16),\r
-    kCLOCK_Gpio3 = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 17),\r
-    kCLOCK_Pint = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 18),\r
-    kCLOCK_Gint = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 19),\r
-    kCLOCK_Dma0 = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 20),\r
-    kCLOCK_Crc = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 21),\r
-    kCLOCK_Wwdt = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 22),\r
-    kCLOCK_Rtc = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 23),\r
-    kCLOCK_Mailbox = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 26),\r
-    kCLOCK_Adc0 = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 27),\r
-    kCLOCK_Mrt = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 0),\r
-    kCLOCK_OsTimer0 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 1),\r
-    kCLOCK_Sct0 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 2),\r
-    kCLOCK_Sctipu = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 6),\r
-    kCLOCK_Utick0 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 10),\r
-    kCLOCK_FlexComm0 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 11),\r
-    kCLOCK_FlexComm1 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 12),\r
-    kCLOCK_FlexComm2 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 13),\r
-    kCLOCK_FlexComm3 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 14),\r
-    kCLOCK_FlexComm4 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 15),\r
-    kCLOCK_FlexComm5 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 16),\r
-    kCLOCK_FlexComm6 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 17),\r
-    kCLOCK_FlexComm7 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 18),\r
-    kCLOCK_MinUart0 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 11),\r
-    kCLOCK_MinUart1 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 12),\r
-    kCLOCK_MinUart2 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 13),\r
-    kCLOCK_MinUart3 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 14),\r
-    kCLOCK_MinUart4 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 15),\r
-    kCLOCK_MinUart5 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 16),\r
-    kCLOCK_MinUart6 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 17),\r
-    kCLOCK_MinUart7 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 18),\r
-    kCLOCK_LSpi0 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 11),\r
-    kCLOCK_LSpi1 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 12),\r
-    kCLOCK_LSpi2 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 13),\r
-    kCLOCK_LSpi3 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 14),\r
-    kCLOCK_LSpi4 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 15),\r
-    kCLOCK_LSpi5 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 16),\r
-    kCLOCK_LSpi6 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 17),\r
-    kCLOCK_LSpi7 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 18),\r
-    kCLOCK_BI2c0 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 11),\r
-    kCLOCK_BI2c1 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 12),\r
-    kCLOCK_BI2c2 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 13),\r
-    kCLOCK_BI2c3 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 14),\r
-    kCLOCK_BI2c4 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 15),\r
-    kCLOCK_BI2c5 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 16),\r
-    kCLOCK_BI2c6 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 17),\r
-    kCLOCK_BI2c7 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 18),\r
-    kCLOCK_FlexI2s0 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 11),\r
-    kCLOCK_FlexI2s1 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 12),\r
-    kCLOCK_FlexI2s2 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 13),\r
-    kCLOCK_FlexI2s3 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 14),\r
-    kCLOCK_FlexI2s4 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 15),\r
-    kCLOCK_FlexI2s5 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 16),\r
-    kCLOCK_FlexI2s6 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 17),\r
-    kCLOCK_FlexI2s7 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 18),\r
-    kCLOCK_UsbTypc = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 20),\r
-    kCLOCK_Timer2 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 22),\r
-    kCLOCK_Usbd0 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 25),\r
-    kCLOCK_Timer0 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 26),\r
-    kCLOCK_Timer1 = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 27),\r
-    kCLOCK_Pvt = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 28),\r
-    kCLOCK_Ezha = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 30),\r
-    kCLOCK_Ezhb = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 31),\r
-    kCLOCK_Dma1 = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 1),\r
-    kCLOCK_Comp = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 2),\r
-    kCLOCK_Sdio = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 3),\r
-    kCLOCK_Usbh1 = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 4),\r
-    kCLOCK_Usbd1 = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 5),\r
-    kCLOCK_UsbRam1 = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 6),\r
-    kCLOCK_Usb1Clk = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 7),\r
-    kCLOCK_Freqme = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 8),\r
-    kCLOCK_Gpio4 = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 9),\r
-    kCLOCK_Gpio5 = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 10),\r
-    kCLOCK_Otp = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 12),\r
-    kCLOCK_Rng = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 13),\r
-    kCLOCK_InputMux1 = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 14),\r
-    kCLOCK_Sysctl = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 15),    \r
-    kCLOCK_Usbhmr0 = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 16),\r
-    kCLOCK_Usbhsl0 = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 17),\r
-    kCLOCK_HashCrypt = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 18),\r
-    kCLOCK_PowerQuad = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 19),\r
-    kCLOCK_PluLut = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 20),\r
-    kCLOCK_Timer3 = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 21),\r
-    kCLOCK_Timer4 = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 22),\r
-    kCLOCK_Puf = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 23),\r
-    kCLOCK_Casper = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 24),\r
-    kCLOCK_AnalogCtrl = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 27),\r
-    kCLOCK_Hs_Lspi = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 28),\r
-    kCLOCK_Gpio_Sec = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 29),\r
-    kCLOCK_Gpio_sec_Int = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 30)\r
+    kCLOCK_IpInvalid    = 0U,\r
+    kCLOCK_Rom          = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 1),\r
+    kCLOCK_Sram1        = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 3),\r
+    kCLOCK_Sram2        = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 4),\r
+    kCLOCK_Sram3        = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 5),\r
+    kCLOCK_Sram4        = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 6),\r
+    kCLOCK_Flash        = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 7),\r
+    kCLOCK_Fmc          = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 8),\r
+    kCLOCK_InputMux     = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 11),\r
+    kCLOCK_Iocon        = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 13),\r
+    kCLOCK_Gpio0        = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 14),\r
+    kCLOCK_Gpio1        = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 15),\r
+    kCLOCK_Gpio2        = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 16),\r
+    kCLOCK_Gpio3        = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 17),\r
+    kCLOCK_Pint         = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 18),\r
+    kCLOCK_Gint         = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 19),\r
+    kCLOCK_Dma0         = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 20),\r
+    kCLOCK_Crc          = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 21),\r
+    kCLOCK_Wwdt         = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 22),\r
+    kCLOCK_Rtc          = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 23),\r
+    kCLOCK_Mailbox      = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 26),\r
+    kCLOCK_Adc0         = CLK_GATE_DEFINE(AHB_CLK_CTRL0, 27),\r
+    kCLOCK_Mrt          = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 0),\r
+    kCLOCK_OsTimer0     = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 1),\r
+    kCLOCK_Sct0         = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 2),\r
+    kCLOCK_Utick0       = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 10),\r
+    kCLOCK_FlexComm0    = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 11),\r
+    kCLOCK_FlexComm1    = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 12),\r
+    kCLOCK_FlexComm2    = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 13),\r
+    kCLOCK_FlexComm3    = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 14),\r
+    kCLOCK_FlexComm4    = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 15),\r
+    kCLOCK_FlexComm5    = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 16),\r
+    kCLOCK_FlexComm6    = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 17),\r
+    kCLOCK_FlexComm7    = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 18),\r
+    kCLOCK_MinUart0     = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 11),\r
+    kCLOCK_MinUart1     = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 12),\r
+    kCLOCK_MinUart2     = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 13),\r
+    kCLOCK_MinUart3     = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 14),\r
+    kCLOCK_MinUart4     = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 15),\r
+    kCLOCK_MinUart5     = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 16),\r
+    kCLOCK_MinUart6     = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 17),\r
+    kCLOCK_MinUart7     = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 18),\r
+    kCLOCK_LSpi0        = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 11),\r
+    kCLOCK_LSpi1        = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 12),\r
+    kCLOCK_LSpi2        = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 13),\r
+    kCLOCK_LSpi3        = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 14),\r
+    kCLOCK_LSpi4        = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 15),\r
+    kCLOCK_LSpi5        = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 16),\r
+    kCLOCK_LSpi6        = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 17),\r
+    kCLOCK_LSpi7        = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 18),\r
+    kCLOCK_BI2c0        = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 11),\r
+    kCLOCK_BI2c1        = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 12),\r
+    kCLOCK_BI2c2        = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 13),\r
+    kCLOCK_BI2c3        = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 14),\r
+    kCLOCK_BI2c4        = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 15),\r
+    kCLOCK_BI2c5        = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 16),\r
+    kCLOCK_BI2c6        = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 17),\r
+    kCLOCK_BI2c7        = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 18),\r
+    kCLOCK_FlexI2s0     = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 11),\r
+    kCLOCK_FlexI2s1     = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 12),\r
+    kCLOCK_FlexI2s2     = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 13),\r
+    kCLOCK_FlexI2s3     = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 14),\r
+    kCLOCK_FlexI2s4     = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 15),\r
+    kCLOCK_FlexI2s5     = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 16),\r
+    kCLOCK_FlexI2s6     = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 17),\r
+    kCLOCK_FlexI2s7     = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 18),\r
+    kCLOCK_Timer2       = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 22),\r
+    kCLOCK_Usbd0        = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 25),\r
+    kCLOCK_Timer0       = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 26),\r
+    kCLOCK_Timer1       = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 27),\r
+    kCLOCK_Pvt          = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 28),\r
+    kCLOCK_Ezha         = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 30),\r
+    kCLOCK_Ezhb         = CLK_GATE_DEFINE(AHB_CLK_CTRL1, 31),\r
+    kCLOCK_Dma1         = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 1),\r
+    kCLOCK_Comp         = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 2),\r
+    kCLOCK_Sdio         = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 3),\r
+    kCLOCK_Usbh1        = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 4),\r
+    kCLOCK_Usbd1        = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 5),\r
+    kCLOCK_UsbRam1      = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 6),\r
+    kCLOCK_Usb1Clk      = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 7),\r
+    kCLOCK_Freqme       = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 8),\r
+    kCLOCK_Rng          = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 13),\r
+    kCLOCK_InputMux1    = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 14),\r
+    kCLOCK_Sysctl       = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 15),\r
+    kCLOCK_Usbhmr0      = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 16),\r
+    kCLOCK_Usbhsl0      = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 17),\r
+    kCLOCK_HashCrypt    = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 18),\r
+    kCLOCK_PowerQuad    = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 19),\r
+    kCLOCK_PluLut       = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 20),\r
+    kCLOCK_Timer3       = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 21),\r
+    kCLOCK_Timer4       = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 22),\r
+    kCLOCK_Puf          = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 23),\r
+    kCLOCK_Casper       = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 24),\r
+    kCLOCK_AnalogCtrl   = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 27),\r
+    kCLOCK_Hs_Lspi      = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 28),\r
+    kCLOCK_Gpio_Sec     = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 29),\r
+    kCLOCK_Gpio_Sec_Int = CLK_GATE_DEFINE(AHB_CLK_CTRL2, 30)\r
 } clock_ip_name_t;\r
 \r
 /*! @brief Peripherals clock source definition. */\r
@@ -455,286 +422,262 @@ typedef enum _clock_name
     kCLOCK_BusClk,     /*!< Bus clock (AHB clock)                                   */\r
     kCLOCK_ClockOut,   /*!< CLOCKOUT                                                */\r
     kCLOCK_FroHf,      /*!< FRO48/96                                                */\r
-    kCLOCK_Adc,        /*!< ADC                                                     */\r
-    kCLOCK_Usb0,       /*!< USB0                                                    */\r
-    kCLOCK_Usb1,       /*!< USB1                                                    */\r
     kCLOCK_Pll1Out,    /*!< PLL1 Output                                             */\r
     kCLOCK_Mclk,       /*!< MCLK                                                    */\r
-    kCLOCK_Sct,        /*!< SCT                                                     */\r
-    kCLOCK_SDio,       /*!< SDIO                                                    */\r
     kCLOCK_Fro12M,     /*!< FRO12M                                                  */\r
     kCLOCK_ExtClk,     /*!< External Clock                                          */\r
     kCLOCK_Pll0Out,    /*!< PLL0 Output                                             */\r
-    kCLOCK_WdtClk,     /*!< Watchdog clock                                          */\r
     kCLOCK_FlexI2S,    /*!< FlexI2S clock                                           */\r
-    kCLOCK_Flexcomm0,  /*!< Flexcomm0Clock                                          */\r
-    kCLOCK_Flexcomm1,  /*!< Flexcomm1Clock                                          */\r
-    kCLOCK_Flexcomm2,  /*!< Flexcomm2Clock                                          */\r
-    kCLOCK_Flexcomm3,  /*!< Flexcomm3Clock                                          */\r
-    kCLOCK_Flexcomm4,  /*!< Flexcomm4Clock                                          */\r
-    kCLOCK_Flexcomm5,  /*!< Flexcomm5Clock                                          */\r
-    kCLOCK_Flexcomm6,  /*!< Flexcomm6Clock                                          */\r
-    kCLOCK_Flexcomm7,  /*!< Flexcomm7Clock                                          */\r
-    kCLOCK_HsLspi,     /*!< HS LPSPI Clock                                          */\r
-    kCLOCK_CTmier0,    /*!< CTmier0Clock                                            */\r
-    kCLOCK_CTmier1,    /*!< CTmier1Clock                                            */\r
-    kCLOCK_CTmier2,    /*!< CTmier2Clock                                            */\r
-    kCLOCK_CTmier3,    /*!< CTmier3Clock                                            */\r
-    kCLOCK_CTmier4,    /*!< CTmier4Clock                                            */\r
-    kCLOCK_Systick0,   /*!< System Tick 0 Clock                                     */\r
-    kCLOCK_Systick1,   /*!< System Tick 1 Clock                                     */\r
 \r
 } clock_name_t;\r
 \r
 /*! @brief Clock Mux Switches\r
-*  The encoding is as follows each connection identified is 32bits wide while 24bits are valuable\r
-*  starting from LSB upwards\r
-*\r
-*  [4 bits for choice, 0 means invalid choice] [8 bits mux ID]*\r
-*\r
-*/\r
+ *  The encoding is as follows each connection identified is 32bits wide while 24bits are valuable\r
+ *  starting from LSB upwards\r
+ *\r
+ *  [4 bits for choice, 0 means invalid choice] [8 bits mux ID]*\r
+ *\r
+ */\r
 \r
-#define CLK_ATTACH_ID(mux, sel, pos) (((mux << 0U) | ((sel + 1) & 0xFU) << 8U) << (pos * 12U))\r
-#define MUX_A(mux, sel) CLK_ATTACH_ID(mux, sel, 0U)\r
-#define MUX_B(mux, sel, selector) (CLK_ATTACH_ID(mux, sel, 1U) | (selector << 24U))\r
+#define CLK_ATTACH_ID(mux, sel, pos) \\r
+    ((((uint32_t)(mux) << 0U) | (((uint32_t)(sel) + 1U) & 0xFU) << 8U) << ((uint32_t)(pos)*12U))\r
+#define MUX_A(mux, sel) CLK_ATTACH_ID((mux), (sel), 0U)\r
+#define MUX_B(mux, sel, selector) (CLK_ATTACH_ID((mux), (sel), 1U) | ((selector) << 24U))\r
 \r
 #define GET_ID_ITEM(connection) ((connection)&0xFFFU)\r
 #define GET_ID_NEXT_ITEM(connection) ((connection) >> 12U)\r
-#define GET_ID_ITEM_MUX(connection) ((connection)&0xFFU)\r
-#define GET_ID_ITEM_SEL(connection) ((((connection)&0xF00U) >> 8U) - 1U)\r
+#define GET_ID_ITEM_MUX(connection) (((uint8_t)connection) & 0xFFU)\r
+#define GET_ID_ITEM_SEL(connection) ((uint8_t)((((uint32_t)(connection)&0xF00U) >> 8U) - 1U))\r
 #define GET_ID_SELECTOR(connection) ((connection)&0xF000000U)\r
 \r
-#define CM_SYSTICKCLKSEL0 0\r
-#define CM_SYSTICKCLKSEL1 1\r
-#define CM_TRACECLKSEL 2\r
-#define CM_CTIMERCLKSEL0 3\r
-#define CM_CTIMERCLKSEL1 4\r
-#define CM_CTIMERCLKSEL2 5\r
-#define CM_CTIMERCLKSEL3 6\r
-#define CM_CTIMERCLKSEL4 7\r
-#define CM_MAINCLKSELA 8\r
-#define CM_MAINCLKSELB 9\r
-#define CM_CLKOUTCLKSEL 10\r
-#define CM_PLL0CLKSEL 12\r
-#define CM_PLL1CLKSEL 13\r
-#define CM_ADCASYNCCLKSEL 17\r
-#define CM_USB0CLKSEL 18\r
-#define CM_FXCOMCLKSEL0 20\r
-#define CM_FXCOMCLKSEL1 21\r
-#define CM_FXCOMCLKSEL2 22\r
-#define CM_FXCOMCLKSEL3 23\r
-#define CM_FXCOMCLKSEL4 24\r
-#define CM_FXCOMCLKSEL5 25\r
-#define CM_FXCOMCLKSEL6 26\r
-#define CM_FXCOMCLKSEL7 27\r
-#define CM_HSLSPICLKSEL 28\r
-#define CM_MCLKCLKSEL 32\r
-#define CM_SCTCLKSEL 36\r
-#define CM_SDIOCLKSEL 38\r
-\r
-#define CM_RTCOSC32KCLKSEL 63\r
+#define CM_SYSTICKCLKSEL0 0U\r
+#define CM_SYSTICKCLKSEL1 1U\r
+#define CM_TRACECLKSEL 2U\r
+#define CM_CTIMERCLKSEL0 3U\r
+#define CM_CTIMERCLKSEL1 4U\r
+#define CM_CTIMERCLKSEL2 5U\r
+#define CM_CTIMERCLKSEL3 6U\r
+#define CM_CTIMERCLKSEL4 7U\r
+#define CM_MAINCLKSELA 8U\r
+#define CM_MAINCLKSELB 9U\r
+#define CM_CLKOUTCLKSEL 10U\r
+#define CM_PLL0CLKSEL 12U\r
+#define CM_PLL1CLKSEL 13U\r
+#define CM_ADCASYNCCLKSEL 17U\r
+#define CM_USB0CLKSEL 18U\r
+#define CM_FXCOMCLKSEL0 20U\r
+#define CM_FXCOMCLKSEL1 21U\r
+#define CM_FXCOMCLKSEL2 22U\r
+#define CM_FXCOMCLKSEL3 23U\r
+#define CM_FXCOMCLKSEL4 24U\r
+#define CM_FXCOMCLKSEL5 25U\r
+#define CM_FXCOMCLKSEL6 26U\r
+#define CM_FXCOMCLKSEL7 27U\r
+#define CM_HSLSPICLKSEL 28U\r
+#define CM_MCLKCLKSEL 32U\r
+#define CM_SCTCLKSEL 36U\r
+#define CM_SDIOCLKSEL 38U\r
+\r
+#define CM_RTCOSC32KCLKSEL 63U\r
 \r
 typedef enum _clock_attach_id\r
 {\r
 \r
-    kFRO12M_to_MAIN_CLK = MUX_A(CM_MAINCLKSELA, 0) | MUX_B(CM_MAINCLKSELB, 0, 0),\r
+    kFRO12M_to_MAIN_CLK  = MUX_A(CM_MAINCLKSELA, 0) | MUX_B(CM_MAINCLKSELB, 0, 0),\r
     kEXT_CLK_to_MAIN_CLK = MUX_A(CM_MAINCLKSELA, 1) | MUX_B(CM_MAINCLKSELB, 0, 0),\r
-    kFRO1M_to_MAIN_CLK = MUX_A(CM_MAINCLKSELA, 2) | MUX_B(CM_MAINCLKSELB, 0, 0),\r
-    kFRO_HF_to_MAIN_CLK = MUX_A(CM_MAINCLKSELA, 3) | MUX_B(CM_MAINCLKSELB, 0, 0),\r
-    kPLL0_to_MAIN_CLK = MUX_A(CM_MAINCLKSELA, 0) | MUX_B(CM_MAINCLKSELB, 1, 0),\r
-    kPLL1_to_MAIN_CLK = MUX_A(CM_MAINCLKSELA, 0) | MUX_B(CM_MAINCLKSELB, 2, 0),\r
-    kOSC32K_to_MAIN_CLK = MUX_A(CM_MAINCLKSELA, 0) | MUX_B(CM_MAINCLKSELB, 3, 0),\r
+    kFRO1M_to_MAIN_CLK   = MUX_A(CM_MAINCLKSELA, 2) | MUX_B(CM_MAINCLKSELB, 0, 0),\r
+    kFRO_HF_to_MAIN_CLK  = MUX_A(CM_MAINCLKSELA, 3) | MUX_B(CM_MAINCLKSELB, 0, 0),\r
+    kPLL0_to_MAIN_CLK    = MUX_A(CM_MAINCLKSELA, 0) | MUX_B(CM_MAINCLKSELB, 1, 0),\r
+    kPLL1_to_MAIN_CLK    = MUX_A(CM_MAINCLKSELA, 0) | MUX_B(CM_MAINCLKSELB, 2, 0),\r
+    kOSC32K_to_MAIN_CLK  = MUX_A(CM_MAINCLKSELA, 0) | MUX_B(CM_MAINCLKSELB, 3, 0),\r
 \r
     kMAIN_CLK_to_CLKOUT = MUX_A(CM_CLKOUTCLKSEL, 0),\r
-    kPLL0_to_CLKOUT = MUX_A(CM_CLKOUTCLKSEL, 1),\r
-    kEXT_CLK_to_CLKOUT = MUX_A(CM_CLKOUTCLKSEL, 2),\r
-    kFRO_HF_to_CLKOUT = MUX_A(CM_CLKOUTCLKSEL, 3),\r
-    kFRO1M_to_CLKOUT = MUX_A(CM_CLKOUTCLKSEL, 4),\r
-    kPLL1_to_CLKOUT = MUX_A(CM_CLKOUTCLKSEL, 5),\r
-    kOSC32K_to_CLKOUT = MUX_A(CM_CLKOUTCLKSEL, 6),\r
+    kPLL0_to_CLKOUT     = MUX_A(CM_CLKOUTCLKSEL, 1),\r
+    kEXT_CLK_to_CLKOUT  = MUX_A(CM_CLKOUTCLKSEL, 2),\r
+    kFRO_HF_to_CLKOUT   = MUX_A(CM_CLKOUTCLKSEL, 3),\r
+    kFRO1M_to_CLKOUT    = MUX_A(CM_CLKOUTCLKSEL, 4),\r
+    kPLL1_to_CLKOUT     = MUX_A(CM_CLKOUTCLKSEL, 5),\r
+    kOSC32K_to_CLKOUT   = MUX_A(CM_CLKOUTCLKSEL, 6),\r
     kNONE_to_SYS_CLKOUT = MUX_A(CM_CLKOUTCLKSEL, 7),\r
 \r
-    kFRO12M_to_PLL0 = MUX_A(CM_PLL0CLKSEL, 0),\r
+    kFRO12M_to_PLL0  = MUX_A(CM_PLL0CLKSEL, 0),\r
     kEXT_CLK_to_PLL0 = MUX_A(CM_PLL0CLKSEL, 1),\r
-    kFRO1M_to_PLL0 = MUX_A(CM_PLL0CLKSEL, 2),\r
-    kOSC32K_to_PLL0 = MUX_A(CM_PLL0CLKSEL, 3),\r
-    kNONE_to_PLL0 = MUX_A(CM_PLL0CLKSEL, 7),\r
+    kFRO1M_to_PLL0   = MUX_A(CM_PLL0CLKSEL, 2),\r
+    kOSC32K_to_PLL0  = MUX_A(CM_PLL0CLKSEL, 3),\r
+    kNONE_to_PLL0    = MUX_A(CM_PLL0CLKSEL, 7),\r
 \r
     kMAIN_CLK_to_ADC_CLK = MUX_A(CM_ADCASYNCCLKSEL, 0),\r
-    kPLL0_to_ADC_CLK = MUX_A(CM_ADCASYNCCLKSEL, 1),\r
-    kFRO_HF_to_ADC_CLK = MUX_A(CM_ADCASYNCCLKSEL, 2),\r
-    kFRO1M_to_ADC_CLK = MUX_A(CM_ADCASYNCCLKSEL, 3), /* Need confirm */\r
-    kNONE_to_ADC_CLK = MUX_A(CM_ADCASYNCCLKSEL, 7),\r
+    kPLL0_to_ADC_CLK     = MUX_A(CM_ADCASYNCCLKSEL, 1),\r
+    kFRO_HF_to_ADC_CLK   = MUX_A(CM_ADCASYNCCLKSEL, 2),\r
+    kNONE_to_ADC_CLK     = MUX_A(CM_ADCASYNCCLKSEL, 7),\r
 \r
     kMAIN_CLK_to_USB0_CLK = MUX_A(CM_USB0CLKSEL, 0),\r
-    kPLL0_to_USB0_CLK = MUX_A(CM_USB0CLKSEL, 1),\r
-    kFRO_HF_to_USB0_CLK = MUX_A(CM_USB0CLKSEL, 3),\r
-    kPLL1_to_USB0_CLK = MUX_A(CM_USB0CLKSEL, 5),\r
-    kNONE_to_USB0_CLK = MUX_A(CM_USB0CLKSEL, 7),\r
-\r
-    kMAIN_CLK_to_FLEXCOMM0 = MUX_A(CM_FXCOMCLKSEL0, 0),\r
-    kPLL0_DIV_to_FLEXCOMM0 = MUX_A(CM_FXCOMCLKSEL0, 1),\r
-    kFRO12M_to_FLEXCOMM0 = MUX_A(CM_FXCOMCLKSEL0, 2),\r
+    kPLL0_to_USB0_CLK     = MUX_A(CM_USB0CLKSEL, 1),\r
+    kFRO_HF_to_USB0_CLK   = MUX_A(CM_USB0CLKSEL, 3),\r
+    kPLL1_to_USB0_CLK     = MUX_A(CM_USB0CLKSEL, 5),\r
+    kNONE_to_USB0_CLK     = MUX_A(CM_USB0CLKSEL, 7),\r
+\r
+    kMAIN_CLK_to_FLEXCOMM0   = MUX_A(CM_FXCOMCLKSEL0, 0),\r
+    kPLL0_DIV_to_FLEXCOMM0   = MUX_A(CM_FXCOMCLKSEL0, 1),\r
+    kFRO12M_to_FLEXCOMM0     = MUX_A(CM_FXCOMCLKSEL0, 2),\r
     kFRO_HF_DIV_to_FLEXCOMM0 = MUX_A(CM_FXCOMCLKSEL0, 3),\r
-    kFRO1M_to_FLEXCOMM0 = MUX_A(CM_FXCOMCLKSEL0, 4),\r
-    kMCLK_to_FLEXCOMM0 = MUX_A(CM_FXCOMCLKSEL0, 5),\r
-    kOSC32K_to_FLEXCOMM0 = MUX_A(CM_FXCOMCLKSEL0, 6),\r
-    kNONE_to_FLEXCOMM0 = MUX_A(CM_FXCOMCLKSEL0, 7),\r
-\r
-    kMAIN_CLK_to_FLEXCOMM1 = MUX_A(CM_FXCOMCLKSEL1, 0),\r
-    kPLL0_DIV_to_FLEXCOMM1 = MUX_A(CM_FXCOMCLKSEL1, 1),\r
-    kFRO12M_to_FLEXCOMM1 = MUX_A(CM_FXCOMCLKSEL1, 2),\r
+    kFRO1M_to_FLEXCOMM0      = MUX_A(CM_FXCOMCLKSEL0, 4),\r
+    kMCLK_to_FLEXCOMM0       = MUX_A(CM_FXCOMCLKSEL0, 5),\r
+    kOSC32K_to_FLEXCOMM0     = MUX_A(CM_FXCOMCLKSEL0, 6),\r
+    kNONE_to_FLEXCOMM0       = MUX_A(CM_FXCOMCLKSEL0, 7),\r
+\r
+    kMAIN_CLK_to_FLEXCOMM1   = MUX_A(CM_FXCOMCLKSEL1, 0),\r
+    kPLL0_DIV_to_FLEXCOMM1   = MUX_A(CM_FXCOMCLKSEL1, 1),\r
+    kFRO12M_to_FLEXCOMM1     = MUX_A(CM_FXCOMCLKSEL1, 2),\r
     kFRO_HF_DIV_to_FLEXCOMM1 = MUX_A(CM_FXCOMCLKSEL1, 3),\r
-    kFRO1M_to_FLEXCOMM1 = MUX_A(CM_FXCOMCLKSEL1, 4),\r
-    kMCLK_to_FLEXCOMM1 = MUX_A(CM_FXCOMCLKSEL1, 5),\r
-    kOSC32K_to_FLEXCOMM1 = MUX_A(CM_FXCOMCLKSEL1, 6),\r
-    kNONE_to_FLEXCOMM1 = MUX_A(CM_FXCOMCLKSEL1, 7),\r
-\r
-    kMAIN_CLK_to_FLEXCOMM2 = MUX_A(CM_FXCOMCLKSEL2, 0),\r
-    kPLL0_DIV_to_FLEXCOMM2 = MUX_A(CM_FXCOMCLKSEL2, 1),\r
-    kFRO12M_to_FLEXCOMM2 = MUX_A(CM_FXCOMCLKSEL2, 2),\r
+    kFRO1M_to_FLEXCOMM1      = MUX_A(CM_FXCOMCLKSEL1, 4),\r
+    kMCLK_to_FLEXCOMM1       = MUX_A(CM_FXCOMCLKSEL1, 5),\r
+    kOSC32K_to_FLEXCOMM1     = MUX_A(CM_FXCOMCLKSEL1, 6),\r
+    kNONE_to_FLEXCOMM1       = MUX_A(CM_FXCOMCLKSEL1, 7),\r
+\r
+    kMAIN_CLK_to_FLEXCOMM2   = MUX_A(CM_FXCOMCLKSEL2, 0),\r
+    kPLL0_DIV_to_FLEXCOMM2   = MUX_A(CM_FXCOMCLKSEL2, 1),\r
+    kFRO12M_to_FLEXCOMM2     = MUX_A(CM_FXCOMCLKSEL2, 2),\r
     kFRO_HF_DIV_to_FLEXCOMM2 = MUX_A(CM_FXCOMCLKSEL2, 3),\r
-    kFRO1M_to_FLEXCOMM2 = MUX_A(CM_FXCOMCLKSEL2, 4),\r
-    kMCLK_to_FLEXCOMM2 = MUX_A(CM_FXCOMCLKSEL2, 5),\r
-    kOSC32K_to_FLEXCOMM2 = MUX_A(CM_FXCOMCLKSEL2, 6),\r
-    kNONE_to_FLEXCOMM2 = MUX_A(CM_FXCOMCLKSEL2, 7),\r
-\r
-    kMAIN_CLK_to_FLEXCOMM3 = MUX_A(CM_FXCOMCLKSEL3, 0),\r
-    kPLL0_DIV_to_FLEXCOMM3 = MUX_A(CM_FXCOMCLKSEL3, 1),\r
-    kFRO12M_to_FLEXCOMM3 = MUX_A(CM_FXCOMCLKSEL3, 2),\r
+    kFRO1M_to_FLEXCOMM2      = MUX_A(CM_FXCOMCLKSEL2, 4),\r
+    kMCLK_to_FLEXCOMM2       = MUX_A(CM_FXCOMCLKSEL2, 5),\r
+    kOSC32K_to_FLEXCOMM2     = MUX_A(CM_FXCOMCLKSEL2, 6),\r
+    kNONE_to_FLEXCOMM2       = MUX_A(CM_FXCOMCLKSEL2, 7),\r
+\r
+    kMAIN_CLK_to_FLEXCOMM3   = MUX_A(CM_FXCOMCLKSEL3, 0),\r
+    kPLL0_DIV_to_FLEXCOMM3   = MUX_A(CM_FXCOMCLKSEL3, 1),\r
+    kFRO12M_to_FLEXCOMM3     = MUX_A(CM_FXCOMCLKSEL3, 2),\r
     kFRO_HF_DIV_to_FLEXCOMM3 = MUX_A(CM_FXCOMCLKSEL3, 3),\r
-    kFRO1M_to_FLEXCOMM3 = MUX_A(CM_FXCOMCLKSEL3, 4),\r
-    kMCLK_to_FLEXCOMM3 = MUX_A(CM_FXCOMCLKSEL3, 5),\r
-    kOSC32K_to_FLEXCOMM3 = MUX_A(CM_FXCOMCLKSEL3, 6),\r
-    kNONE_to_FLEXCOMM3 = MUX_A(CM_FXCOMCLKSEL3, 7),\r
-\r
-    kMAIN_CLK_to_FLEXCOMM4 = MUX_A(CM_FXCOMCLKSEL4, 0),\r
-    kPLL0_DIV_to_FLEXCOMM4 = MUX_A(CM_FXCOMCLKSEL4, 1),\r
-    kFRO12M_to_FLEXCOMM4 = MUX_A(CM_FXCOMCLKSEL4, 2),\r
+    kFRO1M_to_FLEXCOMM3      = MUX_A(CM_FXCOMCLKSEL3, 4),\r
+    kMCLK_to_FLEXCOMM3       = MUX_A(CM_FXCOMCLKSEL3, 5),\r
+    kOSC32K_to_FLEXCOMM3     = MUX_A(CM_FXCOMCLKSEL3, 6),\r
+    kNONE_to_FLEXCOMM3       = MUX_A(CM_FXCOMCLKSEL3, 7),\r
+\r
+    kMAIN_CLK_to_FLEXCOMM4   = MUX_A(CM_FXCOMCLKSEL4, 0),\r
+    kPLL0_DIV_to_FLEXCOMM4   = MUX_A(CM_FXCOMCLKSEL4, 1),\r
+    kFRO12M_to_FLEXCOMM4     = MUX_A(CM_FXCOMCLKSEL4, 2),\r
     kFRO_HF_DIV_to_FLEXCOMM4 = MUX_A(CM_FXCOMCLKSEL4, 3),\r
-    kFRO1M_to_FLEXCOMM4 = MUX_A(CM_FXCOMCLKSEL4, 4),\r
-    kMCLK_to_FLEXCOMM4 = MUX_A(CM_FXCOMCLKSEL4, 5),\r
-    kOSC32K_to_FLEXCOMM4 = MUX_A(CM_FXCOMCLKSEL4, 6),\r
-    kNONE_to_FLEXCOMM4 = MUX_A(CM_FXCOMCLKSEL4, 7),\r
-\r
-    kMAIN_CLK_to_FLEXCOMM5 = MUX_A(CM_FXCOMCLKSEL5, 0),\r
-    kPLL0_DIV_to_FLEXCOMM5 = MUX_A(CM_FXCOMCLKSEL5, 1),\r
-    kFRO12M_to_FLEXCOMM5 = MUX_A(CM_FXCOMCLKSEL5, 2),\r
+    kFRO1M_to_FLEXCOMM4      = MUX_A(CM_FXCOMCLKSEL4, 4),\r
+    kMCLK_to_FLEXCOMM4       = MUX_A(CM_FXCOMCLKSEL4, 5),\r
+    kOSC32K_to_FLEXCOMM4     = MUX_A(CM_FXCOMCLKSEL4, 6),\r
+    kNONE_to_FLEXCOMM4       = MUX_A(CM_FXCOMCLKSEL4, 7),\r
+\r
+    kMAIN_CLK_to_FLEXCOMM5   = MUX_A(CM_FXCOMCLKSEL5, 0),\r
+    kPLL0_DIV_to_FLEXCOMM5   = MUX_A(CM_FXCOMCLKSEL5, 1),\r
+    kFRO12M_to_FLEXCOMM5     = MUX_A(CM_FXCOMCLKSEL5, 2),\r
     kFRO_HF_DIV_to_FLEXCOMM5 = MUX_A(CM_FXCOMCLKSEL5, 3),\r
-    kFRO1M_to_FLEXCOMM5 = MUX_A(CM_FXCOMCLKSEL5, 4),\r
-    kMCLK_to_FLEXCOMM5 = MUX_A(CM_FXCOMCLKSEL5, 5),\r
-    kOSC32K_to_FLEXCOMM5 = MUX_A(CM_FXCOMCLKSEL5, 6),\r
-    kNONE_to_FLEXCOMM5 = MUX_A(CM_FXCOMCLKSEL5, 7),\r
-\r
-    kMAIN_CLK_to_FLEXCOMM6 = MUX_A(CM_FXCOMCLKSEL6, 0),\r
-    kPLL0_DIV_to_FLEXCOMM6 = MUX_A(CM_FXCOMCLKSEL6, 1),\r
-    kFRO12M_to_FLEXCOMM6 = MUX_A(CM_FXCOMCLKSEL6, 2),\r
+    kFRO1M_to_FLEXCOMM5      = MUX_A(CM_FXCOMCLKSEL5, 4),\r
+    kMCLK_to_FLEXCOMM5       = MUX_A(CM_FXCOMCLKSEL5, 5),\r
+    kOSC32K_to_FLEXCOMM5     = MUX_A(CM_FXCOMCLKSEL5, 6),\r
+    kNONE_to_FLEXCOMM5       = MUX_A(CM_FXCOMCLKSEL5, 7),\r
+\r
+    kMAIN_CLK_to_FLEXCOMM6   = MUX_A(CM_FXCOMCLKSEL6, 0),\r
+    kPLL0_DIV_to_FLEXCOMM6   = MUX_A(CM_FXCOMCLKSEL6, 1),\r
+    kFRO12M_to_FLEXCOMM6     = MUX_A(CM_FXCOMCLKSEL6, 2),\r
     kFRO_HF_DIV_to_FLEXCOMM6 = MUX_A(CM_FXCOMCLKSEL6, 3),\r
-    kFRO1M_to_FLEXCOMM6 = MUX_A(CM_FXCOMCLKSEL6, 4),\r
-    kMCLK_to_FLEXCOMM6 = MUX_A(CM_FXCOMCLKSEL6, 5),\r
-    kOSC32K_to_FLEXCOMM6 = MUX_A(CM_FXCOMCLKSEL6, 6),\r
-    kNONE_to_FLEXCOMM6 = MUX_A(CM_FXCOMCLKSEL6, 7),\r
-\r
-    kMAIN_CLK_to_FLEXCOMM7 = MUX_A(CM_FXCOMCLKSEL7, 0),\r
-    kPLL0_DIV_to_FLEXCOMM7 = MUX_A(CM_FXCOMCLKSEL7, 1),\r
-    kFRO12M_to_FLEXCOMM7 = MUX_A(CM_FXCOMCLKSEL7, 2),\r
+    kFRO1M_to_FLEXCOMM6      = MUX_A(CM_FXCOMCLKSEL6, 4),\r
+    kMCLK_to_FLEXCOMM6       = MUX_A(CM_FXCOMCLKSEL6, 5),\r
+    kOSC32K_to_FLEXCOMM6     = MUX_A(CM_FXCOMCLKSEL6, 6),\r
+    kNONE_to_FLEXCOMM6       = MUX_A(CM_FXCOMCLKSEL6, 7),\r
+\r
+    kMAIN_CLK_to_FLEXCOMM7   = MUX_A(CM_FXCOMCLKSEL7, 0),\r
+    kPLL0_DIV_to_FLEXCOMM7   = MUX_A(CM_FXCOMCLKSEL7, 1),\r
+    kFRO12M_to_FLEXCOMM7     = MUX_A(CM_FXCOMCLKSEL7, 2),\r
     kFRO_HF_DIV_to_FLEXCOMM7 = MUX_A(CM_FXCOMCLKSEL7, 3),\r
-    kFRO1M_to_FLEXCOMM7 = MUX_A(CM_FXCOMCLKSEL7, 4),\r
-    kMCLK_to_FLEXCOMM7 = MUX_A(CM_FXCOMCLKSEL7, 5),\r
-    kOSC32K_to_FLEXCOMM7 = MUX_A(CM_FXCOMCLKSEL7, 6),\r
-    kNONE_to_FLEXCOMM7 = MUX_A(CM_FXCOMCLKSEL7, 7),\r
-\r
-    kMAIN_CLK_to_HSLSPI = MUX_A(CM_HSLSPICLKSEL, 0),\r
-    kPLL0_DIV_to_HSLSPI = MUX_A(CM_HSLSPICLKSEL, 1),\r
-    kFRO12M_to_HSLSPI = MUX_A(CM_HSLSPICLKSEL, 2),\r
+    kFRO1M_to_FLEXCOMM7      = MUX_A(CM_FXCOMCLKSEL7, 4),\r
+    kMCLK_to_FLEXCOMM7       = MUX_A(CM_FXCOMCLKSEL7, 5),\r
+    kOSC32K_to_FLEXCOMM7     = MUX_A(CM_FXCOMCLKSEL7, 6),\r
+    kNONE_to_FLEXCOMM7       = MUX_A(CM_FXCOMCLKSEL7, 7),\r
+\r
+    kMAIN_CLK_to_HSLSPI   = MUX_A(CM_HSLSPICLKSEL, 0),\r
+    kPLL0_DIV_to_HSLSPI   = MUX_A(CM_HSLSPICLKSEL, 1),\r
+    kFRO12M_to_HSLSPI     = MUX_A(CM_HSLSPICLKSEL, 2),\r
     kFRO_HF_DIV_to_HSLSPI = MUX_A(CM_HSLSPICLKSEL, 3),\r
-    kFRO1M_to_HSLSPI = MUX_A(CM_HSLSPICLKSEL, 4),\r
-    kOSC32K_to_HSLSPI = MUX_A(CM_HSLSPICLKSEL, 6),\r
-    kNONE_to_HSLSPI = MUX_A(CM_HSLSPICLKSEL, 7),\r
+    kFRO1M_to_HSLSPI      = MUX_A(CM_HSLSPICLKSEL, 4),\r
+    kOSC32K_to_HSLSPI     = MUX_A(CM_HSLSPICLKSEL, 6),\r
+    kNONE_to_HSLSPI       = MUX_A(CM_HSLSPICLKSEL, 7),\r
 \r
     kFRO_HF_to_MCLK = MUX_A(CM_MCLKCLKSEL, 0),\r
-    kPLL0_to_MCLK = MUX_A(CM_MCLKCLKSEL, 1),\r
-    kFRO1M_to_MCLK = MUX_A(CM_MCLKCLKSEL, 2),    /* Need confirm */\r
-    kMAIN_CLK_to_MCLK = MUX_A(CM_MCLKCLKSEL, 3), /* Need confirm */\r
-    kNONE_to_MCLK = MUX_A(CM_MCLKCLKSEL, 7),\r
+    kPLL0_to_MCLK   = MUX_A(CM_MCLKCLKSEL, 1),\r
+    kNONE_to_MCLK   = MUX_A(CM_MCLKCLKSEL, 7),\r
 \r
     kMAIN_CLK_to_SCT_CLK = MUX_A(CM_SCTCLKSEL, 0),\r
-    kPLL0_to_SCT_CLK = MUX_A(CM_SCTCLKSEL, 1),\r
-    kEXT_CLK_to_SCT_CLK = MUX_A(CM_SCTCLKSEL, 2),\r
-    kFRO_HF_to_SCT_CLK = MUX_A(CM_SCTCLKSEL, 3),\r
-    kMCLK_to_SCT_CLK = MUX_A(CM_SCTCLKSEL, 5),\r
-    kNONE_to_SCT_CLK = MUX_A(CM_SCTCLKSEL, 7),\r
+    kPLL0_to_SCT_CLK     = MUX_A(CM_SCTCLKSEL, 1),\r
+    kEXT_CLK_to_SCT_CLK  = MUX_A(CM_SCTCLKSEL, 2),\r
+    kFRO_HF_to_SCT_CLK   = MUX_A(CM_SCTCLKSEL, 3),\r
+    kMCLK_to_SCT_CLK     = MUX_A(CM_SCTCLKSEL, 5),\r
+    kNONE_to_SCT_CLK     = MUX_A(CM_SCTCLKSEL, 7),\r
 \r
     kMAIN_CLK_to_SDIO_CLK = MUX_A(CM_SDIOCLKSEL, 0),\r
-    kPLL0_to_SDIO_CLK = MUX_A(CM_SDIOCLKSEL, 1),\r
-    kFRO_HF_to_SDIO_CLK = MUX_A(CM_SDIOCLKSEL, 3),\r
-    kPLL1_to_SDIO_CLK = MUX_A(CM_SDIOCLKSEL, 5),\r
-    kNONE_to_SDIO_CLK = MUX_A(CM_SDIOCLKSEL, 7),\r
+    kPLL0_to_SDIO_CLK     = MUX_A(CM_SDIOCLKSEL, 1),\r
+    kFRO_HF_to_SDIO_CLK   = MUX_A(CM_SDIOCLKSEL, 3),\r
+    kPLL1_to_SDIO_CLK     = MUX_A(CM_SDIOCLKSEL, 5),\r
+    kNONE_to_SDIO_CLK     = MUX_A(CM_SDIOCLKSEL, 7),\r
 \r
-    kFRO32K_to_OSC32K = MUX_A(CM_RTCOSC32KCLKSEL, 0),\r
+    kFRO32K_to_OSC32K  = MUX_A(CM_RTCOSC32KCLKSEL, 0),\r
     kXTAL32K_to_OSC32K = MUX_A(CM_RTCOSC32KCLKSEL, 1),\r
 \r
     kTRACE_DIV_to_TRACE = MUX_A(CM_TRACECLKSEL, 0),\r
-    kFRO1M_to_TRACE = MUX_A(CM_TRACECLKSEL, 1),\r
-    kOSC32K_to_TRACE = MUX_A(CM_TRACECLKSEL, 2),\r
-    kNONE_to_TRACE = MUX_A(CM_TRACECLKSEL, 7),\r
+    kFRO1M_to_TRACE     = MUX_A(CM_TRACECLKSEL, 1),\r
+    kOSC32K_to_TRACE    = MUX_A(CM_TRACECLKSEL, 2),\r
+    kNONE_to_TRACE      = MUX_A(CM_TRACECLKSEL, 7),\r
 \r
     kSYSTICK_DIV0_to_SYSTICK0 = MUX_A(CM_SYSTICKCLKSEL0, 0),\r
-    kFRO1M_to_SYSTICK0 = MUX_A(CM_SYSTICKCLKSEL0, 1),\r
-    kOSC32K_to_SYSTICK0 = MUX_A(CM_SYSTICKCLKSEL0, 2),\r
-    kNONE_to_SYSTICK0 = MUX_A(CM_SYSTICKCLKSEL0, 7),\r
+    kFRO1M_to_SYSTICK0        = MUX_A(CM_SYSTICKCLKSEL0, 1),\r
+    kOSC32K_to_SYSTICK0       = MUX_A(CM_SYSTICKCLKSEL0, 2),\r
+    kNONE_to_SYSTICK0         = MUX_A(CM_SYSTICKCLKSEL0, 7),\r
 \r
     kSYSTICK_DIV1_to_SYSTICK1 = MUX_A(CM_SYSTICKCLKSEL1, 0),\r
-    kFRO1M_to_SYSTICK1 = MUX_A(CM_SYSTICKCLKSEL1, 1),\r
-    kOSC32K_to_SYSTICK1 = MUX_A(CM_SYSTICKCLKSEL1, 2),\r
-    kNONE_to_SYSTICK1 = MUX_A(CM_SYSTICKCLKSEL1, 7),\r
+    kFRO1M_to_SYSTICK1        = MUX_A(CM_SYSTICKCLKSEL1, 1),\r
+    kOSC32K_to_SYSTICK1       = MUX_A(CM_SYSTICKCLKSEL1, 2),\r
+    kNONE_to_SYSTICK1         = MUX_A(CM_SYSTICKCLKSEL1, 7),\r
 \r
-    kFRO12M_to_PLL1 = MUX_A(CM_PLL1CLKSEL, 0),\r
+    kFRO12M_to_PLL1  = MUX_A(CM_PLL1CLKSEL, 0),\r
     kEXT_CLK_to_PLL1 = MUX_A(CM_PLL1CLKSEL, 1),\r
-    kFRO1M_to_PLL1 = MUX_A(CM_PLL1CLKSEL, 2),\r
-    kOSC32K_to_PLL1 = MUX_A(CM_PLL1CLKSEL, 3),\r
-    kNONE_to_PLL1 = MUX_A(CM_PLL1CLKSEL, 7),\r
+    kFRO1M_to_PLL1   = MUX_A(CM_PLL1CLKSEL, 2),\r
+    kOSC32K_to_PLL1  = MUX_A(CM_PLL1CLKSEL, 3),\r
+    kNONE_to_PLL1    = MUX_A(CM_PLL1CLKSEL, 7),\r
 \r
     kMAIN_CLK_to_CTIMER0 = MUX_A(CM_CTIMERCLKSEL0, 0),\r
-    kPLL0_to_CTIMER0 = MUX_A(CM_CTIMERCLKSEL0, 1),\r
-    kFRO_HF_to_CTIMER0 = MUX_A(CM_CTIMERCLKSEL0, 3),\r
-    kFRO1M_to_CTIMER0 = MUX_A(CM_CTIMERCLKSEL0, 4),\r
-    kMCLK_to_CTIMER0 = MUX_A(CM_CTIMERCLKSEL0, 5),\r
-    kOSC32K_to_CTIMER0 = MUX_A(CM_CTIMERCLKSEL0, 6),\r
-    kNONE_to_CTIMER0 = MUX_A(CM_CTIMERCLKSEL0, 7),\r
+    kPLL0_to_CTIMER0     = MUX_A(CM_CTIMERCLKSEL0, 1),\r
+    kFRO_HF_to_CTIMER0   = MUX_A(CM_CTIMERCLKSEL0, 3),\r
+    kFRO1M_to_CTIMER0    = MUX_A(CM_CTIMERCLKSEL0, 4),\r
+    kMCLK_to_CTIMER0     = MUX_A(CM_CTIMERCLKSEL0, 5),\r
+    kOSC32K_to_CTIMER0   = MUX_A(CM_CTIMERCLKSEL0, 6),\r
+    kNONE_to_CTIMER0     = MUX_A(CM_CTIMERCLKSEL0, 7),\r
 \r
     kMAIN_CLK_to_CTIMER1 = MUX_A(CM_CTIMERCLKSEL1, 0),\r
-    kPLL0_to_CTIMER1 = MUX_A(CM_CTIMERCLKSEL1, 1),\r
-    kFRO_HF_to_CTIMER1 = MUX_A(CM_CTIMERCLKSEL1, 3),\r
-    kFRO1M_to_CTIMER1 = MUX_A(CM_CTIMERCLKSEL1, 4),\r
-    kMCLK_to_CTIMER1 = MUX_A(CM_CTIMERCLKSEL1, 5),\r
-    kOSC32K_to_CTIMER1 = MUX_A(CM_CTIMERCLKSEL1, 6),\r
-    kNONE_to_CTIMER1 = MUX_A(CM_CTIMERCLKSEL1, 7),\r
+    kPLL0_to_CTIMER1     = MUX_A(CM_CTIMERCLKSEL1, 1),\r
+    kFRO_HF_to_CTIMER1   = MUX_A(CM_CTIMERCLKSEL1, 3),\r
+    kFRO1M_to_CTIMER1    = MUX_A(CM_CTIMERCLKSEL1, 4),\r
+    kMCLK_to_CTIMER1     = MUX_A(CM_CTIMERCLKSEL1, 5),\r
+    kOSC32K_to_CTIMER1   = MUX_A(CM_CTIMERCLKSEL1, 6),\r
+    kNONE_to_CTIMER1     = MUX_A(CM_CTIMERCLKSEL1, 7),\r
 \r
     kMAIN_CLK_to_CTIMER2 = MUX_A(CM_CTIMERCLKSEL2, 0),\r
-    kPLL0_to_CTIMER2 = MUX_A(CM_CTIMERCLKSEL2, 1),\r
-    kFRO_HF_to_CTIMER2 = MUX_A(CM_CTIMERCLKSEL2, 3),\r
-    kFRO1M_to_CTIMER2 = MUX_A(CM_CTIMERCLKSEL2, 4),\r
-    kMCLK_to_CTIMER2 = MUX_A(CM_CTIMERCLKSEL2, 5),\r
-    kOSC32K_to_CTIMER2 = MUX_A(CM_CTIMERCLKSEL2, 6),\r
-    kNONE_to_CTIMER2 = MUX_A(CM_CTIMERCLKSEL2, 7),\r
+    kPLL0_to_CTIMER2     = MUX_A(CM_CTIMERCLKSEL2, 1),\r
+    kFRO_HF_to_CTIMER2   = MUX_A(CM_CTIMERCLKSEL2, 3),\r
+    kFRO1M_to_CTIMER2    = MUX_A(CM_CTIMERCLKSEL2, 4),\r
+    kMCLK_to_CTIMER2     = MUX_A(CM_CTIMERCLKSEL2, 5),\r
+    kOSC32K_to_CTIMER2   = MUX_A(CM_CTIMERCLKSEL2, 6),\r
+    kNONE_to_CTIMER2     = MUX_A(CM_CTIMERCLKSEL2, 7),\r
 \r
     kMAIN_CLK_to_CTIMER3 = MUX_A(CM_CTIMERCLKSEL3, 0),\r
-    kPLL0_to_CTIMER3 = MUX_A(CM_CTIMERCLKSEL3, 1),\r
-    kFRO_HF_to_CTIMER3 = MUX_A(CM_CTIMERCLKSEL3, 3),\r
-    kFRO1M_to_CTIMER3 = MUX_A(CM_CTIMERCLKSEL3, 4),\r
-    kMCLK_to_CTIMER3 = MUX_A(CM_CTIMERCLKSEL3, 5),\r
-    kOSC32K_to_CTIMER3 = MUX_A(CM_CTIMERCLKSEL3, 6),\r
-    kNONE_to_CTIMER3 = MUX_A(CM_CTIMERCLKSEL3, 7),\r
+    kPLL0_to_CTIMER3     = MUX_A(CM_CTIMERCLKSEL3, 1),\r
+    kFRO_HF_to_CTIMER3   = MUX_A(CM_CTIMERCLKSEL3, 3),\r
+    kFRO1M_to_CTIMER3    = MUX_A(CM_CTIMERCLKSEL3, 4),\r
+    kMCLK_to_CTIMER3     = MUX_A(CM_CTIMERCLKSEL3, 5),\r
+    kOSC32K_to_CTIMER3   = MUX_A(CM_CTIMERCLKSEL3, 6),\r
+    kNONE_to_CTIMER3     = MUX_A(CM_CTIMERCLKSEL3, 7),\r
 \r
     kMAIN_CLK_to_CTIMER4 = MUX_A(CM_CTIMERCLKSEL4, 0),\r
-    kPLL0_to_CTIMER4 = MUX_A(CM_CTIMERCLKSEL4, 1),\r
-    kFRO_HF_to_CTIMER4 = MUX_A(CM_CTIMERCLKSEL4, 3),\r
-    kFRO1M_to_CTIMER4 = MUX_A(CM_CTIMERCLKSEL4, 4),\r
-    kMCLK_to_CTIMER4 = MUX_A(CM_CTIMERCLKSEL4, 5),\r
-    kOSC32K_to_CTIMER4 = MUX_A(CM_CTIMERCLKSEL4, 6),\r
-    kNONE_to_CTIMER4 = MUX_A(CM_CTIMERCLKSEL4, 7),\r
-    kNONE_to_NONE = (int)0x80000000U,\r
+    kPLL0_to_CTIMER4     = MUX_A(CM_CTIMERCLKSEL4, 1),\r
+    kFRO_HF_to_CTIMER4   = MUX_A(CM_CTIMERCLKSEL4, 3),\r
+    kFRO1M_to_CTIMER4    = MUX_A(CM_CTIMERCLKSEL4, 4),\r
+    kMCLK_to_CTIMER4     = MUX_A(CM_CTIMERCLKSEL4, 5),\r
+    kOSC32K_to_CTIMER4   = MUX_A(CM_CTIMERCLKSEL4, 6),\r
+    kNONE_to_CTIMER4     = MUX_A(CM_CTIMERCLKSEL4, 7),\r
+    kNONE_to_NONE        = (int)0x80000000U,\r
 } clock_attach_id_t;\r
 \r
 /*  Clock dividers */\r
@@ -743,24 +686,24 @@ typedef enum _clock_div_name
     kCLOCK_DivSystickClk0 = 0,\r
     kCLOCK_DivSystickClk1 = 1,\r
     kCLOCK_DivArmTrClkDiv = 2,\r
-    kCLOCK_DivFlexFrg0 = 8,\r
-    kCLOCK_DivFlexFrg1 = 9,\r
-    kCLOCK_DivFlexFrg2 = 10,\r
-    kCLOCK_DivFlexFrg3 = 11,\r
-    kCLOCK_DivFlexFrg4 = 12,\r
-    kCLOCK_DivFlexFrg5 = 13,\r
-    kCLOCK_DivFlexFrg6 = 14,\r
-    kCLOCK_DivFlexFrg7 = 15,\r
-    kCLOCK_DivAhbClk = 32,\r
-    kCLOCK_DivClkOut = 33,\r
-    kCLOCK_DivFrohfClk = 34,\r
-    kCLOCK_DivWdtClk = 35,\r
+    kCLOCK_DivFlexFrg0    = 8,\r
+    kCLOCK_DivFlexFrg1    = 9,\r
+    kCLOCK_DivFlexFrg2    = 10,\r
+    kCLOCK_DivFlexFrg3    = 11,\r
+    kCLOCK_DivFlexFrg4    = 12,\r
+    kCLOCK_DivFlexFrg5    = 13,\r
+    kCLOCK_DivFlexFrg6    = 14,\r
+    kCLOCK_DivFlexFrg7    = 15,\r
+    kCLOCK_DivAhbClk      = 32,\r
+    kCLOCK_DivClkOut      = 33,\r
+    kCLOCK_DivFrohfClk    = 34,\r
+    kCLOCK_DivWdtClk      = 35,\r
     kCLOCK_DivAdcAsyncClk = 37,\r
-    kCLOCK_DivUsb0Clk = 38,\r
-    kCLOCK_DivMClk = 43,\r
-    kCLOCK_DivSctClk = 45,\r
-    kCLOCK_DivSdioClk = 47,\r
-    kCLOCK_DivPll0Clk = 49\r
+    kCLOCK_DivUsb0Clk     = 38,\r
+    kCLOCK_DivMClk        = 43,\r
+    kCLOCK_DivSctClk      = 45,\r
+    kCLOCK_DivSdioClk     = 47,\r
+    kCLOCK_DivPll0Clk     = 49\r
 } clock_div_name_t;\r
 \r
 /*******************************************************************************\r
@@ -778,8 +721,8 @@ extern "C" {
  */\r
 static inline void CLOCK_EnableClock(clock_ip_name_t clk)\r
 {\r
-    uint32_t index = CLK_GATE_ABSTRACT_REG_OFFSET(clk);\r
-    SYSCON->AHBCLKCTRLSET[index] = (1U << CLK_GATE_ABSTRACT_BITS_SHIFT(clk));\r
+    uint32_t index               = CLK_GATE_ABSTRACT_REG_OFFSET(clk);\r
+    SYSCON->AHBCLKCTRLSET[index] = (1UL << CLK_GATE_ABSTRACT_BITS_SHIFT(clk));\r
 }\r
 /**\r
  * @brief Disable the clock for specific IP.\r
@@ -788,8 +731,8 @@ static inline void CLOCK_EnableClock(clock_ip_name_t clk)
  */\r
 static inline void CLOCK_DisableClock(clock_ip_name_t clk)\r
 {\r
-    uint32_t index = CLK_GATE_ABSTRACT_REG_OFFSET(clk);\r
-    SYSCON->AHBCLKCTRLCLR[index] = (1U << CLK_GATE_ABSTRACT_BITS_SHIFT(clk));\r
+    uint32_t index               = CLK_GATE_ABSTRACT_REG_OFFSET(clk);\r
+    SYSCON->AHBCLKCTRLCLR[index] = (1UL << CLK_GATE_ABSTRACT_BITS_SHIFT(clk));\r
 }\r
 /**\r
  * @brief   Initialize the Core clock to given frequency (12, 48 or 96 MHz).\r
@@ -817,6 +760,12 @@ status_t CLOCK_SetupExtClocking(uint32_t iFreq);
  * @return  returns success or fail status.\r
  */\r
 status_t CLOCK_SetupI2SMClkClocking(uint32_t iFreq);\r
+/**\r
+ * @brief   Initialize the PLU CLKIN clock to given frequency.\r
+ * @param   iFreq   : Desired frequency (must be equal to exact rate in Hz)\r
+ * @return  returns success or fail status.\r
+ */\r
+status_t CLOCK_SetupPLUClkInClocking(uint32_t iFreq);\r
 /**\r
  * @brief   Configure the clock selection muxes.\r
  * @param   connection  : Clock to be configured.\r
@@ -939,6 +888,18 @@ uint32_t CLOCK_GetCoreSysClkFreq(void);
  *  @return Frequency of I2S MCLK Clock\r
  */\r
 uint32_t CLOCK_GetI2SMClkFreq(void);\r
+/*! @brief  Return Frequency of PLU CLKIN Clock\r
+ *  @return Frequency of PLU CLKIN Clock\r
+ */\r
+uint32_t CLOCK_GetPLUClkInFreq(void);\r
+/*! @brief  Return Frequency of FlexComm Clock\r
+ *  @return Frequency of FlexComm Clock\r
+ */\r
+uint32_t CLOCK_GetFlexCommClkFreq(uint32_t id);\r
+/*! @brief  Return Frequency of High speed SPI Clock\r
+ *  @return Frequency of High speed SPI Clock\r
+ */\r
+uint32_t CLOCK_GetHsLspiClkFreq(void);\r
 /*! @brief  Return Frequency of CTimer functional Clock\r
  *  @return Frequency of CTimer functional Clock\r
  */\r
@@ -949,37 +910,28 @@ uint32_t CLOCK_GetCTimerClkFreq(uint32_t id);
 uint32_t CLOCK_GetSystickClkFreq(uint32_t id);\r
 \r
 /*! @brief    Return  PLL0 input clock rate\r
-*  @return    PLL0 input clock rate\r
-*/\r
+ *  @return    PLL0 input clock rate\r
+ */\r
 uint32_t CLOCK_GetPLL0InClockRate(void);\r
 \r
 /*! @brief    Return  PLL1 input clock rate\r
-*  @return    PLL1 input clock rate\r
-*/\r
+ *  @return    PLL1 input clock rate\r
+ */\r
 uint32_t CLOCK_GetPLL1InClockRate(void);\r
 \r
 /*! @brief    Return  PLL0 output clock rate\r
-*  @param    recompute   : Forces a PLL rate recomputation if true\r
-*  @return    PLL0 output clock rate\r
-*  @note The PLL rate is cached in the driver in a variable as\r
-*  the rate computation function can take some time to perform. It\r
-*  is recommended to use 'false' with the 'recompute' parameter.\r
-*/\r
+ *  @param    recompute   : Forces a PLL rate recomputation if true\r
+ *  @return    PLL0 output clock rate\r
+ *  @note The PLL rate is cached in the driver in a variable as\r
+ *  the rate computation function can take some time to perform. It\r
+ *  is recommended to use 'false' with the 'recompute' parameter.\r
+ */\r
 uint32_t CLOCK_GetPLL0OutClockRate(bool recompute);\r
 \r
-/*! @brief    Return  PLL1 output clock rate\r
-*  @param    recompute   : Forces a PLL rate recomputation if true\r
-*  @return    PLL1 output clock rate\r
-*  @note The PLL rate is cached in the driver in a variable as\r
-*  the rate computation function can take some time to perform. It\r
-*  is recommended to use 'false' with the 'recompute' parameter.\r
-*/\r
-uint32_t CLOCK_GetPLL1OutClockRate(bool recompute);\r
-\r
 /*! @brief    Enables and disables PLL0 bypass mode\r
-*  @brief    bypass  : true to bypass PLL0 (PLL0 output = PLL0 input, false to disable bypass\r
-*  @return   PLL0 output clock rate\r
-*/\r
+ *  @brief    bypass  : true to bypass PLL0 (PLL0 output = PLL0 input, false to disable bypass\r
+ *  @return   PLL0 output clock rate\r
+ */\r
 __STATIC_INLINE void CLOCK_SetBypassPLL0(bool bypass)\r
 {\r
     if (bypass)\r
@@ -993,9 +945,9 @@ __STATIC_INLINE void CLOCK_SetBypassPLL0(bool bypass)
 }\r
 \r
 /*! @brief    Enables and disables PLL1 bypass mode\r
-*  @brief    bypass  : true to bypass PLL1 (PLL1 output = PLL1 input, false to disable bypass\r
-*  @return   PLL1 output clock rate\r
-*/\r
+ *  @brief    bypass  : true to bypass PLL1 (PLL1 output = PLL1 input, false to disable bypass\r
+ *  @return   PLL1 output clock rate\r
+ */\r
 __STATIC_INLINE void CLOCK_SetBypassPLL1(bool bypass)\r
 {\r
     if (bypass)\r
@@ -1009,11 +961,11 @@ __STATIC_INLINE void CLOCK_SetBypassPLL1(bool bypass)
 }\r
 \r
 /*! @brief    Check if PLL is locked or not\r
-*  @return   true if the PLL is locked, false if not locked\r
-*/\r
+ *  @return   true if the PLL is locked, false if not locked\r
+ */\r
 __STATIC_INLINE bool CLOCK_IsPLL0Locked(void)\r
 {\r
-    return (bool)((SYSCON->PLL0STAT & SYSCON_PLL0STAT_LOCK_MASK) != 0);\r
+    return (bool)((SYSCON->PLL0STAT & SYSCON_PLL0STAT_LOCK_MASK) != 0UL);\r
 }\r
 \r
 /*! @brief     Check if PLL1 is locked or not\r
@@ -1021,31 +973,31 @@ __STATIC_INLINE bool CLOCK_IsPLL0Locked(void)
  */\r
 __STATIC_INLINE bool CLOCK_IsPLL1Locked(void)\r
 {\r
-    return (bool)((SYSCON->PLL1STAT & SYSCON_PLL1STAT_LOCK_MASK) != 0);\r
+    return (bool)((SYSCON->PLL1STAT & SYSCON_PLL1STAT_LOCK_MASK) != 0UL);\r
 }\r
 \r
 /*! @brief Store the current PLL0 rate\r
-*  @param    rate: Current rate of the PLL0\r
-*  @return   Nothing\r
-**/\r
+ *  @param    rate: Current rate of the PLL0\r
+ *  @return   Nothing\r
+ **/\r
 void CLOCK_SetStoredPLL0ClockRate(uint32_t rate);\r
 \r
 /*! @brief PLL configuration structure flags for 'flags' field\r
-* These flags control how the PLL configuration function sets up the PLL setup structure.<br>\r
-*\r
-* When the PLL_CONFIGFLAG_USEINRATE flag is selected, the 'InputRate' field in the\r
-* configuration structure must be assigned with the expected PLL frequency. If the\r
-* PLL_CONFIGFLAG_USEINRATE is not used, 'InputRate' is ignored in the configuration\r
-* function and the driver will determine the PLL rate from the currently selected\r
-* PLL source. This flag might be used to configure the PLL input clock more accurately\r
-* when using the WDT oscillator or a more dyanmic CLKIN source.<br>\r
-*\r
-* When the PLL_CONFIGFLAG_FORCENOFRACT flag is selected, the PLL hardware for the\r
-* automatic bandwidth selection, Spread Spectrum (SS) support, and fractional M-divider\r
-* are not used.<br>\r
-*/\r
-#define PLL_CONFIGFLAG_USEINRATE (1 << 0) /*!< Flag to use InputRate in PLL configuration structure for setup */\r
-#define PLL_CONFIGFLAG_FORCENOFRACT (1 << 2)\r
+ * These flags control how the PLL configuration function sets up the PLL setup structure.<br>\r
+ *\r
+ * When the PLL_CONFIGFLAG_USEINRATE flag is selected, the 'InputRate' field in the\r
+ * configuration structure must be assigned with the expected PLL frequency. If the\r
+ * PLL_CONFIGFLAG_USEINRATE is not used, 'InputRate' is ignored in the configuration\r
+ * function and the driver will determine the PLL rate from the currently selected\r
+ * PLL source. This flag might be used to configure the PLL input clock more accurately\r
+ * when using the WDT oscillator or a more dyanmic CLKIN source.<br>\r
+ *\r
+ * When the PLL_CONFIGFLAG_FORCENOFRACT flag is selected, the PLL hardware for the\r
+ * automatic bandwidth selection, Spread Spectrum (SS) support, and fractional M-divider\r
+ * are not used.<br>\r
+ */\r
+#define PLL_CONFIGFLAG_USEINRATE (1U << 0U) /*!< Flag to use InputRate in PLL configuration structure for setup */\r
+#define PLL_CONFIGFLAG_FORCENOFRACT (1U << 2U)\r
 /*!< Force non-fractional output mode, PLL output will not use the fractional, automatic bandwidth, or SS hardware */\r
 \r
 /*! @brief PLL Spread Spectrum (SS) Programmable modulation frequency\r
@@ -1057,10 +1009,10 @@ typedef enum _ss_progmodfm
     kSS_MF_384 = (1 << 20), /*!< Nss ?= 384 (fm ? 5.2 - 10.4 kHz) */\r
     kSS_MF_256 = (2 << 20), /*!< Nss = 256 (fm ? 7.8 - 15.6 kHz) */\r
     kSS_MF_128 = (3 << 20), /*!< Nss = 128 (fm ? 15.6 - 31.3 kHz) */\r
-    kSS_MF_64 = (4 << 20),  /*!< Nss = 64 (fm ? 32.3 - 64.5 kHz) */\r
-    kSS_MF_32 = (5 << 20),  /*!< Nss = 32 (fm ? 62.5- 125 kHz) */\r
-    kSS_MF_24 = (6 << 20),  /*!< Nss ?= 24 (fm ? 83.3- 166.6 kHz) */\r
-    kSS_MF_16 = (7 << 20)   /*!< Nss = 16 (fm ? 125- 250 kHz) */\r
+    kSS_MF_64  = (4 << 20), /*!< Nss = 64 (fm ? 32.3 - 64.5 kHz) */\r
+    kSS_MF_32  = (5 << 20), /*!< Nss = 32 (fm ? 62.5- 125 kHz) */\r
+    kSS_MF_24  = (6 << 20), /*!< Nss ?= 24 (fm ? 83.3- 166.6 kHz) */\r
+    kSS_MF_16  = (7 << 20)  /*!< Nss = 16 (fm ? 125- 250 kHz) */\r
 } ss_progmodfm_t;\r
 \r
 /*! @brief PLL Spread Spectrum (SS) Programmable frequency modulation depth\r
@@ -1068,14 +1020,14 @@ typedef enum _ss_progmodfm
  */\r
 typedef enum _ss_progmoddp\r
 {\r
-    kSS_MR_K0 = (0 << 23),   /*!< k = 0 (no spread spectrum) */\r
-    kSS_MR_K1 = (1 << 23),   /*!< k = 1 */\r
+    kSS_MR_K0   = (0 << 23), /*!< k = 0 (no spread spectrum) */\r
+    kSS_MR_K1   = (1 << 23), /*!< k = 1 */\r
     kSS_MR_K1_5 = (2 << 23), /*!< k = 1.5 */\r
-    kSS_MR_K2 = (3 << 23),   /*!< k = 2 */\r
-    kSS_MR_K3 = (4 << 23),   /*!< k = 3 */\r
-    kSS_MR_K4 = (5 << 23),   /*!< k = 4 */\r
-    kSS_MR_K6 = (6 << 23),   /*!< k = 6 */\r
-    kSS_MR_K8 = (7 << 23)    /*!< k = 8 */\r
+    kSS_MR_K2   = (3 << 23), /*!< k = 2 */\r
+    kSS_MR_K3   = (4 << 23), /*!< k = 3 */\r
+    kSS_MR_K4   = (5 << 23), /*!< k = 4 */\r
+    kSS_MR_K6   = (6 << 23), /*!< k = 6 */\r
+    kSS_MR_K8   = (7 << 23)  /*!< k = 8 */\r
 } ss_progmoddp_t;\r
 \r
 /*! @brief PLL Spread Spectrum (SS) Modulation waveform control\r
@@ -1085,17 +1037,17 @@ typedef enum _ss_progmoddp
  */\r
 typedef enum _ss_modwvctrl\r
 {\r
-    kSS_MC_NOC = (0 << 26),  /*!< no compensation */\r
+    kSS_MC_NOC  = (0 << 26), /*!< no compensation */\r
     kSS_MC_RECC = (2 << 26), /*!< recommended setting */\r
     kSS_MC_MAXC = (3 << 26), /*!< max. compensation */\r
 } ss_modwvctrl_t;\r
 \r
 /*! @brief PLL configuration structure\r
-*\r
-* This structure can be used to configure the settings for a PLL\r
-* setup structure. Fill in the desired configuration for the PLL\r
-* and call the PLL setup function to fill in a PLL setup structure.\r
-*/\r
+ *\r
+ * This structure can be used to configure the settings for a PLL\r
+ * setup structure. Fill in the desired configuration for the PLL\r
+ * and call the PLL setup function to fill in a PLL setup structure.\r
+ */\r
 typedef struct _pll_config\r
 {\r
     uint32_t desiredRate; /*!< Desired PLL rate in Hz */\r
@@ -1113,20 +1065,20 @@ typedef struct _pll_config
 } pll_config_t;\r
 \r
 /*! @brief PLL setup structure flags for 'flags' field\r
-* These flags control how the PLL setup function sets up the PLL\r
-*/\r
-#define PLL_SETUPFLAG_POWERUP (1 << 0)         /*!< Setup will power on the PLL after setup */\r
-#define PLL_SETUPFLAG_WAITLOCK (1 << 1)        /*!< Setup will wait for PLL lock, implies the PLL will be pwoered on */\r
-#define PLL_SETUPFLAG_ADGVOLT (1 << 2)         /*!< Optimize system voltage for the new PLL rate */\r
-#define PLL_SETUPFLAG_USEFEEDBACKDIV2 (1 << 3) /*!< Use feedback divider by 2 in divider path */\r
+ * These flags control how the PLL setup function sets up the PLL\r
+ */\r
+#define PLL_SETUPFLAG_POWERUP (1U << 0U)  /*!< Setup will power on the PLL after setup */\r
+#define PLL_SETUPFLAG_WAITLOCK (1U << 1U) /*!< Setup will wait for PLL lock, implies the PLL will be pwoered on */\r
+#define PLL_SETUPFLAG_ADGVOLT (1U << 2U)  /*!< Optimize system voltage for the new PLL rate */\r
+#define PLL_SETUPFLAG_USEFEEDBACKDIV2 (1U << 3U) /*!< Use feedback divider by 2 in divider path */\r
 \r
 /*! @brief PLL0 setup structure\r
-* This structure can be used to pre-build a PLL setup configuration\r
-* at run-time and quickly set the PLL to the configuration. It can be\r
-* populated with the PLL setup function. If powering up or waiting\r
-* for PLL lock, the PLL input clock source should be configured prior\r
-* to PLL setup.\r
-*/\r
+ * This structure can be used to pre-build a PLL setup configuration\r
+ * at run-time and quickly set the PLL to the configuration. It can be\r
+ * populated with the PLL setup function. If powering up or waiting\r
+ * for PLL lock, the PLL input clock source should be configured prior\r
+ * to PLL setup.\r
+ */\r
 typedef struct _pll_setup\r
 {\r
     uint32_t pllctrl;    /*!< PLL control register PLL0CTRL */\r
@@ -1139,26 +1091,26 @@ typedef struct _pll_setup
 } pll_setup_t;\r
 \r
 /*! @brief PLL status definitions\r
-*/\r
+ */\r
 typedef enum _pll_error\r
 {\r
-    kStatus_PLL_Success = MAKE_STATUS(kStatusGroup_Generic, 0),         /*!< PLL operation was successful */\r
-    kStatus_PLL_OutputTooLow = MAKE_STATUS(kStatusGroup_Generic, 1),    /*!< PLL output rate request was too low */\r
-    kStatus_PLL_OutputTooHigh = MAKE_STATUS(kStatusGroup_Generic, 2),   /*!< PLL output rate request was too high */\r
-    kStatus_PLL_InputTooLow = MAKE_STATUS(kStatusGroup_Generic, 3),     /*!< PLL input rate is too low */\r
-    kStatus_PLL_InputTooHigh = MAKE_STATUS(kStatusGroup_Generic, 4),    /*!< PLL input rate is too high */\r
+    kStatus_PLL_Success         = MAKE_STATUS(kStatusGroup_Generic, 0), /*!< PLL operation was successful */\r
+    kStatus_PLL_OutputTooLow    = MAKE_STATUS(kStatusGroup_Generic, 1), /*!< PLL output rate request was too low */\r
+    kStatus_PLL_OutputTooHigh   = MAKE_STATUS(kStatusGroup_Generic, 2), /*!< PLL output rate request was too high */\r
+    kStatus_PLL_InputTooLow     = MAKE_STATUS(kStatusGroup_Generic, 3), /*!< PLL input rate is too low */\r
+    kStatus_PLL_InputTooHigh    = MAKE_STATUS(kStatusGroup_Generic, 4), /*!< PLL input rate is too high */\r
     kStatus_PLL_OutsideIntLimit = MAKE_STATUS(kStatusGroup_Generic, 5), /*!< Requested output rate isn't possible */\r
-    kStatus_PLL_CCOTooLow = MAKE_STATUS(kStatusGroup_Generic, 6),       /*!< Requested CCO rate isn't possible */\r
-    kStatus_PLL_CCOTooHigh = MAKE_STATUS(kStatusGroup_Generic, 7)       /*!< Requested CCO rate isn't possible */\r
+    kStatus_PLL_CCOTooLow       = MAKE_STATUS(kStatusGroup_Generic, 6), /*!< Requested CCO rate isn't possible */\r
+    kStatus_PLL_CCOTooHigh      = MAKE_STATUS(kStatusGroup_Generic, 7)  /*!< Requested CCO rate isn't possible */\r
 } pll_error_t;\r
 \r
 /*! @brief USB FS clock source definition. */\r
 typedef enum _clock_usbfs_src\r
 {\r
-    kCLOCK_UsbfsSrcFro = (uint32_t)kCLOCK_FroHf,            /*!< Use FRO 96 MHz. */\r
-    kCLOCK_UsbfsSrcPll0 = (uint32_t)kCLOCK_Pll0Out,         /*!< Use PLL0 output. */\r
+    kCLOCK_UsbfsSrcFro       = (uint32_t)kCLOCK_FroHf,      /*!< Use FRO 96 MHz. */\r
+    kCLOCK_UsbfsSrcPll0      = (uint32_t)kCLOCK_Pll0Out,    /*!< Use PLL0 output. */\r
     kCLOCK_UsbfsSrcMainClock = (uint32_t)kCLOCK_CoreSysClk, /*!< Use Main clock.    */\r
-    kCLOCK_UsbfsSrcPll1 = (uint32_t)kCLOCK_Pll1Out,         /*!< Use PLL1 clock.    */\r
+    kCLOCK_UsbfsSrcPll1      = (uint32_t)kCLOCK_Pll1Out,    /*!< Use PLL1 clock.    */\r
 \r
     kCLOCK_UsbfsSrcNone =\r
         SYSCON_USB0CLKSEL_SEL(7) /*!<this may be selected in order to reduce power when no output is needed. */\r
@@ -1178,105 +1130,105 @@ typedef enum _clock_usb_phy_src
 } clock_usb_phy_src_t;\r
 \r
 /*! @brief    Return PLL0 output clock rate from setup structure\r
-*  @param    pSetup : Pointer to a PLL setup structure\r
-*  @return   System PLL output clock rate the setup structure will generate\r
-*/\r
+ *  @param    pSetup : Pointer to a PLL setup structure\r
+ *  @return   System PLL output clock rate the setup structure will generate\r
+ */\r
 uint32_t CLOCK_GetPLL0OutFromSetup(pll_setup_t *pSetup);\r
 \r
 /*! @brief    Set PLL0 output based on the passed PLL setup data\r
-*  @param    pControl    : Pointer to populated PLL control structure to generate setup with\r
-*  @param    pSetup      : Pointer to PLL setup structure to be filled\r
-*  @return   PLL_ERROR_SUCCESS on success, or PLL setup error code\r
-*  @note Actual frequency for setup may vary from the desired frequency based on the\r
-*  accuracy of input clocks, rounding, non-fractional PLL mode, etc.\r
-*/\r
+ *  @param    pControl    : Pointer to populated PLL control structure to generate setup with\r
+ *  @param    pSetup      : Pointer to PLL setup structure to be filled\r
+ *  @return   PLL_ERROR_SUCCESS on success, or PLL setup error code\r
+ *  @note Actual frequency for setup may vary from the desired frequency based on the\r
+ *  accuracy of input clocks, rounding, non-fractional PLL mode, etc.\r
+ */\r
 pll_error_t CLOCK_SetupPLL0Data(pll_config_t *pControl, pll_setup_t *pSetup);\r
 \r
 /*! @brief    Set PLL output from PLL setup structure (precise frequency)\r
-* @param pSetup  : Pointer to populated PLL setup structure\r
-* @param flagcfg : Flag configuration for PLL config structure\r
-* @return    PLL_ERROR_SUCCESS on success, or PLL setup error code\r
-* @note  This function will power off the PLL, setup the PLL with the\r
-* new setup data, and then optionally powerup the PLL, wait for PLL lock,\r
-* and adjust system voltages to the new PLL rate. The function will not\r
-* alter any source clocks (ie, main systen clock) that may use the PLL,\r
-* so these should be setup prior to and after exiting the function.\r
-*/\r
+ * @param pSetup  : Pointer to populated PLL setup structure\r
+ * @param flagcfg : Flag configuration for PLL config structure\r
+ * @return    PLL_ERROR_SUCCESS on success, or PLL setup error code\r
+ * @note  This function will power off the PLL, setup the PLL with the\r
+ * new setup data, and then optionally powerup the PLL, wait for PLL lock,\r
+ * and adjust system voltages to the new PLL rate. The function will not\r
+ * alter any source clocks (ie, main systen clock) that may use the PLL,\r
+ * so these should be setup prior to and after exiting the function.\r
+ */\r
 pll_error_t CLOCK_SetupPLL0Prec(pll_setup_t *pSetup, uint32_t flagcfg);\r
 \r
 /**\r
-* @brief Set PLL output from PLL setup structure (precise frequency)\r
-* @param pSetup  : Pointer to populated PLL setup structure\r
-* @return    kStatus_PLL_Success on success, or PLL setup error code\r
-* @note  This function will power off the PLL, setup the PLL with the\r
-* new setup data, and then optionally powerup the PLL, wait for PLL lock,\r
-* and adjust system voltages to the new PLL rate. The function will not\r
-* alter any source clocks (ie, main systen clock) that may use the PLL,\r
-* so these should be setup prior to and after exiting the function.\r
-*/\r
+ * @brief Set PLL output from PLL setup structure (precise frequency)\r
+ * @param pSetup  : Pointer to populated PLL setup structure\r
+ * @return    kStatus_PLL_Success on success, or PLL setup error code\r
+ * @note  This function will power off the PLL, setup the PLL with the\r
+ * new setup data, and then optionally powerup the PLL, wait for PLL lock,\r
+ * and adjust system voltages to the new PLL rate. The function will not\r
+ * alter any source clocks (ie, main systen clock) that may use the PLL,\r
+ * so these should be setup prior to and after exiting the function.\r
+ */\r
 pll_error_t CLOCK_SetPLL0Freq(const pll_setup_t *pSetup);\r
 \r
 /**\r
-* @brief Set PLL output from PLL setup structure (precise frequency)\r
-* @param pSetup  : Pointer to populated PLL setup structure\r
-* @return    kStatus_PLL_Success on success, or PLL setup error code\r
-* @note  This function will power off the PLL, setup the PLL with the\r
-* new setup data, and then optionally powerup the PLL, wait for PLL lock,\r
-* and adjust system voltages to the new PLL rate. The function will not\r
-* alter any source clocks (ie, main systen clock) that may use the PLL,\r
-* so these should be setup prior to and after exiting the function.\r
-*/\r
+ * @brief Set PLL output from PLL setup structure (precise frequency)\r
+ * @param pSetup  : Pointer to populated PLL setup structure\r
+ * @return    kStatus_PLL_Success on success, or PLL setup error code\r
+ * @note  This function will power off the PLL, setup the PLL with the\r
+ * new setup data, and then optionally powerup the PLL, wait for PLL lock,\r
+ * and adjust system voltages to the new PLL rate. The function will not\r
+ * alter any source clocks (ie, main systen clock) that may use the PLL,\r
+ * so these should be setup prior to and after exiting the function.\r
+ */\r
 pll_error_t CLOCK_SetPLL1Freq(const pll_setup_t *pSetup);\r
 \r
 /*! @brief    Set PLL0 output based on the multiplier and input frequency\r
-* @param multiply_by : multiplier\r
-* @param input_freq  : Clock input frequency of the PLL\r
-* @return    Nothing\r
-* @note  Unlike the Chip_Clock_SetupSystemPLLPrec() function, this\r
-* function does not disable or enable PLL power, wait for PLL lock,\r
-* or adjust system voltages. These must be done in the application.\r
-* The function will not alter any source clocks (ie, main systen clock)\r
-* that may use the PLL, so these should be setup prior to and after\r
-* exiting the function.\r
-*/\r
+ * @param multiply_by : multiplier\r
+ * @param input_freq  : Clock input frequency of the PLL\r
+ * @return    Nothing\r
+ * @note  Unlike the Chip_Clock_SetupSystemPLLPrec() function, this\r
+ * function does not disable or enable PLL power, wait for PLL lock,\r
+ * or adjust system voltages. These must be done in the application.\r
+ * The function will not alter any source clocks (ie, main systen clock)\r
+ * that may use the PLL, so these should be setup prior to and after\r
+ * exiting the function.\r
+ */\r
 void CLOCK_SetupPLL0Mult(uint32_t multiply_by, uint32_t input_freq);\r
 \r
 /*! @brief Disable USB clock.\r
-*\r
-* Disable USB clock.\r
-*/\r
+ *\r
+ * Disable USB clock.\r
+ */\r
 static inline void CLOCK_DisableUsbDevicefs0Clock(clock_ip_name_t clk)\r
 {\r
     CLOCK_DisableClock(clk);\r
 }\r
 \r
 /*! @brief Enable USB Device FS clock.\r
-* @param src : clock source\r
-* @param freq: clock frequency\r
-* Enable USB Device Full Speed clock.\r
-*/\r
+ * @param src : clock source\r
+ * @param freq: clock frequency\r
+ * Enable USB Device Full Speed clock.\r
+ */\r
 bool CLOCK_EnableUsbfs0DeviceClock(clock_usbfs_src_t src, uint32_t freq);\r
 \r
 /*! @brief Enable USB HOST FS clock.\r
-* @param src : clock source\r
-* @param freq: clock frequency\r
-* Enable USB HOST Full Speed clock.\r
-*/\r
+ * @param src : clock source\r
+ * @param freq: clock frequency\r
+ * Enable USB HOST Full Speed clock.\r
+ */\r
 bool CLOCK_EnableUsbfs0HostClock(clock_usbfs_src_t src, uint32_t freq);\r
 \r
 /*! @brief Enable USB phy clock.\r
-* Enable USB phy clock.\r
-*/\r
+ * Enable USB phy clock.\r
+ */\r
 bool CLOCK_EnableUsbhs0PhyPllClock(clock_usb_phy_src_t src, uint32_t freq);\r
 \r
 /*! @brief Enable USB Device HS clock.\r
-* Enable USB Device High Speed clock.\r
-*/\r
+ * Enable USB Device High Speed clock.\r
+ */\r
 bool CLOCK_EnableUsbhs0DeviceClock(clock_usbhs_src_t src, uint32_t freq);\r
 \r
 /*! @brief Enable USB HOST HS clock.\r
-* Enable USB HOST High Speed clock.\r
-*/\r
+ * Enable USB HOST High Speed clock.\r
+ */\r
 bool CLOCK_EnableUsbhs0HostClock(clock_usbhs_src_t src, uint32_t freq);\r
 \r
 #if defined(__cplusplus)\r
index 6bf905f9a3067639ca5f6560f2c56f3885a57149..f6284379c9a0ed2c3cacae987b165e3e8e659c4c 100644 (file)
@@ -1,11 +1,10 @@
 /*\r
-* Copyright (c) 2015-2016, Freescale Semiconductor, Inc.\r
- * Copyright 2016-2018 NXP\r
-* All rights reserved.\r
-*\r
-*\r
-* SPDX-License-Identifier: BSD-3-Clause\r
-*/\r
+ * Copyright (c) 2015-2016, Freescale Semiconductor, Inc.\r
+ * Copyright 2016-2019 NXP\r
+ * All rights reserved.\r
+ *\r
+ * SPDX-License-Identifier: BSD-3-Clause\r
+ */\r
 \r
 #include "fsl_common.h"\r
 #define SDK_MEM_MAGIC_NUMBER 12345U\r
@@ -118,30 +117,109 @@ void *SDK_Malloc(size_t size, size_t alignbytes)
 {\r
     mem_align_cb_t *p_cb = NULL;\r
     uint32_t alignedsize = SDK_SIZEALIGN(size, alignbytes) + alignbytes + sizeof(mem_align_cb_t);\r
-    void *p_align_addr, *p_addr = malloc(alignedsize);\r
+    union\r
+    {\r
+        void *pointer_value;\r
+        uint32_t unsigned_value;\r
+    } p_align_addr, p_addr;\r
+\r
+    p_addr.pointer_value = malloc(alignedsize);\r
 \r
-    if (!p_addr)\r
+    if (p_addr.pointer_value == NULL)\r
     {\r
         return NULL;\r
     }\r
 \r
-    p_align_addr = (void *)SDK_SIZEALIGN((uint32_t)p_addr + sizeof(mem_align_cb_t), alignbytes);\r
+    p_align_addr.unsigned_value = SDK_SIZEALIGN(p_addr.unsigned_value + sizeof(mem_align_cb_t), alignbytes);\r
 \r
-    p_cb = (mem_align_cb_t *)((uint32_t)p_align_addr - 4);\r
+    p_cb             = (mem_align_cb_t *)(p_align_addr.unsigned_value - 4U);\r
     p_cb->identifier = SDK_MEM_MAGIC_NUMBER;\r
-    p_cb->offset = (uint32_t)p_align_addr - (uint32_t)p_addr;\r
+    p_cb->offset     = (uint16_t)(p_align_addr.unsigned_value - p_addr.unsigned_value);\r
 \r
-    return (void *)p_align_addr;\r
+    return p_align_addr.pointer_value;\r
 }\r
 \r
 void SDK_Free(void *ptr)\r
 {\r
-    mem_align_cb_t *p_cb = (mem_align_cb_t *)((uint32_t)ptr - 4);\r
+    union\r
+    {\r
+        void *pointer_value;\r
+        uint32_t unsigned_value;\r
+    } p_free;\r
+    p_free.pointer_value = ptr;\r
+    mem_align_cb_t *p_cb = (mem_align_cb_t *)(p_free.unsigned_value - 4U);\r
 \r
     if (p_cb->identifier != SDK_MEM_MAGIC_NUMBER)\r
     {\r
         return;\r
     }\r
 \r
-    free((void *)((uint32_t)ptr - p_cb->offset));\r
+    p_free.unsigned_value = p_free.unsigned_value - p_cb->offset;\r
+\r
+    free(p_free.pointer_value);\r
 }\r
+\r
+/*!\r
+ * @brief Delay function bases on while loop, every loop includes three instructions.\r
+ *\r
+ * @param count  Counts of loop needed for dalay.\r
+ */\r
+#ifndef __XCC__\r
+#if defined(__CC_ARM) /* This macro is arm v5 specific */\r
+/* clang-format off */\r
+__ASM static void DelayLoop(uint32_t count)\r
+{\r
+loop\r
+    SUBS R0, R0, #1\r
+    CMP  R0, #0\r
+    BNE  loop\r
+    BX   LR\r
+}\r
+/* clang-format on */\r
+#elif defined(__ARMCC_VERSION) || defined(__ICCARM__) || defined(__GNUC__)\r
+/* Cortex-M0 has a smaller instruction set, SUBS isn't supported in thumb-16 mode reported from __GNUC__ compiler,\r
+ * use SUB and CMP here for compatibility */\r
+static void DelayLoop(uint32_t count)\r
+{\r
+    __ASM volatile("    MOV    R0, %0" : : "r"(count));\r
+    __ASM volatile(\r
+        "loop:                          \n"\r
+#if defined(__GNUC__) && !defined(__ARMCC_VERSION)\r
+        "    SUB    R0, R0, #1          \n"\r
+#else\r
+        "    SUBS   R0, R0, #1          \n"\r
+#endif\r
+        "    CMP    R0, #0              \n"\r
+\r
+        "    BNE    loop                \n");\r
+}\r
+#endif /* defined(__CC_ARM) */\r
+\r
+/*!\r
+ * @brief Delay at least for some time.\r
+ *  Please note that, this API uses while loop for delay, different run-time environments make the time not precise,\r
+ *  if precise delay count was needed, please implement a new delay function with hardware timer.\r
+ *\r
+ * @param delay_us  Delay time in unit of microsecond.\r
+ * @param coreClock_Hz  Core clock frequency with Hz.\r
+ */\r
+void SDK_DelayAtLeastUs(uint32_t delay_us, uint32_t coreClock_Hz)\r
+{\r
+    assert(0U != delay_us);\r
+    uint64_t count = USEC_TO_COUNT(delay_us, coreClock_Hz);\r
+    assert(count <= UINT32_MAX);\r
+\r
+    /* Divide value may be different in various environment to ensure delay is precise.\r
+     * Every loop count includes three instructions, due to Cortex-M7 sometimes executes\r
+     * two instructions in one period, through test here set divide 2. Other M cores use\r
+     * divide 4. By the way, divide 2 or 4 could let odd count lost precision, but it does\r
+     * not matter because other instructions outside while loop is enough to fill the time.\r
+     */\r
+#if (__CORTEX_M == 7)\r
+    count = count / 2U;\r
+#else\r
+    count = count / 4U;\r
+#endif\r
+    DelayLoop((uint32_t)count);\r
+}\r
+#endif\r
index 93176828c3f09bb71c0ce14c10602b8d4b5b43ec..b7be9c3f1bbfc700a81ef6e58d50e9d41d1f066b 100644 (file)
@@ -1,8 +1,8 @@
 /*\r
  * Copyright (c) 2015-2016, Freescale Semiconductor, Inc.\r
- * Copyright 2016-2018 NXP\r
+ * Copyright 2016-2019 NXP\r
  * All rights reserved.\r
- * \r
+ *\r
  * SPDX-License-Identifier: BSD-3-Clause\r
  */\r
 \r
 #include <stddef.h>\r
 #endif\r
 \r
+/*\r
+ * For CMSIS pack RTE.\r
+ * CMSIS pack RTE generates "RTC_Components.h" which contains the statements\r
+ * of the related <RTE_Components_h> element for all selected software components.\r
+ */\r
+#ifdef _RTE_\r
+#include "RTE_Components.h"\r
+#endif\r
+\r
 #include "fsl_device_registers.h"\r
 \r
 /*!\r
@@ -38,8 +47,8 @@
 \r
 /*! @name Driver version */\r
 /*@{*/\r
-/*! @brief common driver version 2.0.1. */\r
-#define FSL_COMMON_DRIVER_VERSION (MAKE_VERSION(2, 0, 1))\r
+/*! @brief common driver version 2.2.2. */\r
+#define FSL_COMMON_DRIVER_VERSION (MAKE_VERSION(2, 2, 2))\r
 /*@}*/\r
 \r
 /* Debug console type definition. */\r
@@ -123,10 +132,11 @@ enum _status_groups
     kStatusGroup_LPC_MINISPI = 76,            /*!< Group number for LPC_MINISPI status codes. */\r
     kStatusGroup_HASHCRYPT = 77,              /*!< Group number for Hashcrypt status codes */\r
     kStatusGroup_LPC_SPI_SSP = 78,            /*!< Group number for LPC_SPI_SSP status codes. */\r
+    kStatusGroup_I3C = 79,                    /*!< Group number for I3C status codes */\r
     kStatusGroup_LPC_I2C_1 = 97,              /*!< Group number for LPC_I2C_1 status codes. */\r
     kStatusGroup_NOTIFIER = 98,               /*!< Group number for NOTIFIER status codes. */\r
     kStatusGroup_DebugConsole = 99,           /*!< Group number for debug console status codes. */\r
-    kStatusGroup_SEMC = 100,                  /*!< Group number for SEMC status codes. */    \r
+    kStatusGroup_SEMC = 100,                  /*!< Group number for SEMC status codes. */\r
     kStatusGroup_ApplicationRangeStart = 101, /*!< Starting number for application groups. */\r
     kStatusGroup_IAP = 102,                   /*!< Group number for IAP status codes */\r
 \r
@@ -149,10 +159,15 @@ enum _status_groups
     kStatusGroup_OSA = 143,                   /*!< Group number for OSA status codes. */\r
     kStatusGroup_COMMON_TASK = 144,           /*!< Group number for Common task status codes. */\r
     kStatusGroup_MSG = 145,                   /*!< Group number for messaging status codes. */\r
+    kStatusGroup_SDK_OCOTP = 146,             /*!< Group number for OCOTP status codes. */\r
+    kStatusGroup_SDK_FLEXSPINOR = 147,        /*!< Group number for FLEXSPINOR status codes.*/\r
+    kStatusGroup_CODEC = 148,                 /*!< Group number for codec status codes. */\r
+    kStatusGroup_ASRC = 149,                 /*!< Group number for codec status ASRC. */\r
+    kStatusGroup_OTFAD = 150,                 /*!< Group number for codec status codes. */\r
 };\r
 \r
 /*! @brief Generic status return codes. */\r
-enum _generic_status\r
+enum\r
 {\r
     kStatus_Success = MAKE_STATUS(kStatusGroup_Generic, 0),\r
     kStatus_Fail = MAKE_STATUS(kStatusGroup_Generic, 1),\r
@@ -166,20 +181,6 @@ enum _generic_status
 /*! @brief Type used for all status and error return values. */\r
 typedef int32_t status_t;\r
 \r
-/*\r
- * The fsl_clock.h is included here because it needs MAKE_VERSION/MAKE_STATUS/status_t\r
- * defined in previous of this file.\r
- */\r
-#include "fsl_clock.h"\r
-\r
-/*\r
- * Chip level peripheral reset API, for MCUs that implement peripheral reset control external to a peripheral\r
- */\r
-#if ((defined(FSL_FEATURE_SOC_SYSCON_COUNT) && (FSL_FEATURE_SOC_SYSCON_COUNT > 0)) || \\r
-     (defined(FSL_FEATURE_SOC_ASYNC_SYSCON_COUNT) && (FSL_FEATURE_SOC_ASYNC_SYSCON_COUNT > 0)))\r
-#include "fsl_reset.h"\r
-#endif\r
-\r
 /*\r
  * Macro guard for whether to use default weak IRQ implementation in drivers\r
  */\r
@@ -190,11 +191,11 @@ typedef int32_t status_t;
 /*! @name Min/max macros */\r
 /* @{ */\r
 #if !defined(MIN)\r
-#define MIN(a, b) ((a) < (b) ? (a) : (b))\r
+#define MIN(a, b) (((a) < (b)) ? (a) : (b))\r
 #endif\r
 \r
 #if !defined(MAX)\r
-#define MAX(a, b) ((a) > (b) ? (a) : (b))\r
+#define MAX(a, b) (((a) > (b)) ? (a) : (b))\r
 #endif\r
 /* @} */\r
 \r
@@ -217,7 +218,7 @@ typedef int32_t status_t;
 /*! @name Timer utilities */\r
 /* @{ */\r
 /*! Macro to convert a microsecond period to raw count value */\r
-#define USEC_TO_COUNT(us, clockFreqInHz) (uint64_t)((uint64_t)us * clockFreqInHz / 1000000U)\r
+#define USEC_TO_COUNT(us, clockFreqInHz) (uint64_t)(((uint64_t)(us) * (clockFreqInHz)) / 1000000U)\r
 /*! Macro to convert a raw count value to microsecond */\r
 #define COUNT_TO_USEC(count, clockFreqInHz) (uint64_t)((uint64_t)count * 1000000U / clockFreqInHz)\r
 \r
@@ -232,7 +233,7 @@ typedef int32_t status_t;
 #if (defined(__ICCARM__))\r
 /**\r
  * Workaround to disable MISRA C message suppress warnings for IAR compiler.\r
- * http://supp.iar.com/Support/?note=24725\r
+ * http:/ /supp.iar.com/Support/?note=24725\r
  */\r
 _Pragma("diag_suppress=Pm120")\r
 #define SDK_PRAGMA(x) _Pragma(#x)\r
@@ -282,7 +283,7 @@ _Pragma("diag_suppress=Pm120")
 \r
 /*! Macro to change a value to a given size aligned value */\r
 #define SDK_SIZEALIGN(var, alignbytes) \\r
-    ((unsigned int)((var) + ((alignbytes)-1)) & (unsigned int)(~(unsigned int)((alignbytes)-1)))\r
+    ((unsigned int)((var) + ((alignbytes)-1U)) & (unsigned int)(~(unsigned int)((alignbytes)-1U)))\r
 /* @} */\r
 \r
 /*! @name Non-cacheable region definition macros */\r
@@ -306,18 +307,31 @@ _Pragma("diag_suppress=Pm120")
 #endif\r
 #elif(defined(__CC_ARM) || defined(__ARMCC_VERSION))\r
 #if ((!(defined(FSL_FEATURE_HAS_NO_NONCACHEABLE_SECTION) && FSL_FEATURE_HAS_NO_NONCACHEABLE_SECTION)) && defined(FSL_FEATURE_L1ICACHE_LINESIZE_BYTE))\r
-#define AT_NONCACHEABLE_SECTION(var) __attribute__((section("NonCacheable"), zero_init)) var\r
-#define AT_NONCACHEABLE_SECTION_ALIGN(var, alignbytes) \\r
-    __attribute__((section("NonCacheable"), zero_init)) __attribute__((aligned(alignbytes))) var\r
 #define AT_NONCACHEABLE_SECTION_INIT(var) __attribute__((section("NonCacheable.init"))) var\r
 #define AT_NONCACHEABLE_SECTION_ALIGN_INIT(var, alignbytes) \\r
     __attribute__((section("NonCacheable.init"))) __attribute__((aligned(alignbytes))) var\r
+#if(defined(__CC_ARM))\r
+#define AT_NONCACHEABLE_SECTION(var) __attribute__((section("NonCacheable"), zero_init)) var\r
+#define AT_NONCACHEABLE_SECTION_ALIGN(var, alignbytes) \\r
+    __attribute__((section("NonCacheable"), zero_init)) __attribute__((aligned(alignbytes))) var\r
+#else\r
+#define AT_NONCACHEABLE_SECTION(var) __attribute__((section(".bss.NonCacheable"))) var\r
+#define AT_NONCACHEABLE_SECTION_ALIGN(var, alignbytes) \\r
+    __attribute__((section(".bss.NonCacheable"))) __attribute__((aligned(alignbytes))) var\r
+#endif\r
 #else\r
 #define AT_NONCACHEABLE_SECTION(var) var\r
 #define AT_NONCACHEABLE_SECTION_ALIGN(var, alignbytes) __attribute__((aligned(alignbytes))) var\r
 #define AT_NONCACHEABLE_SECTION_INIT(var) var\r
 #define AT_NONCACHEABLE_SECTION_ALIGN_INIT(var, alignbytes) __attribute__((aligned(alignbytes))) var\r
 #endif\r
+#elif(defined(__XCC__))\r
+#define AT_NONCACHEABLE_SECTION_INIT(var) __attribute__((section("NonCacheable.init"))) var\r
+#define AT_NONCACHEABLE_SECTION_ALIGN_INIT(var, alignbytes) \\r
+    __attribute__((section("NonCacheable.init"))) var __attribute__((aligned(alignbytes)))\r
+#define AT_NONCACHEABLE_SECTION(var) __attribute__((section("NonCacheable"))) var\r
+#define AT_NONCACHEABLE_SECTION_ALIGN(var, alignbytes) \\r
+    __attribute__((section("NonCacheable"))) var __attribute__((aligned(alignbytes)))\r
 #elif(defined(__GNUC__))\r
 /* For GCC, when the non-cacheable section is required, please define "__STARTUP_INITIALIZE_NONCACHEDATA"\r
  * in your projects to make sure the non-cacheable section variables will be initialized in system startup.\r
@@ -351,10 +365,10 @@ _Pragma("diag_suppress=Pm120")
 #define AT_QUICKACCESS_SECTION_CODE(func) func @"CodeQuickAccess"\r
 #define AT_QUICKACCESS_SECTION_DATA(func) func @"DataQuickAccess"\r
 #elif(defined(__CC_ARM) || defined(__ARMCC_VERSION))\r
-#define AT_QUICKACCESS_SECTION_CODE(func) __attribute__((section("CodeQuickAccess"))) func\r
+#define AT_QUICKACCESS_SECTION_CODE(func) __attribute__((section("CodeQuickAccess"), __noinline__)) func\r
 #define AT_QUICKACCESS_SECTION_DATA(func) __attribute__((section("DataQuickAccess"))) func\r
 #elif(defined(__GNUC__))\r
-#define AT_QUICKACCESS_SECTION_CODE(func) __attribute__((section("CodeQuickAccess"))) func\r
+#define AT_QUICKACCESS_SECTION_CODE(func) __attribute__((section("CodeQuickAccess"), __noinline__)) func\r
 #define AT_QUICKACCESS_SECTION_DATA(func) __attribute__((section("DataQuickAccess"))) func\r
 #else\r
 #error Toolchain not supported.\r
@@ -371,7 +385,7 @@ _Pragma("diag_suppress=Pm120")
 #define AT_QUICKACCESS_SECTION_DATA(func) func\r
 #else\r
 #error Toolchain not supported.\r
-#endif    \r
+#endif\r
 #endif /* __FSL_SDK_DRIVER_QUICK_ACCESS_ENABLE */\r
 /* @} */\r
 \r
@@ -386,6 +400,38 @@ _Pragma("diag_suppress=Pm120")
 #error Toolchain not supported.\r
 #endif /* defined(__ICCARM__) */\r
 /* @} */\r
+\r
+/*! @name Suppress fallthrough warning macro */\r
+/* For switch case code block, if case section ends without "break;" statement, there wil be\r
+ fallthrough warning with compiler flag -Wextra or -Wimplicit-fallthrough=n when using armgcc.\r
+ To suppress this warning, "SUPPRESS_FALL_THROUGH_WARNING();" need to be added at the end of each\r
+ case section which misses "break;"statement.\r
+ */\r
+/* @{ */\r
+#if defined(__GNUC__) && !defined(__ARMCC_VERSION)\r
+#define SUPPRESS_FALL_THROUGH_WARNING() __attribute__ ((fallthrough))\r
+#else\r
+#define SUPPRESS_FALL_THROUGH_WARNING()\r
+#endif\r
+/* @} */\r
+\r
+#if defined ( __ARMCC_VERSION ) && ( __ARMCC_VERSION >= 6010050 )\r
+void DefaultISR(void);\r
+#endif\r
+/*\r
+ * The fsl_clock.h is included here because it needs MAKE_VERSION/MAKE_STATUS/status_t\r
+ * defined in previous of this file.\r
+ */\r
+#include "fsl_clock.h"\r
+\r
+/*\r
+ * Chip level peripheral reset API, for MCUs that implement peripheral reset control external to a peripheral\r
+ */\r
+#if ((defined(FSL_FEATURE_SOC_SYSCON_COUNT) && (FSL_FEATURE_SOC_SYSCON_COUNT > 0)) || \\r
+     (defined(FSL_FEATURE_SOC_ASYNC_SYSCON_COUNT) && (FSL_FEATURE_SOC_ASYNC_SYSCON_COUNT > 0)))\r
+#include "fsl_reset.h"\r
+#endif\r
+\r
 /*******************************************************************************\r
  * API\r
  ******************************************************************************/\r
@@ -481,6 +527,9 @@ _Pragma("diag_suppress=Pm120")
      */\r
     static inline uint32_t DisableGlobalIRQ(void)\r
     {\r
+#if defined (__XCC__)\r
+        return 0;\r
+#else\r
 #if defined(CPSR_I_Msk)\r
         uint32_t cpsr = __get_CPSR() & CPSR_I_Msk;\r
 \r
@@ -493,6 +542,7 @@ _Pragma("diag_suppress=Pm120")
     __disable_irq();\r
 \r
     return regPrimask;\r
+#endif\r
 #endif\r
     }\r
 \r
@@ -508,10 +558,13 @@ _Pragma("diag_suppress=Pm120")
      */\r
     static inline void EnableGlobalIRQ(uint32_t primask)\r
     {\r
+#if defined (__XCC__)\r
+#else\r
 #if defined(CPSR_I_Msk)\r
         __set_CPSR((__get_CPSR() & ~CPSR_I_Msk) | primask);\r
 #else\r
     __set_PRIMASK(primask);\r
+#endif\r
 #endif\r
     }\r
 \r
@@ -525,7 +578,7 @@ _Pragma("diag_suppress=Pm120")
      */\r
     uint32_t InstallIRQHandler(IRQn_Type irq, uint32_t irqHandler);\r
 #endif /* ENABLE_RAM_VECTOR_TABLE. */\r
-               \r
+\r
 #if (defined(FSL_FEATURE_SOC_SYSCON_COUNT) && (FSL_FEATURE_SOC_SYSCON_COUNT > 0))\r
     /*!\r
      * @brief Enable specific interrupt for wake-up from deep-sleep mode.\r
@@ -566,15 +619,25 @@ _Pragma("diag_suppress=Pm120")
      * @param size The length required to malloc.\r
      * @param alignbytes The alignment size.\r
      * @retval The allocated memory.\r
-     */    \r
+     */\r
     void *SDK_Malloc(size_t size, size_t alignbytes);\r
-    \r
+\r
     /*!\r
      * @brief Free memory.\r
      *\r
      * @param ptr The memory to be release.\r
-     */ \r
-    void SDK_Free(void *ptr);    \r
+     */\r
+    void SDK_Free(void *ptr);\r
+\r
+    /*!\r
+    * @brief Delay at least for some time.\r
+    *  Please note that, this API uses while loop for delay, different run-time environments make the time not precise,\r
+    *  if precise delay count was needed, please implement a new delay function with hardware timer.\r
+    *\r
+    * @param delay_us  Delay time in unit of microsecond.\r
+    * @param coreClock_Hz  Core clock frequency with Hz.\r
+    */\r
+    void SDK_DelayAtLeastUs(uint32_t delay_us, uint32_t coreClock_Hz);\r
 \r
 #if defined(__cplusplus)\r
 }\r
index 0f86bbfd9c3f15959b51913cc8f8db14dbafd876..28cfb38bd8dfa5d223e98e0055b671413de3796f 100644 (file)
@@ -1,6 +1,6 @@
 /*\r
  * Copyright (c) 2016, Freescale Semiconductor, Inc.\r
- * Copyright 2016-2017 NXP\r
+ * Copyright 2016-2019 NXP\r
  * All rights reserved.\r
  *\r
  * SPDX-License-Identifier: BSD-3-Clause\r
 #define FSL_COMPONENT_ID "platform.drivers.flexcomm"\r
 #endif\r
 \r
+/*!\r
+ * @brief Used for conversion between `void*` and `uint32_t`.\r
+ */\r
+typedef union pvoid_to_u32\r
+{\r
+    void *pvoid;\r
+    uint32_t u32;\r
+} pvoid_to_u32_t;\r
+\r
 /*******************************************************************************\r
  * Prototypes\r
  ******************************************************************************/\r
@@ -66,11 +75,11 @@ static bool FLEXCOMM_PeripheralIsPresent(FLEXCOMM_Type *base, FLEXCOMM_PERIPH_T
     }\r
     else if (periph <= FLEXCOMM_PERIPH_I2S_TX)\r
     {\r
-        return (base->PSELID & (uint32_t)(1 << ((uint32_t)periph + 3))) > (uint32_t)0 ? true : false;\r
+        return (base->PSELID & (1UL << ((uint32_t)periph + 3U))) > 0UL ? true : false;\r
     }\r
     else if (periph == FLEXCOMM_PERIPH_I2S_RX)\r
     {\r
-        return (base->PSELID & (1 << 7)) > (uint32_t)0 ? true : false;\r
+        return (base->PSELID & (1U << 7U)) > (uint32_t)0U ? true : false;\r
     }\r
     else\r
     {\r
@@ -82,18 +91,20 @@ static bool FLEXCOMM_PeripheralIsPresent(FLEXCOMM_Type *base, FLEXCOMM_PERIPH_T
 /*! brief Returns instance number for FLEXCOMM module with given base address. */\r
 uint32_t FLEXCOMM_GetInstance(void *base)\r
 {\r
-    int i;\r
+    uint32_t i;\r
+    pvoid_to_u32_t BaseAddr;\r
+    BaseAddr.pvoid = base;\r
 \r
-    for (i = 0; i < FSL_FEATURE_SOC_FLEXCOMM_COUNT; i++)\r
+    for (i = 0U; i < (uint32_t)FSL_FEATURE_SOC_FLEXCOMM_COUNT; i++)\r
     {\r
-        if ((uint32_t)base == s_flexcommBaseAddrs[i])\r
+        if (BaseAddr.u32 == s_flexcommBaseAddrs[i])\r
         {\r
-            return i;\r
+            break;\r
         }\r
     }\r
 \r
-    assert(false);\r
-    return 0;\r
+    assert(i < FSL_FEATURE_SOC_FLEXCOMM_COUNT);\r
+    return i;\r
 }\r
 \r
 /* Changes FLEXCOMM mode */\r
@@ -106,13 +117,14 @@ static status_t FLEXCOMM_SetPeriph(FLEXCOMM_Type *base, FLEXCOMM_PERIPH_T periph
     }\r
 \r
     /* Flexcomm is locked to different peripheral type than expected  */\r
-    if ((base->PSELID & FLEXCOMM_PSELID_LOCK_MASK) && ((base->PSELID & FLEXCOMM_PSELID_PERSEL_MASK) != periph))\r
+    if (((base->PSELID & FLEXCOMM_PSELID_LOCK_MASK) != 0U) &&\r
+        ((base->PSELID & FLEXCOMM_PSELID_PERSEL_MASK) != (uint32_t)periph))\r
     {\r
         return kStatus_Fail;\r
     }\r
 \r
     /* Check if we are asked to lock */\r
-    if (lock)\r
+    if (lock != 0)\r
     {\r
         base->PSELID = (uint32_t)periph | FLEXCOMM_PSELID_LOCK_MASK;\r
     }\r
@@ -127,12 +139,7 @@ static status_t FLEXCOMM_SetPeriph(FLEXCOMM_Type *base, FLEXCOMM_PERIPH_T periph
 /*! brief Initializes FLEXCOMM and selects peripheral mode according to the second parameter. */\r
 status_t FLEXCOMM_Init(void *base, FLEXCOMM_PERIPH_T periph)\r
 {\r
-    int idx = FLEXCOMM_GetInstance(base);\r
-\r
-    if (idx < 0)\r
-    {\r
-        return kStatus_InvalidArgument;\r
-    }\r
+    uint32_t idx = FLEXCOMM_GetInstance(base);\r
 \r
 #if !(defined(FSL_SDK_DISABLE_DRIVER_CLOCK_CONTROL) && FSL_SDK_DISABLE_DRIVER_CLOCK_CONTROL)\r
     /* Enable the peripheral clock */\r
@@ -159,7 +166,7 @@ void FLEXCOMM_SetIRQHandler(void *base, flexcomm_irq_handler_t handler, void *ha
 \r
     /* Clear handler first to avoid execution of the handler with wrong handle */\r
     s_flexcommIrqHandler[instance] = NULL;\r
-    s_flexcommHandle[instance] = handle;\r
+    s_flexcommHandle[instance]     = handle;\r
     s_flexcommIrqHandler[instance] = handler;\r
 /* Add for ARM errata 838869, affects Cortex-M4, Cortex-M4F Store immediate overlapping\r
   exception return operation might vector to incorrect interrupt */\r
@@ -173,7 +180,7 @@ void FLEXCOMM_SetIRQHandler(void *base, flexcomm_irq_handler_t handler, void *ha
 void FLEXCOMM0_DriverIRQHandler(void)\r
 {\r
     assert(s_flexcommIrqHandler[0]);\r
-    s_flexcommIrqHandler[0]((void *)s_flexcommBaseAddrs[0], s_flexcommHandle[0]);\r
+    s_flexcommIrqHandler[0]((uint32_t *)s_flexcommBaseAddrs[0], s_flexcommHandle[0]);\r
 /* Add for ARM errata 838869, affects Cortex-M4, Cortex-M4F Store immediate overlapping\r
   exception return operation might vector to incorrect interrupt */\r
 #if defined __CORTEX_M && (__CORTEX_M == 4U)\r
@@ -186,7 +193,7 @@ void FLEXCOMM0_DriverIRQHandler(void)
 void FLEXCOMM1_DriverIRQHandler(void)\r
 {\r
     assert(s_flexcommIrqHandler[1]);\r
-    s_flexcommIrqHandler[1]((void *)s_flexcommBaseAddrs[1], s_flexcommHandle[1]);\r
+    s_flexcommIrqHandler[1]((uint32_t *)s_flexcommBaseAddrs[1], s_flexcommHandle[1]);\r
 /* Add for ARM errata 838869, affects Cortex-M4, Cortex-M4F Store immediate overlapping\r
   exception return operation might vector to incorrect interrupt */\r
 #if defined __CORTEX_M && (__CORTEX_M == 4U)\r
@@ -199,7 +206,7 @@ void FLEXCOMM1_DriverIRQHandler(void)
 void FLEXCOMM2_DriverIRQHandler(void)\r
 {\r
     assert(s_flexcommIrqHandler[2]);\r
-    s_flexcommIrqHandler[2]((void *)s_flexcommBaseAddrs[2], s_flexcommHandle[2]);\r
+    s_flexcommIrqHandler[2]((uint32_t *)s_flexcommBaseAddrs[2], s_flexcommHandle[2]);\r
 /* Add for ARM errata 838869, affects Cortex-M4, Cortex-M4F Store immediate overlapping\r
   exception return operation might vector to incorrect interrupt */\r
 #if defined __CORTEX_M && (__CORTEX_M == 4U)\r
@@ -212,7 +219,7 @@ void FLEXCOMM2_DriverIRQHandler(void)
 void FLEXCOMM3_DriverIRQHandler(void)\r
 {\r
     assert(s_flexcommIrqHandler[3]);\r
-    s_flexcommIrqHandler[3]((void *)s_flexcommBaseAddrs[3], s_flexcommHandle[3]);\r
+    s_flexcommIrqHandler[3]((uint32_t *)s_flexcommBaseAddrs[3], s_flexcommHandle[3]);\r
 /* Add for ARM errata 838869, affects Cortex-M4, Cortex-M4F Store immediate overlapping\r
   exception return operation might vector to incorrect interrupt */\r
 #if defined __CORTEX_M && (__CORTEX_M == 4U)\r
@@ -225,7 +232,7 @@ void FLEXCOMM3_DriverIRQHandler(void)
 void FLEXCOMM4_DriverIRQHandler(void)\r
 {\r
     assert(s_flexcommIrqHandler[4]);\r
-    s_flexcommIrqHandler[4]((void *)s_flexcommBaseAddrs[4], s_flexcommHandle[4]);\r
+    s_flexcommIrqHandler[4]((uint32_t *)s_flexcommBaseAddrs[4], s_flexcommHandle[4]);\r
 /* Add for ARM errata 838869, affects Cortex-M4, Cortex-M4F Store immediate overlapping\r
   exception return operation might vector to incorrect interrupt */\r
 #if defined __CORTEX_M && (__CORTEX_M == 4U)\r
@@ -239,7 +246,7 @@ void FLEXCOMM4_DriverIRQHandler(void)
 void FLEXCOMM5_DriverIRQHandler(void)\r
 {\r
     assert(s_flexcommIrqHandler[5]);\r
-    s_flexcommIrqHandler[5]((void *)s_flexcommBaseAddrs[5], s_flexcommHandle[5]);\r
+    s_flexcommIrqHandler[5]((uint32_t *)s_flexcommBaseAddrs[5], s_flexcommHandle[5]);\r
 /* Add for ARM errata 838869, affects Cortex-M4, Cortex-M4F Store immediate overlapping\r
   exception return operation might vector to incorrect interrupt */\r
 #if defined __CORTEX_M && (__CORTEX_M == 4U)\r
@@ -252,7 +259,7 @@ void FLEXCOMM5_DriverIRQHandler(void)
 void FLEXCOMM6_DriverIRQHandler(void)\r
 {\r
     assert(s_flexcommIrqHandler[6]);\r
-    s_flexcommIrqHandler[6]((void *)s_flexcommBaseAddrs[6], s_flexcommHandle[6]);\r
+    s_flexcommIrqHandler[6]((uint32_t *)s_flexcommBaseAddrs[6], s_flexcommHandle[6]);\r
 /* Add for ARM errata 838869, affects Cortex-M4, Cortex-M4F Store immediate overlapping\r
   exception return operation might vector to incorrect interrupt */\r
 #if defined __CORTEX_M && (__CORTEX_M == 4U)\r
@@ -265,7 +272,7 @@ void FLEXCOMM6_DriverIRQHandler(void)
 void FLEXCOMM7_DriverIRQHandler(void)\r
 {\r
     assert(s_flexcommIrqHandler[7]);\r
-    s_flexcommIrqHandler[7]((void *)s_flexcommBaseAddrs[7], s_flexcommHandle[7]);\r
+    s_flexcommIrqHandler[7]((uint32_t *)s_flexcommBaseAddrs[7], s_flexcommHandle[7]);\r
 /* Add for ARM errata 838869, affects Cortex-M4, Cortex-M4F Store immediate overlapping\r
   exception return operation might vector to incorrect interrupt */\r
 #if defined __CORTEX_M && (__CORTEX_M == 4U)\r
@@ -278,7 +285,7 @@ void FLEXCOMM7_DriverIRQHandler(void)
 void FLEXCOMM8_DriverIRQHandler(void)\r
 {\r
     assert(s_flexcommIrqHandler[8]);\r
-    s_flexcommIrqHandler[8]((void *)s_flexcommBaseAddrs[8], s_flexcommHandle[8]);\r
+    s_flexcommIrqHandler[8]((uint32_t *)s_flexcommBaseAddrs[8], s_flexcommHandle[8]);\r
 /* Add for ARM errata 838869, affects Cortex-M4, Cortex-M4F Store immediate overlapping\r
   exception return operation might vector to incorrect interrupt */\r
 #if defined __CORTEX_M && (__CORTEX_M == 4U)\r
@@ -291,7 +298,59 @@ void FLEXCOMM8_DriverIRQHandler(void)
 void FLEXCOMM9_DriverIRQHandler(void)\r
 {\r
     assert(s_flexcommIrqHandler[9]);\r
-    s_flexcommIrqHandler[9]((void *)s_flexcommBaseAddrs[9], s_flexcommHandle[9]);\r
+    s_flexcommIrqHandler[9]((uint32_t *)s_flexcommBaseAddrs[9], s_flexcommHandle[9]);\r
+/* Add for ARM errata 838869, affects Cortex-M4, Cortex-M4F Store immediate overlapping\r
+  exception return operation might vector to incorrect interrupt */\r
+#if defined __CORTEX_M && (__CORTEX_M == 4U)\r
+    __DSB();\r
+#endif\r
+}\r
+#endif\r
+\r
+#if defined(FLEXCOMM10)\r
+void FLEXCOMM10_DriverIRQHandler(void)\r
+{\r
+    assert(s_flexcommIrqHandler[10]);\r
+    s_flexcommIrqHandler[10]((uint32_t *)s_flexcommBaseAddrs[10], s_flexcommHandle[10]);\r
+/* Add for ARM errata 838869, affects Cortex-M4, Cortex-M4F Store immediate overlapping\r
+  exception return operation might vector to incorrect interrupt */\r
+#if defined __CORTEX_M && (__CORTEX_M == 4U)\r
+    __DSB();\r
+#endif\r
+}\r
+#endif\r
+\r
+#if defined(FLEXCOMM11)\r
+void FLEXCOMM11_DriverIRQHandler(void)\r
+{\r
+    assert(s_flexcommIrqHandler[11]);\r
+    s_flexcommIrqHandler[11]((uint32_t *)s_flexcommBaseAddrs[11], s_flexcommHandle[11]);\r
+/* Add for ARM errata 838869, affects Cortex-M4, Cortex-M4F Store immediate overlapping\r
+  exception return operation might vector to incorrect interrupt */\r
+#if defined __CORTEX_M && (__CORTEX_M == 4U)\r
+    __DSB();\r
+#endif\r
+}\r
+#endif\r
+\r
+#if defined(FLEXCOMM12)\r
+void FLEXCOMM12_DriverIRQHandler(void)\r
+{\r
+    assert(s_flexcommIrqHandler[12]);\r
+    s_flexcommIrqHandler[12]((uint32_t *)s_flexcommBaseAddrs[12], s_flexcommHandle[12]);\r
+/* Add for ARM errata 838869, affects Cortex-M4, Cortex-M4F Store immediate overlapping\r
+  exception return operation might vector to incorrect interrupt */\r
+#if defined __CORTEX_M && (__CORTEX_M == 4U)\r
+    __DSB();\r
+#endif\r
+}\r
+#endif\r
+\r
+#if defined(FLEXCOMM13)\r
+void FLEXCOMM13_DriverIRQHandler(void)\r
+{\r
+    assert(s_flexcommIrqHandler[13]);\r
+    s_flexcommIrqHandler[13]((uint32_t *)s_flexcommBaseAddrs[13], s_flexcommHandle[13]);\r
 /* Add for ARM errata 838869, affects Cortex-M4, Cortex-M4F Store immediate overlapping\r
   exception return operation might vector to incorrect interrupt */\r
 #if defined __CORTEX_M && (__CORTEX_M == 4U)\r
@@ -323,7 +382,24 @@ void FLEXCOMM15_DriverIRQHandler(void)
     uint32_t instance;\r
 \r
     /* Look up instance number */\r
-    instance = FLEXCOMM_GetInstance(FLEXCOMM14);\r
+    instance = FLEXCOMM_GetInstance(FLEXCOMM15);\r
+    assert(s_flexcommIrqHandler[instance]);\r
+    s_flexcommIrqHandler[instance]((void *)s_flexcommBaseAddrs[instance], s_flexcommHandle[instance]);\r
+/* Add for ARM errata 838869, affects Cortex-M4, Cortex-M4F Store immediate overlapping\r
+  exception return operation might vector to incorrect interrupt */\r
+#if defined __CORTEX_M && (__CORTEX_M == 4U)\r
+    __DSB();\r
+#endif\r
+}\r
+#endif\r
+\r
+#if defined(FLEXCOMM16)\r
+void FLEXCOMM16_DriverIRQHandler(void)\r
+{\r
+    uint32_t instance;\r
+\r
+    /* Look up instance number */\r
+    instance = FLEXCOMM_GetInstance(FLEXCOMM16);\r
     assert(s_flexcommIrqHandler[instance]);\r
     s_flexcommIrqHandler[instance]((void *)s_flexcommBaseAddrs[instance], s_flexcommHandle[instance]);\r
 /* Add for ARM errata 838869, affects Cortex-M4, Cortex-M4F Store immediate overlapping\r
index 541a3bd0bf9d759283b89457edd042d261647ee7..6fa82b4159deddd4fee295994fcba909ceeb2e90 100644 (file)
@@ -1,8 +1,8 @@
 /*\r
  * Copyright (c) 2016, Freescale Semiconductor, Inc.\r
- * Copyright 2016-2017 NXP\r
+ * Copyright 2016-2019 NXP\r
  * All rights reserved.\r
- * \r
+ *\r
  * SPDX-License-Identifier: BSD-3-Clause\r
  */\r
 #ifndef _FSL_FLEXCOMM_H_\r
@@ -17,8 +17,8 @@
 \r
 /*! @name Driver version */\r
 /*@{*/\r
-/*! @brief FlexCOMM driver version 2.0.0. */\r
-#define FSL_FLEXCOMM_DRIVER_VERSION (MAKE_VERSION(2, 0, 0))\r
+/*! @brief FlexCOMM driver version 2.0.2. */\r
+#define FSL_FLEXCOMM_DRIVER_VERSION (MAKE_VERSION(2, 0, 2))\r
 /*@}*/\r
 \r
 /*! @brief FLEXCOMM peripheral modes. */\r
@@ -38,6 +38,13 @@ typedef void (*flexcomm_irq_handler_t)(void *base, void *handle);
 /*! @brief Array with IRQ number for each FLEXCOMM module. */\r
 extern IRQn_Type const kFlexcommIrqs[];\r
 \r
+/*******************************************************************************\r
+ * API\r
+ ******************************************************************************/\r
+#if defined(__cplusplus)\r
+extern "C" {\r
+#endif\r
+\r
 /*! @brief Returns instance number for FLEXCOMM module with given base address. */\r
 uint32_t FLEXCOMM_GetInstance(void *base);\r
 \r
@@ -48,6 +55,10 @@ status_t FLEXCOMM_Init(void *base, FLEXCOMM_PERIPH_T periph);
  * mode */\r
 void FLEXCOMM_SetIRQHandler(void *base, flexcomm_irq_handler_t handler, void *handle);\r
 \r
+#if defined(__cplusplus)\r
+}\r
+#endif\r
+\r
 /*@}*/\r
 \r
 #endif /* _FSL_FLEXCOMM_H_*/\r
index 8d452a95c11cdb943500b87e74c544ffbf235532..ed74b465efee973cdc78d6770c81d8bab80c7735 100644 (file)
@@ -1,6 +1,6 @@
 /*\r
  * Copyright (c) 2016, Freescale Semiconductor, Inc.\r
- * Copyright 2016-2018 NXP\r
+ * Copyright 2016-2019 NXP\r
  * All rights reserved.\r
  *\r
  * SPDX-License-Identifier: BSD-3-Clause\r
@@ -26,8 +26,8 @@ static const clock_ip_name_t s_gpioClockName[] = GPIO_CLOCKS;
 static const reset_ip_name_t s_gpioResets[] = GPIO_RSTS_N;\r
 #endif\r
 /*******************************************************************************\r
-* Prototypes\r
-************ ******************************************************************/\r
+ * Prototypes\r
+ ************ ******************************************************************/\r
 \r
 /*******************************************************************************\r
  * Code\r
@@ -62,13 +62,13 @@ void GPIO_PortInit(GPIO_Type *base, uint32_t port)
  *\r
  * This is an example to define an input pin or output pin configuration:\r
  * code\r
- * // Define a digital input pin configuration,\r
+ * Define a digital input pin configuration,\r
  * gpio_pin_config_t config =\r
  * {\r
  *   kGPIO_DigitalInput,\r
  *   0,\r
  * }\r
- * //Define a digital output pin configuration,\r
+ * Define a digital output pin configuration,\r
  * gpio_pin_config_t config =\r
  * {\r
  *   kGPIO_DigitalOutput,\r
@@ -86,9 +86,9 @@ void GPIO_PinInit(GPIO_Type *base, uint32_t port, uint32_t pin, const gpio_pin_c
     if (config->pinDirection == kGPIO_DigitalInput)\r
     {\r
 #if defined(FSL_FEATURE_GPIO_DIRSET_AND_DIRCLR) && (FSL_FEATURE_GPIO_DIRSET_AND_DIRCLR)\r
-        base->DIRCLR[port] = 1U << pin;\r
+        base->DIRCLR[port] = 1UL << pin;\r
 #else\r
-        base->DIR[port] &= ~(1U << pin);\r
+        base->DIR[port] &= ~(1UL << pin);\r
 #endif /*FSL_FEATURE_GPIO_DIRSET_AND_DIRCLR*/\r
     }\r
     else\r
@@ -96,17 +96,207 @@ void GPIO_PinInit(GPIO_Type *base, uint32_t port, uint32_t pin, const gpio_pin_c
         /* Set default output value */\r
         if (config->outputLogic == 0U)\r
         {\r
-            base->CLR[port] = (1U << pin);\r
+            base->CLR[port] = (1UL << pin);\r
         }\r
         else\r
         {\r
-            base->SET[port] = (1U << pin);\r
+            base->SET[port] = (1UL << pin);\r
         }\r
 /* Set pin direction */\r
 #if defined(FSL_FEATURE_GPIO_DIRSET_AND_DIRCLR) && (FSL_FEATURE_GPIO_DIRSET_AND_DIRCLR)\r
-        base->DIRSET[port] = 1U << pin;\r
+        base->DIRSET[port] = 1UL << pin;\r
 #else\r
-        base->DIR[port] |= 1U << pin;\r
+        base->DIR[port] |= 1UL << pin;\r
 #endif /*FSL_FEATURE_GPIO_DIRSET_AND_DIRCLR*/\r
     }\r
 }\r
+\r
+#if defined(FSL_FEATURE_GPIO_HAS_INTERRUPT) && FSL_FEATURE_GPIO_HAS_INTERRUPT\r
+/*!\r
+ * @brief Configures the gpio pin interrupt.\r
+ *\r
+ * @param base GPIO base pointer.\r
+ * @param port GPIO port number\r
+ * @param pin GPIO pin number.\r
+ * @param config GPIO pin interrupt configuration..\r
+ */\r
+void GPIO_SetPinInterruptConfig(GPIO_Type *base, uint32_t port, uint32_t pin, gpio_interrupt_config_t *config)\r
+{\r
+    base->INTEDG[port] = base->INTEDG[port] | ((uint32_t)config->mode << pin);\r
+\r
+    base->INTPOL[port] = base->INTPOL[port] | ((uint32_t)config->polarity << pin);\r
+}\r
+\r
+/*!\r
+ * @brief Enables multiple pins interrupt.\r
+ *\r
+ * @param base GPIO base pointer.\r
+ * @param port   GPIO port number.\r
+ * @param index GPIO interrupt number.\r
+ * @param mask GPIO pin number macro.\r
+ */\r
+void GPIO_PortEnableInterrupts(GPIO_Type *base, uint32_t port, uint32_t index, uint32_t mask)\r
+{\r
+    if ((uint32_t)kGPIO_InterruptA == index)\r
+    {\r
+        base->INTENA[port] = base->INTENA[port] | mask;\r
+    }\r
+    else if ((uint32_t)kGPIO_InterruptB == index)\r
+    {\r
+        base->INTENB[port] = base->INTENB[port] | mask;\r
+    }\r
+    else\r
+    {\r
+        /*Should not enter here*/\r
+    }\r
+}\r
+\r
+/*!\r
+ * @brief Disables multiple pins interrupt.\r
+ *\r
+ * @param base GPIO base pointer.\r
+ * @param port   GPIO port number.\r
+ * @param index GPIO interrupt number.\r
+ * @param mask GPIO pin number macro.\r
+ */\r
+void GPIO_PortDisableInterrupts(GPIO_Type *base, uint32_t port, uint32_t index, uint32_t mask)\r
+{\r
+    if ((uint32_t)kGPIO_InterruptA == index)\r
+    {\r
+        base->INTENA[port] = base->INTENA[port] & ~mask;\r
+    }\r
+    else if ((uint32_t)kGPIO_InterruptB == index)\r
+    {\r
+        base->INTENB[port] = base->INTENB[port] & ~mask;\r
+    }\r
+    else\r
+    {\r
+        /*Should not enter here*/\r
+    }\r
+}\r
+\r
+/*!\r
+ * @brief Clears multiple pins interrupt flag. Status flags are cleared by\r
+ *        writing a 1 to the corresponding bit position.\r
+ *\r
+ * @param base GPIO base pointer.\r
+ * @param port GPIO port number.\r
+ * @param index GPIO interrupt number.\r
+ * @param mask GPIO pin number macro.\r
+ */\r
+void GPIO_PortClearInterruptFlags(GPIO_Type *base, uint32_t port, uint32_t index, uint32_t mask)\r
+{\r
+    if ((uint32_t)kGPIO_InterruptA == index)\r
+    {\r
+        base->INTSTATA[port] = mask;\r
+    }\r
+    else if ((uint32_t)kGPIO_InterruptB == index)\r
+    {\r
+        base->INTSTATB[port] = mask;\r
+    }\r
+    else\r
+    {\r
+        /*Should not enter here*/\r
+    }\r
+}\r
+\r
+/*!\r
+ * @ Read port interrupt status.\r
+ *\r
+ * @param base GPIO base pointer.\r
+ * @param port GPIO port number\r
+ * @param index GPIO interrupt number.\r
+ * @retval masked GPIO status value\r
+ */\r
+uint32_t GPIO_PortGetInterruptStatus(GPIO_Type *base, uint32_t port, uint32_t index)\r
+{\r
+    uint32_t status = 0U;\r
+\r
+    if ((uint32_t)kGPIO_InterruptA == index)\r
+    {\r
+        status = base->INTSTATA[port];\r
+    }\r
+    else if ((uint32_t)kGPIO_InterruptB == index)\r
+    {\r
+        status = base->INTSTATB[port];\r
+    }\r
+    else\r
+    {\r
+        /*Should not enter here*/\r
+    }\r
+    return status;\r
+}\r
+\r
+/*!\r
+ * @brief Enables the specific pin interrupt.\r
+ *\r
+ * @param base GPIO base pointer.\r
+ * @param port   GPIO port number.\r
+ * @param pin GPIO pin number.\r
+ * @param index GPIO interrupt number.\r
+ */\r
+void GPIO_PinEnableInterrupt(GPIO_Type *base, uint32_t port, uint32_t pin, uint32_t index)\r
+{\r
+    if ((uint32_t)kGPIO_InterruptA == index)\r
+    {\r
+        base->INTENA[port] = base->INTENA[port] | (1UL << pin);\r
+    }\r
+    else if ((uint32_t)kGPIO_InterruptB == index)\r
+    {\r
+        base->INTENB[port] = base->INTENB[port] | (1UL << pin);\r
+    }\r
+    else\r
+    {\r
+        /*Should not enter here*/\r
+    }\r
+}\r
+\r
+/*!\r
+ * @brief Disables the specific pin interrupt.\r
+ *\r
+ * @param base GPIO base pointer.\r
+ * @param port   GPIO port number.\r
+ * @param pin GPIO pin number.\r
+ * @param index GPIO interrupt number.\r
+ */\r
+void GPIO_PinDisableInterrupt(GPIO_Type *base, uint32_t port, uint32_t pin, uint32_t index)\r
+{\r
+    if ((uint32_t)kGPIO_InterruptA == index)\r
+    {\r
+        base->INTENA[port] = base->INTENA[port] & ~(1UL << pin);\r
+    }\r
+    else if ((uint32_t)kGPIO_InterruptB == index)\r
+    {\r
+        base->INTENB[port] = base->INTENB[port] & ~(1UL << pin);\r
+    }\r
+    else\r
+    {\r
+        /*Should not enter here*/\r
+    }\r
+}\r
+\r
+/*!\r
+ * @brief Clears the specific pin interrupt flag. Status flags are cleared by\r
+ *        writing a 1 to the corresponding bit position.\r
+ *\r
+ * @param base GPIO base pointer.\r
+ * @param port GPIO port number.\r
+ * @param index GPIO interrupt number.\r
+ * @param mask GPIO pin number macro.\r
+ */\r
+void GPIO_PinClearInterruptFlag(GPIO_Type *base, uint32_t port, uint32_t pin, uint32_t index)\r
+{\r
+    if ((uint32_t)kGPIO_InterruptA == index)\r
+    {\r
+        base->INTSTATA[port] = 1UL << pin;\r
+    }\r
+    else if ((uint32_t)kGPIO_InterruptB == index)\r
+    {\r
+        base->INTSTATB[port] = 1UL << pin;\r
+    }\r
+    else\r
+    {\r
+        /*Should not enter here*/\r
+    }\r
+}\r
+#endif /* FSL_FEATURE_GPIO_HAS_INTERRUPT */\r
index c2505eafce437a891caa17fc84252a5caa4072f6..39dd2f488cbc467206d035617aa23bfca4c4ff09 100644 (file)
@@ -1,6 +1,6 @@
 /*\r
  * Copyright (c) 2016, Freescale Semiconductor, Inc.\r
- * Copyright 2016-2018 NXP\r
+ * Copyright 2016-2019 NXP\r
  * All rights reserved.\r
  *\r
  * SPDX-License-Identifier: BSD-3-Clause\r
 \r
 /*! @name Driver version */\r
 /*@{*/\r
-/*! @brief LPC GPIO driver version 2.1.3. */\r
-#define FSL_GPIO_DRIVER_VERSION (MAKE_VERSION(2, 1, 3))\r
+/*! @brief LPC GPIO driver version. */\r
+#define FSL_GPIO_DRIVER_VERSION (MAKE_VERSION(2, 1, 5))\r
 /*@}*/\r
 \r
 /*! @brief LPC GPIO direction definition */\r
 typedef enum _gpio_pin_direction\r
 {\r
-    kGPIO_DigitalInput = 0U,  /*!< Set current pin as digital input*/\r
+    kGPIO_DigitalInput  = 0U, /*!< Set current pin as digital input*/\r
     kGPIO_DigitalOutput = 1U, /*!< Set current pin as digital output*/\r
 } gpio_pin_direction_t;\r
 \r
@@ -48,6 +48,44 @@ typedef struct _gpio_pin_config
     uint8_t outputLogic; /*!< Set default output logic, no use in input */\r
 } gpio_pin_config_t;\r
 \r
+#if (defined(FSL_FEATURE_GPIO_HAS_INTERRUPT) && FSL_FEATURE_GPIO_HAS_INTERRUPT)\r
+#define GPIO_PIN_INT_LEVEL 0x00U\r
+#define GPIO_PIN_INT_EDGE 0x01U\r
+\r
+#define PINT_PIN_INT_HIGH_OR_RISE_TRIGGER 0x00U\r
+#define PINT_PIN_INT_LOW_OR_FALL_TRIGGER 0x01U\r
+\r
+/*! @brief GPIO Pin Interrupt enable mode */\r
+typedef enum _gpio_pin_enable_mode\r
+{\r
+    kGPIO_PinIntEnableLevel = GPIO_PIN_INT_LEVEL, /*!< Generate Pin Interrupt on level mode */\r
+    kGPIO_PinIntEnableEdge  = GPIO_PIN_INT_EDGE   /*!< Generate Pin Interrupt on edge mode */\r
+} gpio_pin_enable_mode_t;\r
+\r
+/*! @brief GPIO Pin Interrupt enable polarity */\r
+typedef enum _gpio_pin_enable_polarity\r
+{\r
+    kGPIO_PinIntEnableHighOrRise =\r
+        PINT_PIN_INT_HIGH_OR_RISE_TRIGGER, /*!< Generate Pin Interrupt on high level or rising edge */\r
+    kGPIO_PinIntEnableLowOrFall =\r
+        PINT_PIN_INT_LOW_OR_FALL_TRIGGER /*!< Generate Pin Interrupt on low level or falling edge */\r
+} gpio_pin_enable_polarity_t;\r
+\r
+/*! @brief LPC GPIO interrupt index definition */\r
+typedef enum _gpio_interrupt_index\r
+{\r
+    kGPIO_InterruptA = 0U, /*!< Set current pin as interrupt A*/\r
+    kGPIO_InterruptB = 1U, /*!< Set current pin as interrupt B*/\r
+} gpio_interrupt_index_t;\r
+\r
+/*! @brief Configures the interrupt generation condition. */\r
+typedef struct _gpio_interrupt_config\r
+{\r
+    uint8_t mode;     /* The trigger mode of GPIO interrupts */\r
+    uint8_t polarity; /* The polarity of GPIO interrupts */\r
+} gpio_interrupt_config_t;\r
+#endif\r
+\r
 /*******************************************************************************\r
  * API\r
  ******************************************************************************/\r
@@ -76,13 +114,13 @@ void GPIO_PortInit(GPIO_Type *base, uint32_t port);
  *\r
  * This is an example to define an input pin or output pin configuration:\r
  * @code\r
- * // Define a digital input pin configuration,\r
+ * Define a digital input pin configuration,\r
  * gpio_pin_config_t config =\r
  * {\r
  *   kGPIO_DigitalInput,\r
  *   0,\r
  * }\r
- * //Define a digital output pin configuration,\r
+ * Define a digital output pin configuration,\r
  * gpio_pin_config_t config =\r
  * {\r
  *   kGPIO_DigitalOutput,\r
@@ -228,6 +266,91 @@ static inline uint32_t GPIO_PortMaskedRead(GPIO_Type *base, uint32_t port)
     return (uint32_t)base->MPIN[port];\r
 }\r
 \r
+#if defined(FSL_FEATURE_GPIO_HAS_INTERRUPT) && FSL_FEATURE_GPIO_HAS_INTERRUPT\r
+/*!\r
+ * @brief Configures the gpio pin interrupt.\r
+ *\r
+ * @param base GPIO base pointer.\r
+ * @param port GPIO port number\r
+ * @param pin GPIO pin number.\r
+ * @param config GPIO pin interrupt configuration..\r
+ */\r
+void GPIO_SetPinInterruptConfig(GPIO_Type *base, uint32_t port, uint32_t pin, gpio_interrupt_config_t *config);\r
+\r
+/*!\r
+ * @brief Enables multiple pins interrupt.\r
+ *\r
+ * @param base GPIO base pointer.\r
+ * @param port GPIO port number.\r
+ * @param index GPIO interrupt number.\r
+ * @param mask GPIO pin number macro.\r
+ */\r
+void GPIO_PortEnableInterrupts(GPIO_Type *base, uint32_t port, uint32_t index, uint32_t mask);\r
+\r
+/*!\r
+ * @brief Disables multiple pins interrupt.\r
+ *\r
+ * @param base GPIO base pointer.\r
+ * @param port GPIO port number.\r
+ * @param index GPIO interrupt number.\r
+ * @param mask GPIO pin number macro.\r
+ */\r
+void GPIO_PortDisableInterrupts(GPIO_Type *base, uint32_t port, uint32_t index, uint32_t mask);\r
+\r
+/*!\r
+ * @brief Clears pin interrupt flag. Status flags are cleared by\r
+ *        writing a 1 to the corresponding bit position.\r
+ *\r
+ * @param base GPIO base pointer.\r
+ * @param port   GPIO port number.\r
+ * @param index GPIO interrupt number.\r
+ * @param mask GPIO pin number macro.\r
+ */\r
+void GPIO_PortClearInterruptFlags(GPIO_Type *base, uint32_t port, uint32_t index, uint32_t mask);\r
+\r
+/*!\r
+ * @ Read port interrupt status.\r
+ *\r
+ * @param base GPIO base pointer.\r
+ * @param port GPIO port number\r
+ * @param index GPIO interrupt number.\r
+ * @retval masked GPIO status value\r
+ */\r
+uint32_t GPIO_PortGetInterruptStatus(GPIO_Type *base, uint32_t port, uint32_t index);\r
+\r
+/*!\r
+ * @brief Enables the specific pin interrupt.\r
+ *\r
+ * @param base GPIO base pointer.\r
+ * @param port GPIO port number.\r
+ * @param pin GPIO pin number.\r
+ * @param index GPIO interrupt number.\r
+ */\r
+void GPIO_PinEnableInterrupt(GPIO_Type *base, uint32_t port, uint32_t pin, uint32_t index);\r
+\r
+/*!\r
+ * @brief Disables the specific pin interrupt.\r
+ *\r
+ * @param base GPIO base pointer.\r
+ * @param port GPIO port number.\r
+ * @param pin GPIO pin number.\r
+ * @param index GPIO interrupt number.\r
+ */\r
+void GPIO_PinDisableInterrupt(GPIO_Type *base, uint32_t port, uint32_t pin, uint32_t index);\r
+\r
+/*!\r
+ * @brief Clears the specific pin interrupt flag. Status flags are cleared by\r
+ *        writing a 1 to the corresponding bit position.\r
+ *\r
+ * @param base GPIO base pointer.\r
+ * @param port GPIO port number.\r
+ * @param pin GPIO pin number.\r
+ * @param index GPIO interrupt number.\r
+ */\r
+void GPIO_PinClearInterruptFlag(GPIO_Type *base, uint32_t port, uint32_t pin, uint32_t index);\r
+\r
+#endif /* FSL_FEATURE_GPIO_HAS_INTERRUPT */\r
+\r
 /*@}*/\r
 \r
 #if defined(__cplusplus)\r
index da0e9220f91c2b3262a58471ff7623f98c640c73..0386ecb4fa3d79ff94a447fb438c19012751acc4 100644 (file)
@@ -1,6 +1,6 @@
 /*\r
  * Copyright (c) 2016, Freescale Semiconductor, Inc.\r
- * Copyright 2016-2017 NXP\r
+ * Copyright 2016-2019 NXP\r
  * All rights reserved.\r
  *\r
  * SPDX-License-Identifier: BSD-3-Clause\r
@@ -29,8 +29,8 @@
 \r
 /*! @name Driver version */\r
 /*@{*/\r
-/*! @brief IOCON driver version 2.0.0. */\r
-#define FSL_IOCON_DRIVER_VERSION (MAKE_VERSION(2, 0, 0))\r
+/*! @brief IOCON driver version 2.1.1. */\r
+#define FSL_IOCON_DRIVER_VERSION (MAKE_VERSION(2, 1, 1))\r
 /*@}*/\r
 \r
 /**\r
@@ -134,13 +134,13 @@ typedef struct _iocon_group
 #define IOCON_S_MODE_0CLK (0x0 << IOCON_PIO_S_MODE_SHIFT) /*!< Bypass input filter */\r
 #define IOCON_S_MODE_1CLK                                                                              \\r
     (0x1 << IOCON_PIO_S_MODE_SHIFT) /*!< Input pulses shorter than 1 filter clock are rejected \ \ \ \ \\r
-                                           */\r
+                                     */\r
 #define IOCON_S_MODE_2CLK                                                                               \\r
     (0x2 << IOCON_PIO_S_MODE_SHIFT) /*!< Input pulses shorter than 2 filter clock2 are rejected \ \ \ \ \\r
-                                           */\r
+                                     */\r
 #define IOCON_S_MODE_3CLK                                                                               \\r
     (0x3 << IOCON_PIO_S_MODE_SHIFT) /*!< Input pulses shorter than 3 filter clock2 are rejected \ \ \ \ \\r
-                                           */\r
+                                     */\r
 #define IOCON_S_MODE(clks) ((clks) << IOCON_PIO_S_MODE_SHIFT) /*!< Select clocks for digital input filter mode */\r
 #endif\r
 \r
@@ -210,13 +210,13 @@ typedef struct _iocon_group
 #define IOCON_S_MODE_0CLK (0x0 << IOCON_PIO_S_MODE_SHIFT) /*!< Bypass input filter */\r
 #define IOCON_S_MODE_1CLK                                                                              \\r
     (0x1 << IOCON_PIO_S_MODE_SHIFT) /*!< Input pulses shorter than 1 filter clock are rejected \ \ \ \ \\r
-                                           */\r
+                                     */\r
 #define IOCON_S_MODE_2CLK                                                                               \\r
     (0x2 << IOCON_PIO_S_MODE_SHIFT) /*!< Input pulses shorter than 2 filter clock2 are rejected \ \ \ \ \\r
-                                           */\r
+                                     */\r
 #define IOCON_S_MODE_3CLK                                                                               \\r
     (0x3 << IOCON_PIO_S_MODE_SHIFT) /*!< Input pulses shorter than 3 filter clock2 are rejected \ \ \ \ \\r
-                                           */\r
+                                     */\r
 #define IOCON_S_MODE(clks) ((clks) << IOCON_PIO_S_MODE_SHIFT) /*!< Select clocks for digital input filter mode */\r
 #endif\r
 \r
index 8d44a34e5ecaeb5c19f2564709e028c4593e0553..ccc1ad4715dca05769ceb795c7adba13845b7998 100644 (file)
@@ -1,6 +1,6 @@
 /*\r
  * Copyright (c) 2016, Freescale Semiconductor, Inc.\r
- * Copyright (c) 2016, NXP\r
+ * Copyright 2016, NXP\r
  * All rights reserved.\r
  *\r
  * SPDX-License-Identifier: BSD-3-Clause\r
index b21fadfa759aac2f1f11741c475662eb4a4617fa..be278cfd75079ca28b7b7e8fc8511aacd4c047cf 100644 (file)
@@ -1,5 +1,5 @@
 /*\r
- * Copyright (c) 2017, NXP\r
+ * Copyright 2017, NXP\r
  * All rights reserved.\r
  *\r
  * SPDX-License-Identifier: BSD-3-Clause\r
 #define FSL_POWER_DRIVER_VERSION (MAKE_VERSION(1, 0, 0))\r
 /*@}*/\r
 \r
-\r
-/** @brief  Low Power main structure */\r
-typedef enum\r
+/* Power mode configuration API parameter */\r
+typedef enum _power_mode_config\r
 {\r
-    VD_AON = 0x0,      /*!< Digital Always On power domain */\r
-    VD_MEM = 0x1,      /*!< Memories (SRAM) power domain   */\r
-    VD_DCDC = 0x2,     /*!< Core logic power domain        */\r
-    VD_DEEPSLEEP = 0x3 /*!< Core logic power domain        */\r
-} LPC_POWER_DOMAIN_T;\r
-\r
-/** @brief  Low Power main structure */\r
-typedef struct\r
-{                              /*     */\r
-    __IO uint32_t CFG;         /*!< Low Power Mode Configuration, and miscallenous options  */\r
-    __IO uint32_t PDCTRL0;     /*!< Power Down control : controls power of various modules\r
-                                 in the different Low power modes, including ROM */\r
-    __IO uint32_t SRAMRETCTRL; /*!< Power Down control : controls power SRAM instances\r
-                                 in the different Low power modes */\r
-    __IO uint32_t CPURETCTRL;  /*!< CPU0 retention control : controls CPU retention parameters in POWER DOWN modes */\r
-    __IO uint64_t VOLTAGE;     /*!< Voltage control in Low Power Modes */\r
-    __IO uint64_t WAKEUPSRC;   /*!< Wake up sources control for sleepcon */\r
-    __IO uint64_t WAKEUPINT;   /*!< Wake up sources control for ARM */\r
-    __IO uint32_t HWWAKE;      /*!< Interrupt that can postpone power down modes\r
-                                 in case an interrupt is pending when the processor request deepsleep */\r
-    __IO uint32_t WAKEUPIOSRC; /*!< Wake up I/O sources in DEEP POWER DOWN mode */\r
-    __IO uint32_t TIMERCFG;    /*!< Wake up timers configuration */\r
-    __IO uint32_t TIMERCOUNT;  /*!< Wake up Timer count*/\r
-    __IO uint32_t POWERCYCLE;  /*!< Cancels entry in Low Power mode if set with 0xDEADABBA (might be used by some\r
-                                 interrupt handlers)*/\r
-} LPC_LOWPOWER_T;\r
-\r
-/*   */\r
-#define LOWPOWER_POWERCYCLE_CANCELLED 0xDEADABBA /*!<    */\r
-\r
-/* Low Power modes  */\r
-#define LOWPOWER_CFG_LPMODE_INDEX                   0\r
-#define LOWPOWER_CFG_LPMODE_MASK                    (0x3UL << LOWPOWER_CFG_LPMODE_INDEX)\r
-#define LOWPOWER_CFG_SELCLOCK_INDEX                 2\r
-#define LOWPOWER_CFG_SELCLOCK_MASK                  (0x1UL << LOWPOWER_CFG_SELCLOCK_INDEX)\r
-#define LOWPOWER_CFG_SELMEMSUPPLY_INDEX             3\r
-#define LOWPOWER_CFG_SELMEMSUPPLY_MASK              (0x1UL << LOWPOWER_CFG_SELMEMSUPPLY_INDEX)\r
-#define LOWPOWER_CFG_MEMLOWPOWERMODE_INDEX          4\r
-#define LOWPOWER_CFG_MEMLOWPOWERMODE_MASK           (0x1UL << LOWPOWER_CFG_MEMLOWPOWERMODE_INDEX)\r
-#define LOWPOWER_CFG_LDODEEPSLEEPREF_INDEX          5\r
-#define LOWPOWER_CFG_LDODEEPSLEEPREF_MASK           (0x1UL << LOWPOWER_CFG_LDODEEPSLEEPREF_INDEX)\r
-\r
-#define LOWPOWER_CFG_LPMODE_ACTIVE                  0 /*!< ACTIVE mode          */\r
-#define LOWPOWER_CFG_LPMODE_DEEPSLEEP               1 /*!< DEEP SLEEP mode      */\r
-#define LOWPOWER_CFG_LPMODE_POWERDOWN               2 /*!< POWER DOWN mode      */\r
-#define LOWPOWER_CFG_LPMODE_DEEPPOWERDOWN           3 /*!< DEEP POWER DOWN mode */\r
-#define LOWPOWER_CFG_LPMODE_SLEEP                   4 /*!< SLEEP mode */\r
-\r
-#define LOWPOWER_CFG_SELCLOCK_1MHZ                  0 /*!< The 1 MHz clock is used during the configuration of the PMC */\r
-#define LOWPOWER_CFG_SELCLOCK_12MHZ                 1 /*!< The 12 MHz clock is used during the configuration of the PMC (to speed up PMC configuration process)*/\r
-\r
-#define LOWPOWER_CFG_SELMEMSUPPLY_LDOMEM            0 /*!< In DEEP SLEEP power mode, the Memories are supplied by the LDO_MEM */\r
-#define LOWPOWER_CFG_SELMEMSUPPLY_LDODEEPSLEEP      1 /*!< In DEEP SLEEP power mode, the Memories are supplied by the LDO_DEEP_SLEEP (or DCDC) */\r
-\r
-#define LOWPOWER_CFG_MEMLOWPOWERMODE_SOURCEBIASING  0 /*!< All SRAM instances use "Source Biasing" as low power mode technic (it is recommended to set LDO_MEM as high as possible -- 1.1V typical -- during low power mode) */\r
-#define LOWPOWER_CFG_MEMLOWPOWERMODE_VOLTAGESCALING 1 /*!< All SRAM instances use "Voltage Scaling" as low power mode technic (it is recommended to set LDO_MEM as low as possible -- down to 0.7V -- during low power mode) */\r
-\r
-#define LOWPOWER_CFG_LDODEEPSLEEPREF_FLASHBUFFER    0 /*!< LDO DEEP SLEEP uses Flash Buffer as reference  */\r
-#define LOWPOWER_CFG_LDODEEPSLEEPREF_BANDGAG0P8V    1 /*!< LDO DEEP SLEEP uses Band Gap 0.8V as reference */\r
-\r
-/* CPU Retention Control*/\r
-#define LOWPOWER_CPURETCTRL_ENA_INDEX               0\r
-#define LOWPOWER_CPURETCTRL_ENA_MASK                (0x1UL << LOWPOWER_CPURETCTRL_ENA_INDEX)\r
-#define LOWPOWER_CPURETCTRL_MEMBASE_INDEX           1\r
-#define LOWPOWER_CPURETCTRL_MEMBASE_MASK            (0x1FFF << LOWPOWER_CPURETCTRL_MEMBASE_INDEX)\r
-#define LOWPOWER_CPURETCTRL_RETDATALENGTH_INDEX     14\r
-#define LOWPOWER_CPURETCTRL_RETDATALENGTH_MASK      (0x3FFUL << LOWPOWER_CPURETCTRL_RETDATALENGTH_INDEX)\r
-\r
-#define LOWPOWER_CPURETCTRL_ENA_DISABLE             0 /*!< In POWER DOWN mode, CPU Retention is disabled */\r
-#define LOWPOWER_CPURETCTRL_ENA_ENABLE              1 /*!< In POWER DOWN mode, CPU Retention is enabled  */\r
+    kPmu_Sleep          = 0U,\r
+    kPmu_Deep_Sleep     = 1U,\r
+    kPmu_PowerDown      = 2U,\r
+    kPmu_Deep_PowerDown = 3U,\r
+} power_mode_cfg_t;\r
 \r
 /**\r
  * @brief Analog components power modes control during low power modes\r
  */\r
 typedef enum pd_bits\r
 {\r
-    kPDRUNCFG_PD_DCDC = (1UL << 0),\r
-    kPDRUNCFG_PD_BIAS = (1UL << 1),\r
-    kPDRUNCFG_PD_BODCORE = (1UL << 2),\r
-    kPDRUNCFG_PD_BODVBAT = (1UL << 3),\r
-    kPDRUNCFG_PD_FRO1M = (1UL << 4),\r
-    kPDRUNCFG_PD_FRO192M = (1UL << 5),\r
-    kPDRUNCFG_PD_FRO32K = (1UL << 6),\r
-    kPDRUNCFG_PD_XTAL32K = (1UL << 7),\r
-    kPDRUNCFG_PD_XTAL32M = (1UL << 8),\r
-    kPDRUNCFG_PD_PLL0 = (1UL << 9),\r
-    kPDRUNCFG_PD_PLL1 = (1UL << 10),\r
-    kPDRUNCFG_PD_USB0_PHY = (1UL << 11),\r
-    kPDRUNCFG_PD_USB1_PHY = (1UL << 12),\r
-    kPDRUNCFG_PD_COMP = (1UL << 13),\r
-    kPDRUNCFG_PD_TEMPSENS = (1UL << 14),\r
-    kPDRUNCFG_PD_GPADC = (1UL << 15),\r
-    kPDRUNCFG_PD_LDOMEM = (1UL << 16),\r
+    kPDRUNCFG_PD_DCDC         = (1UL << 0),\r
+    kPDRUNCFG_PD_BIAS         = (1UL << 1),\r
+    kPDRUNCFG_PD_BODCORE      = (1UL << 2),\r
+    kPDRUNCFG_PD_BODVBAT      = (1UL << 3),\r
+    kPDRUNCFG_PD_FRO1M        = (1UL << 4),\r
+    kPDRUNCFG_PD_FRO192M      = (1UL << 5),\r
+    kPDRUNCFG_PD_FRO32K       = (1UL << 6),\r
+    kPDRUNCFG_PD_XTAL32K      = (1UL << 7),\r
+    kPDRUNCFG_PD_XTAL32M      = (1UL << 8),\r
+    kPDRUNCFG_PD_PLL0         = (1UL << 9),\r
+    kPDRUNCFG_PD_PLL1         = (1UL << 10),\r
+    kPDRUNCFG_PD_USB0_PHY     = (1UL << 11),\r
+    kPDRUNCFG_PD_USB1_PHY     = (1UL << 12),\r
+    kPDRUNCFG_PD_COMP         = (1UL << 13),\r
+    kPDRUNCFG_PD_TEMPSENS     = (1UL << 14),\r
+    kPDRUNCFG_PD_GPADC        = (1UL << 15),\r
+    kPDRUNCFG_PD_LDOMEM       = (1UL << 16),\r
     kPDRUNCFG_PD_LDODEEPSLEEP = (1UL << 17),\r
-    kPDRUNCFG_PD_LDOUSBHS = (1UL << 18),\r
-    kPDRUNCFG_PD_LDOGPADC = (1UL << 19),\r
-    kPDRUNCFG_PD_LDOXO32M = (1UL << 20),\r
-    kPDRUNCFG_PD_LDOFLASHNV = (1UL << 21),\r
-    kPDRUNCFG_PD_RNG = (1UL << 22),\r
-    kPDRUNCFG_PD_PLL0_SSCG = (1UL << 23),\r
-    kPDRUNCFG_PD_ROM = (1UL << 24),\r
-\r
+    kPDRUNCFG_PD_LDOUSBHS     = (1UL << 18),\r
+    kPDRUNCFG_PD_LDOGPADC     = (1UL << 19),\r
+    kPDRUNCFG_PD_LDOXO32M     = (1UL << 20),\r
+    kPDRUNCFG_PD_LDOFLASHNV   = (1UL << 21),\r
+    kPDRUNCFG_PD_RNG          = (1UL << 22),\r
+    kPDRUNCFG_PD_PLL0_SSCG    = (1UL << 23),\r
+    kPDRUNCFG_PD_ROM          = (1UL << 24),\r
     /*\r
        This enum member has no practical meaning,it is used to avoid MISRA issue,\r
        user should not trying to use it.\r
@@ -138,37 +71,6 @@ typedef enum pd_bits
     kPDRUNCFG_ForceUnsigned = 0x80000000U,\r
 } pd_bit_t;\r
 \r
-/**\r
- * @brief SRAM instances retention control during low power modes\r
- */\r
-#define LOWPOWER_SRAMRETCTRL_RETEN_RAMX0            (1UL <<  0)  /*!< Enable SRAMX_0 retention when entering in Low power modes       */\r
-#define LOWPOWER_SRAMRETCTRL_RETEN_RAMX1            (1UL <<  1)  /*!< Enable SRAMX_1 retention when entering in Low power modes       */\r
-#define LOWPOWER_SRAMRETCTRL_RETEN_RAMX2            (1UL <<  2)  /*!< Enable SRAMX_2 retention when entering in Low power modes       */\r
-#define LOWPOWER_SRAMRETCTRL_RETEN_RAMX3            (1UL <<  3)  /*!< Enable SRAMX_3 retention when entering in Low power modes       */\r
-#define LOWPOWER_SRAMRETCTRL_RETEN_RAM00            (1UL <<  4)  /*!< Enable SRAM0_0 retention when entering in Low power modes       */\r
-#define LOWPOWER_SRAMRETCTRL_RETEN_RAM01            (1UL <<  5)  /*!< Enable SRAM0_1 retention when entering in Low power modes       */\r
-#define LOWPOWER_SRAMRETCTRL_RETEN_RAM10            (1UL <<  6)  /*!< Enable SRAM1_0 retention when entering in Low power modes       */\r
-#define LOWPOWER_SRAMRETCTRL_RETEN_RAM20            (1UL <<  7)  /*!< Enable SRAM2_0 retention when entering in Low power modes       */\r
-#define LOWPOWER_SRAMRETCTRL_RETEN_RAM30            (1UL <<  8)  /*!< Enable SRAM3_0 retention when entering in Low power modes       */\r
-#define LOWPOWER_SRAMRETCTRL_RETEN_RAM31            (1UL <<  9)  /*!< Enable SRAM3_1 retention when entering in Low power modes       */\r
-#define LOWPOWER_SRAMRETCTRL_RETEN_RAM40            (1UL << 10)  /*!< Enable SRAM4_0 retention when entering in Low power modes       */\r
-#define LOWPOWER_SRAMRETCTRL_RETEN_RAM41            (1UL << 11)  /*!< Enable SRAM4_1 retention when entering in Low power modes       */\r
-#define LOWPOWER_SRAMRETCTRL_RETEN_RAM42            (1UL << 12)  /*!< Enable SRAM4_2 retention when entering in Low power modes       */\r
-#define LOWPOWER_SRAMRETCTRL_RETEN_RAM43            (1UL << 13)  /*!< Enable SRAM4_3 retention when entering in Low power modes       */\r
-#define LOWPOWER_SRAMRETCTRL_RETEN_RAM_USB_HS       (1UL << 14)  /*!< Enable SRAM USB HS retention when entering in Low power modes   */\r
-#define LOWPOWER_SRAMRETCTRL_RETEN_RAM_PUF          (1UL << 15)  /*!< Enable SRAM PUFF retention when entering in Low power modes     */\r
-\r
-/**\r
- * @brief SRAM Low Power Modes\r
- */\r
-\r
-#define LOWPOWER_SRAM_LPMODE_MASK                   (0xFUL)\r
-#define LOWPOWER_SRAM_LPMODE_ACTIVE                 (0x6UL) /*!< SRAM functional mode                                */\r
-#define LOWPOWER_SRAM_LPMODE_SLEEP                  (0xFUL) /*!< SRAM Sleep mode (Data retention, fast wake up)      */\r
-#define LOWPOWER_SRAM_LPMODE_DEEPSLEEP              (0x8UL) /*!< SRAM Deep Sleep mode (Data retention, slow wake up) */\r
-#define LOWPOWER_SRAM_LPMODE_SHUTDOWN               (0x9UL) /*!< SRAM Shut Down mode (no data retention)             */\r
-#define LOWPOWER_SRAM_LPMODE_POWERUP                (0xAUL) /*!< SRAM is powering up                                 */\r
-\r
 /*@brief BOD VBAT level */\r
 typedef enum _power_bod_vbat_level\r
 {\r
@@ -200,6 +102,15 @@ typedef enum _power_bod_vbat_level
     kPOWER_BodVbatLevel3300mv = 25, /*!< Brown out detector VBAT level 3.3V */\r
 } power_bod_vbat_level_t;\r
 \r
+/*@brief BOD Hysteresis control */\r
+typedef enum _power_bod_hyst\r
+{\r
+    kPOWER_BodHystLevel25mv  = 0U, /*!< BOD Hysteresis control level 25mv */\r
+    kPOWER_BodHystLevel50mv  = 1U, /*!< BOD Hysteresis control level 50mv */\r
+    kPOWER_BodHystLevel75mv  = 2U, /*!< BOD Hysteresis control level 75mv */\r
+    kPOWER_BodHystLevel100mv = 3U, /*!< BOD Hysteresis control level 100mv */\r
+} power_bod_hyst_t;\r
+\r
 /*@brief BOD core level */\r
 typedef enum _power_bod_core_level\r
 {\r
@@ -213,256 +124,181 @@ typedef enum _power_bod_core_level
     kPOWER_BodCoreLevel950mv = 7, /*!< Brown out detector core level 950mV */\r
 } power_bod_core_level_t;\r
 \r
-/*@brief BOD Hysteresis control */\r
-typedef enum _power_bod_hyst\r
-{\r
-    kPOWER_BodHystLevel25mv = 0U,  /*!< BOD Hysteresis control level 25mv */\r
-    kPOWER_BodHystLevel50mv = 1U,  /*!< BOD Hysteresis control level 50mv */\r
-    kPOWER_BodHystLevel75mv = 2U,  /*!< BOD Hysteresis control level 75mv */\r
-    kPOWER_BodHystLevel100mv = 3U, /*!< BOD Hysteresis control level 100mv */\r
-} power_bod_hyst_t;\r
-\r
 /**\r
- * @brief LDO Voltage control in Low Power Modes\r
- */\r
-#define LOWPOWER_VOLTAGE_LDO_PMU_INDEX              0\r
-#define LOWPOWER_VOLTAGE_LDO_PMU_MASK               (0x1FULL << LOWPOWER_VOLTAGE_LDO_PMU_INDEX)\r
-#define LOWPOWER_VOLTAGE_LDO_MEM_INDEX              5\r
-#define LOWPOWER_VOLTAGE_LDO_MEM_MASK               (0x1FULL << LOWPOWER_VOLTAGE_LDO_MEM_INDEX)\r
-#define LOWPOWER_VOLTAGE_LDO_DEEP_SLEEP_INDEX       10\r
-#define LOWPOWER_VOLTAGE_LDO_DEEP_SLEEP_MASK        (0x7ULL  << LOWPOWER_VOLTAGE_LDO_DEEP_SLEEP_INDEX)\r
-#define LOWPOWER_VOLTAGE_LDO_PMU_BOOST_INDEX        19\r
-#define LOWPOWER_VOLTAGE_LDO_PMU_BOOST_MASK         (0x1FULL << LOWPOWER_VOLTAGE_LDO_PMU_BOOST_INDEX)\r
-#define LOWPOWER_VOLTAGE_LDO_MEM_BOOST_INDEX        24\r
-#define LOWPOWER_VOLTAGE_LDO_MEM_BOOST_MASK         (0x1FULL << LOWPOWER_VOLTAGE_LDO_MEM_BOOST_INDEX)\r
-#define LOWPOWER_VOLTAGE_DCDC_INDEX                 29\r
-#define LOWPOWER_VOLTAGE_DCDC_MASK                  (0xFULL << LOWPOWER_VOLTAGE_DCDC_INDEX)\r
-\r
-/**\r
- * @brief Always On and Memories LDO voltage settings\r
- */\r
-\r
-typedef enum _v_ao\r
-{\r
-    // V_AO_1P220 1.22                     =      0,  /*!< 1.22  V */\r
-    V_AO_0P700 = 1,  /*!< 0.7   V */\r
-    V_AO_0P725 = 2,  /*!< 0.725 V */\r
-    V_AO_0P750 = 3,  /*!< 0.75  V */\r
-    V_AO_0P775 = 4,  /*!< 0.775 V */\r
-    V_AO_0P800 = 5,  /*!< 0.8   V */\r
-    V_AO_0P825 = 6,  /*!< 0.825 V */\r
-    V_AO_0P850 = 7,  /*!< 0.85  V */\r
-    V_AO_0P875 = 8,  /*!< 0.875 V */\r
-    V_AO_0P900 = 9,  /*!< 0.9   V */\r
-    V_AO_0P960 = 10, /*!< 0.96  V */\r
-    V_AO_0P970 = 11, /*!< 0.97  V */\r
-    V_AO_0P980 = 12, /*!< 0.98  V */\r
-    V_AO_0P990 = 13, /*!< 0.99  V */\r
-    V_AO_1P000 = 14, /*!< 1     V */\r
-    V_AO_1P010 = 15, /*!< 1.01  V */\r
-    V_AO_1P020 = 16, /*!< 1.02  V */\r
-    V_AO_1P030 = 17, /*!< 1.03  V */\r
-    V_AO_1P040 = 18, /*!< 1.04  V */\r
-    V_AO_1P050 = 19, /*!< 1.05  V */\r
-    V_AO_1P060 = 20, /*!< 1.06  V */\r
-    V_AO_1P070 = 21, /*!< 1.07  V */\r
-    V_AO_1P080 = 22, /*!< 1.08  V */\r
-    V_AO_1P090 = 23, /*!< 1.09  V */\r
-    V_AO_1P100 = 24, /*!< 1.1   V */\r
-    V_AO_1P110 = 25, /*!< 1.11  V */\r
-    V_AO_1P120 = 26, /*!< 1.12  V */\r
-    V_AO_1P130 = 27, /*!< 1.13  V */\r
-    V_AO_1P140 = 28, /*!< 1.14  V */\r
-    V_AO_1P150 = 29, /*!< 1.15  V */\r
-    V_AO_1P160 = 30, /*!< 1.16  V */\r
-    V_AO_1P220 = 31  /*!< 1.22  V */\r
-} v_ao_t;\r
-\r
-/**\r
- * @brief Deep Sleep LDO voltage settings\r
- */\r
-typedef enum _v_deepsleep\r
-{\r
-    V_DEEPSLEEP_0P900 = 0, /*!< 0.9   V */\r
-    V_DEEPSLEEP_0P925 = 1, /*!< 0.925 V */\r
-    V_DEEPSLEEP_0P950 = 2, /*!< 0.95  V */\r
-    V_DEEPSLEEP_0P975 = 3, /*!< 0.975 V */\r
-    V_DEEPSLEEP_1P000 = 4, /*!< 1.000 V */\r
-    V_DEEPSLEEP_1P025 = 5, /*!< 1.025 V */\r
-    V_DEEPSLEEP_1P050 = 6, /*!< 1.050 V */\r
-    V_DEEPSLEEP_1P075 = 7  /*!< 1.075 V */\r
-} v_deepsleep_t;\r
-\r
-/**\r
- * @brief DCDC voltage settings\r
- */\r
-typedef enum _v_dcdc\r
-{\r
-    V_DCDC_0P950 = 0, /*!< 0.95  V */\r
-    V_DCDC_0P975 = 1, /*!< 0.975 V */\r
-    V_DCDC_1P000 = 2, /*!< 1     V */\r
-    V_DCDC_1P025 = 3, /*!< 1.025 V */\r
-    V_DCDC_1P050 = 4, /*!< 1.050 V */\r
-    V_DCDC_1P075 = 5, /*!< 1.075 V */\r
-    V_DCDC_1P100 = 6, /*!< 1.1   V */\r
-    V_DCDC_1P125 = 7, /*!< 1.125 V */\r
-    V_DCDC_1P150 = 8, /*!< 1.150 V */\r
-    V_DCDC_1P175 = 9, /*!< 1.175 V */\r
-    V_DCDC_1P200 = 10 /*!< 1.2   V */\r
-} v_dcdc_t;\r
-/**\r
- * @brief LDO_FLASH_NV & LDO_USB voltage settings\r
+ * @brief SRAM instances retention control during low power modes\r
  */\r
-typedef enum _v_flashnv\r
-{\r
-    V_LDOFLASHNV_1P650 = 0,  /*!< 0.95  V */\r
-    V_LDOFLASHNV_1P700 = 1,  /*!< 0.975 V */\r
-    V_LDOFLASHNV_1P750 = 2,  /*!< 1     V */\r
-    V_LDOFLASHNV_0P800 = 3,  /*!< 1.025 V */\r
-    V_LDOFLASHNV_1P850 = 4,  /*!< 1.050 V */\r
-    V_LDOFLASHNV_1P900 = 5,  /*!< 1.075 V */\r
-    V_LDOFLASHNV_1P950 = 6,  /*!< 1.1   V */\r
-    V_LDOFLASHNV_2P000 = 7  /*!< 1.125 V */\r
-} v_flashnv_t;\r
+#define LOWPOWER_SRAMRETCTRL_RETEN_RAMX0 \\r
+    (1UL << 0) /*!< Enable SRAMX_0 retention when entering in Low power modes       */\r
+#define LOWPOWER_SRAMRETCTRL_RETEN_RAMX1 \\r
+    (1UL << 1) /*!< Enable SRAMX_1 retention when entering in Low power modes       */\r
+#define LOWPOWER_SRAMRETCTRL_RETEN_RAMX2 \\r
+    (1UL << 2) /*!< Enable SRAMX_2 retention when entering in Low power modes       */\r
+#define LOWPOWER_SRAMRETCTRL_RETEN_RAMX3 \\r
+    (1UL << 3) /*!< Enable SRAMX_3 retention when entering in Low power modes       */\r
+#define LOWPOWER_SRAMRETCTRL_RETEN_RAM00 \\r
+    (1UL << 4) /*!< Enable SRAM0_0 retention when entering in Low power modes       */\r
+#define LOWPOWER_SRAMRETCTRL_RETEN_RAM01 \\r
+    (1UL << 5) /*!< Enable SRAM0_1 retention when entering in Low power modes       */\r
+#define LOWPOWER_SRAMRETCTRL_RETEN_RAM10 \\r
+    (1UL << 6) /*!< Enable SRAM1_0 retention when entering in Low power modes       */\r
+#define LOWPOWER_SRAMRETCTRL_RETEN_RAM20 \\r
+    (1UL << 7) /*!< Enable SRAM2_0 retention when entering in Low power modes       */\r
+#define LOWPOWER_SRAMRETCTRL_RETEN_RAM30 \\r
+    (1UL << 8) /*!< Enable SRAM3_0 retention when entering in Low power modes       */\r
+#define LOWPOWER_SRAMRETCTRL_RETEN_RAM31 \\r
+    (1UL << 9) /*!< Enable SRAM3_1 retention when entering in Low power modes       */\r
+#define LOWPOWER_SRAMRETCTRL_RETEN_RAM40 \\r
+    (1UL << 10) /*!< Enable SRAM4_0 retention when entering in Low power modes       */\r
+#define LOWPOWER_SRAMRETCTRL_RETEN_RAM41 \\r
+    (1UL << 11) /*!< Enable SRAM4_1 retention when entering in Low power modes       */\r
+#define LOWPOWER_SRAMRETCTRL_RETEN_RAM42 \\r
+    (1UL << 12) /*!< Enable SRAM4_2 retention when entering in Low power modes       */\r
+#define LOWPOWER_SRAMRETCTRL_RETEN_RAM43 \\r
+    (1UL << 13) /*!< Enable SRAM4_3 retention when entering in Low power modes       */\r
+#define LOWPOWER_SRAMRETCTRL_RETEN_RAM_USB_HS \\r
+    (1UL << 14) /*!< Enable SRAM USB HS retention when entering in Low power modes   */\r
+#define LOWPOWER_SRAMRETCTRL_RETEN_RAM_PUF \\r
+    (1UL << 15) /*!< Enable SRAM PUFF retention when entering in Low power modes     */\r
 \r
 /**\r
  * @brief Low Power Modes Wake up sources\r
  */\r
-\r
-#define WAKEUP_SYS                                  (1ULL <<  0) /*!< [SLEEP, DEEP SLEEP                             ] */ /* WWDT0_IRQ and BOD_IRQ*/\r
-#define WAKEUP_SDMA0                                (1ULL <<  1) /*!< [SLEEP, DEEP SLEEP                             ] */\r
-#define WAKEUP_GPIO_GLOBALINT0                      (1ULL <<  2) /*!< [SLEEP, DEEP SLEEP, POWER DOWN                 ] */\r
-#define WAKEUP_GPIO_GLOBALINT1                      (1ULL <<  3) /*!< [SLEEP, DEEP SLEEP, POWER DOWN                 ] */\r
-#define WAKEUP_GPIO_INT0_0                          (1ULL <<  4) /*!< [SLEEP, DEEP SLEEP                             ] */\r
-#define WAKEUP_GPIO_INT0_1                          (1ULL <<  5) /*!< [SLEEP, DEEP SLEEP                             ] */\r
-#define WAKEUP_GPIO_INT0_2                          (1ULL <<  6) /*!< [SLEEP, DEEP SLEEP                             ] */\r
-#define WAKEUP_GPIO_INT0_3                          (1ULL <<  7) /*!< [SLEEP, DEEP SLEEP                             ] */\r
-#define WAKEUP_UTICK                                (1ULL <<  8) /*!< [SLEEP,                                        ] */\r
-#define WAKEUP_MRT                                  (1ULL <<  9) /*!< [SLEEP,                                        ] */\r
-#define WAKEUP_CTIMER0                              (1ULL << 10) /*!< [SLEEP, DEEP SLEEP                             ] */\r
-#define WAKEUP_CTIMER1                              (1ULL << 11) /*!< [SLEEP, DEEP SLEEP                             ] */\r
-#define WAKEUP_SCT                                  (1ULL << 12) /*!< [SLEEP,                                        ] */\r
-#define WAKEUP_CTIMER3                              (1ULL << 13) /*!< [SLEEP, DEEP SLEEP                             ] */\r
-#define WAKEUP_FLEXCOMM0                            (1ULL << 14) /*!< [SLEEP, DEEP SLEEP                             ] */\r
-#define WAKEUP_FLEXCOMM1                            (1ULL << 15) /*!< [SLEEP, DEEP SLEEP                             ] */\r
-#define WAKEUP_FLEXCOMM2                            (1ULL << 16) /*!< [SLEEP, DEEP SLEEP                             ] */\r
-#define WAKEUP_FLEXCOMM3                            (1ULL << 17) /*!< [SLEEP, DEEP SLEEP, POWER DOWN                 ] */\r
-#define WAKEUP_FLEXCOMM4                            (1ULL << 18) /*!< [SLEEP, DEEP SLEEP                             ] */\r
-#define WAKEUP_FLEXCOMM5                            (1ULL << 19) /*!< [SLEEP, DEEP SLEEP                             ] */\r
-#define WAKEUP_FLEXCOMM6                            (1ULL << 20) /*!< [SLEEP, DEEP SLEEP                             ] */\r
-#define WAKEUP_FLEXCOMM7                            (1ULL << 21) /*!< [SLEEP, DEEP SLEEP                             ] */\r
-#define WAKEUP_ADC                                  (1ULL << 22) /*!< [SLEEP,                                        ] */\r
-// reserved                                         (1ULL << 23) /*!< [SLEEP, DEEP SLEEP                             ] */\r
-#define WAKEUP_ACMP_CAPT                            (1ULL << 24) /*!< [SLEEP, DEEP SLEEP, POWER DOWN                 ] */\r
+#define WAKEUP_SYS (1ULL << 0) /*!< [SLEEP, DEEP SLEEP                             ] */ /* WWDT0_IRQ and BOD_IRQ*/\r
+#define WAKEUP_SDMA0 (1ULL << 1)           /*!< [SLEEP, DEEP SLEEP                             ] */\r
+#define WAKEUP_GPIO_GLOBALINT0 (1ULL << 2) /*!< [SLEEP, DEEP SLEEP, POWER DOWN                 ] */\r
+#define WAKEUP_GPIO_GLOBALINT1 (1ULL << 3) /*!< [SLEEP, DEEP SLEEP, POWER DOWN                 ] */\r
+#define WAKEUP_GPIO_INT0_0 (1ULL << 4)     /*!< [SLEEP, DEEP SLEEP                             ] */\r
+#define WAKEUP_GPIO_INT0_1 (1ULL << 5)     /*!< [SLEEP, DEEP SLEEP                             ] */\r
+#define WAKEUP_GPIO_INT0_2 (1ULL << 6)     /*!< [SLEEP, DEEP SLEEP                             ] */\r
+#define WAKEUP_GPIO_INT0_3 (1ULL << 7)     /*!< [SLEEP, DEEP SLEEP                             ] */\r
+#define WAKEUP_UTICK (1ULL << 8)           /*!< [SLEEP,                                        ] */\r
+#define WAKEUP_MRT (1ULL << 9)             /*!< [SLEEP,                                        ] */\r
+#define WAKEUP_CTIMER0 (1ULL << 10)        /*!< [SLEEP, DEEP SLEEP                             ] */\r
+#define WAKEUP_CTIMER1 (1ULL << 11)        /*!< [SLEEP, DEEP SLEEP                             ] */\r
+#define WAKEUP_SCT (1ULL << 12)            /*!< [SLEEP,                                        ] */\r
+#define WAKEUP_CTIMER3 (1ULL << 13)        /*!< [SLEEP, DEEP SLEEP                             ] */\r
+#define WAKEUP_FLEXCOMM0 (1ULL << 14)      /*!< [SLEEP, DEEP SLEEP                             ] */\r
+#define WAKEUP_FLEXCOMM1 (1ULL << 15)      /*!< [SLEEP, DEEP SLEEP                             ] */\r
+#define WAKEUP_FLEXCOMM2 (1ULL << 16)      /*!< [SLEEP, DEEP SLEEP                             ] */\r
+#define WAKEUP_FLEXCOMM3 (1ULL << 17)      /*!< [SLEEP, DEEP SLEEP, POWER DOWN                 ] */\r
+#define WAKEUP_FLEXCOMM4 (1ULL << 18)      /*!< [SLEEP, DEEP SLEEP                             ] */\r
+#define WAKEUP_FLEXCOMM5 (1ULL << 19)      /*!< [SLEEP, DEEP SLEEP                             ] */\r
+#define WAKEUP_FLEXCOMM6 (1ULL << 20)      /*!< [SLEEP, DEEP SLEEP                             ] */\r
+#define WAKEUP_FLEXCOMM7 (1ULL << 21)      /*!< [SLEEP, DEEP SLEEP                             ] */\r
+#define WAKEUP_ADC (1ULL << 22)            /*!< [SLEEP,                                        ] */\r
+#define WAKEUP_ACMP_CAPT (1ULL << 24)      /*!< [SLEEP, DEEP SLEEP, POWER DOWN                 ] */\r
 // reserved                                         (1ULL << 25)\r
 // reserved                                         (1ULL << 26)\r
-#define WAKEUP_USB0_NEEDCLK                         (1ULL << 27) /*!< [SLEEP, DEEP SLEEP                             ] */\r
-#define WAKEUP_USB0                                 (1ULL << 28) /*!< [SLEEP, DEEP SLEEP                             ] */\r
-#define WAKEUP_RTC_LITE_ALARM_WAKEUP                (1ULL << 29) /*!< [SLEEP, DEEP SLEEP, POWER DOWN, DEEP POWER DOWN] */\r
-#define WAKEUP_EZH_ARCH_B                           (1ULL << 30) /*!< [SLEEP,                                        ] */\r
-#define WAKEUP_WAKEUP_MAILBOX                       (1ULL << 31) /*!< [SLEEP, DEEP SLEEP, POWER DOWN                 ] */\r
-#define WAKEUP_GPIO_INT0_4                          (1ULL << 32) /*!< [SLEEP, DEEP SLEEP                             ] */\r
-#define WAKEUP_GPIO_INT0_5                          (1ULL << 33) /*!< [SLEEP, DEEP SLEEP                             ] */\r
-#define WAKEUP_GPIO_INT0_6                          (1ULL << 34) /*!< [SLEEP, DEEP SLEEP                             ] */\r
-#define WAKEUP_GPIO_INT0_7                          (1ULL << 35) /*!< [SLEEP, DEEP SLEEP                             ] */\r
-#define WAKEUP_CTIMER2                              (1ULL << 36) /*!< [SLEEP, DEEP SLEEP                             ] */\r
-#define WAKEUP_CTIMER4                              (1ULL << 37) /*!< [SLEEP, DEEP SLEEP                             ] */\r
-#define WAKEUP_OS_EVENT_TIMER                       (1ULL << 38) /*!< [SLEEP, DEEP SLEEP, POWER DOWN, DEEP POWER DOWN] */\r
+#define WAKEUP_USB0_NEEDCLK (1ULL << 27)          /*!< [SLEEP, DEEP SLEEP                             ] */\r
+#define WAKEUP_USB0 (1ULL << 28)                  /*!< [SLEEP, DEEP SLEEP                             ] */\r
+#define WAKEUP_RTC_LITE_ALARM_WAKEUP (1ULL << 29) /*!< [SLEEP, DEEP SLEEP, POWER DOWN, DEEP POWER DOWN] */\r
+#define WAKEUP_EZH_ARCH_B (1ULL << 30)            /*!< [SLEEP,                                        ] */\r
+#define WAKEUP_WAKEUP_MAILBOX (1ULL << 31)        /*!< [SLEEP, DEEP SLEEP, POWER DOWN                 ] */\r
+#define WAKEUP_GPIO_INT0_4 (1ULL << 32)           /*!< [SLEEP, DEEP SLEEP                             ] */\r
+#define WAKEUP_GPIO_INT0_5 (1ULL << 33)           /*!< [SLEEP, DEEP SLEEP                             ] */\r
+#define WAKEUP_GPIO_INT0_6 (1ULL << 34)           /*!< [SLEEP, DEEP SLEEP                             ] */\r
+#define WAKEUP_GPIO_INT0_7 (1ULL << 35)           /*!< [SLEEP, DEEP SLEEP                             ] */\r
+#define WAKEUP_CTIMER2 (1ULL << 36)               /*!< [SLEEP, DEEP SLEEP                             ] */\r
+#define WAKEUP_CTIMER4 (1ULL << 37)               /*!< [SLEEP, DEEP SLEEP                             ] */\r
+#define WAKEUP_OS_EVENT_TIMER (1ULL << 38)        /*!< [SLEEP, DEEP SLEEP, POWER DOWN, DEEP POWER DOWN] */\r
 // reserved                                         (1ULL << 39)\r
 // reserved                                         (1ULL << 40)\r
 // reserved                                         (1ULL << 41)\r
-#define WAKEUP_SDIO                                 (1ULL << 42) /*!< [SLEEP,                                        ] */\r
+#define WAKEUP_SDIO (1ULL << 42) /*!< [SLEEP,                                        ] */\r
 // reserved                                         (1ULL << 43)\r
 // reserved                                         (1ULL << 44)\r
 // reserved                                         (1ULL << 45)\r
 // reserved                                         (1ULL << 46)\r
-#define WAKEUP_USB1                                 (1ULL << 47) /*!< [SLEEP, DEEP SLEEP                             ] */\r
-#define WAKEUP_USB1_NEEDCLK                         (1ULL << 48) /*!< [SLEEP, DEEP SLEEP                             ] */\r
-#define WAKEUP_SEC_HYPERVISOR_CALL                  (1ULL << 49) /*!< [SLEEP,                                        ] */\r
-#define WAKEUP_SEC_GPIO_INT0_0                      (1ULL << 50) /*!< [SLEEP, DEEP SLEEP                             ] */\r
-#define WAKEUP_SEC_GPIO_INT0_1                      (1ULL << 51) /*!< [SLEEP, DEEP SLEEP                             ] */\r
-#define WAKEUP_PLU                                  (1ULL << 52) /*!< [SLEEP, DEEP SLEEP                             ] */\r
-#define WAKEUP_SEC_VIO                              (1ULL << 53)\r
-#define WAKEUP_SHA                                  (1ULL << 54) /*!< [SLEEP,                                        ] */\r
-#define WAKEUP_CASPER                               (1ULL << 55) /*!< [SLEEP,                                        ] */\r
-#define WAKEUP_PUFF                                 (1ULL << 56) /*!< [SLEEP,                                        ] */\r
-#define WAKEUP_PQ                                   (1ULL << 57) /*!< [SLEEP,                                        ] */\r
-#define WAKEUP_SDMA1                                (1ULL << 58) /*!< [SLEEP, DEEP SLEEP                             ] */\r
-#define WAKEUP_LSPI_HS                              (1ULL << 59) /*!< [SLEEP, DEEP SLEEP                             ] */\r
+#define WAKEUP_USB1 (1ULL << 47)                /*!< [SLEEP, DEEP SLEEP                             ] */\r
+#define WAKEUP_USB1_NEEDCLK (1ULL << 48)        /*!< [SLEEP, DEEP SLEEP                             ] */\r
+#define WAKEUP_SEC_HYPERVISOR_CALL (1ULL << 49) /*!< [SLEEP,                                        ] */\r
+#define WAKEUP_SEC_GPIO_INT0_0 (1ULL << 50)     /*!< [SLEEP, DEEP SLEEP                             ] */\r
+#define WAKEUP_SEC_GPIO_INT0_1 (1ULL << 51)     /*!< [SLEEP, DEEP SLEEP                             ] */\r
+#define WAKEUP_PLU (1ULL << 52)                 /*!< [SLEEP, DEEP SLEEP                             ] */\r
+#define WAKEUP_SEC_VIO (1ULL << 53)\r
+#define WAKEUP_SHA (1ULL << 54)     /*!< [SLEEP,                                        ] */\r
+#define WAKEUP_CASPER (1ULL << 55)  /*!< [SLEEP,                                        ] */\r
+#define WAKEUP_PUFF (1ULL << 56)    /*!< [SLEEP,                                        ] */\r
+#define WAKEUP_PQ (1ULL << 57)      /*!< [SLEEP,                                        ] */\r
+#define WAKEUP_SDMA1 (1ULL << 58)   /*!< [SLEEP, DEEP SLEEP                             ] */\r
+#define WAKEUP_LSPI_HS (1ULL << 59) /*!< [SLEEP, DEEP SLEEP                             ] */\r
 // reserved WAKEUP_PVTVF0_AMBER                     (1ULL << 60)\r
 // reserved WAKEUP_PVTVF0_RED                       (1ULL << 61)\r
 // reserved WAKEUP_PVTVF1_AMBER                     (1ULL << 62)\r
-#define WAKEUP_ALLWAKEUPIOS                         (1ULL << 63) /*!< [                             , DEEP POWER DOWN] */\r
-\r
+#define WAKEUP_ALLWAKEUPIOS (1ULL << 63) /*!< [                             , DEEP POWER DOWN] */\r
 \r
 /**\r
  * @brief Sleep Postpone\r
  */\r
-#define LOWPOWER_HWWAKE_FORCED                      (1UL <<  0)  /*!< Force peripheral clocking to stay on during deep-sleep mode. */\r
-#define LOWPOWER_HWWAKE_PERIPHERALS                 (1UL <<  1)  /*!< Wake for Flexcomms. Any Flexcomm FIFO reaching the level specified by its own TXLVL will cause peripheral clocking to wake up temporarily while the related status is asserted */\r
-#define LOWPOWER_HWWAKE_SDMA0                       (1UL <<  3)  /*!< Wake for DMA0. DMA0 being busy will cause peripheral clocking to remain running until DMA completes. Used in conjonction with LOWPOWER_HWWAKE_PERIPHERALS */\r
-#define LOWPOWER_HWWAKE_SDMA1                       (1UL <<  5)  /*!< Wake for DMA1. DMA0 being busy will cause peripheral clocking to remain running until DMA completes. Used in conjonction with LOWPOWER_HWWAKE_PERIPHERALS */\r
-#define LOWPOWER_HWWAKE_ENABLE_FRO192M              (1UL << 31)  /*!< Need to be set if FRO192M is disable - via PDCTRL0 - in Deep Sleep mode and any of LOWPOWER_HWWAKE_PERIPHERALS, LOWPOWER_HWWAKE_SDMA0 or LOWPOWER_HWWAKE_SDMA1 is set */\r
-\r
+#define LOWPOWER_HWWAKE_FORCED (1UL << 0) /*!< Force peripheral clocking to stay on during deep-sleep mode. */\r
+#define LOWPOWER_HWWAKE_PERIPHERALS                                                                                \\r
+    (1UL << 1) /*!< Wake for Flexcomms. Any Flexcomm FIFO reaching the level specified by its own TXLVL will cause \\r
+                  peripheral clocking to wake up temporarily while the related status is asserted */\r
+#define LOWPOWER_HWWAKE_SDMA0                                                                                 \\r
+    (1UL << 3) /*!< Wake for DMA0. DMA0 being busy will cause peripheral clocking to remain running until DMA \\r
+                  completes. Used in conjonction with LOWPOWER_HWWAKE_PERIPHERALS */\r
+#define LOWPOWER_HWWAKE_SDMA1                                                                                 \\r
+    (1UL << 5) /*!< Wake for DMA1. DMA0 being busy will cause peripheral clocking to remain running until DMA \\r
+                  completes. Used in conjonction with LOWPOWER_HWWAKE_PERIPHERALS */\r
+#define LOWPOWER_HWWAKE_ENABLE_FRO192M                                                                  \\r
+    (1UL << 31) /*!< Need to be set if FRO192M is disable - via PDCTRL0 - in Deep Sleep mode and any of \\r
+                   LOWPOWER_HWWAKE_PERIPHERALS, LOWPOWER_HWWAKE_SDMA0 or LOWPOWER_HWWAKE_SDMA1 is set */\r
+\r
+#define LOWPOWER_CPURETCTRL_ENA_DISABLE 0 /*!< In POWER DOWN mode, CPU Retention is disabled */\r
+#define LOWPOWER_CPURETCTRL_ENA_ENABLE 1  /*!< In POWER DOWN mode, CPU Retention is enabled  */\r
 /**\r
  * @brief Wake up I/O sources\r
  */\r
-#define LOWPOWER_WAKEUPIOSRC_PIO0_INDEX             0  /*!< Pin P1( 1) */\r
-#define LOWPOWER_WAKEUPIOSRC_PIO1_INDEX             2  /*!< Pin P0(28) */\r
-#define LOWPOWER_WAKEUPIOSRC_PIO2_INDEX             4  /*!< Pin P1(18) */\r
-#define LOWPOWER_WAKEUPIOSRC_PIO3_INDEX             6  /*!< Pin P1(30) */\r
-\r
-#define LOWPOWER_WAKEUPIOSRC_DISABLE                0  /*!< Wake up is disable                      */\r
-#define LOWPOWER_WAKEUPIOSRC_RISING                 1  /*!< Wake up on rising edge                  */\r
-#define LOWPOWER_WAKEUPIOSRC_FALLING                2  /*!< Wake up on falling edge                 */\r
-#define LOWPOWER_WAKEUPIOSRC_RISING_FALLING         3  /*!< Wake up on both rising or falling edges */\r
-\r
-/**\r
- * @brief Wake up timers configuration in Low Power Modes\r
- */\r
-#define LOWPOWER_TIMERCFG_CTRL_INDEX                0\r
-#define LOWPOWER_TIMERCFG_CTRL_MASK                 (0x1UL << LOWPOWER_TIMERCFG_CTRL_INDEX)\r
-#define LOWPOWER_TIMERCFG_TIMER_INDEX               1\r
-#define LOWPOWER_TIMERCFG_TIMER_MASK                (0x7UL << LOWPOWER_TIMERCFG_TIMER_INDEX)\r
-#define LOWPOWER_TIMERCFG_OSC32K_INDEX              4\r
-#define LOWPOWER_TIMERCFG_OSC32K_MASK               (0x1UL << LOWPOWER_TIMERCFG_OSC32K_INDEX)\r
-\r
-#define LOWPOWER_TIMERCFG_CTRL_DISABLE              0 /*!< Wake Timer Disable */\r
-#define LOWPOWER_TIMERCFG_CTRL_ENABLE               1 /*!< Wake Timer Enable  */\r
-\r
-/**\r
- * @brief Primary Wake up timers configuration in Low Power Modes\r
- */\r
-#define LOWPOWER_TIMERCFG_TIMER_RTC1KHZ             0 /*!< 1 KHz Real Time Counter (RTC) used as wake up source */\r
-#define LOWPOWER_TIMERCFG_TIMER_RTC1HZ              1 /*!< 1 Hz Real Time Counter (RTC) used as wake up source  */\r
-#define LOWPOWER_TIMERCFG_TIMER_OSTIMER             2 /*!< OS Event Timer used as wake up source                */\r
-\r
-#define LOWPOWER_TIMERCFG_OSC32K_FRO32KHZ           0 /*!< Wake up Timers uses FRO 32 KHz as clock source      */\r
-#define LOWPOWER_TIMERCFG_OSC32K_XTAL32KHZ          1 /*!< Wake up Timers uses Chrystal 32 KHz as clock source */\r
-\r
-//! @brief Interface for lowpower functions\r
-typedef struct LowpowerDriverInterface\r
-{\r
-    void (*power_cycle_cpu_and_flash)(void);\r
-    void (*set_lowpower_mode)(LPC_LOWPOWER_T *p_lowpower_cfg);\r
-} lowpower_driver_interface_t;\r
-\r
-/* Power mode configuration API parameter */\r
-typedef enum _power_mode_config\r
-{\r
-    kPmu_Sleep = 0U,\r
-    kPmu_Deep_Sleep = 1U,\r
-    kPmu_PowerDown = 2U,\r
-    kPmu_Deep_PowerDown = 3U,\r
-} power_mode_cfg_t;\r
-\r
-/*******************************************************************************\r
- * API\r
- ******************************************************************************/\r
+#define LOWPOWER_WAKEUPIOSRC_PIO0_INDEX 0 /*!< Pin P1( 1) */\r
+#define LOWPOWER_WAKEUPIOSRC_PIO1_INDEX 2 /*!< Pin P0(28) */\r
+#define LOWPOWER_WAKEUPIOSRC_PIO2_INDEX 4 /*!< Pin P1(18) */\r
+#define LOWPOWER_WAKEUPIOSRC_PIO3_INDEX 6 /*!< Pin P1(30) */\r
+\r
+#define LOWPOWER_WAKEUPIOSRC_DISABLE 0        /*!< Wake up is disable                      */\r
+#define LOWPOWER_WAKEUPIOSRC_RISING 1         /*!< Wake up on rising edge                  */\r
+#define LOWPOWER_WAKEUPIOSRC_FALLING 2        /*!< Wake up on falling edge                 */\r
+#define LOWPOWER_WAKEUPIOSRC_RISING_FALLING 3 /*!< Wake up on both rising or falling edges */\r
+\r
+#define LOWPOWER_WAKEUPIO_PIO0_PULLUPDOWN_INDEX 8  /*!< Wake-up I/O 0 pull-up/down configuration index */\r
+#define LOWPOWER_WAKEUPIO_PIO1_PULLUPDOWN_INDEX 9  /*!< Wake-up I/O 1 pull-up/down configuration index */\r
+#define LOWPOWER_WAKEUPIO_PIO2_PULLUPDOWN_INDEX 10 /*!< Wake-up I/O 2 pull-up/down configuration index */\r
+#define LOWPOWER_WAKEUPIO_PIO3_PULLUPDOWN_INDEX 11 /*!< Wake-up I/O 3 pull-up/down configuration index */\r
+\r
+#define LOWPOWER_WAKEUPIO_PIO0_PULLUPDOWN_MASK \\r
+    (1UL << LOWPOWER_WAKEUPIO_PIO0_PULLUPDOWN_INDEX) /*!< Wake-up I/O 0 pull-up/down mask */\r
+#define LOWPOWER_WAKEUPIO_PIO1_PULLUPDOWN_MASK \\r
+    (1UL << LOWPOWER_WAKEUPIO_PIO1_PULLUPDOWN_INDEX) /*!< Wake-up I/O 1 pull-up/down mask */\r
+#define LOWPOWER_WAKEUPIO_PIO2_PULLUPDOWN_MASK \\r
+    (1UL << LOWPOWER_WAKEUPIO_PIO2_PULLUPDOWN_INDEX) /*!< Wake-up I/O 2 pull-up/down mask */\r
+#define LOWPOWER_WAKEUPIO_PIO3_PULLUPDOWN_MASK \\r
+    (1UL << LOWPOWER_WAKEUPIO_PIO3_PULLUPDOWN_INDEX) /*!< Wake-up I/O 3 pull-up/down mask */\r
+\r
+#define LOWPOWER_WAKEUPIO_PULLDOWN 0 /*!< Select pull-down                */\r
+#define LOWPOWER_WAKEUPIO_PULLUP 1   /*!< Select pull-up                  */\r
+\r
+#define LOWPOWER_WAKEUPIO_PIO0_DISABLEPULLUPDOWN_INDEX \\r
+    12 /*!< Wake-up I/O 0 pull-up/down disable/enable control index */\r
+#define LOWPOWER_WAKEUPIO_PIO1_DISABLEPULLUPDOWN_INDEX \\r
+    13 /*!< Wake-up I/O 1 pull-up/down disable/enable control index */\r
+#define LOWPOWER_WAKEUPIO_PIO2_DISABLEPULLUPDOWN_INDEX \\r
+    14 /*!< Wake-up I/O 2 pull-up/down disable/enable control index */\r
+#define LOWPOWER_WAKEUPIO_PIO3_DISABLEPULLUPDOWN_INDEX \\r
+    15 /*!< Wake-up I/O 3 pull-up/down disable/enable control index */\r
+#define LOWPOWER_WAKEUPIO_PIO0_DISABLEPULLUPDOWN_MASK \\r
+    (1UL << LOWPOWER_WAKEUPIO_PIO0_DISABLEPULLUPDOWN_INDEX) /*!< Wake-up I/O 0 pull-up/down disable/enable mask */\r
+#define LOWPOWER_WAKEUPIO_PIO1_DISABLEPULLUPDOWN_MASK \\r
+    (1UL << LOWPOWER_WAKEUPIO_PIO1_DISABLEPULLUPDOWN_INDEX) /*!< Wake-up I/O 1 pull-up/down disable/enable mask */\r
+#define LOWPOWER_WAKEUPIO_PIO2_DISABLEPULLUPDOWN_MASK \\r
+    (1UL << LOWPOWER_WAKEUPIO_PIO2_DISABLEPULLUPDOWN_INDEX) /*!< Wake-up I/O 2 pull-up/down disable/enable mask */\r
+#define LOWPOWER_WAKEUPIO_PIO3_DISABLEPULLUPDOWN_MASK \\r
+    (1UL << LOWPOWER_WAKEUPIO_PIO3_DISABLEPULLUPDOWN_INDEX) /*!< Wake-up I/O 3 pull-up/down disable/enable mask */\r
 \r
 #ifdef __cplusplus\r
 extern "C" {\r
 #endif\r
+/*******************************************************************************\r
+ * API\r
+ ******************************************************************************/\r
 \r
 /*!\r
  * @brief API to enable PDRUNCFG bit in the Syscon. Note that enabling the bit powers down the peripheral\r
@@ -473,7 +309,7 @@ extern "C" {
 static inline void POWER_EnablePD(pd_bit_t en)\r
 {\r
     /* PDRUNCFGSET */\r
-    PMC->PDRUNCFGSET0 = en;\r
+    PMC->PDRUNCFGSET0 = (uint32_t)en;\r
 }\r
 \r
 /*!\r
@@ -485,7 +321,7 @@ static inline void POWER_EnablePD(pd_bit_t en)
 static inline void POWER_DisablePD(pd_bit_t en)\r
 {\r
     /* PDRUNCFGCLR */\r
-    PMC->PDRUNCFGCLR0 = en;\r
+    PMC->PDRUNCFGCLR0 = (uint32_t)en;\r
 }\r
 \r
 /*!\r
@@ -498,11 +334,12 @@ static inline void POWER_DisablePD(pd_bit_t en)
 static inline void POWER_SetBodVbatLevel(power_bod_vbat_level_t level, power_bod_hyst_t hyst, bool enBodVbatReset)\r
 {\r
     PMC->BODVBAT = (PMC->BODVBAT & (~(PMC_BODVBAT_TRIGLVL_MASK | PMC_BODVBAT_HYST_MASK))) | PMC_BODVBAT_TRIGLVL(level) |\r
-        PMC_BODVBAT_HYST(hyst);\r
+                   PMC_BODVBAT_HYST(hyst);\r
     PMC->RESETCTRL =\r
         (PMC->RESETCTRL & (~PMC_RESETCTRL_BODVBATRESETENABLE_MASK)) | PMC_RESETCTRL_BODVBATRESETENABLE(enBodVbatReset);\r
 }\r
 \r
+#if defined(PMC_BODCORE_TRIGLVL_MASK)\r
 /*!\r
  * @brief set BOD core level.\r
  *\r
@@ -513,10 +350,11 @@ static inline void POWER_SetBodVbatLevel(power_bod_vbat_level_t level, power_bod
 static inline void POWER_SetBodCoreLevel(power_bod_core_level_t level, power_bod_hyst_t hyst, bool enBodCoreReset)\r
 {\r
     PMC->BODCORE = (PMC->BODCORE & (~(PMC_BODCORE_TRIGLVL_MASK | PMC_BODCORE_HYST_MASK))) | PMC_BODCORE_TRIGLVL(level) |\r
-        PMC_BODCORE_HYST(hyst);\r
+                   PMC_BODCORE_HYST(hyst);\r
     PMC->RESETCTRL =\r
         (PMC->RESETCTRL & (~PMC_RESETCTRL_BODCORERESETENABLE_MASK)) | PMC_RESETCTRL_BODCORERESETENABLE(enBodCoreReset);\r
 }\r
+#endif\r
 \r
 /*!\r
  * @brief API to enable deep sleep bit in the ARM Core.\r
@@ -540,52 +378,6 @@ static inline void POWER_DisableDeepSleep(void)
     SCB->SCR &= ~SCB_SCR_SLEEPDEEP_Msk;\r
 }\r
 \r
-/*!\r
- * @brief API to power down flash controller.\r
- *\r
- * @param none\r
- * @return none\r
- */\r
-static inline void POWER_PowerDownFlash(void)\r
-{\r
-#if !(defined(FSL_SDK_DISABLE_DRIVER_CLOCK_CONTROL) && FSL_SDK_DISABLE_DRIVER_CLOCK_CONTROL)\r
-        /* TURN OFF clock ip_2113 (only needed for FLASH programming, will be turned on by ROM API) */\r
-        CLOCK_DisableClock(kCLOCK_Flash);\r
-\r
-        /* TURN OFF clock ip_2113 (only needed for FLASH programming, will be turned on by ROM API) */\r
-        CLOCK_DisableClock(kCLOCK_Fmc);\r
-#endif /* FSL_SDK_DISABLE_DRIVER_CLOCK_CONTROL */\r
-}\r
-\r
-/*!\r
- * @brief API to power up flash controller.\r
- *\r
- * @param none\r
- * @return none\r
- */\r
-static inline void POWER_PowerUpFlash(void)\r
-{\r
-#if !(defined(FSL_SDK_DISABLE_DRIVER_CLOCK_CONTROL) && FSL_SDK_DISABLE_DRIVER_CLOCK_CONTROL)\r
-    /* TURN OFF clock ip_2113 (only needed for FLASH programming, will be turned on by ROM API) */\r
-    CLOCK_EnableClock(kCLOCK_Fmc);\r
-#endif /* FSL_SDK_DISABLE_DRIVER_CLOCK_CONTROL */\r
-}\r
-\r
-/**\r
- * @brief   Configures and enters in low power mode\r
- * @param   p_lowpower_cfg: pointer to a structure that contains all low power mode parameters\r
- * @return  Nothing\r
- *\r
- *          !!! IMPORTANT NOTES :\r
- *           1 - CPU Interrupt Enable registers are updated with p_lowpower_cfg->WAKEUPINT. They are NOT restored by the\r
- * API.\r
- *           2 - The Non Maskable Interrupt (NMI) should be disable before calling this API (otherwise, there is a risk\r
- * of Dead Lock).\r
- *           3 - The HARD FAULT handler should execute from SRAM. (The Hard fault handler should initiate a full chip\r
- * reset)\r
- */\r
-void POWER_EnterLowPower(LPC_LOWPOWER_T *p_lowpower_cfg);\r
-\r
 /**\r
  * @brief   Shut off the Flash and execute the _WFI(), then power up the Flash after wake-up event\r
  *  This MUST BE EXECUTED outside the Flash:\r
@@ -607,12 +399,17 @@ void POWER_CycleCpuAndFlash(void);
  *\r
  *          !!! IMPORTANT NOTES :\r
  0 - CPU0 & System CLock frequency is switched to FRO12MHz and is NOT restored back by the API.\r
- *           1 - CPU0 Interrupt Enable registers (NVIC->ISER) are modified by this function. They are restored back in case of CPU retention or if POWERDOWN is not taken (for instance because an interrupt is pending).\r
- *           2 - The Non Maskable Interrupt (NMI) is disabled and its configuration before calling this function will be restored back if POWERDOWN is not taken (for instance because an RTC or OSTIMER interrupt is pending).\r
- *           3 - The HARD FAULT handler should execute from SRAM. (The Hard fault handler should initiate a full chip reset)\r
- reset)\r
+ *           1 - CPU0 Interrupt Enable registers (NVIC->ISER) are modified by this function. They are restored back in\r
+ case of CPU retention or if POWERDOWN is not taken (for instance because an interrupt is pending).\r
+ *           2 - The Non Maskable Interrupt (NMI) is disabled and its configuration before calling this function will be\r
+ restored back if POWERDOWN is not taken (for instance because an RTC or OSTIMER interrupt is pending).\r
+ *           3 - The HARD FAULT handler should execute from SRAM. (The Hard fault handler should initiate a full chip\r
+ reset) reset)\r
  */\r
-void POWER_EnterDeepSleep(uint32_t exclude_from_pd, uint32_t sram_retention_ctrl, uint64_t wakeup_interrupts,uint32_t hardware_wake_ctrl);\r
+void POWER_EnterDeepSleep(uint32_t exclude_from_pd,\r
+                          uint32_t sram_retention_ctrl,\r
+                          uint64_t wakeup_interrupts,\r
+                          uint32_t hardware_wake_ctrl);\r
 \r
 /**\r
  * @brief   Configures and enters in POWERDOWN low power mode\r
@@ -626,13 +423,21 @@ void POWER_EnterDeepSleep(uint32_t exclude_from_pd, uint32_t sram_retention_ctrl
  *\r
  *          !!! IMPORTANT NOTES :\r
  0 - CPU0 & System CLock frequency is switched to FRO12MHz and is NOT restored back by the API.\r
- *           1 - CPU0 Interrupt Enable registers (NVIC->ISER) are modified by this function. They are restored back in case of CPU retention or if POWERDOWN is not taken (for instance because an interrupt is pending).\r
- *           2 - The Non Maskable Interrupt (NMI) is disabled and its configuration before calling this function will be restored back if POWERDOWN is not taken (for instance because an RTC or OSTIMER interrupt is pending).\r
- *           3 - In case of CPU retention, it is the responsability of the user to make sure that SRAM instance containing the stack used to call this function WILL BE preserved during low power (via parameter "sram_retention_ctrl")\r
- *           4 - The HARD FAULT handler should execute from SRAM. (The Hard fault handler should initiate a full chip reset)\r
- reset)\r
- */\r
-void POWER_EnterPowerDown(uint32_t exclude_from_pd, uint32_t sram_retention_ctrl, uint64_t wakeup_interrupts, uint32_t cpu_retention_ctrl);\r
+ *           1 - CPU0 Interrupt Enable registers (NVIC->ISER) are modified by this function. They are restored back in\r
+ case of CPU retention or if POWERDOWN is not taken (for instance because an interrupt is pending).\r
+ *           2 - The Non Maskable Interrupt (NMI) is disabled and its configuration before calling this function will be\r
+ restored back if POWERDOWN is not taken (for instance because an RTC or OSTIMER interrupt is pending).\r
+ *           3 - In case of CPU retention, it is the responsability of the user to make sure that SRAM instance\r
+ containing the stack used to call this function WILL BE preserved during low power (via parameter\r
+ "sram_retention_ctrl")\r
+ *           4 - The HARD FAULT handler should execute from SRAM. (The Hard fault handler should initiate a full chip\r
+ reset) reset)\r
+ */\r
+\r
+void POWER_EnterPowerDown(uint32_t exclude_from_pd,\r
+                          uint32_t sram_retention_ctrl,\r
+                          uint64_t wakeup_interrupts,\r
+                          uint32_t cpu_retention_ctrl);\r
 \r
 /**\r
  * @brief   Configures and enters in DEEPPOWERDOWN low power mode\r
@@ -645,11 +450,17 @@ void POWER_EnterPowerDown(uint32_t exclude_from_pd, uint32_t sram_retention_ctrl
  *\r
  *          !!! IMPORTANT NOTES :\r
  0 - CPU0 & System CLock frequency is switched to FRO12MHz and is NOT restored back by the API.\r
- *           1 - CPU0 Interrupt Enable registers (NVIC->ISER) are modified by this function. They are restored back if DEEPPOWERDOWN is not taken (for instance because an RTC or OSTIMER interrupt is pending).\r
- *           2 - The Non Maskable Interrupt (NMI) is disabled and its configuration before calling this function will be restored back if DEEPPOWERDOWN is not taken (for instance because an RTC or OSTIMER interrupt is pending).\r
- *           3 - The HARD FAULT handler should execute from SRAM. (The Hard fault handler should initiate a full chip reset)\r
+ *           1 - CPU0 Interrupt Enable registers (NVIC->ISER) are modified by this function. They are restored back if\r
+ DEEPPOWERDOWN is not taken (for instance because an RTC or OSTIMER interrupt is pending).\r
+ *           2 - The Non Maskable Interrupt (NMI) is disabled and its configuration before calling this function will be\r
+ restored back if DEEPPOWERDOWN is not taken (for instance because an RTC or OSTIMER interrupt is pending).\r
+ *           3 - The HARD FAULT handler should execute from SRAM. (The Hard fault handler should initiate a full chip\r
+ reset)\r
  */\r
-void POWER_EnterDeepPowerDown(uint32_t exclude_from_pd, uint32_t sram_retention_ctrl, uint64_t wakeup_interrupts, uint32_t wakeup_io_ctrl);\r
+void POWER_EnterDeepPowerDown(uint32_t exclude_from_pd,\r
+                              uint32_t sram_retention_ctrl,\r
+                              uint64_t wakeup_interrupts,\r
+                              uint32_t wakeup_io_ctrl);\r
 \r
 /**\r
  * @brief   Configures and enters in SLEEP low power mode\r
@@ -678,20 +489,26 @@ uint32_t POWER_GetLibVersion(void);
 /**\r
  * @brief   Sets board-specific trim values for 16MHz XTAL\r
  * @param   pi32_32MfXtalIecLoadpF_x100 Load capacitance, pF x 100. For example, 6pF becomes 600, 1.2pF becomes 120\r
- * @param   pi32_32MfXtalPPcbParCappF_x100 PCB +ve parasitic capacitance, pF x 100. For example, 6pF becomes 600, 1.2pF becomes 120\r
- * @param   pi32_32MfXtalNPcbParCappF_x100 PCB -ve parasitic capacitance, pF x 100. For example, 6pF becomes 600, 1.2pF becomes 120\r
+ * @param   pi32_32MfXtalPPcbParCappF_x100 PCB +ve parasitic capacitance, pF x 100. For example, 6pF becomes 600, 1.2pF\r
+ * becomes 120\r
+ * @param   pi32_32MfXtalNPcbParCappF_x100 PCB -ve parasitic capacitance, pF x 100. For example, 6pF becomes 600, 1.2pF\r
+ * becomes 120\r
  * @return  none\r
  * @note    Following default Values can be used:\r
  *          pi32_32MfXtalIecLoadpF_x100    Load capacitance, pF x 100 : 600\r
  *          pi32_32MfXtalPPcbParCappF_x100 PCB +ve parasitic capacitance, pF x 100 : 20\r
  *          pi32_32MfXtalNPcbParCappF_x100 PCB -ve parasitic capacitance, pF x 100 : 40\r
  */\r
-extern void POWER_Xtal16mhzCapabankTrim(int32_t pi32_16MfXtalIecLoadpF_x100, int32_t pi32_16MfXtalPPcbParCappF_x100, int32_t pi32_16MfXtalNPcbParCappF_x100);\r
+extern void POWER_Xtal16mhzCapabankTrim(int32_t pi32_16MfXtalIecLoadpF_x100,\r
+                                        int32_t pi32_16MfXtalPPcbParCappF_x100,\r
+                                        int32_t pi32_16MfXtalNPcbParCappF_x100);\r
 /**\r
  * @brief   Sets board-specific trim values for 32kHz XTAL\r
  * @param   pi32_32kfXtalIecLoadpF_x100 Load capacitance, pF x 100. For example, 6pF becomes 600, 1.2pF becomes 120\r
- * @param   pi32_32kfXtalPPcbParCappF_x100 PCB +ve parasitic capacitance, pF x 100. For example, 6pF becomes 600, 1.2pF becomes 120\r
- * @param   pi32_32kfXtalNPcbParCappF_x100 PCB -ve parasitic capacitance, pF x 100. For example, 6pF becomes 600, 1.2pF becomes 120\r
+ * @param   pi32_32kfXtalPPcbParCappF_x100 PCB +ve parasitic capacitance, pF x 100. For example, 6pF becomes 600, 1.2pF\r
+ becomes 120\r
+ * @param   pi32_32kfXtalNPcbParCappF_x100 PCB -ve parasitic capacitance, pF x 100. For example, 6pF becomes 600, 1.2pF\r
+ becomes 120\r
 \r
  * @return  none\r
  * @note    Following default Values can be used:\r
@@ -699,13 +516,16 @@ extern void POWER_Xtal16mhzCapabankTrim(int32_t pi32_16MfXtalIecLoadpF_x100, int
  *          pi32_32kfXtalPPcbParCappF_x100 PCB +ve parasitic capacitance, pF x 100 : 40\r
  *          pi32_32kfXtalNPcbParCappF_x100 PCB -ve parasitic capacitance, pF x 100 : 40\r
  */\r
-extern void POWER_Xtal32khzCapabankTrim(int32_t pi32_32kfXtalIecLoadpF_x100, int32_t pi32_32kfXtalPPcbParCappF_x100, int32_t pi32_32kfXtalNPcbParCappF_x100);\r
+extern void POWER_Xtal32khzCapabankTrim(int32_t pi32_32kfXtalIecLoadpF_x100,\r
+                                        int32_t pi32_32kfXtalPPcbParCappF_x100,\r
+                                        int32_t pi32_32kfXtalNPcbParCappF_x100);\r
 /**\r
  * @brief   Enables and sets LDO for 16MHz XTAL\r
  * @param       none\r
  * @return  none\r
  */\r
 extern void POWER_SetXtal16mhzLdo(void);\r
+\r
 /**\r
  * @brief   Set up 16-MHz XTAL Trimmings\r
  * @param       amp Amplitude\r
@@ -714,7 +534,7 @@ extern void POWER_SetXtal16mhzLdo(void);
  */\r
 extern void POWER_SetXtal16mhzTrim(uint32_t amp, uint32_t gm);\r
 #ifdef __cplusplus\r
-    }\r
+}\r
 #endif\r
 \r
 /**\r
index a6cbfc2e4a926a09e97b500b87b1e897a029c665..12e40810af2883a9869adc3f895c27c1b04ac951 100644 (file)
@@ -1,6 +1,6 @@
 /*\r
  * Copyright (c) 2016, Freescale Semiconductor, Inc.\r
- * Copyright (c) 2016, NXP\r
+ * Copyright 2016, NXP\r
  * All rights reserved.\r
  *\r
  * SPDX-License-Identifier: BSD-3-Clause\r
@@ -42,8 +42,8 @@
 void RESET_SetPeripheralReset(reset_ip_name_t peripheral)\r
 {\r
     const uint32_t regIndex = ((uint32_t)peripheral & 0xFFFF0000u) >> 16;\r
-    const uint32_t bitPos = ((uint32_t)peripheral & 0x0000FFFFu);\r
-    const uint32_t bitMask = 1u << bitPos;\r
+    const uint32_t bitPos   = ((uint32_t)peripheral & 0x0000FFFFu);\r
+    const uint32_t bitMask  = 1UL << bitPos;\r
 \r
     assert(bitPos < 32u);\r
 \r
@@ -67,8 +67,8 @@ void RESET_SetPeripheralReset(reset_ip_name_t peripheral)
 void RESET_ClearPeripheralReset(reset_ip_name_t peripheral)\r
 {\r
     const uint32_t regIndex = ((uint32_t)peripheral & 0xFFFF0000u) >> 16;\r
-    const uint32_t bitPos = ((uint32_t)peripheral & 0x0000FFFFu);\r
-    const uint32_t bitMask = 1u << bitPos;\r
+    const uint32_t bitPos   = ((uint32_t)peripheral & 0x0000FFFFu);\r
+    const uint32_t bitMask  = 1UL << bitPos;\r
 \r
     assert(bitPos < 32u);\r
 \r
index f59f59e33c7d1ae0f0de7bfcd4637d71d7c96e55..0139b15dc9b14ae38d8e95acf526e5c2d9c62b57 100644 (file)
@@ -1,6 +1,6 @@
 /*\r
  * Copyright (c) 2016, Freescale Semiconductor, Inc.\r
- * Copyright (c) 2016, NXP\r
+ * Copyright 2016, NXP\r
  * All rights reserved.\r
  *\r
  * SPDX-License-Identifier: BSD-3-Clause\r
@@ -26,8 +26,8 @@
 \r
 /*! @name Driver version */\r
 /*@{*/\r
-/*! @brief reset driver version 2.0.0. */\r
-#define FSL_RESET_DRIVER_VERSION (MAKE_VERSION(2, 0, 0))\r
+/*! @brief reset driver version 2.0.2. */\r
+#define FSL_RESET_DRIVER_VERSION (MAKE_VERSION(2, 0, 2))\r
 /*@}*/\r
 \r
 /*!\r
  */\r
 typedef enum _SYSCON_RSTn\r
 {\r
-    kROM_RST_SHIFT_RSTn = 0 | 1U,      /**< ROM reset control */\r
-    kSRAM1_RST_SHIFT_RSTn = 0 | 3U,    /**< SRAM1 reset control */\r
-    kSRAM2_RST_SHIFT_RSTn = 0 | 4U,    /**< SRAM2 reset control */\r
-    kSRAM3_RST_SHIFT_RSTn = 0 | 5U,    /**< SRAM3 reset control */\r
-    kSRAM4_RST_SHIFT_RSTn = 0 | 6U,    /**< SRAM4 reset control */\r
-    kFLASH_RST_SHIFT_RSTn = 0 | 7U,    /**< Flash controller reset control */\r
-    kFMC_RST_SHIFT_RSTn = 0 | 8U,      /**< Flash accelerator reset control */\r
-    kSPIFI_RST_SHIFT_RSTn = 0 | 10U,   /**< SPIFI reset control */\r
-    kMUX0_RST_SHIFT_RSTn = 0 | 11U,    /**< Input mux0 reset control */\r
-    kIOCON_RST_SHIFT_RSTn = 0 | 13U,   /**< IOCON reset control */\r
-    kGPIO0_RST_SHIFT_RSTn = 0 | 14U,   /**< GPIO0 reset control */\r
-    kGPIO1_RST_SHIFT_RSTn = 0 | 15U,   /**< GPIO1 reset control */\r
-    kGPIO2_RST_SHIFT_RSTn = 0 | 16U,   /**< GPIO2 reset control */\r
-    kGPIO3_RST_SHIFT_RSTn = 0 | 17U,   /**< GPIO3 reset control */\r
-    kPINT_RST_SHIFT_RSTn = 0 | 18U,    /**< Pin interrupt (PINT) reset control */\r
-    kGINT_RST_SHIFT_RSTn = 0 | 19U,    /**< Grouped interrupt (PINT) reset control. */\r
-    kDMA0_RST_SHIFT_RSTn = 0 | 20U,    /**< DMA reset control */\r
-    kCRC_RST_SHIFT_RSTn = 0 | 21U,     /**< CRC reset control */\r
-    kWWDT_RST_SHIFT_RSTn = 0 | 22U,    /**< Watchdog timer reset control */\r
-    kRTC_RST_SHIFT_RSTn = 0 | 23U,     /**< RTC reset control */\r
+    kROM_RST_SHIFT_RSTn     = 0 | 1U,  /**< ROM reset control */\r
+    kSRAM1_RST_SHIFT_RSTn   = 0 | 3U,  /**< SRAM1 reset control */\r
+    kSRAM2_RST_SHIFT_RSTn   = 0 | 4U,  /**< SRAM2 reset control */\r
+    kSRAM3_RST_SHIFT_RSTn   = 0 | 5U,  /**< SRAM3 reset control */\r
+    kSRAM4_RST_SHIFT_RSTn   = 0 | 6U,  /**< SRAM4 reset control */\r
+    kFLASH_RST_SHIFT_RSTn   = 0 | 7U,  /**< Flash controller reset control */\r
+    kFMC_RST_SHIFT_RSTn     = 0 | 8U,  /**< Flash accelerator reset control */\r
+    kSPIFI_RST_SHIFT_RSTn   = 0 | 10U, /**< SPIFI reset control */\r
+    kMUX0_RST_SHIFT_RSTn    = 0 | 11U, /**< Input mux0 reset control */\r
+    kIOCON_RST_SHIFT_RSTn   = 0 | 13U, /**< IOCON reset control */\r
+    kGPIO0_RST_SHIFT_RSTn   = 0 | 14U, /**< GPIO0 reset control */\r
+    kGPIO1_RST_SHIFT_RSTn   = 0 | 15U, /**< GPIO1 reset control */\r
+    kGPIO2_RST_SHIFT_RSTn   = 0 | 16U, /**< GPIO2 reset control */\r
+    kGPIO3_RST_SHIFT_RSTn   = 0 | 17U, /**< GPIO3 reset control */\r
+    kPINT_RST_SHIFT_RSTn    = 0 | 18U, /**< Pin interrupt (PINT) reset control */\r
+    kGINT_RST_SHIFT_RSTn    = 0 | 19U, /**< Grouped interrupt (PINT) reset control. */\r
+    kDMA0_RST_SHIFT_RSTn    = 0 | 20U, /**< DMA reset control */\r
+    kCRC_RST_SHIFT_RSTn     = 0 | 21U, /**< CRC reset control */\r
+    kWWDT_RST_SHIFT_RSTn    = 0 | 22U, /**< Watchdog timer reset control */\r
+    kRTC_RST_SHIFT_RSTn     = 0 | 23U, /**< RTC reset control */\r
     kMAILBOX_RST_SHIFT_RSTn = 0 | 26U, /**< Mailbox reset control */\r
-    kADC0_RST_SHIFT_RSTn = 0 | 27U,    /**< ADC0 reset control */\r
+    kADC0_RST_SHIFT_RSTn    = 0 | 27U, /**< ADC0 reset control */\r
 \r
-    kMRT_RST_SHIFT_RSTn = 65536 | 0U,      /**< Multi-rate timer (MRT) reset control */\r
-    kOSTIMER0_RST_SHIFT_RSTn = 65536 | 1U, /**< OSTimer0 reset control */\r
-    kSCT0_RST_SHIFT_RSTn = 65536 | 2U,     /**< SCTimer/PWM 0 (SCT0) reset control */\r
-    kSCTIPU_RST_SHIFT_RSTn = 65536 | 6U,   /**< SCTIPU reset control */\r
-    kUTICK_RST_SHIFT_RSTn = 65536 | 10U,   /**< Micro-tick timer reset control */\r
-    kFC0_RST_SHIFT_RSTn = 65536 | 11U,     /**< Flexcomm Interface 0 reset control */\r
-    kFC1_RST_SHIFT_RSTn = 65536 | 12U,     /**< Flexcomm Interface 1 reset control */\r
-    kFC2_RST_SHIFT_RSTn = 65536 | 13U,     /**< Flexcomm Interface 2 reset control */\r
-    kFC3_RST_SHIFT_RSTn = 65536 | 14U,     /**< Flexcomm Interface 3 reset control */\r
-    kFC4_RST_SHIFT_RSTn = 65536 | 15U,     /**< Flexcomm Interface 4 reset control */\r
-    kFC5_RST_SHIFT_RSTn = 65536 | 16U,     /**< Flexcomm Interface 5 reset control */\r
-    kFC6_RST_SHIFT_RSTn = 65536 | 17U,     /**< Flexcomm Interface 6 reset control */\r
-    kFC7_RST_SHIFT_RSTn = 65536 | 18U,     /**< Flexcomm Interface 7 reset control */\r
-    kCTIMER2_RST_SHIFT_RSTn = 65536 | 22U, /**< CTimer 2 reset control */\r
-    kUSB0D_RST_SHIFT_RSTn = 65536 | 25U,   /**< USB0 Device reset control */\r
-    kCTIMER0_RST_SHIFT_RSTn = 65536 | 26U, /**< CTimer 0 reset control */\r
-    kCTIMER1_RST_SHIFT_RSTn = 65536 | 27U, /**< CTimer 1 reset control */\r
-    kPVT_RST_SHIFT_RSTn = 65536 | 28U,     /**< PVT reset control */\r
-    kEZHA_RST_SHIFT_RSTn = 65536 | 30U,    /**< EZHA reset control */\r
-    kEZHB_RST_SHIFT_RSTn = 65536 | 31U,    /**< EZHB reset control */\r
+    kMRT_RST_SHIFT_RSTn      = 65536 | 0U,  /**< Multi-rate timer (MRT) reset control */\r
+    kOSTIMER0_RST_SHIFT_RSTn = 65536 | 1U,  /**< OSTimer0 reset control */\r
+    kSCT0_RST_SHIFT_RSTn     = 65536 | 2U,  /**< SCTimer/PWM 0 (SCT0) reset control */\r
+    kSCTIPU_RST_SHIFT_RSTn   = 65536 | 6U,  /**< SCTIPU reset control */\r
+    kUTICK_RST_SHIFT_RSTn    = 65536 | 10U, /**< Micro-tick timer reset control */\r
+    kFC0_RST_SHIFT_RSTn      = 65536 | 11U, /**< Flexcomm Interface 0 reset control */\r
+    kFC1_RST_SHIFT_RSTn      = 65536 | 12U, /**< Flexcomm Interface 1 reset control */\r
+    kFC2_RST_SHIFT_RSTn      = 65536 | 13U, /**< Flexcomm Interface 2 reset control */\r
+    kFC3_RST_SHIFT_RSTn      = 65536 | 14U, /**< Flexcomm Interface 3 reset control */\r
+    kFC4_RST_SHIFT_RSTn      = 65536 | 15U, /**< Flexcomm Interface 4 reset control */\r
+    kFC5_RST_SHIFT_RSTn      = 65536 | 16U, /**< Flexcomm Interface 5 reset control */\r
+    kFC6_RST_SHIFT_RSTn      = 65536 | 17U, /**< Flexcomm Interface 6 reset control */\r
+    kFC7_RST_SHIFT_RSTn      = 65536 | 18U, /**< Flexcomm Interface 7 reset control */\r
+    kCTIMER2_RST_SHIFT_RSTn  = 65536 | 22U, /**< CTimer 2 reset control */\r
+    kUSB0D_RST_SHIFT_RSTn    = 65536 | 25U, /**< USB0 Device reset control */\r
+    kCTIMER0_RST_SHIFT_RSTn  = 65536 | 26U, /**< CTimer 0 reset control */\r
+    kCTIMER1_RST_SHIFT_RSTn  = 65536 | 27U, /**< CTimer 1 reset control */\r
+    kPVT_RST_SHIFT_RSTn      = 65536 | 28U, /**< PVT reset control */\r
+    kEZHA_RST_SHIFT_RSTn     = 65536 | 30U, /**< EZHA reset control */\r
+    kEZHB_RST_SHIFT_RSTn     = 65536 | 31U, /**< EZHB reset control */\r
 \r
-    kDMA1_RST_SHIFT_RSTn = 131072 | 1U,        /**< DMA1 reset control */\r
-    kCMP_RST_SHIFT_RSTn = 131072 | 2U,         /**< CMP reset control */\r
-    kSDIO_RST_SHIFT_RSTn = 131072 | 3U,        /**< SDIO reset control */\r
-    kUSB1H_RST_SHIFT_RSTn = 131072 | 4U,       /**< USBHS Host reset control */\r
-    kUSB1D_RST_SHIFT_RSTn = 131072 | 5U,       /**< USBHS Device reset control */\r
-    kUSB1RAM_RST_SHIFT_RSTn = 131072 | 6U,     /**< USB RAM reset control */\r
-    kUSB1_RST_SHIFT_RSTn = 131072 | 7U,        /**< USBHS reset control */\r
-    kFREQME_RST_SHIFT_RSTn = 131072 | 8U,      /**< FREQME reset control */\r
-    kGPIO4_RST_SHIFT_RSTn = 131072 | 9U,       /**< GPIO4 reset control */\r
-    kGPIO5_RST_SHIFT_RSTn = 131072 | 10U,      /**< GPIO5 reset control */\r
-    kAES_RST_SHIFT_RSTn = 131072 | 11U,        /**< AES reset control */\r
-    kOTP_RST_SHIFT_RSTn = 131072 | 12U,        /**< OTP reset control */\r
-    kRNG_RST_SHIFT_RSTn = 131072 | 13U,        /**< RNG  reset control */\r
-    kMUX1_RST_SHIFT_RSTn = 131072 | 14U,       /**< Input mux1 reset control */\r
-    kUSB0HMR_RST_SHIFT_RSTn = 131072 | 16U,    /**< USB0HMR reset control */\r
-    kUSB0HSL_RST_SHIFT_RSTn = 131072 | 17U,    /**< USB0HSL reset control */\r
-    kHASHCRYPT_RST_SHIFT_RSTn = 131072 | 18U,  /**< HASHCRYPT reset control */\r
-    kPOWERQUAD_RST_SHIFT_RSTn = 131072 | 19U,  /**< PowerQuad reset control */\r
-    kPLULUT_RST_SHIFT_RSTn = 131072 | 20U,     /**< PLU LUT reset control */\r
-    kCTIMER3_RST_SHIFT_RSTn = 131072 | 21U,    /**< CTimer 3 reset control */\r
-    kCTIMER4_RST_SHIFT_RSTn = 131072 | 22U,    /**< CTimer 4 reset control */\r
-    kPUF_RST_SHIFT_RSTn = 131072 | 23U,        /**< PUF reset control */\r
-    kCASPER_RST_SHIFT_RSTn = 131072 | 24U,     /**< CASPER reset control */\r
-    kCAP0_RST_SHIFT_RSTn = 131072 | 25U,       /**< CASPER reset control */\r
-    kOSTIMER1_RST_SHIFT_RSTn = 131072 | 26U,   /**< OSTIMER1 reset control */\r
-    kANALOGCTL_RST_SHIFT_RSTn = 131072 | 27U,  /**< ANALOG_CTL reset control */\r
-    kHSLSPI_RST_SHIFT_RSTn = 131072 | 28U,     /**< HS LSPI reset control */\r
-    kGPIOSEC_RST_SHIFT_RSTn = 131072 | 29U,    /**< GPIO Secure reset control */\r
+    kDMA1_RST_SHIFT_RSTn       = 131072 | 1U,  /**< DMA1 reset control */\r
+    kCMP_RST_SHIFT_RSTn        = 131072 | 2U,  /**< CMP reset control */\r
+    kSDIO_RST_SHIFT_RSTn       = 131072 | 3U,  /**< SDIO reset control */\r
+    kUSB1H_RST_SHIFT_RSTn      = 131072 | 4U,  /**< USBHS Host reset control */\r
+    kUSB1D_RST_SHIFT_RSTn      = 131072 | 5U,  /**< USBHS Device reset control */\r
+    kUSB1RAM_RST_SHIFT_RSTn    = 131072 | 6U,  /**< USB RAM reset control */\r
+    kUSB1_RST_SHIFT_RSTn       = 131072 | 7U,  /**< USBHS reset control */\r
+    kFREQME_RST_SHIFT_RSTn     = 131072 | 8U,  /**< FREQME reset control */\r
+    kGPIO4_RST_SHIFT_RSTn      = 131072 | 9U,  /**< GPIO4 reset control */\r
+    kGPIO5_RST_SHIFT_RSTn      = 131072 | 10U, /**< GPIO5 reset control */\r
+    kAES_RST_SHIFT_RSTn        = 131072 | 11U, /**< AES reset control */\r
+    kOTP_RST_SHIFT_RSTn        = 131072 | 12U, /**< OTP reset control */\r
+    kRNG_RST_SHIFT_RSTn        = 131072 | 13U, /**< RNG  reset control */\r
+    kMUX1_RST_SHIFT_RSTn       = 131072 | 14U, /**< Input mux1 reset control */\r
+    kUSB0HMR_RST_SHIFT_RSTn    = 131072 | 16U, /**< USB0HMR reset control */\r
+    kUSB0HSL_RST_SHIFT_RSTn    = 131072 | 17U, /**< USB0HSL reset control */\r
+    kHASHCRYPT_RST_SHIFT_RSTn  = 131072 | 18U, /**< HASHCRYPT reset control */\r
+    kPOWERQUAD_RST_SHIFT_RSTn  = 131072 | 19U, /**< PowerQuad reset control */\r
+    kPLULUT_RST_SHIFT_RSTn     = 131072 | 20U, /**< PLU LUT reset control */\r
+    kCTIMER3_RST_SHIFT_RSTn    = 131072 | 21U, /**< CTimer 3 reset control */\r
+    kCTIMER4_RST_SHIFT_RSTn    = 131072 | 22U, /**< CTimer 4 reset control */\r
+    kPUF_RST_SHIFT_RSTn        = 131072 | 23U, /**< PUF reset control */\r
+    kCASPER_RST_SHIFT_RSTn     = 131072 | 24U, /**< CASPER reset control */\r
+    kCAP0_RST_SHIFT_RSTn       = 131072 | 25U, /**< CASPER reset control */\r
+    kOSTIMER1_RST_SHIFT_RSTn   = 131072 | 26U, /**< OSTIMER1 reset control */\r
+    kANALOGCTL_RST_SHIFT_RSTn  = 131072 | 27U, /**< ANALOG_CTL reset control */\r
+    kHSLSPI_RST_SHIFT_RSTn     = 131072 | 28U, /**< HS LSPI reset control */\r
+    kGPIOSEC_RST_SHIFT_RSTn    = 131072 | 29U, /**< GPIO Secure reset control */\r
     kGPIOSECINT_RST_SHIFT_RSTn = 131072 | 30U, /**< GPIO Secure int reset control */\r
 } SYSCON_RSTn_t;\r
 \r
@@ -230,7 +230,7 @@ typedef enum _SYSCON_RSTn
         kPLULUT_RST_SHIFT_RSTn \\r
     } /* Reset bits for PLU peripheral */\r
 #define OSTIMER_RSTS             \\r
-    {                          \\r
+    {                            \\r
         kOSTIMER0_RST_SHIFT_RSTn \\r
     } /* Reset bits for OSTIMER peripheral */\r
 typedef SYSCON_RSTn_t reset_ip_name_t;\r
index c52abed4328801d186ff9632782303256e1e10bc..dd35da6b49c7584c80bd6b1b8be707cccfa23ba3 100644 (file)
@@ -1,6 +1,6 @@
 /*\r
  * Copyright (c) 2016, Freescale Semiconductor, Inc.\r
- * Copyright 2016-2018 NXP\r
+ * Copyright 2016-2019 NXP\r
  * All rights reserved.\r
  *\r
  * SPDX-License-Identifier: BSD-3-Clause\r
 #include "fsl_device_registers.h"\r
 #include "fsl_flexcomm.h"\r
 \r
+/*******************************************************************************\r
+ * Definitions\r
+ ******************************************************************************/\r
+\r
 /* Component ID definition, used by tools. */\r
 #ifndef FSL_COMPONENT_ID\r
 #define FSL_COMPONENT_ID "platform.drivers.flexcomm_usart"\r
 #endif\r
 \r
-enum _usart_transfer_states\r
+/*!\r
+ * @brief Used for conversion from `flexcomm_usart_irq_handler_t` to `flexcomm_irq_handler_t`\r
+ */\r
+typedef union usart_to_flexcomm\r
+{\r
+    flexcomm_usart_irq_handler_t usart_master_handler;\r
+    flexcomm_irq_handler_t flexcomm_handler;\r
+} usart_to_flexcomm_t;\r
+\r
+enum\r
 {\r
     kUSART_TxIdle, /* TX idle. */\r
     kUSART_TxBusy, /* TX busy. */\r
@@ -41,18 +54,18 @@ static const uint32_t s_usartBaseAddrs[FSL_FEATURE_SOC_USART_COUNT] = USART_BASE
 /*! brief Returns instance number for USART peripheral base address. */\r
 uint32_t USART_GetInstance(USART_Type *base)\r
 {\r
-    int i;\r
+    uint32_t i;\r
 \r
-    for (i = 0; i < FSL_FEATURE_SOC_USART_COUNT; i++)\r
+    for (i = 0; i < (uint32_t)FSL_FEATURE_SOC_USART_COUNT; i++)\r
     {\r
         if ((uint32_t)base == s_usartBaseAddrs[i])\r
         {\r
-            return i;\r
+            break;\r
         }\r
     }\r
 \r
-    assert(false);\r
-    return 0;\r
+    assert(i < FSL_FEATURE_SOC_USART_COUNT);\r
+    return i;\r
 }\r
 \r
 /*!\r
@@ -67,14 +80,16 @@ size_t USART_TransferGetRxRingBufferLength(usart_handle_t *handle)
 \r
     /* Check arguments */\r
     assert(NULL != handle);\r
+    uint16_t rxRingBufferHead = handle->rxRingBufferHead;\r
+    uint16_t rxRingBufferTail = handle->rxRingBufferTail;\r
 \r
-    if (handle->rxRingBufferTail > handle->rxRingBufferHead)\r
+    if (rxRingBufferTail > rxRingBufferHead)\r
     {\r
-        size = (size_t)(handle->rxRingBufferHead + handle->rxRingBufferSize - handle->rxRingBufferTail);\r
+        size = (size_t)rxRingBufferHead + handle->rxRingBufferSize - (size_t)rxRingBufferTail;\r
     }\r
     else\r
     {\r
-        size = (size_t)(handle->rxRingBufferHead - handle->rxRingBufferTail);\r
+        size = (size_t)rxRingBufferHead - (size_t)rxRingBufferTail;\r
     }\r
     return size;\r
 }\r
@@ -122,7 +137,7 @@ void USART_TransferStartRingBuffer(USART_Type *base, usart_handle_t *handle, uin
     assert(NULL != ringBuffer);\r
 \r
     /* Setup the ringbuffer address */\r
-    handle->rxRingBuffer = ringBuffer;\r
+    handle->rxRingBuffer     = ringBuffer;\r
     handle->rxRingBufferSize = ringBufferSize;\r
     handle->rxRingBufferHead = 0U;\r
     handle->rxRingBufferTail = 0U;\r
@@ -144,11 +159,11 @@ void USART_TransferStopRingBuffer(USART_Type *base, usart_handle_t *handle)
     assert(NULL != base);\r
     assert(NULL != handle);\r
 \r
-    if (handle->rxState == kUSART_RxIdle)\r
+    if (handle->rxState == (uint8_t)kUSART_RxIdle)\r
     {\r
         base->FIFOINTENCLR = USART_FIFOINTENCLR_RXLVL_MASK | USART_FIFOINTENCLR_RXERR_MASK;\r
     }\r
-    handle->rxRingBuffer = NULL;\r
+    handle->rxRingBuffer     = NULL;\r
     handle->rxRingBufferSize = 0U;\r
     handle->rxRingBufferHead = 0U;\r
     handle->rxRingBufferTail = 0U;\r
@@ -180,8 +195,8 @@ status_t USART_Init(USART_Type *base, const usart_config_t *config, uint32_t src
     int result;\r
 \r
     /* check arguments */\r
-    assert(!((NULL == base) || (NULL == config) || (0 == srcClock_Hz)));\r
-    if ((NULL == base) || (NULL == config) || (0 == srcClock_Hz))\r
+    assert(!((NULL == base) || (NULL == config) || (0U == srcClock_Hz)));\r
+    if ((NULL == base) || (NULL == config) || (0U == srcClock_Hz))\r
     {\r
         return kStatus_InvalidArgument;\r
     }\r
@@ -193,13 +208,6 @@ status_t USART_Init(USART_Type *base, const usart_config_t *config, uint32_t src
         return result;\r
     }\r
 \r
-    /* setup baudrate */\r
-    result = USART_SetBaudRate(base, config->baudRate_Bps, srcClock_Hz);\r
-    if (kStatus_Success != result)\r
-    {\r
-        return result;\r
-    }\r
-\r
     if (config->enableTx)\r
     {\r
         /* empty and enable txFIFO */\r
@@ -223,7 +231,19 @@ status_t USART_Init(USART_Type *base, const usart_config_t *config, uint32_t src
     }\r
     /* setup configuration and enable USART */\r
     base->CFG = USART_CFG_PARITYSEL(config->parityMode) | USART_CFG_STOPLEN(config->stopBitCount) |\r
-                USART_CFG_DATALEN(config->bitCountPerChar) | USART_CFG_LOOP(config->loopback) | USART_CFG_ENABLE_MASK;\r
+                USART_CFG_DATALEN(config->bitCountPerChar) | USART_CFG_LOOP(config->loopback) |\r
+                USART_CFG_SYNCEN((uint32_t)config->syncMode >> 1) | USART_CFG_SYNCMST((uint8_t)config->syncMode) |\r
+                USART_CFG_CLKPOL(config->clockPolarity) | USART_CFG_ENABLE_MASK;\r
+\r
+    /* Setup baudrate */\r
+    result = USART_SetBaudRate(base, config->baudRate_Bps, srcClock_Hz);\r
+    if (kStatus_Success != result)\r
+    {\r
+        return result;\r
+    }\r
+    /* Setting continuous Clock configuration. used for synchronous mode. */\r
+    USART_EnableContinuousSCLK(base, config->enableContinuousSCLK);\r
+\r
     return kStatus_Success;\r
 }\r
 \r
@@ -238,7 +258,7 @@ void USART_Deinit(USART_Type *base)
 {\r
     /* Check arguments */\r
     assert(NULL != base);\r
-    while (!(base->STAT & USART_STAT_TXIDLE_MASK))\r
+    while (0U == (base->STAT & USART_STAT_TXIDLE_MASK))\r
     {\r
     }\r
     /* Disable interrupts, disable dma requests, disable peripheral */\r
@@ -269,18 +289,21 @@ void USART_GetDefaultConfig(usart_config_t *config)
     assert(NULL != config);\r
 \r
     /* Initializes the configure structure to zero. */\r
-    memset(config, 0, sizeof(*config));\r
+    (void)memset(config, 0, sizeof(*config));\r
 \r
     /* Set always all members ! */\r
-    config->baudRate_Bps = 115200U;\r
-    config->parityMode = kUSART_ParityDisabled;\r
-    config->stopBitCount = kUSART_OneStopBit;\r
-    config->bitCountPerChar = kUSART_8BitsPerChar;\r
-    config->loopback = false;\r
-    config->enableRx = false;\r
-    config->enableTx = false;\r
-    config->txWatermark = kUSART_TxFifo0;\r
-    config->rxWatermark = kUSART_RxFifo1;\r
+    config->baudRate_Bps         = 115200U;\r
+    config->parityMode           = kUSART_ParityDisabled;\r
+    config->stopBitCount         = kUSART_OneStopBit;\r
+    config->bitCountPerChar      = kUSART_8BitsPerChar;\r
+    config->loopback             = false;\r
+    config->enableRx             = false;\r
+    config->enableTx             = false;\r
+    config->txWatermark          = kUSART_TxFifo0;\r
+    config->rxWatermark          = kUSART_RxFifo1;\r
+    config->syncMode             = kUSART_SyncModeDisabled;\r
+    config->enableContinuousSCLK = false;\r
+    config->clockPolarity        = kUSART_RxSampleOnFallingEdge;\r
 }\r
 \r
 /*!\r
@@ -294,7 +317,7 @@ void USART_GetDefaultConfig(usart_config_t *config)
  *\r
  * param base USART peripheral base address.\r
  * param baudrate_Bps USART baudrate to be set.\r
- * param srcClock_Hz USART clock source freqency in HZ.\r
+ * param srcClock_Hz USART clock source frequency in HZ.\r
  * retval kStatus_USART_BaudrateNotSupport Baudrate is not support in current clock source.\r
  * retval kStatus_Success Set baudrate succeed.\r
  * retval kStatus_InvalidArgument One or more arguments are invalid.\r
@@ -306,40 +329,53 @@ status_t USART_SetBaudRate(USART_Type *base, uint32_t baudrate_Bps, uint32_t src
 \r
     /* check arguments */\r
     assert(!((NULL == base) || (0 == baudrate_Bps) || (0 == srcClock_Hz)));\r
-    if ((NULL == base) || (0 == baudrate_Bps) || (0 == srcClock_Hz))\r
+    if ((NULL == base) || (0U == baudrate_Bps) || (0U == srcClock_Hz))\r
     {\r
         return kStatus_InvalidArgument;\r
     }\r
 \r
-    /*\r
-     * Smaller values of OSR can make the sampling position within a data bit less accurate and may\r
-     * potentially cause more noise errors or incorrect data.\r
-     */\r
-    for (osrval = best_osrval; osrval >= 8; osrval--)\r
+    /* If synchronous master mode is enabled, only configure the BRG value. */\r
+    if ((base->CFG & USART_CFG_SYNCEN_MASK) != 0U)\r
     {\r
-        brgval = (srcClock_Hz / ((osrval + 1) * baudrate_Bps)) - 1;\r
-        if (brgval > 0xFFFF)\r
+        if ((base->CFG & USART_CFG_SYNCMST_MASK) != 0U)\r
         {\r
-            continue;\r
+            brgval    = srcClock_Hz / baudrate_Bps;\r
+            base->BRG = brgval - 1U;\r
         }\r
-        baudrate = srcClock_Hz / ((osrval + 1) * (brgval + 1));\r
-        diff = baudrate_Bps < baudrate ? baudrate - baudrate_Bps : baudrate_Bps - baudrate;\r
-        if (diff < best_diff)\r
+    }\r
+    else\r
+    {\r
+        /*\r
+         * Smaller values of OSR can make the sampling position within a data bit less accurate and may\r
+         * potentially cause more noise errors or incorrect data.\r
+         */\r
+        for (osrval = best_osrval; osrval >= 8U; osrval--)\r
         {\r
-            best_diff = diff;\r
-            best_osrval = osrval;\r
-            best_brgval = brgval;\r
+            brgval = (((srcClock_Hz * 10U) / ((osrval + 1U) * baudrate_Bps)) - 5U) / 10U;\r
+            if (brgval > 0xFFFFU)\r
+            {\r
+                continue;\r
+            }\r
+            baudrate = srcClock_Hz / ((osrval + 1U) * (brgval + 1U));\r
+            diff     = baudrate_Bps < baudrate ? baudrate - baudrate_Bps : baudrate_Bps - baudrate;\r
+            if (diff < best_diff)\r
+            {\r
+                best_diff   = diff;\r
+                best_osrval = osrval;\r
+                best_brgval = brgval;\r
+            }\r
         }\r
-    }\r
 \r
-    /* value over range */\r
-    if (best_brgval > 0xFFFF)\r
-    {\r
-        return kStatus_USART_BaudrateNotSupport;\r
+        /* value over range */\r
+        if (best_brgval > 0xFFFFU)\r
+        {\r
+            return kStatus_USART_BaudrateNotSupport;\r
+        }\r
+\r
+        base->OSR = best_osrval;\r
+        base->BRG = best_brgval;\r
     }\r
 \r
-    base->OSR = best_osrval;\r
-    base->BRG = best_brgval;\r
     return kStatus_Success;\r
 }\r
 \r
@@ -362,21 +398,21 @@ void USART_WriteBlocking(USART_Type *base, const uint8_t *data, size_t length)
         return;\r
     }\r
     /* Check whether txFIFO is enabled */\r
-    if (!(base->FIFOCFG & USART_FIFOCFG_ENABLETX_MASK))\r
+    if (0U == (base->FIFOCFG & USART_FIFOCFG_ENABLETX_MASK))\r
     {\r
         return;\r
     }\r
-    for (; length > 0; length--)\r
+    for (; length > 0U; length--)\r
     {\r
         /* Loop until txFIFO get some space for new data */\r
-        while (!(base->FIFOSTAT & USART_FIFOSTAT_TXNOTFULL_MASK))\r
+        while (0U == (base->FIFOSTAT & USART_FIFOSTAT_TXNOTFULL_MASK))\r
         {\r
         }\r
         base->FIFOWR = *data;\r
         data++;\r
     }\r
     /* Wait to finish transfer */\r
-    while (!(base->STAT & USART_STAT_TXIDLE_MASK))\r
+    while (0U == (base->STAT & USART_STAT_TXIDLE_MASK))\r
     {\r
     }\r
 }\r
@@ -398,7 +434,8 @@ void USART_WriteBlocking(USART_Type *base, const uint8_t *data, size_t length)
  */\r
 status_t USART_ReadBlocking(USART_Type *base, uint8_t *data, size_t length)\r
 {\r
-    uint32_t status;\r
+    uint32_t statusFlag;\r
+    status_t status = kStatus_Success;\r
 \r
     /* check arguments */\r
     assert(!((NULL == base) || (NULL == data)));\r
@@ -408,45 +445,52 @@ status_t USART_ReadBlocking(USART_Type *base, uint8_t *data, size_t length)
     }\r
 \r
     /* Check whether rxFIFO is enabled */\r
-    if (!(base->FIFOCFG & USART_FIFOCFG_ENABLERX_MASK))\r
+    if ((base->FIFOCFG & USART_FIFOCFG_ENABLERX_MASK) == 0U)\r
     {\r
         return kStatus_Fail;\r
     }\r
-    for (; length > 0; length--)\r
+    for (; length > 0U; length--)\r
     {\r
         /* loop until rxFIFO have some data to read */\r
-        while (!(base->FIFOSTAT & USART_FIFOSTAT_RXNOTEMPTY_MASK))\r
+        while ((base->FIFOSTAT & USART_FIFOSTAT_RXNOTEMPTY_MASK) == 0U)\r
         {\r
         }\r
-        /* check receive status */\r
-        status = base->STAT;\r
-        if (status & USART_STAT_FRAMERRINT_MASK)\r
+        /* check rxFIFO statusFlag */\r
+        if ((base->FIFOSTAT & USART_FIFOSTAT_RXERR_MASK) != 0U)\r
         {\r
-            base->STAT |= USART_STAT_FRAMERRINT_MASK;\r
-            return kStatus_USART_FramingError;\r
+            base->FIFOCFG |= USART_FIFOCFG_EMPTYRX_MASK;\r
+            base->FIFOSTAT |= USART_FIFOSTAT_RXERR_MASK;\r
+            status = kStatus_USART_RxError;\r
+            break;\r
         }\r
-        if (status & USART_STAT_PARITYERRINT_MASK)\r
+        /* check receive statusFlag */\r
+        statusFlag = base->STAT;\r
+        /* Clear all status flags */\r
+        base->STAT |= statusFlag;\r
+        if ((statusFlag & USART_STAT_PARITYERRINT_MASK) != 0U)\r
         {\r
-            base->STAT |= USART_STAT_PARITYERRINT_MASK;\r
-            return kStatus_USART_ParityError;\r
+            status = kStatus_USART_ParityError;\r
         }\r
-        if (status & USART_STAT_RXNOISEINT_MASK)\r
+        if ((statusFlag & USART_STAT_FRAMERRINT_MASK) != 0U)\r
         {\r
-            base->STAT |= USART_STAT_RXNOISEINT_MASK;\r
-            return kStatus_USART_NoiseError;\r
+            status = kStatus_USART_FramingError;\r
         }\r
-        /* check rxFIFO status */\r
-        if (base->FIFOSTAT & USART_FIFOSTAT_RXERR_MASK)\r
+        if ((statusFlag & USART_STAT_RXNOISEINT_MASK) != 0U)\r
         {\r
-            base->FIFOCFG |= USART_FIFOCFG_EMPTYRX_MASK;\r
-            base->FIFOSTAT |= USART_FIFOSTAT_RXERR_MASK;\r
-            return kStatus_USART_RxError;\r
+            status = kStatus_USART_NoiseError;\r
         }\r
 \r
-        *data = base->FIFORD;\r
-        data++;\r
+        if (kStatus_Success == status)\r
+        {\r
+            *data = (uint8_t)base->FIFORD;\r
+            data++;\r
+        }\r
+        else\r
+        {\r
+            break;\r
+        }\r
     }\r
-    return kStatus_Success;\r
+    return status;\r
 }\r
 \r
 /*!\r
@@ -466,10 +510,13 @@ status_t USART_TransferCreateHandle(USART_Type *base,
                                     usart_transfer_callback_t callback,\r
                                     void *userData)\r
 {\r
-    int32_t instance = 0;\r
-\r
     /* Check 'base' */\r
     assert(!((NULL == base) || (NULL == handle)));\r
+\r
+    uint32_t instance = 0;\r
+    usart_to_flexcomm_t handler;\r
+    handler.usart_master_handler = USART_TransferHandleIRQ;\r
+\r
     if ((NULL == base) || (NULL == handle))\r
     {\r
         return kStatus_InvalidArgument;\r
@@ -477,20 +524,20 @@ status_t USART_TransferCreateHandle(USART_Type *base,
 \r
     instance = USART_GetInstance(base);\r
 \r
-    memset(handle, 0, sizeof(*handle));\r
+    (void)memset(handle, 0, sizeof(*handle));\r
     /* Set the TX/RX state. */\r
-    handle->rxState = kUSART_RxIdle;\r
-    handle->txState = kUSART_TxIdle;\r
+    handle->rxState = (uint8_t)kUSART_RxIdle;\r
+    handle->txState = (uint8_t)kUSART_TxIdle;\r
     /* Set the callback and user data. */\r
-    handle->callback = callback;\r
-    handle->userData = userData;\r
-    handle->rxWatermark = (usart_rxfifo_watermark_t)USART_FIFOTRIG_RXLVL_GET(base);\r
-    handle->txWatermark = (usart_txfifo_watermark_t)USART_FIFOTRIG_TXLVL_GET(base);\r
+    handle->callback    = callback;\r
+    handle->userData    = userData;\r
+    handle->rxWatermark = (uint8_t)USART_FIFOTRIG_RXLVL_GET(base);\r
+    handle->txWatermark = (uint8_t)USART_FIFOTRIG_TXLVL_GET(base);\r
 \r
-    FLEXCOMM_SetIRQHandler(base, (flexcomm_irq_handler_t)USART_TransferHandleIRQ, handle);\r
+    FLEXCOMM_SetIRQHandler(base, handler.flexcomm_handler, handle);\r
 \r
     /* Enable interrupt in NVIC. */\r
-    EnableIRQ(s_usartIRQ[instance]);\r
+    (void)EnableIRQ(s_usartIRQ[instance]);\r
 \r
     return kStatus_Success;\r
 }\r
@@ -524,22 +571,22 @@ status_t USART_TransferSendNonBlocking(USART_Type *base, usart_handle_t *handle,
     }\r
     /* Check xfer members */\r
     assert(!((0 == xfer->dataSize) || (NULL == xfer->data)));\r
-    if ((0 == xfer->dataSize) || (NULL == xfer->data))\r
+    if ((0U == xfer->dataSize) || (NULL == xfer->data))\r
     {\r
         return kStatus_InvalidArgument;\r
     }\r
 \r
     /* Return error if current TX busy. */\r
-    if (kUSART_TxBusy == handle->txState)\r
+    if ((uint8_t)kUSART_TxBusy == handle->txState)\r
     {\r
         return kStatus_USART_TxBusy;\r
     }\r
     else\r
     {\r
-        handle->txData = xfer->data;\r
-        handle->txDataSize = xfer->dataSize;\r
+        handle->txData        = xfer->data;\r
+        handle->txDataSize    = xfer->dataSize;\r
         handle->txDataSizeAll = xfer->dataSize;\r
-        handle->txState kUSART_TxBusy;\r
+        handle->txState       = (uint8_t)kUSART_TxBusy;\r
         /* Enable transmiter interrupt. */\r
         base->FIFOINTENSET |= USART_FIFOINTENSET_TXLVL_MASK;\r
     }\r
@@ -560,12 +607,12 @@ void USART_TransferAbortSend(USART_Type *base, usart_handle_t *handle)
     assert(NULL != handle);\r
 \r
     /* Disable interrupts */\r
-    USART_DisableInterrupts(base, kUSART_TxLevelInterruptEnable);\r
+    USART_DisableInterrupts(base, (uint32_t)kUSART_TxLevelInterruptEnable);\r
     /* Empty txFIFO */\r
     base->FIFOCFG |= USART_FIFOCFG_EMPTYTX_MASK;\r
 \r
-    handle->txDataSize = 0;\r
-    handle->txState kUSART_TxIdle;\r
+    handle->txDataSize = 0U;\r
+    handle->txState    = (uint8_t)kUSART_TxIdle;\r
 }\r
 \r
 /*!\r
@@ -586,7 +633,7 @@ status_t USART_TransferGetSendCount(USART_Type *base, usart_handle_t *handle, ui
     assert(NULL != handle);\r
     assert(NULL != count);\r
 \r
-    if (kUSART_TxIdle == handle->txState)\r
+    if ((uint8_t)kUSART_TxIdle == handle->txState)\r
     {\r
         return kStatus_NoTransferInProgress;\r
     }\r
@@ -644,7 +691,7 @@ status_t USART_TransferReceiveNonBlocking(USART_Type *base,
     }\r
     /* Check xfer members */\r
     assert(!((0 == xfer->dataSize) || (NULL == xfer->data)));\r
-    if ((0 == xfer->dataSize) || (NULL == xfer->data))\r
+    if ((0U == xfer->dataSize) || (NULL == xfer->data))\r
     {\r
         return kStatus_InvalidArgument;\r
     }\r
@@ -658,22 +705,22 @@ status_t USART_TransferReceiveNonBlocking(USART_Type *base,
           If there are not enough data in ring buffer, copy all of them to xfer->data,\r
           save the xfer->data remained empty space to uart handle, receive data\r
           to this empty space and trigger callback when finished. */\r
-    if (kUSART_RxBusy == handle->rxState)\r
+    if ((uint8_t)kUSART_RxBusy == handle->rxState)\r
     {\r
         return kStatus_USART_RxBusy;\r
     }\r
     else\r
     {\r
-        bytesToReceive = xfer->dataSize;\r
+        bytesToReceive       = xfer->dataSize;\r
         bytesCurrentReceived = 0U;\r
         /* If RX ring buffer is used. */\r
-        if (handle->rxRingBuffer)\r
+        if (handle->rxRingBuffer != NULL)\r
         {\r
             /* Disable IRQ, protect ring buffer. */\r
             regPrimask = DisableGlobalIRQ();\r
             /* How many bytes in RX ring buffer currently. */\r
             bytesToCopy = USART_TransferGetRxRingBufferLength(handle);\r
-            if (bytesToCopy)\r
+            if (bytesToCopy != 0U)\r
             {\r
                 bytesToCopy = MIN(bytesToReceive, bytesToCopy);\r
                 bytesToReceive -= bytesToCopy;\r
@@ -682,7 +729,7 @@ status_t USART_TransferReceiveNonBlocking(USART_Type *base,
                 {\r
                     xfer->data[bytesCurrentReceived++] = handle->rxRingBuffer[handle->rxRingBufferTail];\r
                     /* Wrap to 0. Not use modulo (%) because it might be large and slow. */\r
-                    if (handle->rxRingBufferTail + 1U == handle->rxRingBufferSize)\r
+                    if ((size_t)handle->rxRingBufferTail + 1U == handle->rxRingBufferSize)\r
                     {\r
                         handle->rxRingBufferTail = 0U;\r
                     }\r
@@ -693,20 +740,20 @@ status_t USART_TransferReceiveNonBlocking(USART_Type *base,
                 }\r
             }\r
             /* If ring buffer does not have enough data, still need to read more data. */\r
-            if (bytesToReceive)\r
+            if (bytesToReceive != 0U)\r
             {\r
                 /* No data in ring buffer, save the request to UART handle. */\r
-                handle->rxData = xfer->data + bytesCurrentReceived;\r
-                handle->rxDataSize = bytesToReceive;\r
+                handle->rxData        = xfer->data + bytesCurrentReceived;\r
+                handle->rxDataSize    = bytesToReceive;\r
                 handle->rxDataSizeAll = bytesToReceive;\r
-                handle->rxState kUSART_RxBusy;\r
+                handle->rxState       = (uint8_t)kUSART_RxBusy;\r
             }\r
             /* Enable IRQ if previously enabled. */\r
             EnableGlobalIRQ(regPrimask);\r
             /* Call user callback since all data are received. */\r
-            if (0 == bytesToReceive)\r
+            if (0U == bytesToReceive)\r
             {\r
-                if (handle->callback)\r
+                if (handle->callback != NULL)\r
                 {\r
                     handle->callback(base, handle, kStatus_USART_RxIdle, handle->userData);\r
                 }\r
@@ -715,16 +762,16 @@ status_t USART_TransferReceiveNonBlocking(USART_Type *base,
         /* Ring buffer not used. */\r
         else\r
         {\r
-            handle->rxData = xfer->data + bytesCurrentReceived;\r
-            handle->rxDataSize = bytesToReceive;\r
+            handle->rxData        = xfer->data + bytesCurrentReceived;\r
+            handle->rxDataSize    = bytesToReceive;\r
             handle->rxDataSizeAll = bytesToReceive;\r
-            handle->rxState kUSART_RxBusy;\r
+            handle->rxState       = (uint8_t)kUSART_RxBusy;\r
 \r
             /* Enable RX interrupt. */\r
             base->FIFOINTENSET |= USART_FIFOINTENSET_RXLVL_MASK;\r
         }\r
         /* Return the how many bytes have read. */\r
-        if (receivedBytes)\r
+        if (receivedBytes != NULL)\r
         {\r
             *receivedBytes = bytesCurrentReceived;\r
         }\r
@@ -746,16 +793,16 @@ void USART_TransferAbortReceive(USART_Type *base, usart_handle_t *handle)
     assert(NULL != handle);\r
 \r
     /* Only abort the receive to handle->rxData, the RX ring buffer is still working. */\r
-    if (!handle->rxRingBuffer)\r
+    if (NULL == handle->rxRingBuffer)\r
     {\r
         /* Disable interrupts */\r
-        USART_DisableInterrupts(base, kUSART_RxLevelInterruptEnable);\r
+        USART_DisableInterrupts(base, (uint32_t)kUSART_RxLevelInterruptEnable);\r
         /* Empty rxFIFO */\r
         base->FIFOCFG |= USART_FIFOCFG_EMPTYRX_MASK;\r
     }\r
 \r
     handle->rxDataSize = 0U;\r
-    handle->rxState kUSART_RxIdle;\r
+    handle->rxState    = (uint8_t)kUSART_RxIdle;\r
 }\r
 \r
 /*!\r
@@ -775,7 +822,7 @@ status_t USART_TransferGetReceiveCount(USART_Type *base, usart_handle_t *handle,
     assert(NULL != handle);\r
     assert(NULL != count);\r
 \r
-    if (kUSART_RxIdle == handle->rxState)\r
+    if ((uint8_t)kUSART_RxIdle == handle->rxState)\r
     {\r
         return kStatus_NoTransferInProgress;\r
     }\r
@@ -798,43 +845,46 @@ void USART_TransferHandleIRQ(USART_Type *base, usart_handle_t *handle)
     /* Check arguments */\r
     assert((NULL != base) && (NULL != handle));\r
 \r
-    bool receiveEnabled = (handle->rxDataSize) || (handle->rxRingBuffer);\r
-    bool sendEnabled = handle->txDataSize;\r
+    bool receiveEnabled = ((handle->rxDataSize != 0U) || (handle->rxRingBuffer != NULL));\r
+    bool sendEnabled    = (handle->txDataSize != 0U);\r
+    uint8_t rxdata;\r
+    size_t tmpsize;\r
 \r
     /* If RX overrun. */\r
-    if (base->FIFOSTAT & USART_FIFOSTAT_RXERR_MASK)\r
+    if ((base->FIFOSTAT & USART_FIFOSTAT_RXERR_MASK) != 0U)\r
     {\r
         /* Clear rx error state. */\r
         base->FIFOSTAT |= USART_FIFOSTAT_RXERR_MASK;\r
         /* clear rxFIFO */\r
         base->FIFOCFG |= USART_FIFOCFG_EMPTYRX_MASK;\r
         /* Trigger callback. */\r
-        if (handle->callback)\r
+        if (handle->callback != NULL)\r
         {\r
             handle->callback(base, handle, kStatus_USART_RxError, handle->userData);\r
         }\r
     }\r
-    while ((receiveEnabled && (base->FIFOSTAT & USART_FIFOSTAT_RXNOTEMPTY_MASK)) ||\r
-           (sendEnabled && (base->FIFOSTAT & USART_FIFOSTAT_TXNOTFULL_MASK)))\r
+    while ((receiveEnabled && ((base->FIFOSTAT & USART_FIFOSTAT_RXNOTEMPTY_MASK) != 0U)) ||\r
+           (sendEnabled && ((base->FIFOSTAT & USART_FIFOSTAT_TXNOTFULL_MASK) != 0U)))\r
     {\r
         /* Receive data */\r
-        if (receiveEnabled && (base->FIFOSTAT & USART_FIFOSTAT_RXNOTEMPTY_MASK))\r
+        if (receiveEnabled && ((base->FIFOSTAT & USART_FIFOSTAT_RXNOTEMPTY_MASK) != 0U))\r
         {\r
             /* Receive to app bufffer if app buffer is present */\r
-            if (handle->rxDataSize)\r
+            if (handle->rxDataSize != 0U)\r
             {\r
-                *handle->rxData = base->FIFORD;\r
+                rxdata          = (uint8_t)base->FIFORD;\r
+                *handle->rxData = rxdata;\r
                 handle->rxDataSize--;\r
                 handle->rxData++;\r
-                receiveEnabled = ((handle->rxDataSize != 0) || (handle->rxRingBuffer));\r
-                if (!handle->rxDataSize)\r
+                receiveEnabled = ((handle->rxDataSize != 0U) || (handle->rxRingBuffer != NULL));\r
+                if (0U == handle->rxDataSize)\r
                 {\r
-                    if (!handle->rxRingBuffer)\r
+                    if (NULL == handle->rxRingBuffer)\r
                     {\r
                         base->FIFOINTENCLR = USART_FIFOINTENCLR_RXLVL_MASK | USART_FIFOINTENSET_RXERR_MASK;\r
                     }\r
-                    handle->rxState = kUSART_RxIdle;\r
-                    if (handle->callback)\r
+                    handle->rxState = (uint8_t)kUSART_RxIdle;\r
+                    if (handle->callback != NULL)\r
                     {\r
                         handle->callback(base, handle, kStatus_USART_RxIdle, handle->userData);\r
                     }\r
@@ -843,21 +893,21 @@ void USART_TransferHandleIRQ(USART_Type *base, usart_handle_t *handle)
             /* Otherwise receive to ring buffer if ring buffer is present */\r
             else\r
             {\r
-                if (handle->rxRingBuffer)\r
+                if (handle->rxRingBuffer != NULL)\r
                 {\r
                     /* If RX ring buffer is full, trigger callback to notify over run. */\r
                     if (USART_TransferIsRxRingBufferFull(handle))\r
                     {\r
-                        if (handle->callback)\r
+                        if (handle->callback != NULL)\r
                         {\r
                             handle->callback(base, handle, kStatus_USART_RxRingBufferOverrun, handle->userData);\r
                         }\r
                     }\r
-                    /* If ring buffer is still full after callback function, the oldest data is overrided. */\r
+                    /* If ring buffer is still full after callback function, the oldest data is overridden. */\r
                     if (USART_TransferIsRxRingBufferFull(handle))\r
                     {\r
                         /* Increase handle->rxRingBufferTail to make room for new data. */\r
-                        if (handle->rxRingBufferTail + 1U == handle->rxRingBufferSize)\r
+                        if ((size_t)handle->rxRingBufferTail + 1U == handle->rxRingBufferSize)\r
                         {\r
                             handle->rxRingBufferTail = 0U;\r
                         }\r
@@ -867,9 +917,10 @@ void USART_TransferHandleIRQ(USART_Type *base, usart_handle_t *handle)
                         }\r
                     }\r
                     /* Read data. */\r
-                    handle->rxRingBuffer[handle->rxRingBufferHead] = base->FIFORD;\r
+                    rxdata                                         = (uint8_t)base->FIFORD;\r
+                    handle->rxRingBuffer[handle->rxRingBufferHead] = rxdata;\r
                     /* Increase handle->rxRingBufferHead. */\r
-                    if (handle->rxRingBufferHead + 1U == handle->rxRingBufferSize)\r
+                    if ((size_t)handle->rxRingBufferHead + 1U == handle->rxRingBufferSize)\r
                     {\r
                         handle->rxRingBufferHead = 0U;\r
                     }\r
@@ -881,38 +932,50 @@ void USART_TransferHandleIRQ(USART_Type *base, usart_handle_t *handle)
             }\r
         }\r
         /* Send data */\r
-        if (sendEnabled && (base->FIFOSTAT & USART_FIFOSTAT_TXNOTFULL_MASK))\r
+        if (sendEnabled && ((base->FIFOSTAT & USART_FIFOSTAT_TXNOTFULL_MASK) != 0U))\r
         {\r
             base->FIFOWR = *handle->txData;\r
             handle->txDataSize--;\r
             handle->txData++;\r
-            sendEnabled = handle->txDataSize != 0;\r
+            sendEnabled = handle->txDataSize != 0U;\r
             if (!sendEnabled)\r
             {\r
                 base->FIFOINTENCLR = USART_FIFOINTENCLR_TXLVL_MASK;\r
-                handle->txState = kUSART_TxIdle;\r
-                if (handle->callback)\r
-                {\r
-                    handle->callback(base, handle, kStatus_USART_TxIdle, handle->userData);\r
-                }\r
+                handle->txState    = (uint8_t)kUSART_TxIdle;\r
+\r
+                base->INTENSET |= USART_INTENSET_TXIDLEEN_MASK;\r
             }\r
         }\r
     }\r
 \r
+    /* Tx idle and the interrupt is enabled. */\r
+    if ((0U != (base->INTENSET & USART_INTENSET_TXIDLEEN_MASK)) &&\r
+        (0U != (base->INTSTAT & USART_INTSTAT_TXIDLE_MASK)) && (handle->txState == (uint8_t)kUSART_TxIdle))\r
+    {\r
+        /* Disable tx idle interrupt */\r
+        base->INTENCLR |= USART_INTENCLR_TXIDLECLR_MASK;\r
+        /* Trigger callback. */\r
+        if (handle->callback != NULL)\r
+        {\r
+            handle->callback(base, handle, kStatus_USART_TxIdle, handle->userData);\r
+        }\r
+    }\r
+\r
     /* ring buffer is not used */\r
     if (NULL == handle->rxRingBuffer)\r
     {\r
+        tmpsize = handle->rxDataSize;\r
+\r
         /* restore if rx transfer ends and rxLevel is different from default value */\r
-        if ((handle->rxDataSize == 0) && (USART_FIFOTRIG_RXLVL_GET(base) != handle->rxWatermark))\r
+        if ((tmpsize == 0U) && (USART_FIFOTRIG_RXLVL_GET(base) != handle->rxWatermark))\r
         {\r
             base->FIFOTRIG =\r
                 (base->FIFOTRIG & (~USART_FIFOTRIG_RXLVL_MASK)) | USART_FIFOTRIG_RXLVL(handle->rxWatermark);\r
         }\r
         /* decrease level if rx transfer is bellow */\r
-        if ((handle->rxDataSize != 0) && (handle->rxDataSize < (USART_FIFOTRIG_RXLVL_GET(base) + 1)))\r
+        if ((tmpsize != 0U) && (tmpsize < (USART_FIFOTRIG_RXLVL_GET(base) + 1U)))\r
         {\r
-            base->FIFOTRIG =\r
-                (base->FIFOTRIG & (~USART_FIFOTRIG_RXLVL_MASK)) | (USART_FIFOTRIG_RXLVL(handle->rxDataSize - 1));\r
+            base->FIFOTRIG = (base->FIFOTRIG & (~USART_FIFOTRIG_RXLVL_MASK)) | (USART_FIFOTRIG_RXLVL(tmpsize - 1U));\r
         }\r
     }\r
 }\r
index d2297f324c287443438f4410ed4a31d456c9f5e0..b97ae487b39a1d66647fb3bb6f09b71496902b25 100644 (file)
@@ -1,6 +1,6 @@
 /*\r
  * Copyright (c) 2016, Freescale Semiconductor, Inc.\r
- * Copyright 2016-2018 NXP\r
+ * Copyright 2016-2019 NXP\r
  * All rights reserved.\r
  *\r
  * SPDX-License-Identifier: BSD-3-Clause\r
 \r
 /*! @name Driver version */\r
 /*@{*/\r
-/*! @brief USART driver version 2.0.3. */\r
-#define FSL_USART_DRIVER_VERSION (MAKE_VERSION(2, 0, 3))\r
+/*! @brief USART driver version 2.1.1. */\r
+#define FSL_USART_DRIVER_VERSION (MAKE_VERSION(2, 1, 1))\r
 /*@}*/\r
 \r
 #define USART_FIFOTRIG_TXLVL_GET(base) (((base)->FIFOTRIG & USART_FIFOTRIG_TXLVL_MASK) >> USART_FIFOTRIG_TXLVL_SHIFT)\r
 #define USART_FIFOTRIG_RXLVL_GET(base) (((base)->FIFOTRIG & USART_FIFOTRIG_RXLVL_MASK) >> USART_FIFOTRIG_RXLVL_SHIFT)\r
 \r
 /*! @brief Error codes for the USART driver. */\r
-enum _usart_status\r
+enum\r
 {\r
-    kStatus_USART_TxBusy = MAKE_STATUS(kStatusGroup_LPC_USART, 0),              /*!< Transmitter is busy. */\r
-    kStatus_USART_RxBusy = MAKE_STATUS(kStatusGroup_LPC_USART, 1),              /*!< Receiver is busy. */\r
-    kStatus_USART_TxIdle = MAKE_STATUS(kStatusGroup_LPC_USART, 2),              /*!< USART transmitter is idle. */\r
-    kStatus_USART_RxIdle = MAKE_STATUS(kStatusGroup_LPC_USART, 3),              /*!< USART receiver is idle. */\r
-    kStatus_USART_TxError = MAKE_STATUS(kStatusGroup_LPC_USART, 7),             /*!< Error happens on txFIFO. */\r
-    kStatus_USART_RxError = MAKE_STATUS(kStatusGroup_LPC_USART, 9),             /*!< Error happens on rxFIFO. */\r
-    kStatus_USART_RxRingBufferOverrun = MAKE_STATUS(kStatusGroup_LPC_USART, 8), /*!< Error happens on rx ring buffer */\r
-    kStatus_USART_NoiseError = MAKE_STATUS(kStatusGroup_LPC_USART, 10),         /*!< USART noise error. */\r
-    kStatus_USART_FramingError = MAKE_STATUS(kStatusGroup_LPC_USART, 11),       /*!< USART framing error. */\r
-    kStatus_USART_ParityError = MAKE_STATUS(kStatusGroup_LPC_USART, 12),        /*!< USART parity error. */\r
+    kStatus_USART_TxBusy              = MAKE_STATUS(kStatusGroup_LPC_USART, 0),  /*!< Transmitter is busy. */\r
+    kStatus_USART_RxBusy              = MAKE_STATUS(kStatusGroup_LPC_USART, 1),  /*!< Receiver is busy. */\r
+    kStatus_USART_TxIdle              = MAKE_STATUS(kStatusGroup_LPC_USART, 2),  /*!< USART transmitter is idle. */\r
+    kStatus_USART_RxIdle              = MAKE_STATUS(kStatusGroup_LPC_USART, 3),  /*!< USART receiver is idle. */\r
+    kStatus_USART_TxError             = MAKE_STATUS(kStatusGroup_LPC_USART, 7),  /*!< Error happens on txFIFO. */\r
+    kStatus_USART_RxError             = MAKE_STATUS(kStatusGroup_LPC_USART, 9),  /*!< Error happens on rxFIFO. */\r
+    kStatus_USART_RxRingBufferOverrun = MAKE_STATUS(kStatusGroup_LPC_USART, 8),  /*!< Error happens on rx ring buffer */\r
+    kStatus_USART_NoiseError          = MAKE_STATUS(kStatusGroup_LPC_USART, 10), /*!< USART noise error. */\r
+    kStatus_USART_FramingError        = MAKE_STATUS(kStatusGroup_LPC_USART, 11), /*!< USART framing error. */\r
+    kStatus_USART_ParityError         = MAKE_STATUS(kStatusGroup_LPC_USART, 12), /*!< USART parity error. */\r
     kStatus_USART_BaudrateNotSupport =\r
         MAKE_STATUS(kStatusGroup_LPC_USART, 13), /*!< Baudrate is not support in current clock source */\r
 };\r
 \r
+/*! @brief USART synchronous mode. */\r
+typedef enum _usart_sync_mode\r
+{\r
+    kUSART_SyncModeDisabled = 0x0U, /*!< Asynchronous mode.       */\r
+    kUSART_SyncModeSlave    = 0x2U, /*!< Synchronous slave mode.  */\r
+    kUSART_SyncModeMaster   = 0x3U, /*!< Synchronous master mode. */\r
+} usart_sync_mode_t;\r
+\r
 /*! @brief USART parity mode. */\r
 typedef enum _usart_parity_mode\r
 {\r
     kUSART_ParityDisabled = 0x0U, /*!< Parity disabled */\r
-    kUSART_ParityEven = 0x2U,     /*!< Parity enabled, type even, bit setting: PE|PT = 10 */\r
-    kUSART_ParityOdd = 0x3U,      /*!< Parity enabled, type odd,  bit setting: PE|PT = 11 */\r
+    kUSART_ParityEven     = 0x2U, /*!< Parity enabled, type even, bit setting: PE|PT = 10 */\r
+    kUSART_ParityOdd      = 0x3U, /*!< Parity enabled, type odd,  bit setting: PE|PT = 11 */\r
 } usart_parity_mode_t;\r
 \r
 /*! @brief USART stop bit count. */\r
@@ -67,6 +75,13 @@ typedef enum _usart_data_len
     kUSART_8BitsPerChar = 1U, /*!< Eight bit mode */\r
 } usart_data_len_t;\r
 \r
+/*! @brief USART clock polarity configuration, used in sync mode.*/\r
+typedef enum _usart_clock_polarity\r
+{\r
+    kUSART_RxSampleOnFallingEdge = 0x0U, /*!< Un_RXD is sampled on the falling edge of SCLK. */\r
+    kUSART_RxSampleOnRisingEdge  = 0x1U, /*!< Un_RXD is sampled on the rising edge of SCLK. */\r
+} usart_clock_polarity_t;\r
+\r
 /*! @brief txFIFO watermark values */\r
 typedef enum _usart_txfifo_watermark\r
 {\r
@@ -111,12 +126,12 @@ enum _usart_interrupt_enable
  */\r
 enum _usart_flags\r
 {\r
-    kUSART_TxError = (USART_FIFOSTAT_TXERR_MASK),                 /*!< TEERR bit, sets if TX buffer is error */\r
-    kUSART_RxError = (USART_FIFOSTAT_RXERR_MASK),                 /*!< RXERR bit, sets if RX buffer is error */\r
-    kUSART_TxFifoEmptyFlag = (USART_FIFOSTAT_TXEMPTY_MASK),       /*!< TXEMPTY bit, sets if TX buffer is empty */\r
-    kUSART_TxFifoNotFullFlag = (USART_FIFOSTAT_TXNOTFULL_MASK),   /*!< TXNOTFULL bit, sets if TX buffer is not full */\r
+    kUSART_TxError            = (USART_FIFOSTAT_TXERR_MASK),      /*!< TEERR bit, sets if TX buffer is error */\r
+    kUSART_RxError            = (USART_FIFOSTAT_RXERR_MASK),      /*!< RXERR bit, sets if RX buffer is error */\r
+    kUSART_TxFifoEmptyFlag    = (USART_FIFOSTAT_TXEMPTY_MASK),    /*!< TXEMPTY bit, sets if TX buffer is empty */\r
+    kUSART_TxFifoNotFullFlag  = (USART_FIFOSTAT_TXNOTFULL_MASK),  /*!< TXNOTFULL bit, sets if TX buffer is not full */\r
     kUSART_RxFifoNotEmptyFlag = (USART_FIFOSTAT_RXNOTEMPTY_MASK), /*!< RXNOEMPTY bit, sets if RX buffer is not empty */\r
-    kUSART_RxFifoFullFlag = (USART_FIFOSTAT_RXFULL_MASK),         /*!< RXFULL bit, sets if RX buffer is full */\r
+    kUSART_RxFifoFullFlag     = (USART_FIFOSTAT_RXFULL_MASK),     /*!< RXFULL bit, sets if RX buffer is full */\r
 };\r
 \r
 /*! @brief USART configuration structure. */\r
@@ -129,8 +144,11 @@ typedef struct _usart_config
     bool loopback;                        /*!< Enable peripheral loopback */\r
     bool enableRx;                        /*!< Enable RX */\r
     bool enableTx;                        /*!< Enable TX */\r
+    bool enableContinuousSCLK;            /*!< USART continuous Clock generation enable in synchronous master mode. */\r
     usart_txfifo_watermark_t txWatermark; /*!< txFIFO watermark */\r
     usart_rxfifo_watermark_t rxWatermark; /*!< rxFIFO watermark */\r
+    usart_sync_mode_t syncMode; /*!< Transfer mode select - asynchronous, synchronous master, synchronous slave. */\r
+    usart_clock_polarity_t clockPolarity; /*!< Selects the clock polarity and sampling edge in synchronous mode. */\r
 } usart_config_t;\r
 \r
 /*! @brief USART transfer structure. */\r
@@ -167,10 +185,13 @@ struct _usart_handle
     volatile uint8_t txState; /*!< TX transfer state. */\r
     volatile uint8_t rxState; /*!< RX transfer state */\r
 \r
-    usart_txfifo_watermark_t txWatermark; /*!< txFIFO watermark */\r
-    usart_rxfifo_watermark_t rxWatermark; /*!< rxFIFO watermark */\r
+    uint8_t txWatermark; /*!< txFIFO watermark */\r
+    uint8_t rxWatermark; /*!< rxFIFO watermark */\r
 };\r
 \r
+/*! @brief Typedef for usart interrupt handler. */\r
+typedef void (*flexcomm_usart_irq_handler_t)(USART_Type *base, usart_handle_t *handle);\r
+\r
 /*******************************************************************************\r
  * API\r
  ******************************************************************************/\r
@@ -247,7 +268,7 @@ void USART_GetDefaultConfig(usart_config_t *config);
  *\r
  * @param base USART peripheral base address.\r
  * @param baudrate_Bps USART baudrate to be set.\r
- * @param srcClock_Hz USART clock source freqency in HZ.\r
+ * @param srcClock_Hz USART clock source frequency in HZ.\r
  * @retval kStatus_USART_BaudrateNotSupport Baudrate is not support in current clock source.\r
  * @retval kStatus_Success Set baudrate succeed.\r
  * @retval kStatus_InvalidArgument One or more arguments are invalid.\r
@@ -326,7 +347,7 @@ static inline void USART_ClearStatusFlags(USART_Type *base, uint32_t mask)
  */\r
 static inline void USART_EnableInterrupts(USART_Type *base, uint32_t mask)\r
 {\r
-    base->FIFOINTENSET = mask & 0xF;\r
+    base->FIFOINTENSET = mask & 0xFUL;\r
 }\r
 \r
 /*!\r
@@ -344,7 +365,7 @@ static inline void USART_EnableInterrupts(USART_Type *base, uint32_t mask)
  */\r
 static inline void USART_DisableInterrupts(USART_Type *base, uint32_t mask)\r
 {\r
-    base->FIFOINTENCLR = mask & 0xF;\r
+    base->FIFOINTENCLR = mask & 0xFUL;\r
 }\r
 \r
 /*!\r
@@ -360,8 +381,8 @@ static inline uint32_t USART_GetEnabledInterrupts(USART_Type *base)
 }\r
 \r
 /*!\r
-* @brief Enable DMA for Tx\r
-*/\r
+ * @brief Enable DMA for Tx\r
+ */\r
 static inline void USART_EnableTxDMA(USART_Type *base, bool enable)\r
 {\r
     if (enable)\r
@@ -375,8 +396,8 @@ static inline void USART_EnableTxDMA(USART_Type *base, bool enable)
 }\r
 \r
 /*!\r
-* @brief Enable DMA for Rx\r
-*/\r
+ * @brief Enable DMA for Rx\r
+ */\r
 static inline void USART_EnableRxDMA(USART_Type *base, bool enable)\r
 {\r
     if (enable)\r
@@ -408,6 +429,46 @@ static inline void USART_EnableCTS(USART_Type *base, bool enable)
     }\r
 }\r
 \r
+/*!\r
+ * @brief Continuous Clock generation.\r
+ * By default, SCLK is only output while data is being transmitted in synchronous mode.\r
+ * Enable this funciton, SCLK will run continuously in synchronous mode, allowing\r
+ * characters to be received on Un_RxD independently from transmission on Un_TXD).\r
+ *\r
+ * @param base    USART peripheral base address.\r
+ * @param enable  Enable Continuous Clock generation mode or not, true for enable and false for disable.\r
+ */\r
+static inline void USART_EnableContinuousSCLK(USART_Type *base, bool enable)\r
+{\r
+    if (enable)\r
+    {\r
+        base->CTL |= USART_CTL_CC_MASK;\r
+    }\r
+    else\r
+    {\r
+        base->CTL &= ~USART_CTL_CC_MASK;\r
+    }\r
+}\r
+\r
+/*!\r
+ * @brief Enable Continuous Clock generation bit auto clear.\r
+ * While enable this cuntion, the Continuous Clock bit is automatically cleared when a complete\r
+ * character has been received. This bit is cleared at the same time.\r
+ *\r
+ * @param base    USART peripheral base address.\r
+ * @param enable  Enable auto clear or not, true for enable and false for disable.\r
+ */\r
+static inline void USART_EnableAutoClearSCLK(USART_Type *base, bool enable)\r
+{\r
+    if (enable)\r
+    {\r
+        base->CTL |= USART_CTL_CLRCCONRX_MASK;\r
+    }\r
+    else\r
+    {\r
+        base->CTL &= ~USART_CTL_CLRCCONRX_MASK;\r
+    }\r
+}\r
 /* @} */\r
 \r
 /*!\r
@@ -440,7 +501,7 @@ static inline void USART_WriteByte(USART_Type *base, uint8_t data)
  */\r
 static inline uint8_t USART_ReadByte(USART_Type *base)\r
 {\r
-    return base->FIFORD;\r
+    return (uint8_t)base->FIFORD;\r
 }\r
 \r
 /*!\r
index d44b4e5f93869de7c8fc6eedfa5ed34f88f6c8a3..2cb80203d958ca52396638006f0dbf0e6856714f 100644 (file)
Binary files a/FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/libs/libpower_hardabi.a and b/FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/libs/libpower_hardabi.a differ
index 0001b7cf3990a30263600e870ba3e6c318bda35d..dfd3c90881b51cb0033a7b0b495dde75b1b673c9 100644 (file)
Binary files a/FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/libs/libpower_softabi.a and b/FreeRTOS/Demo/CORTEX_MPU_M33F_NXP_LPC55S69_MCUXpresso/NXP_Code/libs/libpower_softabi.a differ
index a29f2f691fd8ca7a36a4fc420ef23b34e996e11c..be472915782f3dd7997addef9ca484818908216e 100644 (file)
@@ -4,7 +4,7 @@
 //                  to hang application when debugger not connected.
 //
 // ****************************************************************************
-// Copyright 2017-2018 NXP
+// Copyright 2017-2020 NXP
 // All rights reserved.
 //
 // Software that is described herein is for illustrative purposes only
index a52514f4fd9a74570d20cc8946ec3956f8bb3b3e..a5f0f4aa42a60405459c907934711a11e80c86c4 100644 (file)
@@ -1,97 +1,56 @@
 //*****************************************************************************\r
 // boot_multicore_slave.c\r
 //\r
-// Provides functions to boot slave core in LPC55xx multicore system\r
+// Provides simple functions to boot slave core in LPC55xx multicore system\r
 //\r
-// Version : 190215\r
+// Version : 181106\r
 //\r
 //*****************************************************************************\r
 //\r
-// Copyright(C) NXP Semiconductors, 2019\r
+// Copyright 2016-2019 NXP\r
 // All rights reserved.\r
 //\r
-// Software that is described herein is for illustrative purposes only\r
-// which provides customers with programming information regarding the\r
-// LPC products.  This software is supplied "AS IS" without any warranties of\r
-// any kind, and NXP Semiconductors and its licensor disclaim any and\r
-// all warranties, express or implied, including all implied warranties of\r
-// merchantability, fitness for a particular purpose and non-infringement of\r
-// intellectual property rights.  NXP Semiconductors assumes no responsibility\r
-// or liability for the use of the software, conveys no license or rights under any\r
-// patent, copyright, mask work right, or any other intellectual property rights in\r
-// or to any products. NXP Semiconductors reserves the right to make changes\r
-// in the software without notification. NXP Semiconductors also makes no\r
-// representation or warranty that such application will be suitable for the\r
-// specified use without further testing or modification.\r
-//\r
-// Permission to use, copy, modify, and distribute this software and its\r
-// documentation is hereby granted, under NXP Semiconductors' and its\r
-// licensor's relevant copyrights in the software, without fee, provided that it\r
-// is used in conjunction with NXP Semiconductors microcontrollers.  This\r
-// copyright, permission, and disclaimer notice must appear in all copies of\r
-// this code.\r
+// SPDX-License-Identifier: BSD-3-Clause\r
 //*****************************************************************************\r
 \r
-#if defined (__MULTICORE_MASTER)\r
+#if defined(__MULTICORE_MASTER)\r
 \r
 #include <stdint.h>\r
 \r
-// ==================================================================\r
-// Define registers related to multicore CPU Control and setup\r
-// ==================================================================\r
-#define SYSCON_BASE                              ((uint32_t) 0x50000000)\r
-#define CPUCTRL                   (((volatile uint32_t *) (SYSCON_BASE + 0x800)))\r
-#define CPBOOT                                   (((volatile uint32_t *) (SYSCON_BASE + 0x804)))\r
-#define CPSTACK                                          (((volatile uint32_t *) (SYSCON_BASE + 0x808)))\r
-#define CPSTAT                                   (((volatile uint32_t *) (SYSCON_BASE + 0x80C)))\r
-#define CPUCTRL_KEY               ((uint32_t)(0x0000C0C4 << 16))\r
-#define CORE1_CLK_ENA             (1<<3)\r
-#define CORE1_RESET_ENA           (1<<5)\r
+//#define SYSCON_BASE       ((uint32_t) 0x40000000)\r
+#define SYSCON_BASE ((uint32_t)0x50000000)\r
 \r
+#define CPBOOT (((volatile uint32_t *)(SYSCON_BASE + 0x804)))\r
+#define CPUCTRL (((volatile uint32_t *)(SYSCON_BASE + 0x800)))\r
+#define CPUCFG (((volatile uint32_t *)(SYSCON_BASE + 0xFD4)))\r
 \r
-// ==================================================================\r
-// Function to boot the slave (core 1)\r
-// ==================================================================\r
-void slave_core1_boot(uint32_t *coentry, uint32_t *costackptr) {\r
+#define CPUCTRL_KEY ((uint32_t)(0x0000C0C4 << 16))\r
+#define CORE1_CLK_ENA (1 << 3)\r
+#define CORE1_RESET_ENA (1 << 5)\r
+#define CORE1_ENABLE (1 << 2)\r
 \r
-       volatile uint32_t *u32REG, u32Val;\r
+extern uint8_t __core_m33slave_START__;\r
 \r
-    // Load the slave's stack pointer value\r
-       *CPSTACK = (uint32_t) costackptr;\r
-       // Load address of the slave code in memory (for slave's VTOR)\r
-       *CPBOOT =  (uint32_t) coentry;\r
+void boot_multicore_slave(void)\r
+{\r
+    volatile uint32_t *u32REG, u32Val;\r
 \r
-       // Read CPU control register and update to start slave execution\r
-    u32REG = (uint32_t *) CPUCTRL;\r
-    u32Val = *u32REG;\r
-    // Enable slave clock and reset\r
-    u32Val |= (CPUCTRL_KEY | ((CORE1_CLK_ENA | CORE1_RESET_ENA) & 0x7F));\r
-    *u32REG = u32Val;\r
-    // Clear slave reset\r
-    u32Val &= ~CORE1_RESET_ENA;\r
-    *u32REG = u32Val;\r
-    // Slave is now executing\r
-}\r
-\r
-// ==================================================================\r
-// Address of slave code in memory - provided by linker script\r
-extern uint8_t __core_m33slave_START__;\r
-// ==================================================================\r
+    unsigned int *slavevectortable_ptr = (unsigned int *)&__core_m33slave_START__;\r
 \r
-// ==================================================================\r
-// Top level function to boot the slave core\r
-// ==================================================================\r
-void boot_multicore_slave(void) {\r
+    // Enable CPU1 in SYSCON->CPUCFG\r
+    *CPUCFG |= CORE1_ENABLE;\r
 \r
-       // Get the address of the slave code in memory\r
-       uint32_t *slavevectortable_ptr = (uint32_t *)&__core_m33slave_START__;\r
+    // Set CPU1 boot address in SYSCON->CPBoot\r
+    *CPBOOT = (uint32_t)slavevectortable_ptr;\r
 \r
-    // Get initial address for slave's stack pointer\r
-    volatile  unsigned int spaddr;\r
-    spaddr = *slavevectortable_ptr;\r
+    // Read SYSCON->CPUCTRL and set key value in bits 31:16\r
+    u32REG = (uint32_t *)CPUCTRL;\r
+    u32Val = *u32REG | CPUCTRL_KEY;\r
 \r
-    // Boot the slave - passing address of code and stack pointer\r
-    slave_core1_boot(slavevectortable_ptr, (uint32_t *)spaddr);\r
+    // Enable slave clock and reset in SYSCON->CPUCTRL\r
+    *u32REG = u32Val | CORE1_CLK_ENA | CORE1_RESET_ENA;\r
 \r
+    // Clear slave reset in SYSCON->CPUCTRL\r
+    *u32REG = (u32Val | CORE1_CLK_ENA) & (~CORE1_RESET_ENA);\r
 }\r
-#endif //defined (__MULTICORE_MASTER)\r
+#endif // defined (__MULTICORE_MASTER)\r
index da9667850c877adc0a683b25b175d1f41dda03bb..31ac3e386fba8536e81d4f631193f518d0416c3d 100644 (file)
@@ -4,37 +4,17 @@
 // Header for functions used for booting of slave core in multicore system\r
 //*****************************************************************************\r
 //\r
-// Copyright(C) NXP Semiconductors, 2019\r
+// Copyright 2016-2019 NXP\r
 // All rights reserved.\r
 //\r
-// Software that is described herein is for illustrative purposes only\r
-// which provides customers with programming information regarding the\r
-// LPC products.  This software is supplied "AS IS" without any warranties of\r
-// any kind, and NXP Semiconductors and its licensor disclaim any and\r
-// all warranties, express or implied, including all implied warranties of\r
-// merchantability, fitness for a particular purpose and non-infringement of\r
-// intellectual property rights.  NXP Semiconductors assumes no responsibility\r
-// or liability for the use of the software, conveys no license or rights under any\r
-// patent, copyright, mask work right, or any other intellectual property rights in\r
-// or to any products. NXP Semiconductors reserves the right to make changes\r
-// in the software without notification. NXP Semiconductors also makes no\r
-// representation or warranty that such application will be suitable for the\r
-// specified use without further testing or modification.\r
-//\r
-// Permission to use, copy, modify, and distribute this software and its\r
-// documentation is hereby granted, under NXP Semiconductors' and its\r
-// licensor's relevant copyrights in the software, without fee, provided that it\r
-// is used in conjunction with NXP Semiconductors microcontrollers.  This\r
-// copyright, permission, and disclaimer notice must appear in all copies of\r
-// this code.\r
+// SPDX-License-Identifier: BSD-3-Clause\r
 //*****************************************************************************\r
 \r
 #ifndef BOOT_MULTICORE_SLAVE_H_\r
 #define BOOT_MULTICORE_SLAVE_H_\r
 \r
 #ifdef __cplusplus\r
-extern "C"\r
-{\r
+extern "C" {\r
 #endif\r
 \r
 void boot_multicore_slave(void);\r
index cf8bd9561b0ef37736f31370e4dd6c6851ca8668..4fc7d5722d0583ce4cfb944aa0b53b0b463ec856 100644 (file)
@@ -1,7 +1,7 @@
 //*****************************************************************************\r
 // LPC55S69_cm33_core0 startup code for use with MCUXpresso IDE\r
 //\r
-// Version : 220119\r
+// Version : 230919\r
 //*****************************************************************************\r
 //\r
 // Copyright 2016-2019 NXP\r
@@ -66,6 +66,7 @@ WEAK void HardFault_Handler(void);
 WEAK void MemManage_Handler(void);\r
 WEAK void BusFault_Handler(void);\r
 WEAK void UsageFault_Handler(void);\r
+WEAK void SecureFault_Handler(void);\r
 WEAK void SVC_Handler(void);\r
 WEAK void DebugMon_Handler(void);\r
 WEAK void PendSV_Handler(void);\r
@@ -123,7 +124,7 @@ WEAK void SDIO_IRQHandler(void);
 WEAK void Reserved59_IRQHandler(void);\r
 WEAK void Reserved60_IRQHandler(void);\r
 WEAK void Reserved61_IRQHandler(void);\r
-WEAK void USB1_UTMI_IRQHandler(void);\r
+WEAK void USB1_PHY_IRQHandler(void);\r
 WEAK void USB1_IRQHandler(void);\r
 WEAK void USB1_NEEDCLK_IRQHandler(void);\r
 WEAK void SEC_HYPERVISOR_CALL_IRQHandler(void);\r
@@ -136,7 +137,7 @@ WEAK void CASER_IRQHandler(void);
 WEAK void PUF_IRQHandler(void);\r
 WEAK void PQ_IRQHandler(void);\r
 WEAK void DMA1_IRQHandler(void);\r
-WEAK void LSPI_HS_IRQHandler(void);\r
+WEAK void FLEXCOMM8_IRQHandler(void);\r
 \r
 //*****************************************************************************\r
 // Forward declaration of the driver IRQ handlers. These are aliased\r
@@ -190,7 +191,7 @@ void SDIO_DriverIRQHandler(void) ALIAS(IntDefaultHandler);
 void Reserved59_DriverIRQHandler(void) ALIAS(IntDefaultHandler);\r
 void Reserved60_DriverIRQHandler(void) ALIAS(IntDefaultHandler);\r
 void Reserved61_DriverIRQHandler(void) ALIAS(IntDefaultHandler);\r
-void USB1_UTMI_DriverIRQHandler(void) ALIAS(IntDefaultHandler);\r
+void USB1_PHY_DriverIRQHandler(void) ALIAS(IntDefaultHandler);\r
 void USB1_DriverIRQHandler(void) ALIAS(IntDefaultHandler);\r
 void USB1_NEEDCLK_DriverIRQHandler(void) ALIAS(IntDefaultHandler);\r
 void SEC_HYPERVISOR_CALL_DriverIRQHandler(void) ALIAS(IntDefaultHandler);\r
@@ -203,7 +204,7 @@ void CASER_DriverIRQHandler(void) ALIAS(IntDefaultHandler);
 void PUF_DriverIRQHandler(void) ALIAS(IntDefaultHandler);\r
 void PQ_DriverIRQHandler(void) ALIAS(IntDefaultHandler);\r
 void DMA1_DriverIRQHandler(void) ALIAS(IntDefaultHandler);\r
-void LSPI_HS_DriverIRQHandler(void) ALIAS(IntDefaultHandler);\r
+void FLEXCOMM8_DriverIRQHandler(void) ALIAS(IntDefaultHandler);\r
 \r
 //*****************************************************************************\r
 // The entry point for the application.\r
@@ -246,7 +247,7 @@ void (* const g_pfnVectors[])(void) = {
     MemManage_Handler,                 // The MPU fault handler\r
     BusFault_Handler,                  // The bus fault handler\r
     UsageFault_Handler,                // The usage fault handler\r
-    __valid_user_code_checksum,        // LPC MCU checksum\r
+    SecureFault_Handler,               // The secure fault handler\r
     0,                                 // ECRP\r
     0,                                 // Reserved\r
     0,                                 // Reserved\r
@@ -303,7 +304,7 @@ void (* const g_pfnVectors[])(void) = {
     Reserved59_IRQHandler,           // 59: Reserved interrupt\r
     Reserved60_IRQHandler,           // 60: Reserved interrupt\r
     Reserved61_IRQHandler,           // 61: Reserved interrupt\r
-    USB1_UTMI_IRQHandler,            // 62: USB1_UTMI\r
+    USB1_PHY_IRQHandler,             // 62: USB1_PHY\r
     USB1_IRQHandler,                 // 63: USB1 interrupt\r
     USB1_NEEDCLK_IRQHandler,         // 64: USB1 activity\r
     SEC_HYPERVISOR_CALL_IRQHandler,  // 65: SEC_HYPERVISOR_CALL interrupt\r
@@ -316,7 +317,7 @@ void (* const g_pfnVectors[])(void) = {
     PUF_IRQHandler,                  // 72: PUF interrupt\r
     PQ_IRQHandler,                   // 73: PQ interrupt\r
     DMA1_IRQHandler,                 // 74: DMA1 interrupt\r
-    LSPI_HS_IRQHandler,              // 75: Flexcomm Interface 8 (SPI, , FLEXCOMM)\r
+    FLEXCOMM8_IRQHandler,            // 75: Flexcomm Interface 8 (SPI, , FLEXCOMM)\r
 \r
 }; /* End of g_pfnVectors */\r
 \r
@@ -366,6 +367,8 @@ void ResetISR(void) {
     // Disable interrupts\r
     __asm volatile ("cpsid i");\r
 \r
+\r
+\r
 #if defined (__USE_CMSIS)\r
 // If __USE_CMSIS defined, then call CMSIS SystemInit code\r
     SystemInit();\r
@@ -460,6 +463,10 @@ WEAK_AV void UsageFault_Handler(void)
 { while(1) {}\r
 }\r
 \r
+WEAK_AV void SecureFault_Handler(void)\r
+{ while(1) {}\r
+}\r
+\r
 WEAK_AV void SVC_Handler(void)\r
 { while(1) {}\r
 }\r
@@ -674,8 +681,8 @@ WEAK void Reserved61_IRQHandler(void)
 {   Reserved61_DriverIRQHandler();\r
 }\r
 \r
-WEAK void USB1_UTMI_IRQHandler(void)\r
-{   USB1_UTMI_DriverIRQHandler();\r
+WEAK void USB1_PHY_IRQHandler(void)\r
+{   USB1_PHY_DriverIRQHandler();\r
 }\r
 \r
 WEAK void USB1_IRQHandler(void)\r
@@ -726,8 +733,8 @@ WEAK void DMA1_IRQHandler(void)
 {   DMA1_DriverIRQHandler();\r
 }\r
 \r
-WEAK void LSPI_HS_IRQHandler(void)\r
-{   LSPI_HS_DriverIRQHandler();\r
+WEAK void FLEXCOMM8_IRQHandler(void)\r
+{   FLEXCOMM8_DriverIRQHandler();\r
 }\r
 \r
 //*****************************************************************************\r
index 275960b562ca370687632b97c15249e4b766b3f9..db65df03010484a92fa5443cf520981efc33d014 100644 (file)
@@ -1,11 +1,11 @@
 /*\r
-* Copyright (c) 2015-2016, Freescale Semiconductor, Inc.\r
-* Copyright 2016-2017 NXP\r
-* All rights reserved.\r
-*\r
-*\r
-* SPDX-License-Identifier: BSD-3-Clause\r
-*/\r
+ * Copyright (c) 2015-2016, Freescale Semiconductor, Inc.\r
+ * Copyright 2016-2017 NXP\r
+ * All rights reserved.\r
+ *\r
+ *\r
+ * SPDX-License-Identifier: BSD-3-Clause\r
+ */\r
 \r
 #include "fsl_common.h"\r
 #include "fsl_debug_console.h"\r
@@ -20,7 +20,17 @@ void __aeabi_assert(const char *failedExpr, const char *file, int line)
         __BKPT(0);\r
     }\r
 }\r
-#elif(defined(__GNUC__))\r
+#elif (defined(__GNUC__))\r
+#if defined(__REDLIB__)\r
+void __assertion_failed(char *failedExpr)\r
+{\r
+    PRINTF("ASSERT ERROR \" %s \n", failedExpr);\r
+    for (;;)\r
+    {\r
+        __BKPT(0);\r
+    }\r
+}\r
+#else\r
 void __assert_func(const char *file, int line, const char *func, const char *failedExpr)\r
 {\r
     PRINTF("ASSERT ERROR \" %s \": file \"%s\" Line \"%d\" function name \"%s\" \n", failedExpr, file, line, func);\r
@@ -29,5 +39,6 @@ void __assert_func(const char *file, int line, const char *func, const char *fai
         __BKPT(0);\r
     }\r
 }\r
+#endif /* defined(__REDLIB__) */\r
 #endif /* (defined(__CC_ARM) || (defined(__ICCARM__)) || (defined(__ARMCC_VERSION)) */\r
 #endif /* NDEBUG */\r
index a79e7af3468ba262869d9a448955be19619d1329..76aee9c2af9b87586875c049cc16cedd1da5ce68 100644 (file)
@@ -30,7 +30,7 @@
  *  of this software\r
 \r
  * Copyright (c) 2015, Freescale Semiconductor, Inc.\r
- * Copyright 2016-2018 NXP\r
+ * Copyright 2016-2019 NXP\r
  *\r
  * SPDX-License-Identifier: BSD-3-Clause\r
  */\r
@@ -43,8 +43,8 @@
 \r
 #ifdef FSL_RTOS_FREE_RTOS\r
 #include "FreeRTOS.h"\r
-#include "task.h"\r
 #include "semphr.h"\r
+#include "task.h"\r
 #endif\r
 \r
 #include "fsl_debug_console_conf.h"\r
 #endif\r
 #endif\r
 \r
+#if SDK_DEBUGCONSOLE\r
+#define DEBUG_CONSOLE_FUNCTION_PREFIX\r
+#else\r
+#define DEBUG_CONSOLE_FUNCTION_PREFIX static\r
+#endif\r
+\r
 /*! @brief character backspace ASCII value */\r
-#define DEBUG_CONSOLE_BACKSPACE 127\r
+#define DEBUG_CONSOLE_BACKSPACE 127U\r
 \r
 /* lock definition */\r
 #if (DEBUG_CONSOLE_SYNCHRONIZATION_MODE == DEBUG_CONSOLE_SYNCHRONIZATION_FREERTOS)\r
 \r
 static SemaphoreHandle_t s_debugConsoleReadSemaphore;\r
+#if (defined(DEBUG_CONSOLE_RX_ENABLE) && (DEBUG_CONSOLE_RX_ENABLE > 0U))\r
 static SemaphoreHandle_t s_debugConsoleReadWaitSemaphore;\r
+#endif\r
 \r
-#elif(DEBUG_CONSOLE_SYNCHRONIZATION_MODE == DDEBUG_CONSOLE_SYNCHRONIZATION_BM)\r
+#elif (DEBUG_CONSOLE_SYNCHRONIZATION_MODE == DEBUG_CONSOLE_SYNCHRONIZATION_BM)\r
 \r
+#if (defined(DEBUG_CONSOLE_RX_ENABLE) && (DEBUG_CONSOLE_RX_ENABLE > 0U))\r
 static volatile uint8_t s_debugConsoleReadWaitSemaphore;\r
+#endif\r
 \r
 #else\r
 \r
@@ -93,14 +103,23 @@ static volatile uint8_t s_debugConsoleReadWaitSemaphore;
 #if (DEBUG_CONSOLE_SYNCHRONIZATION_MODE == DEBUG_CONSOLE_SYNCHRONIZATION_FREERTOS)\r
 \r
 /* mutex semaphore */\r
-#define DEBUG_CONSOLE_CREATE_MUTEX_SEMAPHORE(mutex) (mutex = xSemaphoreCreateMutex())\r
-\r
 /* clang-format off */\r
+#define DEBUG_CONSOLE_CREATE_MUTEX_SEMAPHORE(mutex) ((mutex) = xSemaphoreCreateMutex())\r
+#define DEBUG_CONSOLE_DESTROY_MUTEX_SEMAPHORE(mutex)   \\r
+        do                                             \\r
+        {                                              \\r
+            if(NULL != mutex)                          \\r
+            {                                          \\r
+                vSemaphoreDelete(mutex);               \\r
+                mutex = NULL;                          \\r
+            }                                          \\r
+        } while(0)\r
+\r
 #define DEBUG_CONSOLE_GIVE_MUTEX_SEMAPHORE(mutex) \\r
 {                                                 \\r
         if (IS_RUNNING_IN_ISR() == 0U)            \\r
         {                                         \\r
-            xSemaphoreGive(mutex);                \\r
+            (void)xSemaphoreGive(mutex);          \\r
         }                                         \\r
 }\r
 \r
@@ -108,7 +127,7 @@ static volatile uint8_t s_debugConsoleReadWaitSemaphore;
 {                                                          \\r
         if (IS_RUNNING_IN_ISR() == 0U)                     \\r
         {                                                  \\r
-            xSemaphoreTake(mutex, portMAX_DELAY);          \\r
+            (void)xSemaphoreTake(mutex, portMAX_DELAY);    \\r
         }                                                  \\r
 }\r
 \r
@@ -123,21 +142,31 @@ static volatile uint8_t s_debugConsoleReadWaitSemaphore;
             result = 1U;                                              \\r
         }                                                             \\r
 }\r
-/* clang-format on */\r
 \r
 /* Binary semaphore */\r
-#define DEBUG_CONSOLE_CREATE_BINARY_SEMAPHORE(binary) (binary = xSemaphoreCreateBinary())\r
-#define DEBUG_CONSOLE_TAKE_BINARY_SEMAPHORE_BLOCKING(binary) (xSemaphoreTake(binary, portMAX_DELAY))\r
-#define DEBUG_CONSOLE_GIVE_BINARY_SEMAPHORE_FROM_ISR(binary) (xSemaphoreGiveFromISR(binary, NULL))\r
-\r
-#elif(DEBUG_CONSOLE_SYNCHRONIZATION_BM == DEBUG_CONSOLE_SYNCHRONIZATION_MODE)\r
+#define DEBUG_CONSOLE_CREATE_BINARY_SEMAPHORE(binary) ((binary) = xSemaphoreCreateBinary())\r
+#define DEBUG_CONSOLE_DESTROY_BINARY_SEMAPHORE(binary) \\r
+        do                                             \\r
+        {                                              \\r
+            if(NULL != binary)                         \\r
+            {                                          \\r
+                vSemaphoreDelete(binary);              \\r
+                binary = NULL;                         \\r
+            }                                          \\r
+        } while(0)\r
+#define DEBUG_CONSOLE_TAKE_BINARY_SEMAPHORE_BLOCKING(binary) ((void)xSemaphoreTake(binary, portMAX_DELAY))\r
+#define DEBUG_CONSOLE_GIVE_BINARY_SEMAPHORE_FROM_ISR(binary) ((void)xSemaphoreGiveFromISR(binary, NULL))\r
+\r
+#elif (DEBUG_CONSOLE_SYNCHRONIZATION_BM == DEBUG_CONSOLE_SYNCHRONIZATION_MODE)\r
 \r
 #define DEBUG_CONSOLE_CREATE_MUTEX_SEMAPHORE(mutex)\r
+#define DEBUG_CONSOLE_DESTROY_MUTEX_SEMAPHORE(mutex)\r
 #define DEBUG_CONSOLE_TAKE_MUTEX_SEMAPHORE_BLOCKING(mutex)\r
 #define DEBUG_CONSOLE_GIVE_MUTEX_SEMAPHORE(mutex)\r
 #define DEBUG_CONSOLE_TAKE_MUTEX_SEMAPHORE_NONBLOCKING(mutex, result) (result = 1U)\r
 \r
 #define DEBUG_CONSOLE_CREATE_BINARY_SEMAPHORE(binary)\r
+#define DEBUG_CONSOLE_DESTROY_BINARY_SEMAPHORE(binary)\r
 #ifdef DEBUG_CONSOLE_TRANSFER_NON_BLOCKING\r
 #define DEBUG_CONSOLE_TAKE_BINARY_SEMAPHORE_BLOCKING(binary) \\r
     {                                                        \\r
@@ -151,11 +180,12 @@ static volatile uint8_t s_debugConsoleReadWaitSemaphore;
 #define DEBUG_CONSOLE_TAKE_BINARY_SEMAPHORE_BLOCKING(binary)\r
 #define DEBUG_CONSOLE_GIVE_BINARY_SEMAPHORE_FROM_ISR(binary)\r
 #endif /* DEBUG_CONSOLE_TRANSFER_NON_BLOCKING */\r
+/* clang-format on */\r
 \r
 /* add other implementation here\r
-*such as :\r
-* #elif(DEBUG_CONSOLE_SYNCHRONIZATION_MODE == DDEBUG_CONSOLE_SYNCHRONIZATION_xxx)\r
-*/\r
+ *such as :\r
+ * #elif(DEBUG_CONSOLE_SYNCHRONIZATION_MODE == DDEBUG_CONSOLE_SYNCHRONIZATION_xxx)\r
+ */\r
 \r
 #else\r
 \r
@@ -191,7 +221,11 @@ typedef struct _debug_console_state_struct
  ******************************************************************************/\r
 \r
 /*! @brief Debug console state information. */\r
+#if (defined(DATA_SECTION_IS_CACHEABLE) && (DATA_SECTION_IS_CACHEABLE > 0))\r
+AT_NONCACHEABLE_SECTION(static debug_console_state_struct_t s_debugConsoleState);\r
+#else\r
 static debug_console_state_struct_t s_debugConsoleState;\r
+#endif\r
 serial_handle_t g_serialHandle; /*!< serial manager handle */\r
 \r
 /*******************************************************************************\r
@@ -208,11 +242,20 @@ serial_handle_t g_serialHandle; /*!< serial manager handle */
  *\r
  */\r
 #if SDK_DEBUGCONSOLE\r
-static void DbgConsole_PrintCallback(char *buf, int32_t *indicator, char val, int len);\r
+static void DbgConsole_PrintCallback(char *buf, int32_t *indicator, char dbgVal, int len);\r
 #endif\r
 \r
+status_t DbgConsole_ReadOneCharacter(uint8_t *ch);\r
 int DbgConsole_SendData(uint8_t *ch, size_t size);\r
-\r
+int DbgConsole_SendDataReliable(uint8_t *ch, size_t size);\r
+int DbgConsole_ReadLine(uint8_t *buf, size_t size);\r
+int DbgConsole_ReadCharacter(uint8_t *ch);\r
+\r
+#if ((SDK_DEBUGCONSOLE > 0U) ||                                                   \\r
+     ((SDK_DEBUGCONSOLE == 0U) && defined(DEBUG_CONSOLE_TRANSFER_NON_BLOCKING) && \\r
+      (defined(DEBUG_CONSOLE_TX_RELIABLE_ENABLE) && (DEBUG_CONSOLE_TX_RELIABLE_ENABLE > 0U))))\r
+DEBUG_CONSOLE_FUNCTION_PREFIX status_t DbgConsole_Flush(void);\r
+#endif\r
 /*******************************************************************************\r
  * Code\r
  ******************************************************************************/\r
@@ -252,13 +295,19 @@ static void DbgConsole_SerialManagerTxCallback(void *callbackParam,
                 sendDataLength = ioState->writeRingBuffer.ringBufferSize - ioState->writeRingBuffer.ringTail;\r
             }\r
 \r
-            SerialManager_WriteNonBlocking(((serial_write_handle_t)&ioState->serialWriteHandleBuffer[0]),\r
-                                           &ioState->writeRingBuffer.ringBuffer[ioState->writeRingBuffer.ringTail],\r
-                                           sendDataLength);\r
+            (void)SerialManager_WriteNonBlocking(\r
+                ((serial_write_handle_t)&ioState->serialWriteHandleBuffer[0]),\r
+                &ioState->writeRingBuffer.ringBuffer[ioState->writeRingBuffer.ringTail], sendDataLength);\r
         }\r
     }\r
+    else if (kStatus_SerialManager_Canceled == status)\r
+    {\r
+        ioState->writeRingBuffer.ringTail = 0U;\r
+        ioState->writeRingBuffer.ringHead = 0U;\r
+    }\r
     else\r
     {\r
+        /*MISRA rule 16.4*/\r
     }\r
 }\r
 \r
@@ -283,6 +332,7 @@ static void DbgConsole_SerialManagerRxCallback(void *callbackParam,
     }\r
     else\r
     {\r
+        /*MISRA rule 16.4*/\r
     }\r
 }\r
 #endif\r
@@ -294,31 +344,32 @@ status_t DbgConsole_ReadOneCharacter(uint8_t *ch)
 #if (defined(DEBUG_CONSOLE_RX_ENABLE) && (DEBUG_CONSOLE_RX_ENABLE > 0U))\r
 \r
 #if defined(DEBUG_CONSOLE_TRANSFER_NON_BLOCKING) && \\r
-    (DEBUG_CONSOLE_SYNCHRONIZATION_MODE == DDEBUG_CONSOLE_SYNCHRONIZATION_BM) && defined(OSA_USED)\r
+    (DEBUG_CONSOLE_SYNCHRONIZATION_MODE == DEBUG_CONSOLE_SYNCHRONIZATION_BM) && defined(OSA_USED)\r
     return kStatus_Fail;\r
 #else\r
-    status_t status = kStatus_SerialManager_Error;\r
+    status_t status = (status_t)kStatus_SerialManager_Error;\r
 \r
 /* recieve one char every time */\r
 #if defined(DEBUG_CONSOLE_TRANSFER_NON_BLOCKING)\r
-    status =\r
-        SerialManager_ReadNonBlocking(((serial_read_handle_t)&s_debugConsoleState.serialReadHandleBuffer[0]), ch, 1);\r
+    status = (status_t)SerialManager_ReadNonBlocking(\r
+        ((serial_read_handle_t)&s_debugConsoleState.serialReadHandleBuffer[0]), ch, 1);\r
 #else\r
-    status = SerialManager_ReadBlocking(((serial_read_handle_t)&s_debugConsoleState.serialReadHandleBuffer[0]), ch, 1);\r
+    status = (status_t)SerialManager_ReadBlocking(\r
+        ((serial_read_handle_t)&s_debugConsoleState.serialReadHandleBuffer[0]), ch, 1);\r
 #endif\r
-    if (kStatus_SerialManager_Success != status)\r
+    if ((status_t)kStatus_SerialManager_Success != status)\r
     {\r
-        return kStatus_Fail;\r
+        return (status_t)kStatus_Fail;\r
     }\r
     /* wait s_debugConsoleReadWaitSemaphore from RX callback */\r
     DEBUG_CONSOLE_TAKE_BINARY_SEMAPHORE_BLOCKING(s_debugConsoleReadWaitSemaphore);\r
 \r
-    return kStatus_Success;\r
+    return (status_t)kStatus_Success;\r
 #endif\r
 \r
 #else\r
 \r
-    return kStatus_Fail;\r
+    return (status_t)kStatus_Fail;\r
 \r
 #endif\r
 }\r
@@ -327,16 +378,16 @@ status_t DbgConsole_ReadOneCharacter(uint8_t *ch)
 static status_t DbgConsole_EchoCharacter(uint8_t *ch, bool isGetChar, int *index)\r
 {\r
     /* Due to scanf take \n and \r as end of string,should not echo */\r
-    if (((*ch != '\r') && (*ch != '\n')) || (isGetChar))\r
+    if (((*ch != (uint8_t)'\r') && (*ch != (uint8_t)'\n')) || (isGetChar))\r
     {\r
         /* recieve one char every time */\r
-        if (1 != DbgConsole_SendData(ch, 1U))\r
+        if (1 != DbgConsole_SendDataReliable(ch, 1U))\r
         {\r
-            return kStatus_Fail;\r
+            return (status_t)kStatus_Fail;\r
         }\r
     }\r
 \r
-    if ((!isGetChar) && (index))\r
+    if ((!isGetChar) && (index != NULL))\r
     {\r
         if (DEBUG_CONSOLE_BACKSPACE == *ch)\r
         {\r
@@ -351,13 +402,13 @@ static status_t DbgConsole_EchoCharacter(uint8_t *ch, bool isGetChar, int *index
         }\r
     }\r
 \r
-    return kStatus_Success;\r
+    return (status_t)kStatus_Success;\r
 }\r
 #endif\r
 \r
 int DbgConsole_SendData(uint8_t *ch, size_t size)\r
 {\r
-    status_t status = kStatus_SerialManager_Error;\r
+    status_t status = (status_t)kStatus_SerialManager_Error;\r
 #if defined(DEBUG_CONSOLE_TRANSFER_NON_BLOCKING)\r
     uint32_t sendDataLength;\r
     int txBusy = 0;\r
@@ -379,13 +430,13 @@ int DbgConsole_SendData(uint8_t *ch, size_t size)
     {\r
         sendDataLength = 0U;\r
     }\r
-    sendDataLength = s_debugConsoleState.writeRingBuffer.ringBufferSize - sendDataLength;\r
-    if (sendDataLength <= size)\r
+    sendDataLength = s_debugConsoleState.writeRingBuffer.ringBufferSize - sendDataLength - 1;\r
+    if (sendDataLength < size)\r
     {\r
         EnableGlobalIRQ(regPrimask);\r
         return -1;\r
     }\r
-    for (int i = 0; i < size; i++)\r
+    for (int i = 0; i < (int)size; i++)\r
     {\r
         s_debugConsoleState.writeRingBuffer.ringBuffer[s_debugConsoleState.writeRingBuffer.ringHead++] = ch[i];\r
         if (s_debugConsoleState.writeRingBuffer.ringHead >= s_debugConsoleState.writeRingBuffer.ringBufferSize)\r
@@ -394,9 +445,9 @@ int DbgConsole_SendData(uint8_t *ch, size_t size)
         }\r
     }\r
 \r
-    status = kStatus_SerialManager_Success;\r
+    status = (status_t)kStatus_SerialManager_Success;\r
 \r
-    if (!txBusy)\r
+    if (txBusy == 0)\r
     {\r
         if (s_debugConsoleState.writeRingBuffer.ringHead > s_debugConsoleState.writeRingBuffer.ringTail)\r
         {\r
@@ -419,50 +470,143 @@ int DbgConsole_SendData(uint8_t *ch, size_t size)
     status = (status_t)SerialManager_WriteBlocking(\r
         ((serial_write_handle_t)&s_debugConsoleState.serialWriteHandleBuffer[0]), ch, size);\r
 #endif\r
-    return ((kStatus_Success == status) ? (int)size : -1);\r
+    return (((status_t)kStatus_Success == status) ? (int)size : -1);\r
+}\r
+\r
+int DbgConsole_SendDataReliable(uint8_t *ch, size_t size)\r
+{\r
+#if defined(DEBUG_CONSOLE_TRANSFER_NON_BLOCKING)\r
+#if (defined(DEBUG_CONSOLE_TX_RELIABLE_ENABLE) && (DEBUG_CONSOLE_TX_RELIABLE_ENABLE > 0U))\r
+    status_t status = kStatus_SerialManager_Error;\r
+    uint32_t sendDataLength;\r
+    uint32_t totalLength = size;\r
+    int sentLength;\r
+#endif /* DEBUG_CONSOLE_TX_RELIABLE_ENABLE */\r
+#else\r
+    status_t status = kStatus_SerialManager_Error;\r
+#endif /* DEBUG_CONSOLE_TRANSFER_NON_BLOCKING */\r
+\r
+    assert(NULL != ch);\r
+    assert(0 != size);\r
+\r
+    if (NULL == g_serialHandle)\r
+    {\r
+        return 0;\r
+    }\r
+\r
+#if defined(DEBUG_CONSOLE_TRANSFER_NON_BLOCKING)\r
+\r
+#if (defined(DEBUG_CONSOLE_TX_RELIABLE_ENABLE) && (DEBUG_CONSOLE_TX_RELIABLE_ENABLE > 0U))\r
+    do\r
+    {\r
+        uint32_t regPrimask = DisableGlobalIRQ();\r
+        if (s_debugConsoleState.writeRingBuffer.ringHead != s_debugConsoleState.writeRingBuffer.ringTail)\r
+        {\r
+            sendDataLength =\r
+                (s_debugConsoleState.writeRingBuffer.ringHead + s_debugConsoleState.writeRingBuffer.ringBufferSize -\r
+                 s_debugConsoleState.writeRingBuffer.ringTail) %\r
+                s_debugConsoleState.writeRingBuffer.ringBufferSize;\r
+        }\r
+        else\r
+        {\r
+            sendDataLength = 0U;\r
+        }\r
+        sendDataLength = s_debugConsoleState.writeRingBuffer.ringBufferSize - sendDataLength - 1U;\r
+\r
+        if (sendDataLength > 0U)\r
+        {\r
+            if (sendDataLength > totalLength)\r
+            {\r
+                sendDataLength = totalLength;\r
+            }\r
+\r
+            sentLength = DbgConsole_SendData(&ch[size - totalLength], sendDataLength);\r
+            if (sentLength > 0)\r
+            {\r
+                totalLength = totalLength - (uint32_t)sentLength;\r
+            }\r
+        }\r
+        EnableGlobalIRQ(regPrimask);\r
+\r
+        if (totalLength != 0U)\r
+        {\r
+            status = DbgConsole_Flush();\r
+            if ((status_t)kStatus_Success != status)\r
+            {\r
+                break;\r
+            }\r
+        }\r
+    } while (totalLength != 0U);\r
+    return (status_t)(uint32_t)((uint32_t)size - totalLength);\r
+#else\r
+    return DbgConsole_SendData(ch, size);\r
+#endif /* DEBUG_CONSOLE_TX_RELIABLE_ENABLE */\r
+\r
+#else\r
+    status          = (status_t)SerialManager_WriteBlocking(\r
+        ((serial_write_handle_t)&s_debugConsoleState.serialWriteHandleBuffer[0]), ch, size);\r
+    return (((status_t)kStatus_Success == status) ? (int)size : -1);\r
+#endif /* DEBUG_CONSOLE_TRANSFER_NON_BLOCKING */\r
 }\r
 \r
 int DbgConsole_ReadLine(uint8_t *buf, size_t size)\r
 {\r
-    int i;\r
+    int i = 0;\r
 \r
     assert(buf != NULL);\r
 \r
+    if (NULL == g_serialHandle)\r
+    {\r
+        return -1;\r
+    }\r
+\r
     /* take mutex lock function */\r
     DEBUG_CONSOLE_TAKE_MUTEX_SEMAPHORE_BLOCKING(s_debugConsoleReadSemaphore);\r
 \r
-    for (i = 0; i < size; i++)\r
+    do\r
     {\r
         /* recieve one char every time */\r
-        if (kStatus_Success != DbgConsole_ReadOneCharacter(&buf[i]))\r
+        if ((status_t)kStatus_Success != DbgConsole_ReadOneCharacter(&buf[i]))\r
         {\r
             /* release mutex lock function */\r
             DEBUG_CONSOLE_GIVE_MUTEX_SEMAPHORE(s_debugConsoleReadSemaphore);\r
-            return -1;\r
+            i = -1;\r
+            break;\r
         }\r
 #if DEBUG_CONSOLE_ENABLE_ECHO_FUNCTION\r
-        DbgConsole_EchoCharacter(&buf[i], false, &i);\r
+        (void)DbgConsole_EchoCharacter(&buf[i], false, &i);\r
 #endif\r
         /* analysis data */\r
-        if (('\r' == buf[i]) || ('\n' == buf[i]))\r
+        if (((uint8_t)'\r' == buf[i]) || ((uint8_t)'\n' == buf[i]))\r
         {\r
             /* End of Line. */\r
             if (0 == i)\r
             {\r
-                buf[i] = '\0';\r
-                i = -1;\r
+                buf[i] = (uint8_t)'\0';\r
+                continue;\r
             }\r
             else\r
             {\r
                 break;\r
             }\r
         }\r
+        i++;\r
+    } while (i < (int)size);\r
+\r
+    /* get char should not add '\0'*/\r
+    if (i == (int)size)\r
+    {\r
+        buf[i] = (uint8_t)'\0';\r
+    }\r
+    else\r
+    {\r
+        buf[i + 1] = (uint8_t)'\0';\r
     }\r
 \r
     /* release mutex lock function */\r
     DEBUG_CONSOLE_GIVE_MUTEX_SEMAPHORE(s_debugConsoleReadSemaphore);\r
 \r
-    return i + 1;\r
+    return i;\r
 }\r
 \r
 int DbgConsole_ReadCharacter(uint8_t *ch)\r
@@ -471,14 +615,19 @@ int DbgConsole_ReadCharacter(uint8_t *ch)
 \r
     assert(ch);\r
 \r
+    if (NULL == g_serialHandle)\r
+    {\r
+        return -1;\r
+    }\r
+\r
     /* take mutex lock function */\r
     DEBUG_CONSOLE_TAKE_MUTEX_SEMAPHORE_BLOCKING(s_debugConsoleReadSemaphore);\r
     /* read one character */\r
-    if (kStatus_Success == DbgConsole_ReadOneCharacter(ch))\r
+    if ((status_t)kStatus_Success == DbgConsole_ReadOneCharacter(ch))\r
     {\r
         ret = 1;\r
 #if DEBUG_CONSOLE_ENABLE_ECHO_FUNCTION\r
-        DbgConsole_EchoCharacter(ch, true, NULL);\r
+        (void)DbgConsole_EchoCharacter(ch, true, NULL);\r
 #endif\r
     }\r
     else\r
@@ -493,19 +642,19 @@ int DbgConsole_ReadCharacter(uint8_t *ch)
 }\r
 \r
 #if SDK_DEBUGCONSOLE\r
-static void DbgConsole_PrintCallback(char *buf, int32_t *indicator, char val, int len)\r
+static void DbgConsole_PrintCallback(char *buf, int32_t *indicator, char dbgVal, int len)\r
 {\r
     int i = 0;\r
 \r
     for (i = 0; i < len; i++)\r
     {\r
-        if ((*indicator + 1) >= DEBUG_CONSOLE_PRINTF_MAX_LOG_LEN)\r
+        if (((uint32_t)*indicator + 1UL) >= DEBUG_CONSOLE_PRINTF_MAX_LOG_LEN)\r
         {\r
-            DbgConsole_SendData((uint8_t *)buf, *indicator);\r
-            *indicator = 0U;\r
+            (void)DbgConsole_SendDataReliable((uint8_t *)buf, (uint32_t)(*indicator));\r
+            *indicator = 0;\r
         }\r
 \r
-        buf[*indicator] = val;\r
+        buf[*indicator] = dbgVal;\r
         (*indicator)++;\r
     }\r
 }\r
@@ -513,21 +662,22 @@ static void DbgConsole_PrintCallback(char *buf, int32_t *indicator, char val, in
 \r
 /*************Code for DbgConsole Init, Deinit, Printf, Scanf *******************************/\r
 \r
+#if ((SDK_DEBUGCONSOLE == DEBUGCONSOLE_REDIRECT_TO_SDK) || defined(SDK_DEBUGCONSOLE_UART))\r
 /* See fsl_debug_console.h for documentation of this function. */\r
 status_t DbgConsole_Init(uint8_t instance, uint32_t baudRate, serial_port_type_t device, uint32_t clkSrcFreq)\r
 {\r
     serial_manager_config_t serialConfig;\r
-    status_t status = kStatus_SerialManager_Error;\r
+    status_t status = (status_t)kStatus_SerialManager_Error;\r
 \r
 #if (defined(SERIAL_PORT_TYPE_UART) && (SERIAL_PORT_TYPE_UART > 0U))\r
     serial_port_uart_config_t uartConfig = {\r
-        .instance = instance,\r
-        .clockRate = clkSrcFreq,\r
-        .baudRate = baudRate,\r
-        .parityMode = kSerialManager_UartParityDisabled,\r
+        .instance     = instance,\r
+        .clockRate    = clkSrcFreq,\r
+        .baudRate     = baudRate,\r
+        .parityMode   = kSerialManager_UartParityDisabled,\r
         .stopBitCount = kSerialManager_UartOneStopBit,\r
-        .enableRx = 1,\r
-        .enableTx = 1,\r
+        .enableRx     = 1,\r
+        .enableTx     = 1,\r
     };\r
 #endif\r
 \r
@@ -539,13 +689,21 @@ status_t DbgConsole_Init(uint8_t instance, uint32_t baudRate, serial_port_type_t
 \r
 #if (defined(SERIAL_PORT_TYPE_SWO) && (SERIAL_PORT_TYPE_SWO > 0U))\r
     serial_port_swo_config_t swoConfig = {\r
-        .clockRate = clkSrcFreq, .baudRate = baudRate, .port = instance, .protocol = kSerialManager_SwoProtocolNrz,\r
+        .clockRate = clkSrcFreq,\r
+        .baudRate  = baudRate,\r
+        .port      = instance,\r
+        .protocol  = kSerialManager_SwoProtocolNrz,\r
     };\r
 #endif\r
 \r
+#if (defined(SERIAL_PORT_TYPE_USBCDC_VIRTUAL) && (SERIAL_PORT_TYPE_USBCDC_VIRTUAL > 0U))\r
+    serial_port_usb_cdc_virtual_config_t usbCdcVirtualConfig = {\r
+        .controllerIndex = (serial_port_usb_cdc_virtual_controller_index_t)instance,\r
+    };\r
+#endif\r
     serialConfig.type = device;\r
 #if defined(DEBUG_CONSOLE_TRANSFER_NON_BLOCKING)\r
-    serialConfig.ringBuffer = &s_debugConsoleState.readRingBuffer[0];\r
+    serialConfig.ringBuffer     = &s_debugConsoleState.readRingBuffer[0];\r
     serialConfig.ringBufferSize = DEBUG_CONSOLE_RECEIVE_BUFFER_LEN;\r
 #endif\r
 \r
@@ -571,6 +729,14 @@ status_t DbgConsole_Init(uint8_t instance, uint32_t baudRate, serial_port_type_t
         serialConfig.portConfig = &swoConfig;\r
 #else\r
         return status;\r
+#endif\r
+    }\r
+    else if (kSerialPort_UsbCdcVirtual == device)\r
+    {\r
+#if (defined(SERIAL_PORT_TYPE_USBCDC_VIRTUAL) && (SERIAL_PORT_TYPE_USBCDC_VIRTUAL > 0U))\r
+        serialConfig.portConfig = &usbCdcVirtualConfig;\r
+#else\r
+        return status;\r
 #endif\r
     }\r
     else\r
@@ -578,42 +744,46 @@ status_t DbgConsole_Init(uint8_t instance, uint32_t baudRate, serial_port_type_t
         return status;\r
     }\r
 \r
-    memset(&s_debugConsoleState, 0U, sizeof(s_debugConsoleState));\r
+    (void)memset(&s_debugConsoleState, 0, sizeof(s_debugConsoleState));\r
+\r
+#if defined(DEBUG_CONSOLE_TRANSFER_NON_BLOCKING)\r
+    s_debugConsoleState.writeRingBuffer.ringBufferSize = DEBUG_CONSOLE_TRANSMIT_BUFFER_LEN;\r
+#endif\r
 \r
     s_debugConsoleState.serialHandle = (serial_handle_t)&s_debugConsoleState.serialHandleBuffer[0];\r
-    g_serialHandle = s_debugConsoleState.serialHandle;\r
-    status = SerialManager_Init(s_debugConsoleState.serialHandle, &serialConfig);\r
+    status                           = (status_t)SerialManager_Init(s_debugConsoleState.serialHandle, &serialConfig);\r
 \r
     assert(kStatus_SerialManager_Success == status);\r
 \r
     DEBUG_CONSOLE_CREATE_MUTEX_SEMAPHORE(s_debugConsoleReadSemaphore);\r
+#if (defined(DEBUG_CONSOLE_RX_ENABLE) && (DEBUG_CONSOLE_RX_ENABLE > 0U))\r
     DEBUG_CONSOLE_CREATE_BINARY_SEMAPHORE(s_debugConsoleReadWaitSemaphore);\r
-\r
-#if defined(DEBUG_CONSOLE_TRANSFER_NON_BLOCKING)\r
-    s_debugConsoleState.writeRingBuffer.ringBufferSize = DEBUG_CONSOLE_TRANSMIT_BUFFER_LEN;\r
 #endif\r
 \r
     {\r
-        status = SerialManager_OpenWriteHandle(\r
+        status = (status_t)SerialManager_OpenWriteHandle(\r
             s_debugConsoleState.serialHandle, ((serial_write_handle_t)&s_debugConsoleState.serialWriteHandleBuffer[0]));\r
         assert(kStatus_SerialManager_Success == status);\r
 #if defined(DEBUG_CONSOLE_TRANSFER_NON_BLOCKING)\r
-        SerialManager_InstallTxCallback(((serial_write_handle_t)&s_debugConsoleState.serialWriteHandleBuffer[0]),\r
-                                        DbgConsole_SerialManagerTxCallback, &s_debugConsoleState);\r
+        (void)SerialManager_InstallTxCallback(((serial_write_handle_t)&s_debugConsoleState.serialWriteHandleBuffer[0]),\r
+                                              DbgConsole_SerialManagerTxCallback, &s_debugConsoleState);\r
 #endif\r
     }\r
 \r
 #if (defined(DEBUG_CONSOLE_RX_ENABLE) && (DEBUG_CONSOLE_RX_ENABLE > 0U))\r
     {\r
-        status = SerialManager_OpenReadHandle(s_debugConsoleState.serialHandle,\r
-                                              ((serial_read_handle_t)&s_debugConsoleState.serialReadHandleBuffer[0]));\r
+        status = (status_t)SerialManager_OpenReadHandle(\r
+            s_debugConsoleState.serialHandle, ((serial_read_handle_t)&s_debugConsoleState.serialReadHandleBuffer[0]));\r
         assert(kStatus_SerialManager_Success == status);\r
 #if defined(DEBUG_CONSOLE_TRANSFER_NON_BLOCKING)\r
-        SerialManager_InstallRxCallback(((serial_read_handle_t)&s_debugConsoleState.serialReadHandleBuffer[0]),\r
-                                        DbgConsole_SerialManagerRxCallback, &s_debugConsoleState);\r
+        (void)SerialManager_InstallRxCallback(((serial_read_handle_t)&s_debugConsoleState.serialReadHandleBuffer[0]),\r
+                                              DbgConsole_SerialManagerRxCallback, &s_debugConsoleState);\r
 #endif\r
     }\r
 #endif\r
+\r
+    g_serialHandle = s_debugConsoleState.serialHandle;\r
+\r
     return kStatus_Success;\r
 }\r
 \r
@@ -621,26 +791,49 @@ status_t DbgConsole_Init(uint8_t instance, uint32_t baudRate, serial_port_type_t
 status_t DbgConsole_Deinit(void)\r
 {\r
     {\r
-        SerialManager_CloseWriteHandle(((serial_write_handle_t)&s_debugConsoleState.serialWriteHandleBuffer[0]));\r
+        if (s_debugConsoleState.serialHandle != NULL)\r
+        {\r
+            (void)SerialManager_CloseWriteHandle(\r
+                ((serial_write_handle_t)&s_debugConsoleState.serialWriteHandleBuffer[0]));\r
+        }\r
     }\r
 #if (defined(DEBUG_CONSOLE_RX_ENABLE) && (DEBUG_CONSOLE_RX_ENABLE > 0U))\r
     {\r
-        SerialManager_CloseReadHandle(((serial_read_handle_t)&s_debugConsoleState.serialReadHandleBuffer[0]));\r
+        if (s_debugConsoleState.serialHandle != NULL)\r
+        {\r
+            (void)SerialManager_CloseReadHandle(((serial_read_handle_t)&s_debugConsoleState.serialReadHandleBuffer[0]));\r
+        }\r
+    }\r
+#endif\r
+    if (s_debugConsoleState.serialHandle)\r
+    {\r
+        if (kStatus_SerialManager_Success == SerialManager_Deinit(s_debugConsoleState.serialHandle))\r
+        {\r
+            s_debugConsoleState.serialHandle = NULL;\r
+            g_serialHandle                   = NULL;\r
+        }\r
     }\r
+#if (defined(DEBUG_CONSOLE_RX_ENABLE) && (DEBUG_CONSOLE_RX_ENABLE > 0U))\r
+    DEBUG_CONSOLE_DESTROY_BINARY_SEMAPHORE(s_debugConsoleReadWaitSemaphore);\r
 #endif\r
+    DEBUG_CONSOLE_DESTROY_MUTEX_SEMAPHORE(s_debugConsoleReadSemaphore);\r
 \r
-    return kStatus_Success;\r
+    return (status_t)kStatus_Success;\r
 }\r
+#endif /* ((SDK_DEBUGCONSOLE == DEBUGCONSOLE_REDIRECT_TO_SDK) || defined(SDK_DEBUGCONSOLE_UART)) */\r
 \r
-status_t DbgConsole_Flush(void)\r
+#if ((SDK_DEBUGCONSOLE > 0U) ||                                                   \\r
+     ((SDK_DEBUGCONSOLE == 0U) && defined(DEBUG_CONSOLE_TRANSFER_NON_BLOCKING) && \\r
+      (defined(DEBUG_CONSOLE_TX_RELIABLE_ENABLE) && (DEBUG_CONSOLE_TX_RELIABLE_ENABLE > 0U))))\r
+DEBUG_CONSOLE_FUNCTION_PREFIX status_t DbgConsole_Flush(void)\r
 {\r
 #if defined(DEBUG_CONSOLE_TRANSFER_NON_BLOCKING)\r
 \r
-#if (DEBUG_CONSOLE_SYNCHRONIZATION_MODE == DDEBUG_CONSOLE_SYNCHRONIZATION_BM) && defined(OSA_USED)\r
+#if (DEBUG_CONSOLE_SYNCHRONIZATION_MODE == DEBUG_CONSOLE_SYNCHRONIZATION_BM) && defined(OSA_USED)\r
 \r
     if (s_debugConsoleState.writeRingBuffer.ringHead != s_debugConsoleState.writeRingBuffer.ringTail)\r
     {\r
-        return kStatus_Fail;\r
+        return (status_t)kStatus_Fail;\r
     }\r
 \r
 #else\r
@@ -648,13 +841,16 @@ status_t DbgConsole_Flush(void)
     while (s_debugConsoleState.writeRingBuffer.ringHead != s_debugConsoleState.writeRingBuffer.ringTail)\r
     {\r
 #if (DEBUG_CONSOLE_SYNCHRONIZATION_MODE == DEBUG_CONSOLE_SYNCHRONIZATION_FREERTOS)\r
-        if (IS_RUNNING_IN_ISR() == 0U)\r
+        if (0U == IS_RUNNING_IN_ISR())\r
         {\r
-            vTaskDelay(1);\r
+            if (taskSCHEDULER_RUNNING == xTaskGetSchedulerState())\r
+            {\r
+                vTaskDelay(1);\r
+            }\r
         }\r
         else\r
         {\r
-            return kStatus_Fail;\r
+            return (status_t)kStatus_Fail;\r
         }\r
 #endif\r
     }\r
@@ -662,16 +858,17 @@ status_t DbgConsole_Flush(void)
 #endif\r
 \r
 #endif\r
-    return kStatus_Success;\r
+    return (status_t)kStatus_Success;\r
 }\r
+#endif\r
 \r
 #if SDK_DEBUGCONSOLE\r
 /* See fsl_debug_console.h for documentation of this function. */\r
 int DbgConsole_Printf(const char *formatString, ...)\r
 {\r
     va_list ap;\r
-    int logLength = 0U, result = 0U;\r
-    char printBuf[DEBUG_CONSOLE_PRINTF_MAX_LOG_LEN] = {0U};\r
+    int logLength = 0, dbgResult = 0;\r
+    char printBuf[DEBUG_CONSOLE_PRINTF_MAX_LOG_LEN] = {'\0'};\r
 \r
     if (NULL == g_serialHandle)\r
     {\r
@@ -682,37 +879,66 @@ int DbgConsole_Printf(const char *formatString, ...)
     /* format print log first */\r
     logLength = StrFormatPrintf(formatString, ap, printBuf, DbgConsole_PrintCallback);\r
     /* print log */\r
-    result = DbgConsole_SendData((uint8_t *)printBuf, logLength);\r
+    dbgResult = DbgConsole_SendDataReliable((uint8_t *)printBuf, (size_t)logLength);\r
 \r
     va_end(ap);\r
 \r
-    return result;\r
+    return dbgResult;\r
 }\r
 \r
 /* See fsl_debug_console.h for documentation of this function. */\r
 int DbgConsole_Putchar(int ch)\r
 {\r
     /* print char */\r
-    return DbgConsole_SendData((uint8_t *)&ch, 1U);\r
+    return DbgConsole_SendDataReliable((uint8_t *)&ch, 1U);\r
 }\r
 \r
 /* See fsl_debug_console.h for documentation of this function. */\r
 int DbgConsole_Scanf(char *formatString, ...)\r
 {\r
     va_list ap;\r
-    int result;\r
-    char scanfBuf[DEBUG_CONSOLE_SCANF_MAX_LOG_LEN + 1U] = {0U};\r
+    int formatResult;\r
+    char scanfBuf[DEBUG_CONSOLE_SCANF_MAX_LOG_LEN + 1U] = {'\0'};\r
 \r
     /* scanf log */\r
-    DbgConsole_ReadLine((uint8_t *)scanfBuf, DEBUG_CONSOLE_SCANF_MAX_LOG_LEN);\r
+    (void)DbgConsole_ReadLine((uint8_t *)scanfBuf, DEBUG_CONSOLE_SCANF_MAX_LOG_LEN);\r
     /* get va_list */\r
     va_start(ap, formatString);\r
     /* format scanf log */\r
-    result = StrFormatScanf(scanfBuf, formatString, ap);\r
+    formatResult = StrFormatScanf(scanfBuf, formatString, ap);\r
+\r
+    va_end(ap);\r
+\r
+    return formatResult;\r
+}\r
+/* See fsl_debug_console.h for documentation of this function. */\r
+int DbgConsole_BlockingPrintf(const char *formatString, ...)\r
+{\r
+    va_list ap;\r
+    status_t status = (status_t)kStatus_SerialManager_Error;\r
+    int logLength = 0, dbgResult = 0;\r
+    char printBuf[DEBUG_CONSOLE_PRINTF_MAX_LOG_LEN] = {'\0'};\r
+\r
+    if (NULL == g_serialHandle)\r
+    {\r
+        return 0;\r
+    }\r
+\r
+    va_start(ap, formatString);\r
+    /* format print log first */\r
+    logLength = StrFormatPrintf(formatString, ap, printBuf, DbgConsole_PrintCallback);\r
 \r
+#if defined(DEBUG_CONSOLE_TRANSFER_NON_BLOCKING)\r
+    SerialManager_CancelWriting(((serial_write_handle_t)&s_debugConsoleState.serialWriteHandleBuffer[0]));\r
+#endif\r
+    /* print log */\r
+    status =\r
+        (status_t)SerialManager_WriteBlocking(((serial_write_handle_t)&s_debugConsoleState.serialWriteHandleBuffer[0]),\r
+                                              (uint8_t *)printBuf, (size_t)logLength);\r
+    dbgResult = (((status_t)kStatus_Success == status) ? (int)logLength : -1);\r
     va_end(ap);\r
 \r
-    return result;\r
+    return dbgResult;\r
 }\r
 \r
 #ifdef DEBUG_CONSOLE_TRANSFER_NON_BLOCKING\r
@@ -720,10 +946,15 @@ status_t DbgConsole_TryGetchar(char *ch)
 {\r
 #if (defined(DEBUG_CONSOLE_RX_ENABLE) && (DEBUG_CONSOLE_RX_ENABLE > 0U))\r
     uint32_t length = 0;\r
-    status_t status = kStatus_Fail;\r
+    status_t status = (status_t)kStatus_Fail;\r
 \r
     assert(ch);\r
 \r
+    if (NULL == g_serialHandle)\r
+    {\r
+        return kStatus_Fail;\r
+    }\r
+\r
     /* take mutex lock function */\r
     DEBUG_CONSOLE_TAKE_MUTEX_SEMAPHORE_BLOCKING(s_debugConsoleReadSemaphore);\r
 \r
@@ -731,19 +962,19 @@ status_t DbgConsole_TryGetchar(char *ch)
         SerialManager_TryRead(((serial_read_handle_t)&s_debugConsoleState.serialReadHandleBuffer[0]), (uint8_t *)ch, 1,\r
                               &length))\r
     {\r
-        if (length)\r
+        if (length != 0U)\r
         {\r
 #if DEBUG_CONSOLE_ENABLE_ECHO_FUNCTION\r
-            DbgConsole_EchoCharacter(ch, true, NULL);\r
+            (void)DbgConsole_EchoCharacter((uint8_t *)ch, true, NULL);\r
 #endif\r
-            status = kStatus_Success;\r
+            status = (status_t)kStatus_Success;\r
         }\r
     }\r
     /* release mutex lock function */\r
     DEBUG_CONSOLE_GIVE_MUTEX_SEMAPHORE(s_debugConsoleReadSemaphore);\r
     return status;\r
 #else\r
-    return kStatus_Fail;\r
+    return (status_t)kStatus_Fail;\r
 #endif\r
 }\r
 #endif\r
@@ -754,7 +985,7 @@ int DbgConsole_Getchar(void)
     uint8_t ch = 0U;\r
 \r
     /* Get char */\r
-    DbgConsole_ReadCharacter(&ch);\r
+    (void)DbgConsole_ReadCharacter(&ch);\r
 \r
     return (int)ch;\r
 }\r
@@ -784,7 +1015,7 @@ size_t __write(int handle, const unsigned char *buffer, size_t size)
     }\r
 \r
     /* Send data. */\r
-    DbgConsole_SendData((uint8_t *)buffer, size);\r
+    DbgConsole_SendDataReliable((uint8_t *)buffer, size);\r
 \r
     return size;\r
 }\r
@@ -792,8 +1023,9 @@ size_t __write(int handle, const unsigned char *buffer, size_t size)
 #pragma weak __read\r
 size_t __read(int handle, unsigned char *buffer, size_t size)\r
 {\r
-    uint8_t ch = 0U;\r
-    size_t actualSize = 0U;\r
+    uint8_t ch     = 0U;\r
+    int actualSize = 0U;\r
+\r
     /* This function only reads from "standard in", for all other file  handles it returns failure. */\r
     if (handle != 0)\r
     {\r
@@ -818,9 +1050,9 @@ size_t __read(int handle, unsigned char *buffer, size_t size)
 #endif /* SDK_DEBUGCONSOLE_UART */\r
 \r
 /* support LPC Xpresso with RedLib */\r
-#elif(defined(__REDLIB__))\r
+#elif (defined(__REDLIB__))\r
 \r
-#if (!SDK_DEBUGCONSOLE) && (defined(SDK_DEBUGCONSOLE_UART))\r
+#if (defined(SDK_DEBUGCONSOLE_UART))\r
 int __attribute__((weak)) __sys_write(int handle, char *buffer, int size)\r
 {\r
     if (buffer == 0)\r
@@ -836,7 +1068,7 @@ int __attribute__((weak)) __sys_write(int handle, char *buffer, int size)
     }\r
 \r
     /* Send data. */\r
-    DbgConsole_SendData((uint8_t *)buffer, size);\r
+    DbgConsole_SendDataReliable((uint8_t *)buffer, size);\r
 \r
     return 0;\r
 }\r
@@ -876,7 +1108,7 @@ FILE __stdin;
 int fputc(int ch, FILE *f)\r
 {\r
     /* Send data. */\r
-    return DbgConsole_SendData((uint8_t *)(&ch), 1);\r
+    return DbgConsole_SendDataReliable((uint8_t *)(&ch), 1);\r
 }\r
 \r
 #pragma weak fgetc\r
@@ -908,7 +1140,7 @@ void _sys_exit(int returncode)
 void _ttywrch(int ch)\r
 {\r
     char ench = ch;\r
-    DbgConsole_SendData((uint8_t *)(&ench), 1);\r
+    DbgConsole_SendDataReliable((uint8_t *)(&ench), 1);\r
 }\r
 \r
 char *_sys_command_string(char *cmd, int len)\r
@@ -916,15 +1148,16 @@ char *_sys_command_string(char *cmd, int len)
     return (cmd);\r
 }\r
 #endif /* SDK_DEBUGCONSOLE_UART */\r
+\r
 /* These function __write and __read is used to support ARM_GCC, KDS, Atollic toolchains to printf and scanf*/\r
-#elif(defined(__GNUC__))\r
+#elif (defined(__GNUC__))\r
 \r
 #if ((defined(__GNUC__) && (!defined(__MCUXPRESSO)) && (defined(SDK_DEBUGCONSOLE_UART))) || \\r
-     (defined(__MCUXPRESSO) && (!SDK_DEBUGCONSOLE) && (defined(SDK_DEBUGCONSOLE_UART))))\r
-\r
+     (defined(__MCUXPRESSO) && (defined(SDK_DEBUGCONSOLE_UART))))\r
+int __attribute__((weak)) _write(int handle, char *buffer, int size);\r
 int __attribute__((weak)) _write(int handle, char *buffer, int size)\r
 {\r
-    if (buffer == 0)\r
+    if (buffer == NULL)\r
     {\r
         /* return -1 if error. */\r
         return -1;\r
@@ -937,15 +1170,16 @@ int __attribute__((weak)) _write(int handle, char *buffer, int size)
     }\r
 \r
     /* Send data. */\r
-    DbgConsole_SendData((uint8_t *)buffer, size);\r
+    (void)DbgConsole_SendDataReliable((uint8_t *)buffer, (size_t)size);\r
 \r
     return size;\r
 }\r
 \r
+int __attribute__((weak)) _read(int handle, char *buffer, int size);\r
 int __attribute__((weak)) _read(int handle, char *buffer, int size)\r
 {\r
-    uint8_t ch = 0U;\r
-    int actualSize = 0U;\r
+    uint8_t ch     = 0U;\r
+    int actualSize = 0;\r
 \r
     /* This function only reads from "standard in", for all other file handles it returns failure. */\r
     if (handle != 0)\r
@@ -953,20 +1187,25 @@ int __attribute__((weak)) _read(int handle, char *buffer, int size)
         return -1;\r
     }\r
 \r
+    /* Receive data. */\r
     for (; size > 0; size--)\r
     {\r
-        DbgConsole_ReadCharacter(&ch);\r
+        if (DbgConsole_ReadCharacter(&ch) < 0)\r
+        {\r
+            break;\r
+        }\r
 \r
-        *buffer++ = ch;\r
+        *buffer++ = (char)ch;\r
         actualSize++;\r
 \r
-        if ((ch == 0) || (ch == '\n') || (ch == '\r'))\r
+        if ((ch == 0U) || (ch == (uint8_t)'\n') || (ch == (uint8_t)'\r'))\r
         {\r
             break;\r
         }\r
     }\r
 \r
-    return actualSize;\r
+    return (actualSize > 0) ? actualSize : -1;\r
 }\r
 #endif\r
+\r
 #endif /* __ICCARM__ */\r
index 2528343558e0c12ac55b3fa01f91d4f7195e612f..ec50606a53725f761505465e449ef7085fe45eff 100644 (file)
  * Definitions\r
  ******************************************************************************/\r
 \r
+extern serial_handle_t g_serialHandle; /*!< serial manager handle */\r
+\r
 /*! @brief Definition select redirect toolchain printf, scanf to uart or not. */\r
 #define DEBUGCONSOLE_REDIRECT_TO_TOOLCHAIN 0U /*!< Select toolchain printf and scanf. */\r
 #define DEBUGCONSOLE_REDIRECT_TO_SDK 1U       /*!< Select SDK version printf, scanf. */\r
 #define DEBUGCONSOLE_DISABLE 2U               /*!< Disable debugconsole function. */\r
 \r
-/*! @brief Definition to select sdk or toolchain printf, scanf. */\r
+/*! @brief Definition to select sdk or toolchain printf, scanf. The macro only support\r
+ * to be redefined in project setting.\r
+ */\r
 #ifndef SDK_DEBUGCONSOLE\r
 #define SDK_DEBUGCONSOLE 1U\r
 #endif\r
 \r
-/*! @brief Definition to select redirect toolchain printf, scanf to uart or not. */\r
-#ifndef SDK_DEBUGCONSOLE_UART\r
-/* mcux will handle this macro, not define it here */\r
-#if (!defined(__MCUXPRESSO))\r
-#define SDK_DEBUGCONSOLE_UART\r
-#endif\r
-#endif\r
-\r
 #if defined(SDK_DEBUGCONSOLE) && !(SDK_DEBUGCONSOLE)\r
 #include <stdio.h>\r
 #endif\r
@@ -61,7 +57,7 @@
  *  if SDK_DEBUGCONSOLE defined to 0,it represents select toolchain printf, scanf.\r
  *  if SDK_DEBUGCONSOLE defined to 1,it represents select SDK version printf, scanf.\r
  *  if SDK_DEBUGCONSOLE defined to 2,it represents disable debugconsole function.\r
-*/\r
+ */\r
 #if SDK_DEBUGCONSOLE == DEBUGCONSOLE_DISABLE /* Disable debug console */\r
 #define PRINTF\r
 #define SCANF\r
@@ -73,7 +69,7 @@
 #define PUTCHAR DbgConsole_Putchar\r
 #define GETCHAR DbgConsole_Getchar\r
 #elif SDK_DEBUGCONSOLE == DEBUGCONSOLE_REDIRECT_TO_TOOLCHAIN /* Select printf, scanf, putchar, getchar of toolchain. \ \\r
-                                                                */\r
+                                                              */\r
 #define PRINTF printf\r
 #define SCANF scanf\r
 #define PUTCHAR putchar\r
@@ -91,6 +87,7 @@ extern "C" {
 /*! @name Initialization*/\r
 /* @{ */\r
 \r
+#if ((SDK_DEBUGCONSOLE == DEBUGCONSOLE_REDIRECT_TO_SDK) || defined(SDK_DEBUGCONSOLE_UART))\r
 /*!\r
  * @brief Initializes the peripheral used for debug messages.\r
  *\r
@@ -102,7 +99,8 @@ extern "C" {
  * @param baudRate      The desired baud rate in bits per second.\r
  * @param device        Low level device type for the debug console, can be one of the following.\r
  *                      @arg kSerialPort_Uart,\r
- *                      @arg kSerialPort_UsbCdc.\r
+ *                      @arg kSerialPort_UsbCdc\r
+ *                      @arg kSerialPort_UsbCdcVirtual.\r
  * @param clkSrcFreq    Frequency of peripheral source clock.\r
  *\r
  * @return              Indicates whether initialization was successful or not.\r
@@ -119,6 +117,31 @@ status_t DbgConsole_Init(uint8_t instance, uint32_t baudRate, serial_port_type_t
  * @return Indicates whether de-initialization was successful or not.\r
  */\r
 status_t DbgConsole_Deinit(void);\r
+#else\r
+/*!\r
+ * Use an error to replace the DbgConsole_Init when SDK_DEBUGCONSOLE is not DEBUGCONSOLE_REDIRECT_TO_SDK and\r
+ * SDK_DEBUGCONSOLE_UART is not defined.\r
+ */\r
+static inline status_t DbgConsole_Init(uint8_t instance,\r
+                                       uint32_t baudRate,\r
+                                       serial_port_type_t device,\r
+                                       uint32_t clkSrcFreq)\r
+{\r
+    (void)instance;\r
+    (void)baudRate;\r
+    (void)device;\r
+    (void)clkSrcFreq;\r
+    return (status_t)kStatus_Fail;\r
+}\r
+/*!\r
+ * Use an error to replace the DbgConsole_Deinit when SDK_DEBUGCONSOLE is not DEBUGCONSOLE_REDIRECT_TO_SDK and\r
+ * SDK_DEBUGCONSOLE_UART is not defined.\r
+ */\r
+static inline status_t DbgConsole_Deinit(void)\r
+{\r
+    return (status_t)kStatus_Fail;\r
+}\r
+#endif /* ((SDK_DEBUGCONSOLE == DEBUGCONSOLE_REDIRECT_TO_SDK) || defined(SDK_DEBUGCONSOLE_UART)) */\r
 \r
 #if SDK_DEBUGCONSOLE\r
 /*!\r
@@ -172,6 +195,19 @@ int DbgConsole_Scanf(char *formatString, ...);
  */\r
 int DbgConsole_Getchar(void);\r
 \r
+/*!\r
+ * @brief Writes formatted output to the standard output stream with the blocking mode.\r
+ *\r
+ * Call this function to write a formatted output to the standard output stream with the blocking mode.\r
+ * The function will send data with blocking mode no matter the DEBUG_CONSOLE_TRANSFER_NON_BLOCKING set\r
+ * or not.\r
+ * The function could be used in system ISR mode with DEBUG_CONSOLE_TRANSFER_NON_BLOCKING set.\r
+ *\r
+ * @param   formatString Format control string.\r
+ * @return  Returns the number of characters printed or a negative value if an error occurs.\r
+ */\r
+int DbgConsole_BlockingPrintf(const char *formatString, ...);\r
+\r
 /*!\r
  * @brief Debug console flush.\r
  *\r
index fa6cdae824b08f761dc553f4ce94be67dbc4538d..4c36a7dd85812ffc330f9cbdb0326d542d3480ec 100644 (file)
@@ -1,5 +1,5 @@
 /*\r
- * Copyright 2017 - 2018 NXP\r
+ * Copyright 2017 - 2019 NXP\r
  * All rights reserved.\r
  *\r
  *\r
 /****************Debug console configuration********************/\r
 \r
 /*! @brief If Non-blocking mode is needed, please define it at project setting,\r
-* otherwise blocking mode is the default transfer mode.\r
-* Warning: If you want to use non-blocking transfer,please make sure the corresponding\r
-* IO interrupt is enable, otherwise there is no output.\r
-* And non-blocking is combine with buffer, no matter bare-metal or rtos.\r
-*/\r
+ * otherwise blocking mode is the default transfer mode.\r
+ * Warning: If you want to use non-blocking transfer,please make sure the corresponding\r
+ * IO interrupt is enable, otherwise there is no output.\r
+ * And non-blocking is combine with buffer, no matter bare-metal or rtos.\r
+ * Below shows how to configure in your project if you want to use non-blocking mode.\r
+ * For IAR, right click project and select "Options", define it in "C/C++ Compiler->Preprocessor->Defined symbols".\r
+ * For KEIL, click "Options for Target…", define it in "C/C++->Preprocessor Symbols->Define".\r
+ * For ARMGCC, open CmakeLists.txt and add the following lines,\r
+ * "SET(CMAKE_C_FLAGS_DEBUG "${CMAKE_C_FLAGS_DEBUG} -DDEBUG_CONSOLE_TRANSFER_NON_BLOCKING")" for debug target.\r
+ * "SET(CMAKE_C_FLAGS_RELEASE "${CMAKE_C_FLAGS_RELEASE} -DDEBUG_CONSOLE_TRANSFER_NON_BLOCKING")" for release target.\r
+ * For MCUxpresso, right click project and select "Properties", define it in "C/C++ Build->Settings->MCU C\r
+ * Complier->Preprocessor".\r
+ *\r
+ */\r
 #ifdef DEBUG_CONSOLE_TRANSFER_NON_BLOCKING\r
 /*! @brief define the transmit buffer length which is used to store the multi task log, buffer is enabled automatically\r
-* when\r
-* non-blocking transfer is using,\r
-* This value will affect the RAM's ultilization, should be set per paltform's capability and software requirement.\r
-* If it is configured too small, log maybe missed , because the log will not be\r
-* buffered if the buffer is full, and the print will return immediately with -1.\r
-* And this value should be multiple of 4 to meet memory alignment.\r
-*\r
-*/\r
+ * when\r
+ * non-blocking transfer is using,\r
+ * This value will affect the RAM's ultilization, should be set per paltform's capability and software requirement.\r
+ * If it is configured too small, log maybe missed , because the log will not be\r
+ * buffered if the buffer is full, and the print will return immediately with -1.\r
+ * And this value should be multiple of 4 to meet memory alignment.\r
+ *\r
+ */\r
 #ifndef DEBUG_CONSOLE_TRANSMIT_BUFFER_LEN\r
 #define DEBUG_CONSOLE_TRANSMIT_BUFFER_LEN (512U)\r
 #endif /* DEBUG_CONSOLE_TRANSMIT_BUFFER_LEN */\r
 \r
 /*! @brief define the receive buffer length which is used to store the user input, buffer is enabled automatically when\r
-* non-blocking transfer is using,\r
-* This value will affect the RAM's ultilization, should be set per paltform's capability and software requirement.\r
-* If it is configured too small, log maybe missed, because buffer will be overwrited if buffer is too small.\r
-* And this value should be multiple of 4 to meet memory alignment.\r
-*\r
-*/\r
+ * non-blocking transfer is using,\r
+ * This value will affect the RAM's ultilization, should be set per paltform's capability and software requirement.\r
+ * If it is configured too small, log maybe missed, because buffer will be overwrited if buffer is too small.\r
+ * And this value should be multiple of 4 to meet memory alignment.\r
+ *\r
+ */\r
 #ifndef DEBUG_CONSOLE_RECEIVE_BUFFER_LEN\r
-#define DEBUG_CONSOLE_RECEIVE_BUFFER_LEN (512U)\r
+#define DEBUG_CONSOLE_RECEIVE_BUFFER_LEN (1024U)\r
 #endif /* DEBUG_CONSOLE_RECEIVE_BUFFER_LEN */\r
 \r
+/*!@ brief Whether enable the reliable TX function\r
+ * If the macro is zero, the reliable TX function of the debug console is disabled.\r
+ * When the macro is zero, the string of PRINTF will be thrown away after the transmit buffer is full.\r
+ */\r
+#ifndef DEBUG_CONSOLE_TX_RELIABLE_ENABLE\r
+#define DEBUG_CONSOLE_TX_RELIABLE_ENABLE (1U)\r
+#endif /* DEBUG_CONSOLE_RX_ENABLE */\r
+\r
 #else\r
 #define DEBUG_CONSOLE_TRANSFER_BLOCKING\r
 #endif /* DEBUG_CONSOLE_TRANSFER_NON_BLOCKING */\r
 \r
 /*!@ brief Whether enable the RX function\r
-* If the macro is zero, the receive function of the debug console is disabled.\r
-*/\r
+ * If the macro is zero, the receive function of the debug console is disabled.\r
+ */\r
 #ifndef DEBUG_CONSOLE_RX_ENABLE\r
 #define DEBUG_CONSOLE_RX_ENABLE (1U)\r
 #endif /* DEBUG_CONSOLE_RX_ENABLE */\r
 \r
 /*!@ brief define the MAX log length debug console support , that is when you call printf("log", x);, the log\r
-* length can not bigger than this value.\r
-* This macro decide the local log buffer length, the buffer locate at stack, the stack maybe overflow if\r
-* the buffer is too big and current task stack size not big enough.\r
-*/\r
+ * length can not bigger than this value.\r
+ * This macro decide the local log buffer length, the buffer locate at stack, the stack maybe overflow if\r
+ * the buffer is too big and current task stack size not big enough.\r
+ */\r
 #ifndef DEBUG_CONSOLE_PRINTF_MAX_LOG_LEN\r
 #define DEBUG_CONSOLE_PRINTF_MAX_LOG_LEN (128U)\r
 #endif /* DEBUG_CONSOLE_PRINTF_MAX_LOG_LEN */\r
 \r
 /*!@ brief define the buffer support buffer scanf log length, that is when you call scanf("log", &x);, the log\r
-* length can not bigger than this value.\r
-* As same as the DEBUG_CONSOLE_BUFFER_PRINTF_MAX_LOG_LEN.\r
-*/\r
+ * length can not bigger than this value.\r
+ * As same as the DEBUG_CONSOLE_BUFFER_PRINTF_MAX_LOG_LEN.\r
+ */\r
 #ifndef DEBUG_CONSOLE_SCANF_MAX_LOG_LEN\r
 #define DEBUG_CONSOLE_SCANF_MAX_LOG_LEN (20U)\r
 #endif /* DEBUG_CONSOLE_SCANF_MAX_LOG_LEN */\r
 \r
 /*! @brief Debug console synchronization\r
-* User should not change these macro for synchronization mode, but add the\r
-* corresponding synchronization mechanism per different software environment.\r
-* Such as, if another RTOS is used,\r
-* add:\r
-*  #define DEBUG_CONSOLE_SYNCHRONIZATION_XXXX 3\r
-* in this configuration file and implement the synchronization in fsl.log.c.\r
-*/\r
+ * User should not change these macro for synchronization mode, but add the\r
+ * corresponding synchronization mechanism per different software environment.\r
+ * Such as, if another RTOS is used,\r
+ * add:\r
+ *  #define DEBUG_CONSOLE_SYNCHRONIZATION_XXXX 3\r
+ * in this configuration file and implement the synchronization in fsl.log.c.\r
+ */\r
 /*! @brief synchronization for baremetal software */\r
 #define DEBUG_CONSOLE_SYNCHRONIZATION_BM 0\r
 /*! @brief synchronization for freertos software */\r
 #define DEBUG_CONSOLE_SYNCHRONIZATION_FREERTOS 1\r
 \r
 /*! @brief RTOS synchronization mechanism disable\r
-* If not defined, default is enable, to avoid multitask log print mess.\r
-* If other RTOS is used, you can implement the RTOS's specific synchronization mechanism in fsl.log.c\r
-* If synchronization is disabled, log maybe messed on terminal.\r
-*/\r
+ * If not defined, default is enable, to avoid multitask log print mess.\r
+ * If other RTOS is used, you can implement the RTOS's specific synchronization mechanism in fsl.log.c\r
+ * If synchronization is disabled, log maybe messed on terminal.\r
+ */\r
 #ifndef DEBUG_CONSOLE_DISABLE_RTOS_SYNCHRONIZATION\r
 #ifdef DEBUG_CONSOLE_TRANSFER_NON_BLOCKING\r
 #ifdef FSL_RTOS_FREE_RTOS\r
 #endif /* DEBUG_CONSOLE_DISABLE_RTOS_SYNCHRONIZATION */\r
 \r
 /*! @brief echo function support\r
-* If you want to use the echo function,please define DEBUG_CONSOLE_ENABLE_ECHO\r
-* at your project setting.\r
-*/\r
+ * If you want to use the echo function,please define DEBUG_CONSOLE_ENABLE_ECHO\r
+ * at your project setting.\r
+ */\r
 #ifndef DEBUG_CONSOLE_ENABLE_ECHO\r
 #define DEBUG_CONSOLE_ENABLE_ECHO_FUNCTION 0\r
 #else\r
index 5d4831ed2d20d91dcabdddc32c43841a1cc9c1b6..1a49a45e9f07c25935836c46a5bf158d40bf734a 100644 (file)
 /*! @brief Specification modifier flags for printf. */\r
 enum _debugconsole_printf_flag\r
 {\r
-    kPRINTF_Minus = 0x01U,              /*!< Minus FLag. */\r
-    kPRINTF_Plus = 0x02U,               /*!< Plus Flag. */\r
-    kPRINTF_Space = 0x04U,              /*!< Space Flag. */\r
-    kPRINTF_Zero = 0x08U,               /*!< Zero Flag. */\r
-    kPRINTF_Pound = 0x10U,              /*!< Pound Flag. */\r
-    kPRINTF_LengthChar = 0x20U,         /*!< Length: Char Flag. */\r
-    kPRINTF_LengthShortInt = 0x40U,     /*!< Length: Short Int Flag. */\r
-    kPRINTF_LengthLongInt = 0x80U,      /*!< Length: Long Int Flag. */\r
+    kPRINTF_Minus             = 0x01U,  /*!< Minus FLag. */\r
+    kPRINTF_Plus              = 0x02U,  /*!< Plus Flag. */\r
+    kPRINTF_Space             = 0x04U,  /*!< Space Flag. */\r
+    kPRINTF_Zero              = 0x08U,  /*!< Zero Flag. */\r
+    kPRINTF_Pound             = 0x10U,  /*!< Pound Flag. */\r
+    kPRINTF_LengthChar        = 0x20U,  /*!< Length: Char Flag. */\r
+    kPRINTF_LengthShortInt    = 0x40U,  /*!< Length: Short Int Flag. */\r
+    kPRINTF_LengthLongInt     = 0x80U,  /*!< Length: Long Int Flag. */\r
     kPRINTF_LengthLongLongInt = 0x100U, /*!< Length: Long Long Int Flag. */\r
 };\r
 #endif /* PRINTF_ADVANCED_ENABLE */\r
@@ -40,18 +40,18 @@ enum _debugconsole_printf_flag
 /*! @brief Specification modifier flags for scanf. */\r
 enum _debugconsole_scanf_flag\r
 {\r
-    kSCANF_Suppress = 0x2U,      /*!< Suppress Flag. */\r
-    kSCANF_DestMask = 0x7cU,     /*!< Destination Mask. */\r
-    kSCANF_DestChar = 0x4U,      /*!< Destination Char Flag. */\r
+    kSCANF_Suppress   = 0x2U,    /*!< Suppress Flag. */\r
+    kSCANF_DestMask   = 0x7cU,   /*!< Destination Mask. */\r
+    kSCANF_DestChar   = 0x4U,    /*!< Destination Char Flag. */\r
     kSCANF_DestString = 0x8U,    /*!< Destination String FLag. */\r
-    kSCANF_DestSet = 0x10U,      /*!< Destination Set Flag. */\r
-    kSCANF_DestInt = 0x20U,      /*!< Destination Int Flag. */\r
-    kSCANF_DestFloat = 0x30U,    /*!< Destination Float Flag. */\r
+    kSCANF_DestSet    = 0x10U,   /*!< Destination Set Flag. */\r
+    kSCANF_DestInt    = 0x20U,   /*!< Destination Int Flag. */\r
+    kSCANF_DestFloat  = 0x30U,   /*!< Destination Float Flag. */\r
     kSCANF_LengthMask = 0x1f00U, /*!< Length Mask Flag. */\r
 #if SCANF_ADVANCED_ENABLE\r
-    kSCANF_LengthChar = 0x100U,        /*!< Length Char Flag. */\r
-    kSCANF_LengthShortInt = 0x200U,    /*!< Length ShortInt Flag. */\r
-    kSCANF_LengthLongInt = 0x400U,     /*!< Length LongInt Flag. */\r
+    kSCANF_LengthChar        = 0x100U, /*!< Length Char Flag. */\r
+    kSCANF_LengthShortInt    = 0x200U, /*!< Length ShortInt Flag. */\r
+    kSCANF_LengthLongInt     = 0x400U, /*!< Length LongInt Flag. */\r
     kSCANF_LengthLongLongInt = 0x800U, /*!< Length LongLongInt Flag. */\r
 #endif                                 /* SCANF_ADVANCED_ENABLE */\r
 #if SCANF_FLOAT_ENABLE\r
@@ -104,7 +104,7 @@ static int32_t ConvertFloatRadixNumToString(char *numstr, void *nump, int32_t ra
 #endif /* PRINTF_FLOAT_ENABLE */\r
 \r
 /*!\r
-*\r
+ *\r
  */\r
 double modf(double input_dbl, double *intpart_ptr);\r
 \r
@@ -148,8 +148,8 @@ static int32_t ConvertRadixNumToString(char *numstr, void *nump, int32_t neg, in
     int32_t nlen;\r
     char *nstrp;\r
 \r
-    nlen = 0;\r
-    nstrp = numstr;\r
+    nlen     = 0;\r
+    nstrp    = numstr;\r
     *nstrp++ = '\0';\r
 \r
     if (neg)\r
@@ -173,7 +173,7 @@ static int32_t ConvertRadixNumToString(char *numstr, void *nump, int32_t neg, in
             if (c < 0)\r
             {\r
                 uc = (uint64_t)c;\r
-                c = (int64_t)(~uc) + 1 + '0';\r
+                c  = (int64_t)(~uc) + 1 + '0';\r
             }\r
 #else\r
             b = a / radix;\r
@@ -181,14 +181,14 @@ static int32_t ConvertRadixNumToString(char *numstr, void *nump, int32_t neg, in
             if (c < 0)\r
             {\r
                 uc = (uint32_t)c;\r
-                c = (uint32_t)(~uc) + 1 + '0';\r
+                c  = (uint32_t)(~uc) + 1 + '0';\r
             }\r
 #endif /* PRINTF_ADVANCED_ENABLE */\r
             else\r
             {\r
                 c = c + '0';\r
             }\r
-            a = b;\r
+            a        = b;\r
             *nstrp++ = (char)c;\r
             ++nlen;\r
         }\r
@@ -224,7 +224,7 @@ static int32_t ConvertRadixNumToString(char *numstr, void *nump, int32_t neg, in
             {\r
                 uc = uc - 10 + (use_caps ? 'A' : 'a');\r
             }\r
-            ua = ub;\r
+            ua       = ub;\r
             *nstrp++ = (char)uc;\r
             ++nlen;\r
         }\r
@@ -249,10 +249,10 @@ static int32_t ConvertFloatRadixNumToString(char *numstr, void *nump, int32_t ra
 \r
     int32_t nlen;\r
     char *nstrp;\r
-    nlen = 0;\r
-    nstrp = numstr;\r
+    nlen     = 0;\r
+    nstrp    = numstr;\r
     *nstrp++ = '\0';\r
-    r = *(double *)nump;\r
+    r        = *(double *)nump;\r
     if (!r)\r
     {\r
         *nstrp = '0';\r
@@ -285,17 +285,17 @@ static int32_t ConvertFloatRadixNumToString(char *numstr, void *nump, int32_t ra
     {\r
         fb = fa / (int32_t)radix;\r
         dc = (fa - (int64_t)fb * (int32_t)radix);\r
-        c = (int32_t)dc;\r
+        c  = (int32_t)dc;\r
         if (c < 0)\r
         {\r
             uc = (uint32_t)c;\r
-            c = (int32_t)(~uc) + 1 + '0';\r
+            c  = (int32_t)(~uc) + 1 + '0';\r
         }\r
         else\r
         {\r
             c = c + '0';\r
         }\r
-        fa = fb;\r
+        fa       = fb;\r
         *nstrp++ = (char)c;\r
         ++nlen;\r
     }\r
@@ -316,13 +316,13 @@ static int32_t ConvertFloatRadixNumToString(char *numstr, void *nump, int32_t ra
             if (c < 0)\r
             {\r
                 uc = (uint32_t)c;\r
-                c = (int32_t)(~uc) + 1 + '0';\r
+                c  = (int32_t)(~uc) + 1 + '0';\r
             }\r
             else\r
             {\r
                 c = c + '0';\r
             }\r
-            a = b;\r
+            a        = b;\r
             *nstrp++ = (char)c;\r
             ++nlen;\r
         }\r
@@ -351,7 +351,7 @@ int StrFormatPrintf(const char *fmt, va_list ap, char *buf, printfCb cb)
     int32_t c;\r
 \r
     char vstr[33];\r
-    char *vstrp = NULL;\r
+    char *vstrp  = NULL;\r
     int32_t vlen = 0;\r
 \r
     int32_t done;\r
@@ -400,7 +400,7 @@ int StrFormatPrintf(const char *fmt, va_list ap, char *buf, printfCb cb)
 #if PRINTF_ADVANCED_ENABLE\r
         /* First check for specification modifier flags. */\r
         flags_used = 0;\r
-        done = false;\r
+        done       = false;\r
         while (!done)\r
         {\r
             switch (*++p)\r
@@ -431,7 +431,7 @@ int StrFormatPrintf(const char *fmt, va_list ap, char *buf, printfCb cb)
 \r
         /* Next check for minimum field width. */\r
         field_width = 0;\r
-        done = false;\r
+        done        = false;\r
         while (!done)\r
         {\r
             c = *++p;\r
@@ -461,7 +461,7 @@ int StrFormatPrintf(const char *fmt, va_list ap, char *buf, printfCb cb)
         {\r
             /* Must get precision field width, if present. */\r
             precision_width = 0;\r
-            done = false;\r
+            done            = false;\r
             while (!done)\r
             {\r
                 c = *++p;\r
@@ -475,7 +475,7 @@ int StrFormatPrintf(const char *fmt, va_list ap, char *buf, printfCb cb)
 #if PRINTF_ADVANCED_ENABLE\r
                 else if (c == '*')\r
                 {\r
-                    precision_width = (uint32_t)va_arg(ap, uint32_t);\r
+                    precision_width       = (uint32_t)va_arg(ap, uint32_t);\r
                     valid_precision_width = true;\r
                 }\r
 #endif /* PRINTF_ADVANCED_ENABLE */\r
@@ -544,7 +544,7 @@ int StrFormatPrintf(const char *fmt, va_list ap, char *buf, printfCb cb)
                     {\r
                         ival = (int32_t)va_arg(ap, int32_t);\r
                     }\r
-                    vlen = ConvertRadixNumToString(vstr, &ival, true, 10, use_caps);\r
+                    vlen  = ConvertRadixNumToString(vstr, &ival, true, 10, use_caps);\r
                     vstrp = &vstr[vlen];\r
 #if PRINTF_ADVANCED_ENABLE\r
                     if (ival < 0)\r
@@ -608,8 +608,8 @@ int StrFormatPrintf(const char *fmt, va_list ap, char *buf, printfCb cb)
 #if PRINTF_FLOAT_ENABLE\r
                 if ((c == 'f') || (c == 'F'))\r
                 {\r
-                    fval = (double)va_arg(ap, double);\r
-                    vlen = ConvertFloatRadixNumToString(vstr, &fval, 10, precision_width);\r
+                    fval  = (double)va_arg(ap, double);\r
+                    vlen  = ConvertFloatRadixNumToString(vstr, &fval, 10, precision_width);\r
                     vstrp = &vstr[vlen];\r
 \r
 #if PRINTF_ADVANCED_ENABLE\r
@@ -684,7 +684,7 @@ int StrFormatPrintf(const char *fmt, va_list ap, char *buf, printfCb cb)
                     {\r
                         uval = (uint32_t)va_arg(ap, uint32_t);\r
                     }\r
-                    vlen = ConvertRadixNumToString(vstr, &uval, false, 16, use_caps);\r
+                    vlen  = ConvertRadixNumToString(vstr, &uval, false, 16, use_caps);\r
                     vstrp = &vstr[vlen];\r
 \r
 #if PRINTF_ADVANCED_ENABLE\r
@@ -756,7 +756,7 @@ int StrFormatPrintf(const char *fmt, va_list ap, char *buf, printfCb cb)
                         radix = 10;\r
                     }\r
 \r
-                    vlen = ConvertRadixNumToString(vstr, &uval, false, radix, use_caps);\r
+                    vlen  = ConvertRadixNumToString(vstr, &uval, false, radix, use_caps);\r
                     vstrp = &vstr[vlen];\r
 #if PRINTF_ADVANCED_ENABLE\r
                     if (flags_used & kPRINTF_Zero)\r
@@ -932,9 +932,9 @@ int StrFormatScanf(const char *line_ptr, char *format, va_list args_ptr)
             /* convernsion specification */\r
             c++;\r
             /* Reset. */\r
-            flag = 0;\r
+            flag        = 0;\r
             field_width = 0;\r
-            base = 0;\r
+            base        = 0;\r
 \r
             /* Loop to get full conversion specification. */\r
             while ((*c) && (!(flag & kSCANF_DestMask)))\r
@@ -1093,7 +1093,7 @@ int StrFormatScanf(const char *line_ptr, char *format, va_list args_ptr)
             switch (flag & kSCANF_DestMask)\r
             {\r
                 case kSCANF_DestChar:\r
-                    s = (const char *)p;\r
+                    s   = (const char *)p;\r
                     buf = va_arg(args_ptr, char *);\r
                     while ((field_width--) && (*p))\r
                     {\r
@@ -1115,7 +1115,7 @@ int StrFormatScanf(const char *line_ptr, char *format, va_list args_ptr)
                     break;\r
                 case kSCANF_DestString:\r
                     n_decode += ScanIgnoreWhiteSpace(&p);\r
-                    s = p;\r
+                    s   = p;\r
                     buf = va_arg(args_ptr, char *);\r
                     while ((field_width--) && (*p != '\0') && (*p != ' ') && (*p != '\t') && (*p != '\n') &&\r
                            (*p != '\r') && (*p != '\v') && (*p != '\f'))\r
@@ -1140,7 +1140,7 @@ int StrFormatScanf(const char *line_ptr, char *format, va_list args_ptr)
                     break;\r
                 case kSCANF_DestInt:\r
                     n_decode += ScanIgnoreWhiteSpace(&p);\r
-                    s = p;\r
+                    s   = p;\r
                     val = 0;\r
                     if ((base == 0) || (base == 16))\r
                     {\r
index 59ab6f5281fe02d1810126a74b9adc7df57958ee..95d4ec0c0ca1f8b9a3885a2aad7552eae693d996 100644 (file)
@@ -1,8 +1,8 @@
 <?xml version="1.0" encoding="UTF-8" standalone="no"?>\r
 <?fileVersion 4.0.0?><cproject storage_type_id="org.eclipse.cdt.core.XmlProjectDescriptionStorage">\r
        <storageModule moduleId="org.eclipse.cdt.core.settings">\r
-               <cconfiguration id="com.crt.advproject.config.exe.debug.2010168299">\r
-                       <storageModule buildSystemId="org.eclipse.cdt.managedbuilder.core.configurationDataProvider" id="com.crt.advproject.config.exe.debug.2010168299" moduleId="org.eclipse.cdt.core.settings" name="Debug">\r
+               <cconfiguration id="com.crt.advproject.config.exe.debug.1816356717">\r
+                       <storageModule buildSystemId="org.eclipse.cdt.managedbuilder.core.configurationDataProvider" id="com.crt.advproject.config.exe.debug.1816356717" moduleId="org.eclipse.cdt.core.settings" name="Debug">\r
                                <externalSettings/>\r
                                <extensions>\r
                                        <extension id="org.eclipse.cdt.core.ELF" point="org.eclipse.cdt.core.BinaryParser"/>\r
                                </extensions>\r
                        </storageModule>\r
                        <storageModule moduleId="cdtBuildSystem" version="4.0.0">\r
-                               <configuration artifactExtension="axf" artifactName="${ProjName}" buildArtefactType="org.eclipse.cdt.build.core.buildArtefactType.exe" buildProperties="org.eclipse.cdt.build.core.buildArtefactType=org.eclipse.cdt.build.core.buildArtefactType.exe" cleanCommand="rm -rf" description="Debug build" errorParsers="org.eclipse.cdt.core.CWDLocator;org.eclipse.cdt.core.GmakeErrorParser;org.eclipse.cdt.core.GCCErrorParser;org.eclipse.cdt.core.GLDErrorParser;org.eclipse.cdt.core.GASErrorParser" id="com.crt.advproject.config.exe.debug.2010168299" name="Debug" parent="com.crt.advproject.config.exe.debug" postannouncebuildStep="Performing post-build steps" postbuildStep="arm-none-eabi-size &quot;${BuildArtifactFileName}&quot;; # arm-none-eabi-objcopy -v -O binary &quot;${BuildArtifactFileName}&quot; &quot;${BuildArtifactFileBaseName}.bin&quot; ; # checksum -p ${TargetChip} -d &quot;${BuildArtifactFileBaseName}.bin&quot;;  ">\r
-                                       <folderInfo id="com.crt.advproject.config.exe.debug.2010168299." name="/" resourcePath="">\r
-                                               <toolChain id="com.crt.advproject.toolchain.exe.debug.787246689" name="NXP MCU Tools" superClass="com.crt.advproject.toolchain.exe.debug">\r
-                                                       <targetPlatform binaryParser="org.eclipse.cdt.core.ELF;org.eclipse.cdt.core.GNU_ELF" id="com.crt.advproject.platform.exe.debug.1345126628" name="ARM-based MCU (Debug)" superClass="com.crt.advproject.platform.exe.debug"/>\r
-                                                       <builder buildPath="${workspace_loc:/FreeRTOSDemo_ns}/Debug" id="com.crt.advproject.builder.exe.debug.925217599" keepEnvironmentInBuildfile="false" managedBuildOn="true" name="Gnu Make Builder" superClass="com.crt.advproject.builder.exe.debug"/>\r
-                                                       <tool id="com.crt.advproject.cpp.exe.debug.1924104427" name="MCU C++ Compiler" superClass="com.crt.advproject.cpp.exe.debug">\r
-                                                               <option id="com.crt.advproject.cpp.arch.353245063" name="Architecture" superClass="com.crt.advproject.cpp.arch" value="com.crt.advproject.cpp.target.cm33" valueType="enumerated"/>\r
-                                                               <option id="com.crt.advproject.cpp.fpu.586633102" name="Floating point" superClass="com.crt.advproject.cpp.fpu" value="com.crt.advproject.cpp.fpu.none" valueType="enumerated"/>\r
-                                                               <option id="com.crt.advproject.cpp.misc.dialect.1717211219" name="Language standard" superClass="com.crt.advproject.cpp.misc.dialect"/>\r
-                                                               <option id="gnu.cpp.compiler.option.dialect.flags.618521324" name="Other dialect flags" superClass="gnu.cpp.compiler.option.dialect.flags"/>\r
-                                                               <option id="gnu.cpp.compiler.option.preprocessor.nostdinc.1282970730" name="Do not search system directories (-nostdinc)" superClass="gnu.cpp.compiler.option.preprocessor.nostdinc"/>\r
-                                                               <option id="gnu.cpp.compiler.option.preprocessor.preprocess.1411488931" name="Preprocess only (-E)" superClass="gnu.cpp.compiler.option.preprocessor.preprocess"/>\r
-                                                               <option id="gnu.cpp.compiler.option.preprocessor.def.1398648068" name="Defined symbols (-D)" superClass="gnu.cpp.compiler.option.preprocessor.def" valueType="definedSymbols">\r
+                               <configuration artifactExtension="axf" artifactName="${ProjName}" buildArtefactType="org.eclipse.cdt.build.core.buildArtefactType.exe" buildProperties="org.eclipse.cdt.build.core.buildArtefactType=org.eclipse.cdt.build.core.buildArtefactType.exe" cleanCommand="rm -rf" description="Debug build" errorParsers="org.eclipse.cdt.core.CWDLocator;org.eclipse.cdt.core.GmakeErrorParser;org.eclipse.cdt.core.GCCErrorParser;org.eclipse.cdt.core.GLDErrorParser;org.eclipse.cdt.core.GASErrorParser" id="com.crt.advproject.config.exe.debug.1816356717" name="Debug" parent="com.crt.advproject.config.exe.debug" postannouncebuildStep="Performing post-build steps" postbuildStep="arm-none-eabi-size &quot;${BuildArtifactFileName}&quot;; # arm-none-eabi-objcopy -v -O binary &quot;${BuildArtifactFileName}&quot; &quot;${BuildArtifactFileBaseName}.bin&quot; ; # checksum -p ${TargetChip} -d &quot;${BuildArtifactFileBaseName}.bin&quot;;  ">\r
+                                       <folderInfo id="com.crt.advproject.config.exe.debug.1816356717." name="/" resourcePath="">\r
+                                               <toolChain id="com.crt.advproject.toolchain.exe.debug.687246870" name="NXP MCU Tools" superClass="com.crt.advproject.toolchain.exe.debug">\r
+                                                       <targetPlatform binaryParser="org.eclipse.cdt.core.ELF;org.eclipse.cdt.core.GNU_ELF" id="com.crt.advproject.platform.exe.debug.394628716" name="ARM-based MCU (Debug)" superClass="com.crt.advproject.platform.exe.debug"/>\r
+                                                       <builder buildPath="${workspace_loc:/FreeRTOSDemo_ns}/Debug" id="com.crt.advproject.builder.exe.debug.823110439" keepEnvironmentInBuildfile="false" managedBuildOn="true" name="Gnu Make Builder" superClass="com.crt.advproject.builder.exe.debug"/>\r
+                                                       <tool id="com.crt.advproject.cpp.exe.debug.16592851" name="MCU C++ Compiler" superClass="com.crt.advproject.cpp.exe.debug">\r
+                                                               <option id="com.crt.advproject.cpp.hdrlib.927437509" name="Library headers" superClass="com.crt.advproject.cpp.hdrlib" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.cpp.fpu.2121113375" name="Floating point" superClass="com.crt.advproject.cpp.fpu" useByScannerDiscovery="true" value="com.crt.advproject.cpp.fpu.fpv5sp.hard" valueType="enumerated"/>\r
+                                                               <option id="com.crt.advproject.cpp.arch.1965875744" name="Architecture" superClass="com.crt.advproject.cpp.arch" useByScannerDiscovery="true" value="com.crt.advproject.cpp.target.cm33" valueType="enumerated"/>\r
+                                                               <option id="com.crt.advproject.cpp.misc.dialect.109619625" name="Language standard" superClass="com.crt.advproject.cpp.misc.dialect" useByScannerDiscovery="true"/>\r
+                                                               <option id="gnu.cpp.compiler.option.dialect.flags.1817883892" name="Other dialect flags" superClass="gnu.cpp.compiler.option.dialect.flags" useByScannerDiscovery="true"/>\r
+                                                               <option id="gnu.cpp.compiler.option.preprocessor.nostdinc.136227036" name="Do not search system directories (-nostdinc)" superClass="gnu.cpp.compiler.option.preprocessor.nostdinc" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.cpp.compiler.option.preprocessor.preprocess.1027933856" name="Preprocess only (-E)" superClass="gnu.cpp.compiler.option.preprocessor.preprocess" useByScannerDiscovery="false"/>\r
+                                                               <option IS_BUILTIN_EMPTY="false" IS_VALUE_EMPTY="false" id="gnu.cpp.compiler.option.preprocessor.def.832218581" name="Defined symbols (-D)" superClass="gnu.cpp.compiler.option.preprocessor.def" useByScannerDiscovery="false" valueType="definedSymbols">\r
                                                                        <listOptionValue builtIn="false" value="__NEWLIB__"/>\r
-                                                                       <listOptionValue builtIn="false" value="__MULTICORE_MASTER"/>\r
                                                                </option>\r
-                                                               <option id="gnu.cpp.compiler.option.preprocessor.undef.1378734716" name="Undefined symbols (-U)" superClass="gnu.cpp.compiler.option.preprocessor.undef"/>\r
-                                                               <option id="gnu.cpp.compiler.option.include.paths.792940257" name="Include paths (-I)" superClass="gnu.cpp.compiler.option.include.paths"/>\r
-                                                               <option id="gnu.cpp.compiler.option.include.files.1517650332" name="Include files (-include)" superClass="gnu.cpp.compiler.option.include.files"/>\r
-                                                               <option id="com.crt.advproject.cpp.exe.debug.option.optimization.level.2098601446" name="Optimization Level" superClass="com.crt.advproject.cpp.exe.debug.option.optimization.level"/>\r
-                                                               <option id="gnu.cpp.compiler.option.optimization.flags.1620205440" name="Other optimization flags" superClass="gnu.cpp.compiler.option.optimization.flags" value="-fno-common" valueType="string"/>\r
-                                                               <option id="com.crt.advproject.cpp.exe.debug.option.debugging.level.1251261189" name="Debug Level" superClass="com.crt.advproject.cpp.exe.debug.option.debugging.level"/>\r
-                                                               <option id="gnu.cpp.compiler.option.debugging.other.447994814" name="Other debugging flags" superClass="gnu.cpp.compiler.option.debugging.other"/>\r
-                                                               <option id="gnu.cpp.compiler.option.debugging.prof.774384802" name="Generate prof information (-p)" superClass="gnu.cpp.compiler.option.debugging.prof"/>\r
-                                                               <option id="gnu.cpp.compiler.option.debugging.gprof.376961628" name="Generate gprof information (-pg)" superClass="gnu.cpp.compiler.option.debugging.gprof"/>\r
-                                                               <option id="gnu.cpp.compiler.option.debugging.codecov.1061804954" name="Generate gcov information (-ftest-coverage -fprofile-arcs)" superClass="gnu.cpp.compiler.option.debugging.codecov"/>\r
-                                                               <option id="gnu.cpp.compiler.option.warnings.syntax.550086574" name="Check syntax only (-fsyntax-only)" superClass="gnu.cpp.compiler.option.warnings.syntax"/>\r
-                                                               <option id="gnu.cpp.compiler.option.warnings.pedantic.304995303" name="Pedantic (-pedantic)" superClass="gnu.cpp.compiler.option.warnings.pedantic"/>\r
-                                                               <option id="gnu.cpp.compiler.option.warnings.pedantic.error.1759667864" name="Pedantic warnings as errors (-pedantic-errors)" superClass="gnu.cpp.compiler.option.warnings.pedantic.error"/>\r
-                                                               <option id="gnu.cpp.compiler.option.warnings.nowarn.478714967" name="Inhibit all warnings (-w)" superClass="gnu.cpp.compiler.option.warnings.nowarn"/>\r
-                                                               <option id="gnu.cpp.compiler.option.warnings.allwarn.785783631" name="All warnings (-Wall)" superClass="gnu.cpp.compiler.option.warnings.allwarn"/>\r
-                                                               <option id="gnu.cpp.compiler.option.warnings.extrawarn.428019832" name="Extra warnings (-Wextra)" superClass="gnu.cpp.compiler.option.warnings.extrawarn"/>\r
-                                                               <option id="gnu.cpp.compiler.option.warnings.toerrors.425119662" name="Warnings as errors (-Werror)" superClass="gnu.cpp.compiler.option.warnings.toerrors"/>\r
-                                                               <option id="gnu.cpp.compiler.option.warnings.wconversion.1956067100" name="Implicit conversion warnings (-Wconversion)" superClass="gnu.cpp.compiler.option.warnings.wconversion"/>\r
-                                                               <option id="gnu.cpp.compiler.option.other.other.195519506" name="Other flags" superClass="gnu.cpp.compiler.option.other.other"/>\r
-                                                               <option id="gnu.cpp.compiler.option.other.verbose.1487242278" name="Verbose (-v)" superClass="gnu.cpp.compiler.option.other.verbose"/>\r
-                                                               <option id="gnu.cpp.compiler.option.other.pic.1513250492" name="Position Independent Code (-fPIC)" superClass="gnu.cpp.compiler.option.other.pic"/>\r
-                                                               <option id="com.crt.advproject.cpp.lto.1040766548" name="Enable Link-time optimization (-flto)" superClass="com.crt.advproject.cpp.lto"/>\r
-                                                               <option id="com.crt.advproject.cpp.lto.fat.788901363" name="Fat lto objects (-ffat-lto-objects)" superClass="com.crt.advproject.cpp.lto.fat"/>\r
-                                                               <option id="com.crt.advproject.cpp.thumb.1454525634" name="Thumb mode" superClass="com.crt.advproject.cpp.thumb"/>\r
-                                                               <option id="com.crt.advproject.cpp.thumbinterwork.1500016198" name="Enable Thumb interworking" superClass="com.crt.advproject.cpp.thumbinterwork"/>\r
-                                                               <option id="com.crt.advproject.cpp.securestate.783562416" name="TrustZone Project Type" superClass="com.crt.advproject.cpp.securestate"/>\r
-                                                               <option id="com.crt.advproject.cpp.hdrlib.899686884" name="Library headers" superClass="com.crt.advproject.cpp.hdrlib"/>\r
-                                                               <option id="com.crt.advproject.cpp.specs.421316508" name="Specs" superClass="com.crt.advproject.cpp.specs" value="com.crt.advproject.cpp.specs.newlibnano" valueType="enumerated"/>\r
-                                                               <option id="com.crt.advproject.cpp.config.688540226" name="Obsolete (Config)" superClass="com.crt.advproject.cpp.config"/>\r
-                                                               <option id="com.crt.advproject.cpp.store.1231989370" name="Obsolete (Store)" superClass="com.crt.advproject.cpp.store"/>\r
+                                                               <option id="gnu.cpp.compiler.option.preprocessor.undef.2116547235" name="Undefined symbols (-U)" superClass="gnu.cpp.compiler.option.preprocessor.undef" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.cpp.compiler.option.include.paths.584592284" name="Include paths (-I)" superClass="gnu.cpp.compiler.option.include.paths" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.cpp.compiler.option.include.files.441452009" name="Include files (-include)" superClass="gnu.cpp.compiler.option.include.files" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.cpp.exe.debug.option.optimization.level.1082620849" name="Optimization Level" superClass="com.crt.advproject.cpp.exe.debug.option.optimization.level" useByScannerDiscovery="true"/>\r
+                                                               <option id="gnu.cpp.compiler.option.optimization.flags.1591995299" name="Other optimization flags" superClass="gnu.cpp.compiler.option.optimization.flags" useByScannerDiscovery="false" value="-fno-common" valueType="string"/>\r
+                                                               <option id="com.crt.advproject.cpp.exe.debug.option.debugging.level.721546234" name="Debug Level" superClass="com.crt.advproject.cpp.exe.debug.option.debugging.level" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.cpp.compiler.option.debugging.other.1244316234" name="Other debugging flags" superClass="gnu.cpp.compiler.option.debugging.other" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.cpp.compiler.option.debugging.prof.1410621273" name="Generate prof information (-p)" superClass="gnu.cpp.compiler.option.debugging.prof" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.cpp.compiler.option.debugging.gprof.808252108" name="Generate gprof information (-pg)" superClass="gnu.cpp.compiler.option.debugging.gprof" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.cpp.compiler.option.debugging.codecov.184043211" name="Generate gcov information (-ftest-coverage -fprofile-arcs)" superClass="gnu.cpp.compiler.option.debugging.codecov" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.cpp.compiler.option.warnings.syntax.1967933896" name="Check syntax only (-fsyntax-only)" superClass="gnu.cpp.compiler.option.warnings.syntax" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.cpp.compiler.option.warnings.pedantic.2053308415" name="Pedantic (-pedantic)" superClass="gnu.cpp.compiler.option.warnings.pedantic" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.cpp.compiler.option.warnings.pedantic.error.1186380958" name="Pedantic warnings as errors (-pedantic-errors)" superClass="gnu.cpp.compiler.option.warnings.pedantic.error" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.cpp.compiler.option.warnings.nowarn.1301904901" name="Inhibit all warnings (-w)" superClass="gnu.cpp.compiler.option.warnings.nowarn" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.cpp.compiler.option.warnings.allwarn.1850933202" name="All warnings (-Wall)" superClass="gnu.cpp.compiler.option.warnings.allwarn" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.cpp.compiler.option.warnings.extrawarn.1622437713" name="Extra warnings (-Wextra)" superClass="gnu.cpp.compiler.option.warnings.extrawarn" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.cpp.compiler.option.warnings.toerrors.18998767" name="Warnings as errors (-Werror)" superClass="gnu.cpp.compiler.option.warnings.toerrors" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.cpp.compiler.option.warnings.wconversion.283268607" name="Implicit conversion warnings (-Wconversion)" superClass="gnu.cpp.compiler.option.warnings.wconversion" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.cpp.compiler.option.other.other.289482873" name="Other flags" superClass="gnu.cpp.compiler.option.other.other" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.cpp.compiler.option.other.verbose.1938881722" name="Verbose (-v)" superClass="gnu.cpp.compiler.option.other.verbose" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.cpp.compiler.option.other.pic.827686209" name="Position Independent Code (-fPIC)" superClass="gnu.cpp.compiler.option.other.pic" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.cpp.lto.1330713917" name="Enable Link-time optimization (-flto)" superClass="com.crt.advproject.cpp.lto" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.cpp.lto.fat.1273114385" name="Fat lto objects (-ffat-lto-objects)" superClass="com.crt.advproject.cpp.lto.fat" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.cpp.merge.constants.1152201652" name="Merge Identical Constants (-fmerge-constants)" superClass="com.crt.advproject.cpp.merge.constants" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.cpp.prefixmap.1957450959" name="Remove path from __FILE__ (-fmacro-prefix-map)" superClass="com.crt.advproject.cpp.prefixmap" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.cpp.thumb.1251006915" name="Thumb mode" superClass="com.crt.advproject.cpp.thumb" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.cpp.thumbinterwork.287670895" name="Enable Thumb interworking" superClass="com.crt.advproject.cpp.thumbinterwork" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.cpp.securestate.161345870" name="TrustZone Project Type" superClass="com.crt.advproject.cpp.securestate" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.cpp.specs.1341484116" name="Specs" superClass="com.crt.advproject.cpp.specs" useByScannerDiscovery="false" value="com.crt.advproject.cpp.specs.newlibnano" valueType="enumerated"/>\r
+                                                               <option id="com.crt.advproject.cpp.stackusage.819906245" name="Generate Stack Usage Info (-fstack-usage)" superClass="com.crt.advproject.cpp.stackusage" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.cpp.config.1782738005" name="Obsolete (Config)" superClass="com.crt.advproject.cpp.config" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.cpp.store.920996064" name="Obsolete (Store)" superClass="com.crt.advproject.cpp.store" useByScannerDiscovery="false"/>\r
                                                        </tool>\r
-                                                       <tool id="com.crt.advproject.gcc.exe.debug.2125891879" name="MCU C Compiler" superClass="com.crt.advproject.gcc.exe.debug">\r
-                                                               <option id="com.crt.advproject.gcc.thumb.965008289" name="Thumb mode" superClass="com.crt.advproject.gcc.thumb" useByScannerDiscovery="false" value="true" valueType="boolean"/>\r
-                                                               <option id="com.crt.advproject.gcc.arch.1476198791" name="Architecture" superClass="com.crt.advproject.gcc.arch" useByScannerDiscovery="false" value="com.crt.advproject.gcc.target.cm33" valueType="enumerated"/>\r
-                                                               <option id="com.crt.advproject.c.misc.dialect.252366849" name="Language standard" superClass="com.crt.advproject.c.misc.dialect" useByScannerDiscovery="true" value="com.crt.advproject.misc.dialect.gnu99" valueType="enumerated"/>\r
-                                                               <option id="gnu.c.compiler.option.dialect.flags.570277026" name="Other dialect flags" superClass="gnu.c.compiler.option.dialect.flags" useByScannerDiscovery="true"/>\r
-                                                               <option id="gnu.c.compiler.option.preprocessor.nostdinc.630963222" name="Do not search system directories (-nostdinc)" superClass="gnu.c.compiler.option.preprocessor.nostdinc" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.compiler.option.preprocessor.preprocess.1674493785" name="Preprocess only (-E)" superClass="gnu.c.compiler.option.preprocessor.preprocess" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.compiler.option.preprocessor.def.symbols.323677652" name="Defined symbols (-D)" superClass="gnu.c.compiler.option.preprocessor.def.symbols" useByScannerDiscovery="false" valueType="definedSymbols">\r
+                                                       <tool id="com.crt.advproject.gcc.exe.debug.668201610" name="MCU C Compiler" superClass="com.crt.advproject.gcc.exe.debug">\r
+                                                               <option id="com.crt.advproject.gcc.hdrlib.1295245812" name="Library headers" superClass="com.crt.advproject.gcc.hdrlib" useByScannerDiscovery="false" value="com.crt.advproject.gcc.hdrlib.newlibnano" valueType="enumerated"/>\r
+                                                               <option IS_BUILTIN_EMPTY="false" IS_VALUE_EMPTY="false" id="gnu.c.compiler.option.preprocessor.def.symbols.375489430" name="Defined symbols (-D)" superClass="gnu.c.compiler.option.preprocessor.def.symbols" useByScannerDiscovery="false" valueType="definedSymbols">\r
                                                                        <listOptionValue builtIn="false" value="CPU_LPC55S69JBD100_cm33_core0"/>\r
                                                                        <listOptionValue builtIn="false" value="ARM_MATH_CM33"/>\r
+                                                                       <listOptionValue builtIn="false" value="SERIAL_PORT_TYPE_UART=1"/>\r
                                                                        <listOptionValue builtIn="false" value="CPU_LPC55S69JBD100"/>\r
                                                                        <listOptionValue builtIn="false" value="CPU_LPC55S69JBD100_cm33"/>\r
                                                                        <listOptionValue builtIn="false" value="SDK_DEBUGCONSOLE=0"/>\r
                                                                        <listOptionValue builtIn="false" value="__USE_CMSIS"/>\r
                                                                        <listOptionValue builtIn="false" value="DEBUG"/>\r
                                                                        <listOptionValue builtIn="false" value="__NEWLIB__"/>\r
-                                                                       <listOptionValue builtIn="false" value="__MULTICORE_MASTER"/>\r
                                                                </option>\r
-                                                               <option id="gnu.c.compiler.option.preprocessor.undef.symbol.969437818" name="Undefined symbols (-U)" superClass="gnu.c.compiler.option.preprocessor.undef.symbol" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.compiler.option.include.paths.234987709" name="Include paths (-I)" superClass="gnu.c.compiler.option.include.paths" useByScannerDiscovery="false" valueType="includePath">\r
+                                                               <option id="com.crt.advproject.gcc.fpu.113217566" name="Floating point" superClass="com.crt.advproject.gcc.fpu" useByScannerDiscovery="true" value="com.crt.advproject.gcc.fpu.fpv5sp.hard" valueType="enumerated"/>\r
+                                                               <option id="com.crt.advproject.gcc.thumb.373895709" name="Thumb mode" superClass="com.crt.advproject.gcc.thumb" useByScannerDiscovery="false" value="true" valueType="boolean"/>\r
+                                                               <option id="com.crt.advproject.gcc.arch.731462357" name="Architecture" superClass="com.crt.advproject.gcc.arch" useByScannerDiscovery="true" value="com.crt.advproject.gcc.target.cm33" valueType="enumerated"/>\r
+                                                               <option id="com.crt.advproject.c.misc.dialect.1768015672" name="Language standard" superClass="com.crt.advproject.c.misc.dialect" useByScannerDiscovery="true" value="com.crt.advproject.misc.dialect.gnu99" valueType="enumerated"/>\r
+                                                               <option id="gnu.c.compiler.option.dialect.flags.1570910779" name="Other dialect flags" superClass="gnu.c.compiler.option.dialect.flags" useByScannerDiscovery="true"/>\r
+                                                               <option id="gnu.c.compiler.option.preprocessor.nostdinc.1367228415" name="Do not search system directories (-nostdinc)" superClass="gnu.c.compiler.option.preprocessor.nostdinc" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.compiler.option.preprocessor.preprocess.452552003" name="Preprocess only (-E)" superClass="gnu.c.compiler.option.preprocessor.preprocess" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.compiler.option.preprocessor.undef.symbol.165717023" name="Undefined symbols (-U)" superClass="gnu.c.compiler.option.preprocessor.undef.symbol" useByScannerDiscovery="false"/>\r
+                                                               <option IS_BUILTIN_EMPTY="false" IS_VALUE_EMPTY="false" id="gnu.c.compiler.option.include.paths.1526523725" name="Include paths (-I)" superClass="gnu.c.compiler.option.include.paths" useByScannerDiscovery="false" valueType="includePath">\r
                                                                        <listOptionValue builtIn="false" value="../../Config"/>\r
                                                                        <listOptionValue builtIn="false" value="../../../../NXP_Code"/>\r
                                                                        <listOptionValue builtIn="false" value="../../../../NXP_Code/board"/>\r
                                                                        <listOptionValue builtIn="false" value="../../../../../../Source/portable/GCC/ARM_CM33/secure"/>\r
                                                                        <listOptionValue builtIn="false" value="../../../../../../Source/portable/GCC/ARM_CM33/non_secure"/>\r
                                                                </option>\r
-                                                               <option id="gnu.c.compiler.option.include.files.437510780" name="Include files (-include)" superClass="gnu.c.compiler.option.include.files" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.gcc.exe.debug.option.optimization.level.1768429327" name="Optimization Level" superClass="com.crt.advproject.gcc.exe.debug.option.optimization.level" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.compiler.option.optimization.flags.579799436" name="Other optimization flags" superClass="gnu.c.compiler.option.optimization.flags" useByScannerDiscovery="false" value="-fno-common" valueType="string"/>\r
-                                                               <option id="com.crt.advproject.gcc.exe.debug.option.debugging.level.1523663153" name="Debug Level" superClass="com.crt.advproject.gcc.exe.debug.option.debugging.level" useByScannerDiscovery="false" value="gnu.c.debugging.level.default" valueType="enumerated"/>\r
-                                                               <option id="gnu.c.compiler.option.debugging.other.2026304600" name="Other debugging flags" superClass="gnu.c.compiler.option.debugging.other" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.compiler.option.debugging.prof.1473773108" name="Generate prof information (-p)" superClass="gnu.c.compiler.option.debugging.prof" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.compiler.option.debugging.gprof.1527743617" name="Generate gprof information (-pg)" superClass="gnu.c.compiler.option.debugging.gprof" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.compiler.option.debugging.codecov.1112253044" name="Generate gcov information (-ftest-coverage -fprofile-arcs)" superClass="gnu.c.compiler.option.debugging.codecov" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.compiler.option.warnings.syntax.2140021358" name="Check syntax only (-fsyntax-only)" superClass="gnu.c.compiler.option.warnings.syntax" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.compiler.option.warnings.pedantic.1955038890" name="Pedantic (-pedantic)" superClass="gnu.c.compiler.option.warnings.pedantic" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.compiler.option.warnings.pedantic.error.1099376183" name="Pedantic warnings as errors (-pedantic-errors)" superClass="gnu.c.compiler.option.warnings.pedantic.error" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.compiler.option.warnings.nowarn.1684322773" name="Inhibit all warnings (-w)" superClass="gnu.c.compiler.option.warnings.nowarn" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.compiler.option.warnings.allwarn.1715532513" name="All warnings (-Wall)" superClass="gnu.c.compiler.option.warnings.allwarn" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.compiler.option.warnings.extrawarn.2088986043" name="Extra warnings (-Wextra)" superClass="gnu.c.compiler.option.warnings.extrawarn" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.compiler.option.warnings.toerrors.1797521682" name="Warnings as errors (-Werror)" superClass="gnu.c.compiler.option.warnings.toerrors" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.compiler.option.warnings.wconversion.106095817" name="Implicit conversion warnings (-Wconversion)" superClass="gnu.c.compiler.option.warnings.wconversion" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.compiler.option.misc.other.965859580" name="Other flags" superClass="gnu.c.compiler.option.misc.other" useByScannerDiscovery="false" value="-D __SEMIHOST_HARDFAULT_DISABLE  -c  -ffunction-sections  -fdata-sections  -ffreestanding  -fno-builtin  -mcpu=cortex-m33" valueType="string"/>\r
-                                                               <option id="gnu.c.compiler.option.misc.verbose.1088282792" name="Verbose (-v)" superClass="gnu.c.compiler.option.misc.verbose" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.compiler.option.misc.ansi.1552382128" name="Support ANSI programs (-ansi)" superClass="gnu.c.compiler.option.misc.ansi" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.compiler.option.misc.pic.1691520513" name="Position Independent Code (-fPIC)" superClass="gnu.c.compiler.option.misc.pic" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.gcc.lto.1734338491" name="Enable Link-time optimization (-flto)" superClass="com.crt.advproject.gcc.lto" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.gcc.lto.fat.1881970270" name="Fat lto objects (-ffat-lto-objects)" superClass="com.crt.advproject.gcc.lto.fat" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.gcc.fpu.10325344" name="Floating point" superClass="com.crt.advproject.gcc.fpu" useByScannerDiscovery="false" value="com.crt.advproject.gcc.fpu.fpv5sp.hard" valueType="enumerated"/>\r
-                                                               <option id="com.crt.advproject.gcc.thumbinterwork.539564272" name="Enable Thumb interworking" superClass="com.crt.advproject.gcc.thumbinterwork" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.gcc.securestate.267837298" name="TrustZone Project Type" superClass="com.crt.advproject.gcc.securestate" useByScannerDiscovery="false" value="com.crt.advproject.gcc.securestate.nonsecure" valueType="enumerated"/>\r
-                                                               <option id="com.crt.advproject.gcc.hdrlib.1097435701" name="Library headers" superClass="com.crt.advproject.gcc.hdrlib" useByScannerDiscovery="false" value="com.crt.advproject.gcc.hdrlib.newlibnano" valueType="enumerated"/>\r
-                                                               <option id="com.crt.advproject.gcc.specs.399849363" name="Specs" superClass="com.crt.advproject.gcc.specs" useByScannerDiscovery="false" value="com.crt.advproject.gcc.specs.newlibnano" valueType="enumerated"/>\r
-                                                               <option id="com.crt.advproject.gcc.config.1390484512" name="Obsolete (Config)" superClass="com.crt.advproject.gcc.config" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.gcc.store.206829365" name="Obsolete (Store)" superClass="com.crt.advproject.gcc.store" useByScannerDiscovery="false"/>\r
-                                                               <inputType id="com.crt.advproject.compiler.input.1907613044" superClass="com.crt.advproject.compiler.input"/>\r
+                                                               <option id="gnu.c.compiler.option.include.files.1373348907" name="Include files (-include)" superClass="gnu.c.compiler.option.include.files" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.gcc.exe.debug.option.optimization.level.210045118" name="Optimization Level" superClass="com.crt.advproject.gcc.exe.debug.option.optimization.level" useByScannerDiscovery="true"/>\r
+                                                               <option id="gnu.c.compiler.option.optimization.flags.558882092" name="Other optimization flags" superClass="gnu.c.compiler.option.optimization.flags" useByScannerDiscovery="false" value="-fno-common" valueType="string"/>\r
+                                                               <option id="com.crt.advproject.gcc.exe.debug.option.debugging.level.1244793785" name="Debug Level" superClass="com.crt.advproject.gcc.exe.debug.option.debugging.level" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.compiler.option.debugging.other.1800504298" name="Other debugging flags" superClass="gnu.c.compiler.option.debugging.other" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.compiler.option.debugging.prof.1919072669" name="Generate prof information (-p)" superClass="gnu.c.compiler.option.debugging.prof" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.compiler.option.debugging.gprof.1209377971" name="Generate gprof information (-pg)" superClass="gnu.c.compiler.option.debugging.gprof" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.compiler.option.debugging.codecov.1515906839" name="Generate gcov information (-ftest-coverage -fprofile-arcs)" superClass="gnu.c.compiler.option.debugging.codecov" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.compiler.option.warnings.syntax.1342027761" name="Check syntax only (-fsyntax-only)" superClass="gnu.c.compiler.option.warnings.syntax" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.compiler.option.warnings.pedantic.1845014972" name="Pedantic (-pedantic)" superClass="gnu.c.compiler.option.warnings.pedantic" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.compiler.option.warnings.pedantic.error.1880394024" name="Pedantic warnings as errors (-pedantic-errors)" superClass="gnu.c.compiler.option.warnings.pedantic.error" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.compiler.option.warnings.nowarn.709044875" name="Inhibit all warnings (-w)" superClass="gnu.c.compiler.option.warnings.nowarn" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.compiler.option.warnings.allwarn.132167549" name="All warnings (-Wall)" superClass="gnu.c.compiler.option.warnings.allwarn" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.compiler.option.warnings.extrawarn.2092282251" name="Extra warnings (-Wextra)" superClass="gnu.c.compiler.option.warnings.extrawarn" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.compiler.option.warnings.toerrors.450920068" name="Warnings as errors (-Werror)" superClass="gnu.c.compiler.option.warnings.toerrors" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.compiler.option.warnings.wconversion.2004751189" name="Implicit conversion warnings (-Wconversion)" superClass="gnu.c.compiler.option.warnings.wconversion" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.compiler.option.misc.other.1632149345" name="Other flags" superClass="gnu.c.compiler.option.misc.other" useByScannerDiscovery="false" value="-D __SEMIHOST_HARDFAULT_DISABLE  -mcpu=cortex-m33  -c  -ffunction-sections  -fdata-sections  -ffreestanding  -fno-builtin" valueType="string"/>\r
+                                                               <option id="gnu.c.compiler.option.misc.verbose.1260976686" name="Verbose (-v)" superClass="gnu.c.compiler.option.misc.verbose" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.compiler.option.misc.ansi.2112239632" name="Support ANSI programs (-ansi)" superClass="gnu.c.compiler.option.misc.ansi" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.compiler.option.misc.pic.1823673553" name="Position Independent Code (-fPIC)" superClass="gnu.c.compiler.option.misc.pic" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.gcc.lto.1371596296" name="Enable Link-time optimization (-flto)" superClass="com.crt.advproject.gcc.lto" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.gcc.lto.fat.1160767718" name="Fat lto objects (-ffat-lto-objects)" superClass="com.crt.advproject.gcc.lto.fat" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.gcc.merge.constants.856950811" name="Merge Identical Constants (-fmerge-constants)" superClass="com.crt.advproject.gcc.merge.constants" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.gcc.prefixmap.2057977178" name="Remove path from __FILE__ (-fmacro-prefix-map)" superClass="com.crt.advproject.gcc.prefixmap" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.gcc.thumbinterwork.1052319507" name="Enable Thumb interworking" superClass="com.crt.advproject.gcc.thumbinterwork" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.gcc.securestate.41900337" name="TrustZone Project Type" superClass="com.crt.advproject.gcc.securestate" useByScannerDiscovery="false" value="com.crt.advproject.gcc.securestate.nonsecure" valueType="enumerated"/>\r
+                                                               <option id="com.crt.advproject.gcc.specs.264369046" name="Specs" superClass="com.crt.advproject.gcc.specs" useByScannerDiscovery="false" value="com.crt.advproject.gcc.specs.newlibnano" valueType="enumerated"/>\r
+                                                               <option id="com.crt.advproject.gcc.stackusage.1518991359" name="Generate Stack Usage Info (-fstack-usage)" superClass="com.crt.advproject.gcc.stackusage" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.gcc.config.1738584932" name="Obsolete (Config)" superClass="com.crt.advproject.gcc.config" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.gcc.store.471763752" name="Obsolete (Store)" superClass="com.crt.advproject.gcc.store" useByScannerDiscovery="false"/>\r
+                                                               <inputType id="com.crt.advproject.compiler.input.2122011547" superClass="com.crt.advproject.compiler.input"/>\r
                                                        </tool>\r
-                                                       <tool id="com.crt.advproject.gas.exe.debug.1807699174" name="MCU Assembler" superClass="com.crt.advproject.gas.exe.debug">\r
-                                                               <option id="com.crt.advproject.gas.thumb.608507333" name="Thumb mode" superClass="com.crt.advproject.gas.thumb" useByScannerDiscovery="false" value="true" valueType="boolean"/>\r
-                                                               <option id="com.crt.advproject.gas.arch.308678360" name="Architecture" superClass="com.crt.advproject.gas.arch" useByScannerDiscovery="false" value="com.crt.advproject.gas.target.cm33" valueType="enumerated"/>\r
-                                                               <option id="gnu.both.asm.option.flags.crt.1159158977" name="Assembler flags" superClass="gnu.both.asm.option.flags.crt" useByScannerDiscovery="false" value="-c -x assembler-with-cpp -D__MULTICORE_NONE -D__NEWLIB__ -D__MULTICORE_MASTER" valueType="string"/>\r
-                                                               <option id="gnu.both.asm.option.include.paths.896278849" name="Include paths (-I)" superClass="gnu.both.asm.option.include.paths" useByScannerDiscovery="false" valueType="includePath">\r
+                                                       <tool id="com.crt.advproject.gas.exe.debug.1874965112" name="MCU Assembler" superClass="com.crt.advproject.gas.exe.debug">\r
+                                                               <option id="com.crt.advproject.gas.hdrlib.118125014" name="Library headers" superClass="com.crt.advproject.gas.hdrlib" useByScannerDiscovery="false" value="com.crt.advproject.gas.hdrlib.newlibnano" valueType="enumerated"/>\r
+                                                               <option id="com.crt.advproject.gas.fpu.128309934" name="Floating point" superClass="com.crt.advproject.gas.fpu" useByScannerDiscovery="false" value="com.crt.advproject.gas.fpu.fpv5sp.hard" valueType="enumerated"/>\r
+                                                               <option id="com.crt.advproject.gas.thumb.145512462" name="Thumb mode" superClass="com.crt.advproject.gas.thumb" useByScannerDiscovery="false" value="true" valueType="boolean"/>\r
+                                                               <option id="com.crt.advproject.gas.arch.759906182" name="Architecture" superClass="com.crt.advproject.gas.arch" useByScannerDiscovery="false" value="com.crt.advproject.gas.target.cm33" valueType="enumerated"/>\r
+                                                               <option id="gnu.both.asm.option.flags.crt.1108811587" name="Assembler flags" superClass="gnu.both.asm.option.flags.crt" useByScannerDiscovery="false" value="-c -x assembler-with-cpp -D__NEWLIB__" valueType="string"/>\r
+                                                               <option IS_BUILTIN_EMPTY="false" IS_VALUE_EMPTY="false" id="gnu.both.asm.option.include.paths.1748959149" name="Include paths (-I)" superClass="gnu.both.asm.option.include.paths" useByScannerDiscovery="false" valueType="includePath">\r
                                                                        <listOptionValue builtIn="false" value="../../../../NXP_Code"/>\r
                                                                        <listOptionValue builtIn="false" value="../../../../NXP_Code/board"/>\r
                                                                        <listOptionValue builtIn="false" value="../../../../NXP_Code/source"/>\r
                                                                        <listOptionValue builtIn="false" value="../../../../NXP_Code/component/uart"/>\r
                                                                        <listOptionValue builtIn="false" value="../../../../NXP_Code/component/lists"/>\r
                                                                </option>\r
-                                                               <option id="gnu.both.asm.option.warnings.nowarn.1285101065" name="Suppress warnings (-W)" superClass="gnu.both.asm.option.warnings.nowarn" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.both.asm.option.version.835816234" name="Announce version (-v)" superClass="gnu.both.asm.option.version" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.gas.exe.debug.option.debugging.level.1061250883" name="Debug level" superClass="com.crt.advproject.gas.exe.debug.option.debugging.level" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.gas.fpu.608690311" name="Floating point" superClass="com.crt.advproject.gas.fpu" useByScannerDiscovery="false" value="com.crt.advproject.gas.fpu.fpv5sp.hard" valueType="enumerated"/>\r
-                                                               <option id="com.crt.advproject.gas.thumbinterwork.1493287521" name="Enable Thumb interworking" superClass="com.crt.advproject.gas.thumbinterwork" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.gas.hdrlib.1533634203" name="Library headers" superClass="com.crt.advproject.gas.hdrlib" useByScannerDiscovery="false" value="com.crt.advproject.gas.hdrlib.newlibnano" valueType="enumerated"/>\r
-                                                               <option id="com.crt.advproject.gas.specs.1326998202" name="Specs" superClass="com.crt.advproject.gas.specs" useByScannerDiscovery="false" value="com.crt.advproject.gas.specs.newlibnano" valueType="enumerated"/>\r
-                                                               <option id="com.crt.advproject.gas.config.1663312126" name="Obsolete (Config)" superClass="com.crt.advproject.gas.config" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.gas.store.266529118" name="Obsolete (Store)" superClass="com.crt.advproject.gas.store" useByScannerDiscovery="false"/>\r
-                                                               <inputType id="cdt.managedbuild.tool.gnu.assembler.input.821437727" superClass="cdt.managedbuild.tool.gnu.assembler.input"/>\r
-                                                               <inputType id="com.crt.advproject.assembler.input.471848339" name="Additional Assembly Source Files" superClass="com.crt.advproject.assembler.input"/>\r
+                                                               <option id="gnu.both.asm.option.warnings.nowarn.372808486" name="Suppress warnings (-W)" superClass="gnu.both.asm.option.warnings.nowarn" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.both.asm.option.version.645744338" name="Announce version (-v)" superClass="gnu.both.asm.option.version" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.gas.exe.debug.option.debugging.level.783432891" name="Debug level" superClass="com.crt.advproject.gas.exe.debug.option.debugging.level" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.gas.thumbinterwork.1170773099" name="Enable Thumb interworking" superClass="com.crt.advproject.gas.thumbinterwork" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.gas.specs.1008997360" name="Specs" superClass="com.crt.advproject.gas.specs" useByScannerDiscovery="false" value="com.crt.advproject.gas.specs.newlibnano" valueType="enumerated"/>\r
+                                                               <option id="com.crt.advproject.gas.config.374669615" name="Obsolete (Config)" superClass="com.crt.advproject.gas.config" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.gas.store.2080318545" name="Obsolete (Store)" superClass="com.crt.advproject.gas.store" useByScannerDiscovery="false"/>\r
+                                                               <inputType id="cdt.managedbuild.tool.gnu.assembler.input.958015901" superClass="cdt.managedbuild.tool.gnu.assembler.input"/>\r
+                                                               <inputType id="com.crt.advproject.assembler.input.78260034" name="Additional Assembly Source Files" superClass="com.crt.advproject.assembler.input"/>\r
                                                        </tool>\r
-                                                       <tool id="com.crt.advproject.link.cpp.exe.debug.1147653393" name="MCU C++ Linker" superClass="com.crt.advproject.link.cpp.exe.debug">\r
-                                                               <option id="com.crt.advproject.link.cpp.arch.1307749135" name="Architecture" superClass="com.crt.advproject.link.cpp.arch" value="com.crt.advproject.link.cpp.target.cm33" valueType="enumerated"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.fpu.509305337" name="Floating point" superClass="com.crt.advproject.link.cpp.fpu" value="com.crt.advproject.link.cpp.fpu.none" valueType="enumerated"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.multicore.slave.490844545" name="Multicore configuration" superClass="com.crt.advproject.link.cpp.multicore.slave" value="Master" valueType="string"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.multicore.master.606727837" name="Multicore master" superClass="com.crt.advproject.link.cpp.multicore.master"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.multicore.master.userobjs.1693258959" name="Slave Objects (not visible)" superClass="com.crt.advproject.link.cpp.multicore.master.userobjs"/>\r
-                                                               <option id="gnu.cpp.link.option.nostart.1272506362" name="Do not use standard start files (-nostartfiles)" superClass="gnu.cpp.link.option.nostart"/>\r
-                                                               <option id="gnu.cpp.link.option.nodeflibs.139585234" name="Do not use default libraries (-nodefaultlibs)" superClass="gnu.cpp.link.option.nodeflibs"/>\r
-                                                               <option id="gnu.cpp.link.option.nostdlibs.38079103" name="No startup or default libs (-nostdlib)" superClass="gnu.cpp.link.option.nostdlibs" value="true" valueType="boolean"/>\r
-                                                               <option id="gnu.cpp.link.option.strip.1631059498" name="Omit all symbol information (-s)" superClass="gnu.cpp.link.option.strip"/>\r
-                                                               <option id="gnu.cpp.link.option.libs.1943248546" name="Libraries (-l)" superClass="gnu.cpp.link.option.libs" valueType="libs">\r
+                                                       <tool id="com.crt.advproject.link.cpp.exe.debug.289571390" name="MCU C++ Linker" superClass="com.crt.advproject.link.cpp.exe.debug">\r
+                                                               <option id="com.crt.advproject.link.cpp.hdrlib.761458105" name="Library" superClass="com.crt.advproject.link.cpp.hdrlib" value="com.crt.advproject.cpp.link.hdrlib.newlibnano.semihost" valueType="enumerated"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.fpu.516803663" name="Floating point" superClass="com.crt.advproject.link.cpp.fpu" value="com.crt.advproject.link.cpp.fpu.fpv5sp.hard" valueType="enumerated"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.arch.547736099" name="Architecture" superClass="com.crt.advproject.link.cpp.arch" value="com.crt.advproject.link.cpp.target.cm33" valueType="enumerated"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.multicore.slave.963724683" name="Multicore configuration" superClass="com.crt.advproject.link.cpp.multicore.slave"/>\r
+                                                               <option id="gnu.cpp.link.option.nostart.203223301" name="Do not use standard start files (-nostartfiles)" superClass="gnu.cpp.link.option.nostart"/>\r
+                                                               <option id="gnu.cpp.link.option.nodeflibs.1576475901" name="Do not use default libraries (-nodefaultlibs)" superClass="gnu.cpp.link.option.nodeflibs"/>\r
+                                                               <option id="gnu.cpp.link.option.nostdlibs.450902888" name="No startup or default libs (-nostdlib)" superClass="gnu.cpp.link.option.nostdlibs" value="true" valueType="boolean"/>\r
+                                                               <option id="gnu.cpp.link.option.strip.1334868006" name="Omit all symbol information (-s)" superClass="gnu.cpp.link.option.strip"/>\r
+                                                               <option IS_BUILTIN_EMPTY="false" IS_VALUE_EMPTY="false" id="gnu.cpp.link.option.libs.2003887429" name="Libraries (-l)" superClass="gnu.cpp.link.option.libs" valueType="libs">\r
                                                                        <listOptionValue builtIn="false" value="power_hardabi"/>\r
                                                                </option>\r
-                                                               <option id="gnu.cpp.link.option.paths.2128298034" name="Library search path (-L)" superClass="gnu.cpp.link.option.paths" valueType="libPaths">\r
-                                                                       <listOptionValue builtIn="false" value="&quot;${workspace_loc:/${ProjName}/NXP_Code/libs}&quot;"/>\r
+                                                               <option IS_BUILTIN_EMPTY="false" IS_VALUE_EMPTY="false" id="gnu.cpp.link.option.paths.23570905" name="Library search path (-L)" superClass="gnu.cpp.link.option.paths" valueType="libPaths">\r
+                                                                       <listOptionValue builtIn="false" value="&quot;${workspace_loc:/${ProjName}/libs}&quot;"/>\r
+                                                               </option>\r
+                                                               <option id="gnu.cpp.link.option.flags.643355076" name="Linker flags" superClass="gnu.cpp.link.option.flags"/>\r
+                                                               <option id="gnu.cpp.link.option.other.1864730901" name="Other options (-Xlinker [option])" superClass="gnu.cpp.link.option.other"/>\r
+                                                               <option id="gnu.cpp.link.option.userobjs.919507842" name="Other objects" superClass="gnu.cpp.link.option.userobjs"/>\r
+                                                               <option id="gnu.cpp.link.option.shared.2139290798" name="Shared (-shared)" superClass="gnu.cpp.link.option.shared"/>\r
+                                                               <option id="gnu.cpp.link.option.soname.1629033788" name="Shared object name (-Wl,-soname=)" superClass="gnu.cpp.link.option.soname"/>\r
+                                                               <option id="gnu.cpp.link.option.implname.88356187" name="Import Library name (-Wl,--out-implib=)" superClass="gnu.cpp.link.option.implname"/>\r
+                                                               <option id="gnu.cpp.link.option.defname.1415110156" name="DEF file name (-Wl,--output-def=)" superClass="gnu.cpp.link.option.defname"/>\r
+                                                               <option id="gnu.cpp.link.option.debugging.prof.1249932051" name="Generate prof information (-p)" superClass="gnu.cpp.link.option.debugging.prof"/>\r
+                                                               <option id="gnu.cpp.link.option.debugging.gprof.1662887038" name="Generate gprof information (-pg)" superClass="gnu.cpp.link.option.debugging.gprof"/>\r
+                                                               <option id="gnu.cpp.link.option.debugging.codecov.307001916" name="Generate gcov information (-ftest-coverage -fprofile-arcs)" superClass="gnu.cpp.link.option.debugging.codecov"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.lto.846655702" name="Enable Link-time optimization (-flto)" superClass="com.crt.advproject.link.cpp.lto"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.lto.optmization.level.1912019212" name="Link-time optimization level" superClass="com.crt.advproject.link.cpp.lto.optmization.level"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.thumb.51829826" name="Thumb mode" superClass="com.crt.advproject.link.cpp.thumb"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.manage.359524838" name="Manage linker script" superClass="com.crt.advproject.link.cpp.manage"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.script.1696226932" name="Linker script" superClass="com.crt.advproject.link.cpp.script"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.scriptdir.840953289" name="Script path" superClass="com.crt.advproject.link.cpp.scriptdir"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.crpenable.226379752" name="Enable automatic placement of Code Read Protection field in image" superClass="com.crt.advproject.link.cpp.crpenable"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.flashconfigenable.1038542808" name="Enable automatic placement of Flash Configuration field in image" superClass="com.crt.advproject.link.cpp.flashconfigenable" value="true" valueType="boolean"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.ecrp.2016831572" name="Enhanced CRP" superClass="com.crt.advproject.link.cpp.ecrp"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.nanofloat.1077330054" name="Enable printf float " superClass="com.crt.advproject.link.cpp.nanofloat"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.nanofloat.scanf.1122312530" name="Enable scanf float " superClass="com.crt.advproject.link.cpp.nanofloat.scanf"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.toram.80791425" name="Link application to RAM" superClass="com.crt.advproject.link.cpp.toram"/>\r
+                                                               <option id="com.crt.advproject.link.memory.load.image.cpp.172706060" name="Plain load image" superClass="com.crt.advproject.link.memory.load.image.cpp"/>\r
+                                                               <option id="com.crt.advproject.link.memory.heapAndStack.style.cpp.214311762" name="Heap and Stack placement" superClass="com.crt.advproject.link.memory.heapAndStack.style.cpp"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.stackOffset.589725713" name="Stack offset" superClass="com.crt.advproject.link.cpp.stackOffset"/>\r
+                                                               <option id="com.crt.advproject.link.memory.heapAndStack.cpp.1556303577" name="Heap and Stack options" superClass="com.crt.advproject.link.memory.heapAndStack.cpp"/>\r
+                                                               <option id="com.crt.advproject.link.memory.data.cpp.935814224" name="Global data placement" superClass="com.crt.advproject.link.memory.data.cpp"/>\r
+                                                               <option id="com.crt.advproject.link.memory.sections.cpp.348628765" name="Extra linker script input sections" superClass="com.crt.advproject.link.memory.sections.cpp"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.multicore.master.1202130956" name="Multicore master" superClass="com.crt.advproject.link.cpp.multicore.master"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.multicore.empty.163614454" name="No Multicore options for this project" superClass="com.crt.advproject.link.cpp.multicore.empty"/>\r
+                                                               <option IS_BUILTIN_EMPTY="false" IS_VALUE_EMPTY="false" id="com.crt.advproject.link.cpp.multicore.master.userobjs.1885372871" name="Slave Objects (not visible)" superClass="com.crt.advproject.link.cpp.multicore.master.userobjs" valueType="userObjs">\r
+                                                                       <listOptionValue builtIn="false" value="${workspace_loc:/FreeRTOSDemo_s/${ConfigName}/FreeRTOSDemo_s.axf.o}"/>\r
                                                                </option>\r
-                                                               <option id="gnu.cpp.link.option.flags.680938278" name="Linker flags" superClass="gnu.cpp.link.option.flags"/>\r
-                                                               <option id="gnu.cpp.link.option.other.272104122" name="Other options (-Xlinker [option])" superClass="gnu.cpp.link.option.other"/>\r
-                                                               <option id="gnu.cpp.link.option.userobjs.232352158" name="Other objects" superClass="gnu.cpp.link.option.userobjs"/>\r
-                                                               <option id="gnu.cpp.link.option.shared.277137021" name="Shared (-shared)" superClass="gnu.cpp.link.option.shared"/>\r
-                                                               <option id="gnu.cpp.link.option.soname.1001932355" name="Shared object name (-Wl,-soname=)" superClass="gnu.cpp.link.option.soname"/>\r
-                                                               <option id="gnu.cpp.link.option.implname.787447313" name="Import Library name (-Wl,--out-implib=)" superClass="gnu.cpp.link.option.implname"/>\r
-                                                               <option id="gnu.cpp.link.option.defname.2069369490" name="DEF file name (-Wl,--output-def=)" superClass="gnu.cpp.link.option.defname"/>\r
-                                                               <option id="gnu.cpp.link.option.debugging.prof.621259832" name="Generate prof information (-p)" superClass="gnu.cpp.link.option.debugging.prof"/>\r
-                                                               <option id="gnu.cpp.link.option.debugging.gprof.898695908" name="Generate gprof information (-pg)" superClass="gnu.cpp.link.option.debugging.gprof"/>\r
-                                                               <option id="gnu.cpp.link.option.debugging.codecov.2018903762" name="Generate gcov information (-ftest-coverage -fprofile-arcs)" superClass="gnu.cpp.link.option.debugging.codecov"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.lto.974686191" name="Enable Link-time optimization (-flto)" superClass="com.crt.advproject.link.cpp.lto"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.lto.optmization.level.1128555481" name="Link-time optimization level" superClass="com.crt.advproject.link.cpp.lto.optmization.level"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.thumb.213809017" name="Thumb mode" superClass="com.crt.advproject.link.cpp.thumb"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.manage.1255906411" name="Manage linker script" superClass="com.crt.advproject.link.cpp.manage"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.script.884865385" name="Linker script" superClass="com.crt.advproject.link.cpp.script"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.scriptdir.1009708283" name="Script path" superClass="com.crt.advproject.link.cpp.scriptdir"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.crpenable.2051192227" name="Enable automatic placement of Code Read Protection field in image" superClass="com.crt.advproject.link.cpp.crpenable"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.flashconfigenable.533711009" name="Enable automatic placement of Flash Configuration field in image" superClass="com.crt.advproject.link.cpp.flashconfigenable" value="true" valueType="boolean"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.ecrp.1493942240" name="Enhanced CRP" superClass="com.crt.advproject.link.cpp.ecrp"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.hdrlib.350572810" name="Library" superClass="com.crt.advproject.link.cpp.hdrlib" value="com.crt.advproject.cpp.link.hdrlib.newlibnano.semihost" valueType="enumerated"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.nanofloat.848805159" name="Enable printf float " superClass="com.crt.advproject.link.cpp.nanofloat"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.nanofloat.scanf.1380675797" name="Enable scanf float " superClass="com.crt.advproject.link.cpp.nanofloat.scanf"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.toram.1002907827" name="Link application to RAM" superClass="com.crt.advproject.link.cpp.toram"/>\r
-                                                               <option id="com.crt.advproject.link.memory.load.image.cpp.2138967982" name="Plain load image" superClass="com.crt.advproject.link.memory.load.image.cpp"/>\r
-                                                               <option id="com.crt.advproject.link.memory.heapAndStack.style.cpp.398768052" name="Heap and Stack placement" superClass="com.crt.advproject.link.memory.heapAndStack.style.cpp"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.stackOffset.208913166" name="Stack offset" superClass="com.crt.advproject.link.cpp.stackOffset"/>\r
-                                                               <option id="com.crt.advproject.link.memory.heapAndStack.cpp.781855597" name="Heap and Stack options" superClass="com.crt.advproject.link.memory.heapAndStack.cpp"/>\r
-                                                               <option id="com.crt.advproject.link.memory.data.cpp.578618165" name="Global data placement" superClass="com.crt.advproject.link.memory.data.cpp"/>\r
-                                                               <option id="com.crt.advproject.link.memory.sections.cpp.1565603002" name="Extra linker script input sections" superClass="com.crt.advproject.link.memory.sections.cpp"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.multicore.empty.1466357175" name="No Multicore options for this project" superClass="com.crt.advproject.link.cpp.multicore.empty"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.config.1263547255" name="Obsolete (Config)" superClass="com.crt.advproject.link.cpp.config"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.store.636411124" name="Obsolete (Store)" superClass="com.crt.advproject.link.cpp.store"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.securestate.937668043" name="TrustZone Project Type" superClass="com.crt.advproject.link.cpp.securestate"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.config.167749603" name="Obsolete (Config)" superClass="com.crt.advproject.link.cpp.config"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.store.466058120" name="Obsolete (Store)" superClass="com.crt.advproject.link.cpp.store"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.securestate.1539871945" name="TrustZone Project Type" superClass="com.crt.advproject.link.cpp.securestate"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.sgstubs.placement.921801798" name="Secure Gateway Placement" superClass="com.crt.advproject.link.cpp.sgstubs.placement"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.sgstubenable.1167775282" name="Enable generation of Secure Gateway Import Library" superClass="com.crt.advproject.link.cpp.sgstubenable"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.nonsecureobject.1247285266" name="Secure Gateway Import Library" superClass="com.crt.advproject.link.cpp.nonsecureobject"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.inimplib.1174177021" name="Input Secure Gateway Import Library" superClass="com.crt.advproject.link.cpp.inimplib"/>\r
                                                        </tool>\r
-                                                       <tool id="com.crt.advproject.link.exe.debug.1136235507" name="MCU Linker" superClass="com.crt.advproject.link.exe.debug">\r
-                                                               <option id="com.crt.advproject.link.thumb.1903129391" name="Thumb mode" superClass="com.crt.advproject.link.thumb" useByScannerDiscovery="false" value="true" valueType="boolean"/>\r
-                                                               <option id="com.crt.advproject.link.memory.load.image.616674458" name="Plain load image" superClass="com.crt.advproject.link.memory.load.image" useByScannerDiscovery="false" value="false;" valueType="string"/>\r
-                                                               <option id="com.crt.advproject.link.memory.heapAndStack.621007978" name="Heap and Stack options" superClass="com.crt.advproject.link.memory.heapAndStack" useByScannerDiscovery="false" value="&amp;Heap:Default;Post Data;Default&amp;Stack:Default;End;Default" valueType="string"/>\r
-                                                               <option id="com.crt.advproject.link.memory.data.1629198388" name="Global data placement" superClass="com.crt.advproject.link.memory.data" useByScannerDiscovery="false" value="Default" valueType="string"/>\r
-                                                               <option id="com.crt.advproject.link.memory.sections.516684113" name="Extra linker script input sections" superClass="com.crt.advproject.link.memory.sections" useByScannerDiscovery="false" valueType="stringList"/>\r
-                                                               <option id="com.crt.advproject.link.gcc.multicore.master.66976627" name="Multicore master" superClass="com.crt.advproject.link.gcc.multicore.master" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.link.gcc.multicore.master.userobjs.618523623" name="Slave Objects (not visible)" superClass="com.crt.advproject.link.gcc.multicore.master.userobjs" useByScannerDiscovery="false" valueType="userObjs"/>\r
-                                                               <option id="com.crt.advproject.link.arch.226034726" name="Architecture" superClass="com.crt.advproject.link.arch" useByScannerDiscovery="false" value="com.crt.advproject.link.target.cm33" valueType="enumerated"/>\r
-                                                               <option id="com.crt.advproject.link.gcc.multicore.slave.917563603" name="Multicore configuration" superClass="com.crt.advproject.link.gcc.multicore.slave" useByScannerDiscovery="false" value="Master" valueType="string"/>\r
-                                                               <option id="gnu.c.link.option.nostart.37724653" name="Do not use standard start files (-nostartfiles)" superClass="gnu.c.link.option.nostart" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.link.option.nodeflibs.1277468606" name="Do not use default libraries (-nodefaultlibs)" superClass="gnu.c.link.option.nodeflibs" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.link.option.nostdlibs.1709358067" name="No startup or default libs (-nostdlib)" superClass="gnu.c.link.option.nostdlibs" useByScannerDiscovery="false" value="true" valueType="boolean"/>\r
-                                                               <option id="gnu.c.link.option.strip.1624414540" name="Omit all symbol information (-s)" superClass="gnu.c.link.option.strip" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.link.option.noshared.171793004" name="No shared libraries (-static)" superClass="gnu.c.link.option.noshared" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.link.option.libs.1639968626" name="Libraries (-l)" superClass="gnu.c.link.option.libs" useByScannerDiscovery="false" valueType="libs">\r
+                                                       <tool id="com.crt.advproject.link.exe.debug.1154976671" name="MCU Linker" superClass="com.crt.advproject.link.exe.debug">\r
+                                                               <option id="com.crt.advproject.link.gcc.hdrlib.1770050628" name="Library" superClass="com.crt.advproject.link.gcc.hdrlib" useByScannerDiscovery="false" value="com.crt.advproject.gcc.link.hdrlib.newlibnano.semihost" valueType="enumerated"/>\r
+                                                               <option id="com.crt.advproject.link.fpu.1396625541" name="Floating point" superClass="com.crt.advproject.link.fpu" useByScannerDiscovery="false" value="com.crt.advproject.link.fpu.fpv5sp.hard" valueType="enumerated"/>\r
+                                                               <option id="com.crt.advproject.link.thumb.958960636" name="Thumb mode" superClass="com.crt.advproject.link.thumb" useByScannerDiscovery="false" value="true" valueType="boolean"/>\r
+                                                               <option id="com.crt.advproject.link.memory.load.image.993660440" name="Plain load image" superClass="com.crt.advproject.link.memory.load.image" useByScannerDiscovery="false" value="false;" valueType="string"/>\r
+                                                               <option defaultValue="com.crt.advproject.heapAndStack.mcuXpressoStyle" id="com.crt.advproject.link.memory.heapAndStack.style.252428806" name="Heap and Stack placement" superClass="com.crt.advproject.link.memory.heapAndStack.style" useByScannerDiscovery="false" valueType="enumerated"/>\r
+                                                               <option id="com.crt.advproject.link.memory.heapAndStack.1469050533" name="Heap and Stack options" superClass="com.crt.advproject.link.memory.heapAndStack" useByScannerDiscovery="false" value="&amp;Heap:Default;Post Data;Default&amp;Stack:Default;End;Default" valueType="string"/>\r
+                                                               <option id="com.crt.advproject.link.memory.data.1449407818" name="Global data placement" superClass="com.crt.advproject.link.memory.data" useByScannerDiscovery="false" value="Default" valueType="string"/>\r
+                                                               <option IS_BUILTIN_EMPTY="false" IS_VALUE_EMPTY="true" id="com.crt.advproject.link.memory.sections.1957970609" name="Extra linker script input sections" superClass="com.crt.advproject.link.memory.sections" useByScannerDiscovery="false" valueType="stringList"/>\r
+                                                               <option IS_BUILTIN_EMPTY="false" IS_VALUE_EMPTY="true" id="com.crt.advproject.link.gcc.multicore.master.userobjs.37714813" name="Slave Objects (not visible)" superClass="com.crt.advproject.link.gcc.multicore.master.userobjs" useByScannerDiscovery="false" valueType="userObjs"/>\r
+                                                               <option id="com.crt.advproject.link.arch.140775450" name="Architecture" superClass="com.crt.advproject.link.arch" useByScannerDiscovery="false" value="com.crt.advproject.link.target.cm33" valueType="enumerated"/>\r
+                                                               <option id="com.crt.advproject.link.gcc.multicore.slave.1491895781" name="Multicore configuration" superClass="com.crt.advproject.link.gcc.multicore.slave" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.link.option.nostart.1875909326" name="Do not use standard start files (-nostartfiles)" superClass="gnu.c.link.option.nostart" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.link.option.nodeflibs.305710783" name="Do not use default libraries (-nodefaultlibs)" superClass="gnu.c.link.option.nodeflibs" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.link.option.nostdlibs.2029610606" name="No startup or default libs (-nostdlib)" superClass="gnu.c.link.option.nostdlibs" useByScannerDiscovery="false" value="true" valueType="boolean"/>\r
+                                                               <option id="gnu.c.link.option.strip.1805767463" name="Omit all symbol information (-s)" superClass="gnu.c.link.option.strip" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.link.option.noshared.710279851" name="No shared libraries (-static)" superClass="gnu.c.link.option.noshared" useByScannerDiscovery="false"/>\r
+                                                               <option IS_BUILTIN_EMPTY="false" IS_VALUE_EMPTY="false" id="gnu.c.link.option.libs.629439506" name="Libraries (-l)" superClass="gnu.c.link.option.libs" useByScannerDiscovery="false" valueType="libs">\r
                                                                        <listOptionValue builtIn="false" value="power_hardabi"/>\r
                                                                </option>\r
-                                                               <option id="gnu.c.link.option.paths.749206307" name="Library search path (-L)" superClass="gnu.c.link.option.paths" useByScannerDiscovery="false" valueType="libPaths">\r
+                                                               <option IS_BUILTIN_EMPTY="false" IS_VALUE_EMPTY="false" id="gnu.c.link.option.paths.525826274" name="Library search path (-L)" superClass="gnu.c.link.option.paths" useByScannerDiscovery="false" valueType="libPaths">\r
                                                                        <listOptionValue builtIn="false" value="&quot;${workspace_loc:/${ProjName}/NXP_Code/libs}&quot;"/>\r
                                                                </option>\r
-                                                               <option id="gnu.c.link.option.ldflags.1350715546" name="Linker flags" superClass="gnu.c.link.option.ldflags" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.link.option.other.490220594" name="Other options (-Xlinker [option])" superClass="gnu.c.link.option.other" useByScannerDiscovery="false" valueType="stringList">\r
+                                                               <option id="gnu.c.link.option.ldflags.1003691683" name="Linker flags" superClass="gnu.c.link.option.ldflags" useByScannerDiscovery="false"/>\r
+                                                               <option IS_BUILTIN_EMPTY="false" IS_VALUE_EMPTY="false" id="gnu.c.link.option.other.655207977" name="Other options (-Xlinker [option])" superClass="gnu.c.link.option.other" useByScannerDiscovery="false" valueType="stringList">\r
                                                                        <listOptionValue builtIn="false" value="-Map=&quot;${BuildArtifactFileBaseName}.map&quot;"/>\r
                                                                        <listOptionValue builtIn="false" value="--gc-sections"/>\r
                                                                        <listOptionValue builtIn="false" value="-print-memory-usage"/>\r
                                                                        <listOptionValue builtIn="false" value="--sort-section=alignment"/>\r
+                                                                       <listOptionValue builtIn="false" value="--cref"/>\r
                                                                </option>\r
-                                                               <option id="gnu.c.link.option.userobjs.1807376516" name="Other objects" superClass="gnu.c.link.option.userobjs" useByScannerDiscovery="false" valueType="userObjs">\r
+                                                               <option id="gnu.c.link.option.userobjs.1936639351" name="Other objects" superClass="gnu.c.link.option.userobjs" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.link.option.shared.191925101" name="Shared (-shared)" superClass="gnu.c.link.option.shared" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.link.option.soname.2100731058" name="Shared object name (-Wl,-soname=)" superClass="gnu.c.link.option.soname" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.link.option.implname.899325323" name="Import Library name (-Wl,--out-implib=)" superClass="gnu.c.link.option.implname" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.link.option.defname.93367681" name="DEF file name (-Wl,--output-def=)" superClass="gnu.c.link.option.defname" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.link.option.debugging.prof.451910158" name="Generate prof information (-p)" superClass="gnu.c.link.option.debugging.prof" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.link.option.debugging.gprof.174735953" name="Generate gprof information (-pg)" superClass="gnu.c.link.option.debugging.gprof" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.link.option.debugging.codecov.10575219" name="Generate gcov information (-ftest-coverage -fprofile-arcs)" superClass="gnu.c.link.option.debugging.codecov" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.link.gcc.lto.1152317128" name="Enable Link-time optimization (-flto)" superClass="com.crt.advproject.link.gcc.lto" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.link.gcc.lto.optmization.level.2130747383" name="Link-time optimization level" superClass="com.crt.advproject.link.gcc.lto.optmization.level" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.link.manage.271274614" name="Manage linker script" superClass="com.crt.advproject.link.manage" useByScannerDiscovery="false" value="false" valueType="boolean"/>\r
+                                                               <option id="com.crt.advproject.link.script.1404067004" name="Linker script" superClass="com.crt.advproject.link.script" useByScannerDiscovery="false" value="../FreeRTOSDemo_ns.ld" valueType="string"/>\r
+                                                               <option id="com.crt.advproject.link.scriptdir.669588415" name="Script path" superClass="com.crt.advproject.link.scriptdir" useByScannerDiscovery="false" value="" valueType="string"/>\r
+                                                               <option id="com.crt.advproject.link.crpenable.1945694453" name="Enable automatic placement of Code Read Protection field in image" superClass="com.crt.advproject.link.crpenable" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.link.flashconfigenable.2143157407" name="Enable automatic placement of Flash Configuration field in image" superClass="com.crt.advproject.link.flashconfigenable" useByScannerDiscovery="false" value="true" valueType="boolean"/>\r
+                                                               <option id="com.crt.advproject.link.ecrp.201038004" name="Enhanced CRP" superClass="com.crt.advproject.link.ecrp" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.link.gcc.nanofloat.961923659" name="Enable printf float " superClass="com.crt.advproject.link.gcc.nanofloat" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.link.gcc.nanofloat.scanf.816219499" name="Enable scanf float " superClass="com.crt.advproject.link.gcc.nanofloat.scanf" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.link.toram.5760632" name="Link application to RAM" superClass="com.crt.advproject.link.toram" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.link.stackOffset.953001117" name="Stack offset" superClass="com.crt.advproject.link.stackOffset" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.link.gcc.multicore.master.720594653" name="Multicore master" superClass="com.crt.advproject.link.gcc.multicore.master" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.link.gcc.multicore.empty.438880337" name="No Multicore options for this project" superClass="com.crt.advproject.link.gcc.multicore.empty" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.link.config.621838019" name="Obsolete (Config)" superClass="com.crt.advproject.link.config" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.link.store.631303524" name="Obsolete (Store)" superClass="com.crt.advproject.link.store" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.link.securestate.547235328" name="TrustZone Project Type" superClass="com.crt.advproject.link.securestate" useByScannerDiscovery="false" value="com.crt.advproject.link.securestate.nonsecure" valueType="enumerated"/>\r
+                                                               <option id="com.crt.advproject.link.sgstubs.placement.1614276579" name="Secure Gateway Placement" superClass="com.crt.advproject.link.sgstubs.placement" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.link.sgstubenable.2022919116" name="Enable generation of Secure Gateway Import Library" superClass="com.crt.advproject.link.sgstubenable" useByScannerDiscovery="false"/>\r
+                                                               <option IS_BUILTIN_EMPTY="false" IS_VALUE_EMPTY="false" id="com.crt.advproject.link.nonsecureobject.1369574340" name="Secure Gateway Import Library" superClass="com.crt.advproject.link.nonsecureobject" useByScannerDiscovery="false" valueType="userObjs">\r
                                                                        <listOptionValue builtIn="false" value="${workspace_loc:/FreeRTOSDemo_s/${config_name:${ProjName}}/FreeRTOSDemo_s_CMSE_lib.o}"/>\r
                                                                </option>\r
-                                                               <option id="gnu.c.link.option.shared.925137481" name="Shared (-shared)" superClass="gnu.c.link.option.shared" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.link.option.soname.1952758247" name="Shared object name (-Wl,-soname=)" superClass="gnu.c.link.option.soname" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.link.option.implname.627045279" name="Import Library name (-Wl,--out-implib=)" superClass="gnu.c.link.option.implname" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.link.option.defname.982958603" name="DEF file name (-Wl,--output-def=)" superClass="gnu.c.link.option.defname" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.link.option.debugging.prof.440548066" name="Generate prof information (-p)" superClass="gnu.c.link.option.debugging.prof" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.link.option.debugging.gprof.775036278" name="Generate gprof information (-pg)" superClass="gnu.c.link.option.debugging.gprof" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.link.option.debugging.codecov.947088451" name="Generate gcov information (-ftest-coverage -fprofile-arcs)" superClass="gnu.c.link.option.debugging.codecov" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.link.gcc.lto.1164590025" name="Enable Link-time optimization (-flto)" superClass="com.crt.advproject.link.gcc.lto" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.link.gcc.lto.optmization.level.620088356" name="Link-time optimization level" superClass="com.crt.advproject.link.gcc.lto.optmization.level" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.link.fpu.699149179" name="Floating point" superClass="com.crt.advproject.link.fpu" useByScannerDiscovery="false" value="com.crt.advproject.link.fpu.fpv5sp.hard" valueType="enumerated"/>\r
-                                                               <option id="com.crt.advproject.link.manage.1476630149" name="Manage linker script" superClass="com.crt.advproject.link.manage" useByScannerDiscovery="false" value="false" valueType="boolean"/>\r
-                                                               <option id="com.crt.advproject.link.script.1795658476" name="Linker script" superClass="com.crt.advproject.link.script" useByScannerDiscovery="false" value="../FreeRTOSDemo_ns.ld" valueType="string"/>\r
-                                                               <option id="com.crt.advproject.link.scriptdir.1674574225" name="Script path" superClass="com.crt.advproject.link.scriptdir" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.link.crpenable.492867210" name="Enable automatic placement of Code Read Protection field in image" superClass="com.crt.advproject.link.crpenable" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.link.flashconfigenable.1239440617" name="Enable automatic placement of Flash Configuration field in image" superClass="com.crt.advproject.link.flashconfigenable" useByScannerDiscovery="false" value="true" valueType="boolean"/>\r
-                                                               <option id="com.crt.advproject.link.ecrp.1401516529" name="Enhanced CRP" superClass="com.crt.advproject.link.ecrp" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.link.gcc.hdrlib.773351312" name="Library" superClass="com.crt.advproject.link.gcc.hdrlib" useByScannerDiscovery="false" value="com.crt.advproject.gcc.link.hdrlib.newlibnano.semihost" valueType="enumerated"/>\r
-                                                               <option id="com.crt.advproject.link.gcc.nanofloat.1452311785" name="Enable printf float " superClass="com.crt.advproject.link.gcc.nanofloat" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.link.gcc.nanofloat.scanf.1744670808" name="Enable scanf float " superClass="com.crt.advproject.link.gcc.nanofloat.scanf" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.link.toram.747826496" name="Link application to RAM" superClass="com.crt.advproject.link.toram" useByScannerDiscovery="false"/>\r
-                                                               <option defaultValue="com.crt.advproject.heapAndStack.mcuXpressoStyle" id="com.crt.advproject.link.memory.heapAndStack.style.2095630842" name="Heap and Stack placement" superClass="com.crt.advproject.link.memory.heapAndStack.style" useByScannerDiscovery="false" valueType="enumerated"/>\r
-                                                               <option id="com.crt.advproject.link.stackOffset.1631540696" name="Stack offset" superClass="com.crt.advproject.link.stackOffset" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.link.gcc.multicore.empty.274324508" name="No Multicore options for this project" superClass="com.crt.advproject.link.gcc.multicore.empty" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.link.config.487248689" name="Obsolete (Config)" superClass="com.crt.advproject.link.config" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.link.store.1757483167" name="Obsolete (Store)" superClass="com.crt.advproject.link.store" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.link.securestate.921002565" name="TrustZone Project Type" superClass="com.crt.advproject.link.securestate" useByScannerDiscovery="false" value="com.crt.advproject.link.securestate.nonsecure" valueType="enumerated"/>\r
-                                                               <inputType id="cdt.managedbuild.tool.gnu.c.linker.input.92450020" superClass="cdt.managedbuild.tool.gnu.c.linker.input">\r
+                                                               <option id="com.crt.advproject.link.inimplib.55373039" name="Input Secure Gateway Import Library" superClass="com.crt.advproject.link.inimplib" useByScannerDiscovery="false"/>\r
+                                                               <inputType id="cdt.managedbuild.tool.gnu.c.linker.input.321363315" superClass="cdt.managedbuild.tool.gnu.c.linker.input">\r
                                                                        <additionalInput kind="additionalinputdependency" paths="$(USER_OBJS)"/>\r
                                                                        <additionalInput kind="additionalinput" paths="$(LIBS)"/>\r
                                                                </inputType>\r
                                                        </tool>\r
-                                                       <tool id="com.crt.advproject.tool.debug.debug.154235944" name="MCU Debugger" superClass="com.crt.advproject.tool.debug.debug">\r
-                                                               <option id="com.crt.advproject.linkserver.debug.prevent.debug.565329261" name="Prevent Debugging" superClass="com.crt.advproject.linkserver.debug.prevent.debug" useByScannerDiscovery="false"/>\r
+                                                       <tool id="com.crt.advproject.tool.debug.debug.1956819800" name="MCU Debugger" superClass="com.crt.advproject.tool.debug.debug">\r
+                                                               <option id="com.crt.advproject.linkserver.debug.prevent.debug.1220398675" name="Prevent Debugging" superClass="com.crt.advproject.linkserver.debug.prevent.debug" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.miscellaneous.end_of_heap.2144998232" name="Last used address of the heap" superClass="com.crt.advproject.miscellaneous.end_of_heap" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.miscellaneous.pvHeapStart.1381539941" name="First address of the heap" superClass="com.crt.advproject.miscellaneous.pvHeapStart" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.miscellaneous.pvHeapLimit.665204626" name="Maximum extent of heap" superClass="com.crt.advproject.miscellaneous.pvHeapLimit" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.debugger.security.nonsecureimageenable.109678833" name="Enable pre-programming of Non-Secure Image" superClass="com.crt.advproject.debugger.security.nonsecureimageenable" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.debugger.security.nonsecureimage.1536183257" name="Non-Secure Project" superClass="com.crt.advproject.debugger.security.nonsecureimage" useByScannerDiscovery="false"/>\r
                                                        </tool>\r
                                                </toolChain>\r
                                        </folderInfo>\r
                </cconfiguration>\r
        </storageModule>\r
        <storageModule moduleId="cdtBuildSystem" version="4.0.0">\r
-               <project id="FreeRTOSDemo_ns.null.910087049" name="FreeRTOSDemo_ns" projectType="com.crt.advproject.projecttype.exe"/>\r
+               <project id="FreeRTOSDemo_ns.null.458303156" name="FreeRTOSDemo_ns" projectType="com.crt.advproject.projecttype.exe"/>\r
        </storageModule>\r
        <storageModule moduleId="scannerConfiguration">\r
                <autodiscovery enabled="true" problemReportingEnabled="true" selectedProfileId=""/>\r
-               <scannerConfigBuildInfo instanceId="com.crt.advproject.config.exe.debug.2010168299;com.crt.advproject.config.exe.debug.2010168299.;com.crt.advproject.gcc.exe.debug.2125891879;com.crt.advproject.compiler.input.1907613044">\r
+               <scannerConfigBuildInfo instanceId="com.crt.advproject.config.exe.release.948022987;com.crt.advproject.config.exe.release.948022987.;com.crt.advproject.gcc.exe.release.1773879356;com.crt.advproject.compiler.input.503507852">\r
                        <autodiscovery enabled="true" problemReportingEnabled="true" selectedProfileId=""/>\r
                </scannerConfigBuildInfo>\r
-               <scannerConfigBuildInfo instanceId="com.crt.advproject.config.exe.debug.2010168299;com.crt.advproject.config.exe.debug.2010168299.;com.crt.advproject.gas.exe.debug.1807699174;com.crt.advproject.assembler.input.471848339">\r
+               <scannerConfigBuildInfo instanceId="com.crt.advproject.config.exe.debug.1816356717;com.crt.advproject.config.exe.debug.1816356717.;com.crt.advproject.gcc.exe.debug.668201610;com.crt.advproject.compiler.input.2122011547">\r
                        <autodiscovery enabled="true" problemReportingEnabled="true" selectedProfileId=""/>\r
                </scannerConfigBuildInfo>\r
-               <scannerConfigBuildInfo instanceId="com.crt.advproject.config.exe.release.1639874203;com.crt.advproject.config.exe.release.1639874203.;com.crt.advproject.gas.exe.release.1117624674;com.crt.advproject.assembler.input.718489335">\r
+               <scannerConfigBuildInfo instanceId="com.crt.advproject.config.exe.debug.1816356717;com.crt.advproject.config.exe.debug.1816356717.;com.crt.advproject.gas.exe.debug.1874965112;com.crt.advproject.assembler.input.78260034">\r
                        <autodiscovery enabled="true" problemReportingEnabled="true" selectedProfileId=""/>\r
                </scannerConfigBuildInfo>\r
-               <scannerConfigBuildInfo instanceId="com.crt.advproject.config.exe.release.1639874203;com.crt.advproject.config.exe.release.1639874203.;com.crt.advproject.gcc.exe.release.61414854;com.crt.advproject.compiler.input.2085709601">\r
+               <scannerConfigBuildInfo instanceId="com.crt.advproject.config.exe.release.948022987;com.crt.advproject.config.exe.release.948022987.;com.crt.advproject.gas.exe.release.1709153964;com.crt.advproject.assembler.input.1326292849">\r
                        <autodiscovery enabled="true" problemReportingEnabled="true" selectedProfileId=""/>\r
                </scannerConfigBuildInfo>\r
        </storageModule>\r
        <storageModule moduleId="org.eclipse.cdt.core.LanguageSettingsProviders"/>\r
        <storageModule moduleId="com.nxp.mcuxpresso.core.datamodels">\r
-               <sdkName>SDK_2.x_LPC55S69</sdkName>\r
+               <sdkName>SDK_2.x_LPCXpresso55S69</sdkName>\r
                <sdkExample>FreeRTOSDemo_ns</sdkExample>\r
-               <sdkVersion>2.5.0</sdkVersion>\r
-               <sdkComponents>platform.drivers.lpc_iocon.LPC55S69;platform.Include_common.LPC55S69;platform.Include_core_cm33.LPC55S69;platform.devices.LPC55S69_CMSIS.LPC55S69;platform.drivers.clock.LPC55S69;platform.drivers.common.LPC55S69;platform.drivers.power.LPC55S69;platform.drivers.reset.LPC55S69;platform.devices.LPC55S69_startup.LPC55S69;platform.drivers.flexcomm_usart.LPC55S69;platform.drivers.flexcomm.LPC55S69;platform.drivers.lpc_gpio.LPC55S69;platform.utilities.assert.LPC55S69;component.serial_manager.LPC55S69;utility.debug_console.LPC55S69;component.usart_adapter.LPC55S69;component.serial_manager_uart.LPC55S69;component.lists.LPC55S69;FreeRTOSDemo_ns;</sdkComponents>\r
+               <sdkVersion>2.7.1</sdkVersion>\r
+               <sdkComponents>platform.drivers.lpc_iocon.LPC55S69;platform.drivers.common.LPC55S69;platform.drivers.clock.LPC55S69;platform.drivers.power.LPC55S69;platform.devices.LPC55S69_CMSIS.LPC55S69;platform.Include_core_cm33.LPC55S69;platform.Include_common.LPC55S69;platform.Include_dsp.LPC55S69;platform.drivers.reset.LPC55S69;platform.devices.LPC55S69_startup.LPC55S69;platform.drivers.flexcomm_usart.LPC55S69;platform.drivers.flexcomm.LPC55S69;platform.drivers.lpc_gpio.LPC55S69;platform.utilities.assert.LPC55S69;utility.debug_console.LPC55S69;component.serial_manager.LPC55S69;component.lists.LPC55S69;component.usart_adapter.LPC55S69;component.serial_manager_uart.LPC55S69;platform.utilities.misc_utilities.LPC55S69;FreeRTOSDemo_ns;</sdkComponents>\r
                <boardId>lpcxpresso55s69</boardId>\r
                <package>LPC55S69JBD100</package>\r
                <core>cm33</core>\r
        <storageModule moduleId="com.crt.config">\r
                <projectStorage>&lt;?xml version="1.0" encoding="UTF-8"?&gt;&#13;\r
 &lt;TargetConfig&gt;&#13;\r
-&lt;Properties property_0="Master" property_3="NXP" property_4="LPC55S69" property_count="5" version="100300"/&gt;&#13;\r
+&lt;Properties property_3="NXP" property_4="LPC55S69" property_count="5" version="100300"/&gt;&#13;\r
 &lt;infoList vendor="NXP"&gt;&#13;\r
 &lt;info chip="LPC55S69" name="LPC55S69"&gt;&#13;\r
 &lt;chip&gt;&#13;\r
 &lt;memory id="RAM" size="304" type="RAM"/&gt;&#13;\r
 &lt;memoryInstance derived_from="Flash" driver="LPC55xx.cfx" edited="true" id="PROGRAM_FLASH" location="0x10000" size="0x72000"/&gt;&#13;\r
 &lt;memoryInstance derived_from="RAM" edited="true" id="Ram0" location="0x20008000" size="0x2b000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="FLASH-CFPA0" id="FLASH-CFPA0" location="0x9E000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="FLASH-CFPA0" id="FLASH-CFPA-SCRATCH" location="0x9DE00"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="FLASH-CFPA0" id="FLASH-CFPA1" location="0x9E200"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="FLASH-CMPA" id="FLASH-CMPA" location="0x9E400"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="FLASH-KEY-STORE" id="FLASH-KEY-STORE" location="0x9E600"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="SYSCON" id="SYSCON" location="0x40000000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="IOCON" id="IOCON" location="0x40001000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="GINT0" id="GINT0" location="0x40002000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="GINT0" id="GINT1" location="0x40003000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="PINT" id="PINT" location="0x40004000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="PINT" id="SECPINT" location="0x40005000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="INPUTMUX" id="INPUTMUX" location="0x40006000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="CTIMER0" id="CTIMER0" location="0x40008000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="CTIMER0" id="CTIMER1" location="0x40009000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="CTIMER0" id="CTIMER2" location="0x40028000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="CTIMER0" id="CTIMER3" location="0x40029000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="CTIMER0" id="CTIMER4" location="0x4002A000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="WWDT" id="WWDT" location="0x4000C000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="MRT0" id="MRT0" location="0x4000D000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="UTICK0" id="UTICK0" location="0x4000E000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="ANACTRL" id="ANACTRL" location="0x40013000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="PMC" id="PMC" location="0x40020000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="SYSCTL" id="SYSCTL" location="0x40023000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="RTC" id="RTC" location="0x4002C000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="OSTIMER" id="OSTIMER" location="0x4002D000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="FLASH" id="FLASH" location="0x40034000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="PRINCE" id="PRINCE" location="0x40035000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="USBPHY" id="USBPHY" location="0x40038000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="RNG" id="RNG" location="0x4003A000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="PUF" id="PUF" location="0x4003B000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="PLU" id="PLU" location="0x4003D000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="DMA0" id="DMA0" location="0x40082000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="DMA0" id="DMA1" location="0x400A7000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="USB0" id="USB0" location="0x40084000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="SCT0" id="SCT0" location="0x40085000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="FLEXCOMM0" id="FLEXCOMM0" location="0x40086000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="FLEXCOMM0" id="FLEXCOMM1" location="0x40087000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="FLEXCOMM0" id="FLEXCOMM2" location="0x40088000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="FLEXCOMM0" id="FLEXCOMM3" location="0x40089000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="FLEXCOMM0" id="FLEXCOMM4" location="0x4008A000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="FLEXCOMM0" id="FLEXCOMM5" location="0x40096000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="FLEXCOMM0" id="FLEXCOMM6" location="0x40097000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="FLEXCOMM0" id="FLEXCOMM7" location="0x40098000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="FLEXCOMM0" id="FLEXCOMM8" location="0x4009F000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="I2C0" id="I2C0" location="0x40086000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="I2C0" id="I2C1" location="0x40087000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="I2C0" id="I2C2" location="0x40088000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="I2C0" id="I2C3" location="0x40089000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="I2C0" id="I2C4" location="0x4008A000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="I2C0" id="I2C5" location="0x40096000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="I2C0" id="I2C6" location="0x40097000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="I2C0" id="I2C7" location="0x40098000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="I2S0" id="I2S0" location="0x40086000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="I2S0" id="I2S1" location="0x40087000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="I2S0" id="I2S2" location="0x40088000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="I2S0" id="I2S3" location="0x40089000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="I2S0" id="I2S4" location="0x4008A000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="I2S0" id="I2S5" location="0x40096000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="I2S0" id="I2S6" location="0x40097000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="I2S0" id="I2S7" location="0x40098000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="SPI0" id="SPI0" location="0x40086000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="SPI0" id="SPI1" location="0x40087000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="SPI0" id="SPI2" location="0x40088000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="SPI0" id="SPI3" location="0x40089000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="SPI0" id="SPI4" location="0x4008A000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="SPI0" id="SPI5" location="0x40096000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="SPI0" id="SPI6" location="0x40097000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="SPI0" id="SPI7" location="0x40098000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="SPI0" id="SPI8" location="0x4009F000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="USART0" id="USART0" location="0x40086000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="USART0" id="USART1" location="0x40087000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="USART0" id="USART2" location="0x40088000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="USART0" id="USART3" location="0x40089000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="USART0" id="USART4" location="0x4008A000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="USART0" id="USART5" location="0x40096000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="USART0" id="USART6" location="0x40097000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="USART0" id="USART7" location="0x40098000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="MAILBOX" id="MAILBOX" location="0x4008B000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="GPIO" id="GPIO" location="0x4008C000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="GPIO" id="SECGPIO" location="0x400A8000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="USBHSD" id="USBHSD" location="0x40094000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="CRC-ENGINE" id="CRC-ENGINE" location="0x40095000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="SDIF" id="SDIF" location="0x4009B000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="DGBMAILBOX" id="DGBMAILBOX" location="0x4009C000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="ADC0" id="ADC0" location="0x400A0000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="USBFSH" id="USBFSH" location="0x400A2000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="USBHSH" id="USBHSH" location="0x400A3000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="HASHCRYPT" id="HASHCRYPT" location="0x400A4000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="CASPER" id="CASPER" location="0x400A5000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="POWERQUAD" id="POWERQUAD" location="0x400A6000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="AHB-SECURE-CTRL" id="AHB-SECURE-CTRL" location="0x400AC000"/&gt;&#13;\r
 &lt;/chip&gt;&#13;\r
 &lt;processor&gt;&#13;\r
 &lt;name gcc_name="cortex-m33"&gt;Cortex-M33&lt;/name&gt;&#13;\r
 &lt;name gcc_name="cortex-m33-nodsp"&gt;Cortex-M33 (No DSP)&lt;/name&gt;&#13;\r
 &lt;family&gt;Cortex-M&lt;/family&gt;&#13;\r
 &lt;/processor&gt;&#13;\r
-&lt;link href="LPC55S69_cm33_core0_internal_peripheral.xml" show="embed" type="simple"/&gt;&#13;\r
 &lt;/info&gt;&#13;\r
 &lt;/infoList&gt;&#13;\r
 &lt;/TargetConfig&gt;</projectStorage>\r
        </storageModule>\r
-       <storageModule moduleId="refreshScope" versionNumber="2">\r
-               <configuration configurationName="Debug">\r
-                       <resource resourceType="PROJECT" workspacePath="/FreeRTOSDemo_ns"/>\r
-               </configuration>\r
-               <configuration configurationName="Release">\r
-                       <resource resourceType="PROJECT" workspacePath="/FreeRTOSDemo_ns"/>\r
-               </configuration>\r
-       </storageModule>\r
+       <storageModule moduleId="refreshScope"/>\r
        <storageModule moduleId="org.eclipse.cdt.make.core.buildtargets"/>\r
 </cproject>\r
index f567ce41c656077991b59cb6d84e84c079d7ade9..a710f735ef91b87e6a0f88ae1bc9f665844d89a4 100644 (file)
@@ -3,6 +3,7 @@
        <name>FreeRTOSDemo_ns</name>\r
        <comment></comment>\r
        <projects>\r
+               <project>FreeRTOSDemo_s</project>\r
        </projects>\r
        <buildSpec>\r
                <buildCommand>\r
index ac89d115af0a7f4f7d080639c65bc78cbf605312..4eb785fa46aa98ef21f026faf895cecb3e4b2f5a 100644 (file)
@@ -97,12 +97,12 @@ SECTIONS
         *(.ARM.extab* .gnu.linkonce.armextab.*)\r
     } > PROGRAM_FLASH\r
 \r
-    __exidx_start = .;\r
     .ARM.exidx : ALIGN(4)\r
     {\r
+        __exidx_start = .;\r
         *(.ARM.exidx* .gnu.linkonce.armexidx.*)\r
+        __exidx_end = .;\r
     } > PROGRAM_FLASH\r
-    __exidx_end = .;\r
 \r
     /* Text Section End. */\r
     _etext = .;\r
@@ -120,6 +120,8 @@ SECTIONS
     {\r
         FILL(0xff)\r
         _data = .;\r
+        PROVIDE(__start_data_RAM = .);\r
+        PROVIDE(__start_data_Ram0 = .);\r
 \r
         /* Privileged data - It needs to be 32 byte aligned to satisfy MPU requirements. */\r
         . = ALIGN(32);\r
@@ -133,27 +135,37 @@ SECTIONS
         *(.ramfunc*)\r
         *(.data*)\r
         _edata = .;\r
+        PROVIDE(__end_data_RAM = .);\r
+        PROVIDE(__end_data_Ram0 = .);\r
     } > Ram0 AT>PROGRAM_FLASH\r
 \r
     /* Main BSS Section. */\r
     .bss : ALIGN(4)\r
     {\r
         _bss = .;\r
+        PROVIDE(__start_bss_RAM = .);\r
+        PROVIDE(__start_bss_Ram0 = .);\r
         *(.bss*)\r
         *(COMMON)\r
         . = ALIGN(4);\r
         _ebss = .;\r
+        PROVIDE(__end_bss_RAM = .);\r
+        PROVIDE(__end_bss_Ram0 = .);\r
         PROVIDE(end = .);\r
-    } > Ram0\r
+    } > Ram0 AT>Ram0\r
 \r
     /* Default Noinit Section. */\r
     .noinit (NOLOAD) : ALIGN(4)\r
     {\r
         _noinit = .;\r
+        PROVIDE(__start_noinit_RAM = .);\r
+        PROVIDE(__start_noinit_Ram0 = .);\r
         *(.noinit*)\r
         . = ALIGN(4);\r
         _end_noinit = .;\r
-    } > Ram0\r
+        PROVIDE(__end_noinit_RAM = .);\r
+        PROVIDE(__end_noinit_Ram0 = .);\r
+    } > Ram0 AT>Ram0\r
 \r
     /* Reserve space and place heap in memory map. */\r
     _HeapSize = 0x1000;\r
index de4d0d527f0b31f80127e4cdaff6594e905d18c4..834fbe80f3fc9b4217550eacfee5ef67dddc4c81 100644 (file)
@@ -173,6 +173,7 @@ void MemManage_Handler( void )
                " ldr r1, handler_address_const                                         \n"\r
                " bx r1                                                                                         \n"\r
                "                                                                                                       \n"\r
+               " .align 4                                                                                      \n"\r
                " handler_address_const: .word vHandleMemoryFault       \n"\r
        );\r
 }\r
index cb21b7cb374f5efb3c9faff02ee318f75bbeaef2..397054995bf1ad9da581a4b307c926b2016f4697 100644 (file)
@@ -1,8 +1,8 @@
 <?xml version="1.0" encoding="UTF-8" standalone="no"?>\r
 <?fileVersion 4.0.0?><cproject storage_type_id="org.eclipse.cdt.core.XmlProjectDescriptionStorage">\r
        <storageModule moduleId="org.eclipse.cdt.core.settings">\r
-               <cconfiguration id="com.crt.advproject.config.exe.debug.1530580361">\r
-                       <storageModule buildSystemId="org.eclipse.cdt.managedbuilder.core.configurationDataProvider" id="com.crt.advproject.config.exe.debug.1530580361" moduleId="org.eclipse.cdt.core.settings" name="Debug">\r
+               <cconfiguration id="com.crt.advproject.config.exe.debug.819597480">\r
+                       <storageModule buildSystemId="org.eclipse.cdt.managedbuilder.core.configurationDataProvider" id="com.crt.advproject.config.exe.debug.819597480" moduleId="org.eclipse.cdt.core.settings" name="Debug">\r
                                <externalSettings/>\r
                                <extensions>\r
                                        <extension id="org.eclipse.cdt.core.ELF" point="org.eclipse.cdt.core.BinaryParser"/>\r
                                </extensions>\r
                        </storageModule>\r
                        <storageModule moduleId="cdtBuildSystem" version="4.0.0">\r
-                               <configuration artifactExtension="axf" artifactName="${ProjName}" buildArtefactType="org.eclipse.cdt.build.core.buildArtefactType.exe" buildProperties="org.eclipse.cdt.build.core.buildArtefactType=org.eclipse.cdt.build.core.buildArtefactType.exe" cleanCommand="rm -rf" description="Debug build" errorParsers="org.eclipse.cdt.core.CWDLocator;org.eclipse.cdt.core.GmakeErrorParser;org.eclipse.cdt.core.GCCErrorParser;org.eclipse.cdt.core.GLDErrorParser;org.eclipse.cdt.core.GASErrorParser" id="com.crt.advproject.config.exe.debug.1530580361" name="Debug" parent="com.crt.advproject.config.exe.debug" postannouncebuildStep="Performing post-build steps" postbuildStep="arm-none-eabi-size &quot;${BuildArtifactFileName}&quot;; # arm-none-eabi-objcopy -v -O binary &quot;${BuildArtifactFileName}&quot; &quot;${BuildArtifactFileBaseName}.bin&quot; ; # checksum -p ${TargetChip} -d &quot;${BuildArtifactFileBaseName}.bin&quot;;  ">\r
-                                       <folderInfo id="com.crt.advproject.config.exe.debug.1530580361." name="/" resourcePath="">\r
-                                               <toolChain id="com.crt.advproject.toolchain.exe.debug.288523768" name="NXP MCU Tools" superClass="com.crt.advproject.toolchain.exe.debug">\r
-                                                       <targetPlatform binaryParser="org.eclipse.cdt.core.ELF;org.eclipse.cdt.core.GNU_ELF" id="com.crt.advproject.platform.exe.debug.1654957366" name="ARM-based MCU (Debug)" superClass="com.crt.advproject.platform.exe.debug"/>\r
-                                                       <builder buildPath="${workspace_loc:/FreeRTOSDemo_s}/Debug" id="com.crt.advproject.builder.exe.debug.1699471503" keepEnvironmentInBuildfile="false" managedBuildOn="true" name="Gnu Make Builder" superClass="com.crt.advproject.builder.exe.debug"/>\r
-                                                       <tool id="com.crt.advproject.cpp.exe.debug.1985249271" name="MCU C++ Compiler" superClass="com.crt.advproject.cpp.exe.debug">\r
-                                                               <option id="com.crt.advproject.cpp.arch.1417003791" name="Architecture" superClass="com.crt.advproject.cpp.arch" value="com.crt.advproject.cpp.target.cm33" valueType="enumerated"/>\r
-                                                               <option id="com.crt.advproject.cpp.fpu.498968506" name="Floating point" superClass="com.crt.advproject.cpp.fpu" value="com.crt.advproject.cpp.fpu.none" valueType="enumerated"/>\r
-                                                               <option id="com.crt.advproject.cpp.misc.dialect.885961725" name="Language standard" superClass="com.crt.advproject.cpp.misc.dialect"/>\r
-                                                               <option id="gnu.cpp.compiler.option.dialect.flags.280261288" name="Other dialect flags" superClass="gnu.cpp.compiler.option.dialect.flags"/>\r
-                                                               <option id="gnu.cpp.compiler.option.preprocessor.nostdinc.1015433346" name="Do not search system directories (-nostdinc)" superClass="gnu.cpp.compiler.option.preprocessor.nostdinc"/>\r
-                                                               <option id="gnu.cpp.compiler.option.preprocessor.preprocess.269680626" name="Preprocess only (-E)" superClass="gnu.cpp.compiler.option.preprocessor.preprocess"/>\r
-                                                               <option id="gnu.cpp.compiler.option.preprocessor.def.1038799298" name="Defined symbols (-D)" superClass="gnu.cpp.compiler.option.preprocessor.def" valueType="definedSymbols">\r
+                               <configuration artifactExtension="axf" artifactName="${ProjName}" buildArtefactType="org.eclipse.cdt.build.core.buildArtefactType.exe" buildProperties="org.eclipse.cdt.build.core.buildArtefactType=org.eclipse.cdt.build.core.buildArtefactType.exe" cleanCommand="rm -rf" description="Debug build" errorParsers="org.eclipse.cdt.core.CWDLocator;org.eclipse.cdt.core.GmakeErrorParser;org.eclipse.cdt.core.GCCErrorParser;org.eclipse.cdt.core.GLDErrorParser;org.eclipse.cdt.core.GASErrorParser" id="com.crt.advproject.config.exe.debug.819597480" name="Debug" parent="com.crt.advproject.config.exe.debug" postannouncebuildStep="Performing post-build steps" postbuildStep="arm-none-eabi-size &quot;${BuildArtifactFileName}&quot;; # arm-none-eabi-objcopy -v -O binary &quot;${BuildArtifactFileName}&quot; &quot;${BuildArtifactFileBaseName}.bin&quot; ; # checksum -p ${TargetChip} -d &quot;${BuildArtifactFileBaseName}.bin&quot;;  ">\r
+                                       <folderInfo id="com.crt.advproject.config.exe.debug.819597480." name="/" resourcePath="">\r
+                                               <toolChain id="com.crt.advproject.toolchain.exe.debug.1828034875" name="NXP MCU Tools" superClass="com.crt.advproject.toolchain.exe.debug">\r
+                                                       <targetPlatform binaryParser="org.eclipse.cdt.core.ELF;org.eclipse.cdt.core.GNU_ELF" id="com.crt.advproject.platform.exe.debug.2103629328" name="ARM-based MCU (Debug)" superClass="com.crt.advproject.platform.exe.debug"/>\r
+                                                       <builder buildPath="${workspace_loc:/FreeRTOSDemo_s}/Debug" id="com.crt.advproject.builder.exe.debug.942532416" keepEnvironmentInBuildfile="false" managedBuildOn="true" name="Gnu Make Builder" superClass="com.crt.advproject.builder.exe.debug"/>\r
+                                                       <tool id="com.crt.advproject.cpp.exe.debug.527497623" name="MCU C++ Compiler" superClass="com.crt.advproject.cpp.exe.debug">\r
+                                                               <option id="com.crt.advproject.cpp.hdrlib.49011795" name="Library headers" superClass="com.crt.advproject.cpp.hdrlib" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.cpp.fpu.659836130" name="Floating point" superClass="com.crt.advproject.cpp.fpu" useByScannerDiscovery="true" value="com.crt.advproject.cpp.fpu.fpv5sp.hard" valueType="enumerated"/>\r
+                                                               <option id="com.crt.advproject.cpp.arch.2042207966" name="Architecture" superClass="com.crt.advproject.cpp.arch" useByScannerDiscovery="true" value="com.crt.advproject.cpp.target.cm33" valueType="enumerated"/>\r
+                                                               <option id="com.crt.advproject.cpp.misc.dialect.1349417428" name="Language standard" superClass="com.crt.advproject.cpp.misc.dialect" useByScannerDiscovery="true"/>\r
+                                                               <option id="gnu.cpp.compiler.option.dialect.flags.2100304327" name="Other dialect flags" superClass="gnu.cpp.compiler.option.dialect.flags" useByScannerDiscovery="true"/>\r
+                                                               <option id="gnu.cpp.compiler.option.preprocessor.nostdinc.1523249379" name="Do not search system directories (-nostdinc)" superClass="gnu.cpp.compiler.option.preprocessor.nostdinc" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.cpp.compiler.option.preprocessor.preprocess.905221616" name="Preprocess only (-E)" superClass="gnu.cpp.compiler.option.preprocessor.preprocess" useByScannerDiscovery="false"/>\r
+                                                               <option IS_BUILTIN_EMPTY="false" IS_VALUE_EMPTY="false" id="gnu.cpp.compiler.option.preprocessor.def.1559307494" name="Defined symbols (-D)" superClass="gnu.cpp.compiler.option.preprocessor.def" useByScannerDiscovery="false" valueType="definedSymbols">\r
                                                                        <listOptionValue builtIn="false" value="__NEWLIB__"/>\r
-                                                                       <listOptionValue builtIn="false" value="__MULTICORE_MASTER"/>\r
                                                                </option>\r
-                                                               <option id="gnu.cpp.compiler.option.preprocessor.undef.1801589529" name="Undefined symbols (-U)" superClass="gnu.cpp.compiler.option.preprocessor.undef"/>\r
-                                                               <option id="gnu.cpp.compiler.option.include.paths.2018012826" name="Include paths (-I)" superClass="gnu.cpp.compiler.option.include.paths"/>\r
-                                                               <option id="gnu.cpp.compiler.option.include.files.392747963" name="Include files (-include)" superClass="gnu.cpp.compiler.option.include.files"/>\r
-                                                               <option id="com.crt.advproject.cpp.exe.debug.option.optimization.level.1651132944" name="Optimization Level" superClass="com.crt.advproject.cpp.exe.debug.option.optimization.level"/>\r
-                                                               <option id="gnu.cpp.compiler.option.optimization.flags.569990435" name="Other optimization flags" superClass="gnu.cpp.compiler.option.optimization.flags" value="-fno-common" valueType="string"/>\r
-                                                               <option id="com.crt.advproject.cpp.exe.debug.option.debugging.level.1992185236" name="Debug Level" superClass="com.crt.advproject.cpp.exe.debug.option.debugging.level"/>\r
-                                                               <option id="gnu.cpp.compiler.option.debugging.other.1321867103" name="Other debugging flags" superClass="gnu.cpp.compiler.option.debugging.other"/>\r
-                                                               <option id="gnu.cpp.compiler.option.debugging.prof.1780083069" name="Generate prof information (-p)" superClass="gnu.cpp.compiler.option.debugging.prof"/>\r
-                                                               <option id="gnu.cpp.compiler.option.debugging.gprof.762028733" name="Generate gprof information (-pg)" superClass="gnu.cpp.compiler.option.debugging.gprof"/>\r
-                                                               <option id="gnu.cpp.compiler.option.debugging.codecov.1966217483" name="Generate gcov information (-ftest-coverage -fprofile-arcs)" superClass="gnu.cpp.compiler.option.debugging.codecov"/>\r
-                                                               <option id="gnu.cpp.compiler.option.warnings.syntax.460337371" name="Check syntax only (-fsyntax-only)" superClass="gnu.cpp.compiler.option.warnings.syntax"/>\r
-                                                               <option id="gnu.cpp.compiler.option.warnings.pedantic.816171182" name="Pedantic (-pedantic)" superClass="gnu.cpp.compiler.option.warnings.pedantic"/>\r
-                                                               <option id="gnu.cpp.compiler.option.warnings.pedantic.error.1535852428" name="Pedantic warnings as errors (-pedantic-errors)" superClass="gnu.cpp.compiler.option.warnings.pedantic.error"/>\r
-                                                               <option id="gnu.cpp.compiler.option.warnings.nowarn.1213564338" name="Inhibit all warnings (-w)" superClass="gnu.cpp.compiler.option.warnings.nowarn"/>\r
-                                                               <option id="gnu.cpp.compiler.option.warnings.allwarn.1759309291" name="All warnings (-Wall)" superClass="gnu.cpp.compiler.option.warnings.allwarn"/>\r
-                                                               <option id="gnu.cpp.compiler.option.warnings.extrawarn.352020270" name="Extra warnings (-Wextra)" superClass="gnu.cpp.compiler.option.warnings.extrawarn"/>\r
-                                                               <option id="gnu.cpp.compiler.option.warnings.toerrors.2141360927" name="Warnings as errors (-Werror)" superClass="gnu.cpp.compiler.option.warnings.toerrors"/>\r
-                                                               <option id="gnu.cpp.compiler.option.warnings.wconversion.1488015152" name="Implicit conversion warnings (-Wconversion)" superClass="gnu.cpp.compiler.option.warnings.wconversion"/>\r
-                                                               <option id="gnu.cpp.compiler.option.other.other.1751669466" name="Other flags" superClass="gnu.cpp.compiler.option.other.other"/>\r
-                                                               <option id="gnu.cpp.compiler.option.other.verbose.545993534" name="Verbose (-v)" superClass="gnu.cpp.compiler.option.other.verbose"/>\r
-                                                               <option id="gnu.cpp.compiler.option.other.pic.723606919" name="Position Independent Code (-fPIC)" superClass="gnu.cpp.compiler.option.other.pic"/>\r
-                                                               <option id="com.crt.advproject.cpp.lto.1982078649" name="Enable Link-time optimization (-flto)" superClass="com.crt.advproject.cpp.lto"/>\r
-                                                               <option id="com.crt.advproject.cpp.lto.fat.430822542" name="Fat lto objects (-ffat-lto-objects)" superClass="com.crt.advproject.cpp.lto.fat"/>\r
-                                                               <option id="com.crt.advproject.cpp.thumb.1336941355" name="Thumb mode" superClass="com.crt.advproject.cpp.thumb"/>\r
-                                                               <option id="com.crt.advproject.cpp.thumbinterwork.1507544400" name="Enable Thumb interworking" superClass="com.crt.advproject.cpp.thumbinterwork"/>\r
-                                                               <option id="com.crt.advproject.cpp.securestate.1695965385" name="TrustZone Project Type" superClass="com.crt.advproject.cpp.securestate"/>\r
-                                                               <option id="com.crt.advproject.cpp.hdrlib.435304347" name="Library headers" superClass="com.crt.advproject.cpp.hdrlib"/>\r
-                                                               <option id="com.crt.advproject.cpp.specs.1701395806" name="Specs" superClass="com.crt.advproject.cpp.specs" value="com.crt.advproject.cpp.specs.newlibnano" valueType="enumerated"/>\r
-                                                               <option id="com.crt.advproject.cpp.config.1941267116" name="Obsolete (Config)" superClass="com.crt.advproject.cpp.config"/>\r
-                                                               <option id="com.crt.advproject.cpp.store.1768475292" name="Obsolete (Store)" superClass="com.crt.advproject.cpp.store"/>\r
+                                                               <option id="gnu.cpp.compiler.option.preprocessor.undef.872349615" name="Undefined symbols (-U)" superClass="gnu.cpp.compiler.option.preprocessor.undef" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.cpp.compiler.option.include.paths.966157887" name="Include paths (-I)" superClass="gnu.cpp.compiler.option.include.paths" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.cpp.compiler.option.include.files.1034970106" name="Include files (-include)" superClass="gnu.cpp.compiler.option.include.files" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.cpp.exe.debug.option.optimization.level.147022909" name="Optimization Level" superClass="com.crt.advproject.cpp.exe.debug.option.optimization.level" useByScannerDiscovery="true"/>\r
+                                                               <option id="gnu.cpp.compiler.option.optimization.flags.1215576505" name="Other optimization flags" superClass="gnu.cpp.compiler.option.optimization.flags" useByScannerDiscovery="false" value="-fno-common" valueType="string"/>\r
+                                                               <option id="com.crt.advproject.cpp.exe.debug.option.debugging.level.774484720" name="Debug Level" superClass="com.crt.advproject.cpp.exe.debug.option.debugging.level" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.cpp.compiler.option.debugging.other.1458455629" name="Other debugging flags" superClass="gnu.cpp.compiler.option.debugging.other" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.cpp.compiler.option.debugging.prof.468036467" name="Generate prof information (-p)" superClass="gnu.cpp.compiler.option.debugging.prof" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.cpp.compiler.option.debugging.gprof.259521866" name="Generate gprof information (-pg)" superClass="gnu.cpp.compiler.option.debugging.gprof" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.cpp.compiler.option.debugging.codecov.789568231" name="Generate gcov information (-ftest-coverage -fprofile-arcs)" superClass="gnu.cpp.compiler.option.debugging.codecov" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.cpp.compiler.option.warnings.syntax.1581259134" name="Check syntax only (-fsyntax-only)" superClass="gnu.cpp.compiler.option.warnings.syntax" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.cpp.compiler.option.warnings.pedantic.1429171626" name="Pedantic (-pedantic)" superClass="gnu.cpp.compiler.option.warnings.pedantic" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.cpp.compiler.option.warnings.pedantic.error.1892242441" name="Pedantic warnings as errors (-pedantic-errors)" superClass="gnu.cpp.compiler.option.warnings.pedantic.error" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.cpp.compiler.option.warnings.nowarn.626726977" name="Inhibit all warnings (-w)" superClass="gnu.cpp.compiler.option.warnings.nowarn" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.cpp.compiler.option.warnings.allwarn.228126705" name="All warnings (-Wall)" superClass="gnu.cpp.compiler.option.warnings.allwarn" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.cpp.compiler.option.warnings.extrawarn.1061236090" name="Extra warnings (-Wextra)" superClass="gnu.cpp.compiler.option.warnings.extrawarn" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.cpp.compiler.option.warnings.toerrors.1977229899" name="Warnings as errors (-Werror)" superClass="gnu.cpp.compiler.option.warnings.toerrors" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.cpp.compiler.option.warnings.wconversion.1542670760" name="Implicit conversion warnings (-Wconversion)" superClass="gnu.cpp.compiler.option.warnings.wconversion" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.cpp.compiler.option.other.other.1223913244" name="Other flags" superClass="gnu.cpp.compiler.option.other.other" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.cpp.compiler.option.other.verbose.176197619" name="Verbose (-v)" superClass="gnu.cpp.compiler.option.other.verbose" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.cpp.compiler.option.other.pic.2046350917" name="Position Independent Code (-fPIC)" superClass="gnu.cpp.compiler.option.other.pic" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.cpp.lto.1398845816" name="Enable Link-time optimization (-flto)" superClass="com.crt.advproject.cpp.lto" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.cpp.lto.fat.1381882900" name="Fat lto objects (-ffat-lto-objects)" superClass="com.crt.advproject.cpp.lto.fat" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.cpp.merge.constants.1835027225" name="Merge Identical Constants (-fmerge-constants)" superClass="com.crt.advproject.cpp.merge.constants" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.cpp.prefixmap.1404245879" name="Remove path from __FILE__ (-fmacro-prefix-map)" superClass="com.crt.advproject.cpp.prefixmap" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.cpp.thumb.962358830" name="Thumb mode" superClass="com.crt.advproject.cpp.thumb" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.cpp.thumbinterwork.1861815005" name="Enable Thumb interworking" superClass="com.crt.advproject.cpp.thumbinterwork" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.cpp.securestate.988240242" name="TrustZone Project Type" superClass="com.crt.advproject.cpp.securestate" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.cpp.specs.542829993" name="Specs" superClass="com.crt.advproject.cpp.specs" useByScannerDiscovery="false" value="com.crt.advproject.cpp.specs.newlibnano" valueType="enumerated"/>\r
+                                                               <option id="com.crt.advproject.cpp.stackusage.1477646254" name="Generate Stack Usage Info (-fstack-usage)" superClass="com.crt.advproject.cpp.stackusage" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.cpp.config.116480413" name="Obsolete (Config)" superClass="com.crt.advproject.cpp.config" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.cpp.store.108800896" name="Obsolete (Store)" superClass="com.crt.advproject.cpp.store" useByScannerDiscovery="false"/>\r
                                                        </tool>\r
-                                                       <tool id="com.crt.advproject.gcc.exe.debug.1335876398" name="MCU C Compiler" superClass="com.crt.advproject.gcc.exe.debug">\r
-                                                               <option id="com.crt.advproject.gcc.thumb.369220835" name="Thumb mode" superClass="com.crt.advproject.gcc.thumb" useByScannerDiscovery="false" value="true" valueType="boolean"/>\r
-                                                               <option id="com.crt.advproject.gcc.arch.1156270589" name="Architecture" superClass="com.crt.advproject.gcc.arch" useByScannerDiscovery="false" value="com.crt.advproject.gcc.target.cm33" valueType="enumerated"/>\r
-                                                               <option id="com.crt.advproject.c.misc.dialect.1728856633" name="Language standard" superClass="com.crt.advproject.c.misc.dialect" useByScannerDiscovery="true" value="com.crt.advproject.misc.dialect.gnu99" valueType="enumerated"/>\r
-                                                               <option id="gnu.c.compiler.option.dialect.flags.1128325919" name="Other dialect flags" superClass="gnu.c.compiler.option.dialect.flags" useByScannerDiscovery="true"/>\r
-                                                               <option id="gnu.c.compiler.option.preprocessor.nostdinc.1049944374" name="Do not search system directories (-nostdinc)" superClass="gnu.c.compiler.option.preprocessor.nostdinc" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.compiler.option.preprocessor.preprocess.1851994089" name="Preprocess only (-E)" superClass="gnu.c.compiler.option.preprocessor.preprocess" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.compiler.option.preprocessor.def.symbols.269618521" name="Defined symbols (-D)" superClass="gnu.c.compiler.option.preprocessor.def.symbols" useByScannerDiscovery="false" valueType="definedSymbols">\r
+                                                       <tool id="com.crt.advproject.gcc.exe.debug.1532884811" name="MCU C Compiler" superClass="com.crt.advproject.gcc.exe.debug">\r
+                                                               <option id="com.crt.advproject.gcc.hdrlib.186998862" name="Library headers" superClass="com.crt.advproject.gcc.hdrlib" useByScannerDiscovery="false" value="com.crt.advproject.gcc.hdrlib.newlibnano" valueType="enumerated"/>\r
+                                                               <option IS_BUILTIN_EMPTY="false" IS_VALUE_EMPTY="false" id="gnu.c.compiler.option.preprocessor.def.symbols.1271197465" name="Defined symbols (-D)" superClass="gnu.c.compiler.option.preprocessor.def.symbols" useByScannerDiscovery="false" valueType="definedSymbols">\r
                                                                        <listOptionValue builtIn="false" value="CPU_LPC55S69JBD100_cm33_core0"/>\r
                                                                        <listOptionValue builtIn="false" value="ARM_MATH_CM33"/>\r
+                                                                       <listOptionValue builtIn="false" value="SERIAL_PORT_TYPE_UART=1"/>\r
                                                                        <listOptionValue builtIn="false" value="CPU_LPC55S69JBD100"/>\r
                                                                        <listOptionValue builtIn="false" value="CPU_LPC55S69JBD100_cm33"/>\r
                                                                        <listOptionValue builtIn="false" value="SDK_DEBUGCONSOLE=0"/>\r
                                                                        <listOptionValue builtIn="false" value="__USE_CMSIS"/>\r
                                                                        <listOptionValue builtIn="false" value="DEBUG"/>\r
                                                                        <listOptionValue builtIn="false" value="__NEWLIB__"/>\r
-                                                                       <listOptionValue builtIn="false" value="__MULTICORE_MASTER"/>\r
                                                                </option>\r
-                                                               <option id="gnu.c.compiler.option.preprocessor.undef.symbol.38104495" name="Undefined symbols (-U)" superClass="gnu.c.compiler.option.preprocessor.undef.symbol" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.compiler.option.include.paths.1919144768" name="Include paths (-I)" superClass="gnu.c.compiler.option.include.paths" useByScannerDiscovery="false" valueType="includePath">\r
+                                                               <option id="com.crt.advproject.gcc.fpu.1148769838" name="Floating point" superClass="com.crt.advproject.gcc.fpu" useByScannerDiscovery="true" value="com.crt.advproject.gcc.fpu.fpv5sp.hard" valueType="enumerated"/>\r
+                                                               <option id="com.crt.advproject.gcc.thumb.2094263814" name="Thumb mode" superClass="com.crt.advproject.gcc.thumb" useByScannerDiscovery="false" value="true" valueType="boolean"/>\r
+                                                               <option id="com.crt.advproject.gcc.arch.1842454019" name="Architecture" superClass="com.crt.advproject.gcc.arch" useByScannerDiscovery="true" value="com.crt.advproject.gcc.target.cm33" valueType="enumerated"/>\r
+                                                               <option id="com.crt.advproject.c.misc.dialect.1925351216" name="Language standard" superClass="com.crt.advproject.c.misc.dialect" useByScannerDiscovery="true" value="com.crt.advproject.misc.dialect.gnu99" valueType="enumerated"/>\r
+                                                               <option id="gnu.c.compiler.option.dialect.flags.842259006" name="Other dialect flags" superClass="gnu.c.compiler.option.dialect.flags" useByScannerDiscovery="true"/>\r
+                                                               <option id="gnu.c.compiler.option.preprocessor.nostdinc.2132911659" name="Do not search system directories (-nostdinc)" superClass="gnu.c.compiler.option.preprocessor.nostdinc" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.compiler.option.preprocessor.preprocess.699923105" name="Preprocess only (-E)" superClass="gnu.c.compiler.option.preprocessor.preprocess" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.compiler.option.preprocessor.undef.symbol.2015005162" name="Undefined symbols (-U)" superClass="gnu.c.compiler.option.preprocessor.undef.symbol" useByScannerDiscovery="false"/>\r
+                                                               <option IS_BUILTIN_EMPTY="false" IS_VALUE_EMPTY="false" id="gnu.c.compiler.option.include.paths.573423183" name="Include paths (-I)" superClass="gnu.c.compiler.option.include.paths" useByScannerDiscovery="false" valueType="includePath">\r
                                                                        <listOptionValue builtIn="false" value="../../Config"/>\r
                                                                        <listOptionValue builtIn="false" value="../../../../NXP_Code"/>\r
                                                                        <listOptionValue builtIn="false" value="../../../../NXP_Code/board"/>\r
                                                                        <listOptionValue builtIn="false" value="../../../../NXP_Code/component/lists"/>\r
                                                                        <listOptionValue builtIn="false" value="../../../../../../Source/portable/GCC/ARM_CM33/secure"/>\r
                                                                </option>\r
-                                                               <option id="gnu.c.compiler.option.include.files.1350321941" name="Include files (-include)" superClass="gnu.c.compiler.option.include.files" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.gcc.exe.debug.option.optimization.level.462521748" name="Optimization Level" superClass="com.crt.advproject.gcc.exe.debug.option.optimization.level" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.compiler.option.optimization.flags.867759960" name="Other optimization flags" superClass="gnu.c.compiler.option.optimization.flags" useByScannerDiscovery="false" value="-fno-common" valueType="string"/>\r
-                                                               <option id="com.crt.advproject.gcc.exe.debug.option.debugging.level.979038300" name="Debug Level" superClass="com.crt.advproject.gcc.exe.debug.option.debugging.level" useByScannerDiscovery="false" value="gnu.c.debugging.level.default" valueType="enumerated"/>\r
-                                                               <option id="gnu.c.compiler.option.debugging.other.1641747049" name="Other debugging flags" superClass="gnu.c.compiler.option.debugging.other" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.compiler.option.debugging.prof.1567139550" name="Generate prof information (-p)" superClass="gnu.c.compiler.option.debugging.prof" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.compiler.option.debugging.gprof.691871238" name="Generate gprof information (-pg)" superClass="gnu.c.compiler.option.debugging.gprof" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.compiler.option.debugging.codecov.842305356" name="Generate gcov information (-ftest-coverage -fprofile-arcs)" superClass="gnu.c.compiler.option.debugging.codecov" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.compiler.option.warnings.syntax.717123171" name="Check syntax only (-fsyntax-only)" superClass="gnu.c.compiler.option.warnings.syntax" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.compiler.option.warnings.pedantic.565763966" name="Pedantic (-pedantic)" superClass="gnu.c.compiler.option.warnings.pedantic" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.compiler.option.warnings.pedantic.error.596029549" name="Pedantic warnings as errors (-pedantic-errors)" superClass="gnu.c.compiler.option.warnings.pedantic.error" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.compiler.option.warnings.nowarn.169390045" name="Inhibit all warnings (-w)" superClass="gnu.c.compiler.option.warnings.nowarn" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.compiler.option.warnings.allwarn.1731202665" name="All warnings (-Wall)" superClass="gnu.c.compiler.option.warnings.allwarn" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.compiler.option.warnings.extrawarn.1593066281" name="Extra warnings (-Wextra)" superClass="gnu.c.compiler.option.warnings.extrawarn" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.compiler.option.warnings.toerrors.964280572" name="Warnings as errors (-Werror)" superClass="gnu.c.compiler.option.warnings.toerrors" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.compiler.option.warnings.wconversion.1259890496" name="Implicit conversion warnings (-Wconversion)" superClass="gnu.c.compiler.option.warnings.wconversion" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.compiler.option.misc.other.2053035294" name="Other flags" superClass="gnu.c.compiler.option.misc.other" useByScannerDiscovery="false" value="-c  -ffunction-sections  -fdata-sections  -ffreestanding  -fno-builtin  -mcpu=cortex-m33" valueType="string"/>\r
-                                                               <option id="gnu.c.compiler.option.misc.verbose.492871447" name="Verbose (-v)" superClass="gnu.c.compiler.option.misc.verbose" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.compiler.option.misc.ansi.1271964082" name="Support ANSI programs (-ansi)" superClass="gnu.c.compiler.option.misc.ansi" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.compiler.option.misc.pic.1195128159" name="Position Independent Code (-fPIC)" superClass="gnu.c.compiler.option.misc.pic" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.gcc.lto.747006189" name="Enable Link-time optimization (-flto)" superClass="com.crt.advproject.gcc.lto" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.gcc.lto.fat.1965438421" name="Fat lto objects (-ffat-lto-objects)" superClass="com.crt.advproject.gcc.lto.fat" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.gcc.fpu.356917530" name="Floating point" superClass="com.crt.advproject.gcc.fpu" useByScannerDiscovery="false" value="com.crt.advproject.gcc.fpu.fpv5sp.hard" valueType="enumerated"/>\r
-                                                               <option id="com.crt.advproject.gcc.thumbinterwork.2131645436" name="Enable Thumb interworking" superClass="com.crt.advproject.gcc.thumbinterwork" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.gcc.securestate.949602906" name="TrustZone Project Type" superClass="com.crt.advproject.gcc.securestate" useByScannerDiscovery="false" value="com.crt.advproject.gcc.securestate.secure" valueType="enumerated"/>\r
-                                                               <option id="com.crt.advproject.gcc.hdrlib.951907948" name="Library headers" superClass="com.crt.advproject.gcc.hdrlib" useByScannerDiscovery="false" value="com.crt.advproject.gcc.hdrlib.newlibnano" valueType="enumerated"/>\r
-                                                               <option id="com.crt.advproject.gcc.specs.1630909467" name="Specs" superClass="com.crt.advproject.gcc.specs" useByScannerDiscovery="false" value="com.crt.advproject.gcc.specs.newlibnano" valueType="enumerated"/>\r
-                                                               <option id="com.crt.advproject.gcc.config.1645180053" name="Obsolete (Config)" superClass="com.crt.advproject.gcc.config" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.gcc.store.830555196" name="Obsolete (Store)" superClass="com.crt.advproject.gcc.store" useByScannerDiscovery="false"/>\r
-                                                               <inputType id="com.crt.advproject.compiler.input.573563641" superClass="com.crt.advproject.compiler.input"/>\r
+                                                               <option id="gnu.c.compiler.option.include.files.1006863521" name="Include files (-include)" superClass="gnu.c.compiler.option.include.files" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.gcc.exe.debug.option.optimization.level.199657063" name="Optimization Level" superClass="com.crt.advproject.gcc.exe.debug.option.optimization.level" useByScannerDiscovery="true"/>\r
+                                                               <option id="gnu.c.compiler.option.optimization.flags.120860244" name="Other optimization flags" superClass="gnu.c.compiler.option.optimization.flags" useByScannerDiscovery="false" value="-fno-common" valueType="string"/>\r
+                                                               <option id="com.crt.advproject.gcc.exe.debug.option.debugging.level.1845858671" name="Debug Level" superClass="com.crt.advproject.gcc.exe.debug.option.debugging.level" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.compiler.option.debugging.other.712552909" name="Other debugging flags" superClass="gnu.c.compiler.option.debugging.other" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.compiler.option.debugging.prof.1630002859" name="Generate prof information (-p)" superClass="gnu.c.compiler.option.debugging.prof" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.compiler.option.debugging.gprof.1985305868" name="Generate gprof information (-pg)" superClass="gnu.c.compiler.option.debugging.gprof" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.compiler.option.debugging.codecov.1985233186" name="Generate gcov information (-ftest-coverage -fprofile-arcs)" superClass="gnu.c.compiler.option.debugging.codecov" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.compiler.option.warnings.syntax.458167732" name="Check syntax only (-fsyntax-only)" superClass="gnu.c.compiler.option.warnings.syntax" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.compiler.option.warnings.pedantic.503116269" name="Pedantic (-pedantic)" superClass="gnu.c.compiler.option.warnings.pedantic" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.compiler.option.warnings.pedantic.error.1861675895" name="Pedantic warnings as errors (-pedantic-errors)" superClass="gnu.c.compiler.option.warnings.pedantic.error" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.compiler.option.warnings.nowarn.1832497689" name="Inhibit all warnings (-w)" superClass="gnu.c.compiler.option.warnings.nowarn" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.compiler.option.warnings.allwarn.1946346498" name="All warnings (-Wall)" superClass="gnu.c.compiler.option.warnings.allwarn" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.compiler.option.warnings.extrawarn.1854793554" name="Extra warnings (-Wextra)" superClass="gnu.c.compiler.option.warnings.extrawarn" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.compiler.option.warnings.toerrors.98500084" name="Warnings as errors (-Werror)" superClass="gnu.c.compiler.option.warnings.toerrors" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.compiler.option.warnings.wconversion.1502185079" name="Implicit conversion warnings (-Wconversion)" superClass="gnu.c.compiler.option.warnings.wconversion" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.compiler.option.misc.other.682793547" name="Other flags" superClass="gnu.c.compiler.option.misc.other" useByScannerDiscovery="false" value="-mcpu=cortex-m33  -c  -ffunction-sections  -fdata-sections  -ffreestanding  -fno-builtin" valueType="string"/>\r
+                                                               <option id="gnu.c.compiler.option.misc.verbose.1309836100" name="Verbose (-v)" superClass="gnu.c.compiler.option.misc.verbose" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.compiler.option.misc.ansi.1257006630" name="Support ANSI programs (-ansi)" superClass="gnu.c.compiler.option.misc.ansi" useByScannerDiscovery="false"/>\r
+                                                               <option id="gnu.c.compiler.option.misc.pic.1558935883" name="Position Independent Code (-fPIC)" superClass="gnu.c.compiler.option.misc.pic" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.gcc.lto.781364405" name="Enable Link-time optimization (-flto)" superClass="com.crt.advproject.gcc.lto" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.gcc.lto.fat.727140416" name="Fat lto objects (-ffat-lto-objects)" superClass="com.crt.advproject.gcc.lto.fat" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.gcc.merge.constants.165593031" name="Merge Identical Constants (-fmerge-constants)" superClass="com.crt.advproject.gcc.merge.constants" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.gcc.prefixmap.1493163468" name="Remove path from __FILE__ (-fmacro-prefix-map)" superClass="com.crt.advproject.gcc.prefixmap" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.gcc.thumbinterwork.516664033" name="Enable Thumb interworking" superClass="com.crt.advproject.gcc.thumbinterwork" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.gcc.securestate.936015350" name="TrustZone Project Type" superClass="com.crt.advproject.gcc.securestate" useByScannerDiscovery="false" value="com.crt.advproject.gcc.securestate.secure" valueType="enumerated"/>\r
+                                                               <option id="com.crt.advproject.gcc.specs.1241510049" name="Specs" superClass="com.crt.advproject.gcc.specs" useByScannerDiscovery="false" value="com.crt.advproject.gcc.specs.newlibnano" valueType="enumerated"/>\r
+                                                               <option id="com.crt.advproject.gcc.stackusage.212282106" name="Generate Stack Usage Info (-fstack-usage)" superClass="com.crt.advproject.gcc.stackusage" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.gcc.config.935010618" name="Obsolete (Config)" superClass="com.crt.advproject.gcc.config" useByScannerDiscovery="false"/>\r
+                                                               <option id="com.crt.advproject.gcc.store.486337259" name="Obsolete (Store)" superClass="com.crt.advproject.gcc.store" useByScannerDiscovery="false"/>\r
+                                                               <inputType id="com.crt.advproject.compiler.input.1713049043" superClass="com.crt.advproject.compiler.input"/>\r
                                                        </tool>\r
-                                                       <tool id="com.crt.advproject.gas.exe.debug.707764944" name="MCU Assembler" superClass="com.crt.advproject.gas.exe.debug">\r
-                                                               <option id="com.crt.advproject.gas.thumb.884009037" name="Thumb mode" superClass="com.crt.advproject.gas.thumb" useByScannerDiscovery="false" value="true" valueType="boolean"/>\r
-                                                               <option id="com.crt.advproject.gas.arch.1730501460" name="Architecture" superClass="com.crt.advproject.gas.arch" useByScannerDiscovery="false" value="com.crt.advproject.gas.target.cm33" valueType="enumerated"/>\r
-                                                               <option id="gnu.both.asm.option.flags.crt.987760559" name="Assembler flags" superClass="gnu.both.asm.option.flags.crt" useByScannerDiscovery="false" value="-c -x assembler-with-cpp -D__MULTICORE_NONE -D__NEWLIB__ -D__MULTICORE_MASTER" valueType="string"/>\r
-                                                               <option id="gnu.both.asm.option.include.paths.22908600" name="Include paths (-I)" superClass="gnu.both.asm.option.include.paths" useByScannerDiscovery="false" valueType="includePath">\r
+                                                       <tool id="com.crt.advproject.gas.exe.debug.1771128662" name="MCU Assembler" superClass="com.crt.advproject.gas.exe.debug">\r
+                                                               <option id="com.crt.advproject.gas.hdrlib.1212529050" name="Library headers" superClass="com.crt.advproject.gas.hdrlib" value="com.crt.advproject.gas.hdrlib.newlibnano" valueType="enumerated"/>\r
+                                                               <option id="com.crt.advproject.gas.fpu.1096777742" name="Floating point" superClass="com.crt.advproject.gas.fpu" value="com.crt.advproject.gas.fpu.fpv5sp.hard" valueType="enumerated"/>\r
+                                                               <option id="com.crt.advproject.gas.thumb.583483811" name="Thumb mode" superClass="com.crt.advproject.gas.thumb" value="true" valueType="boolean"/>\r
+                                                               <option id="com.crt.advproject.gas.arch.909322457" name="Architecture" superClass="com.crt.advproject.gas.arch" value="com.crt.advproject.gas.target.cm33" valueType="enumerated"/>\r
+                                                               <option id="gnu.both.asm.option.flags.crt.1425754503" name="Assembler flags" superClass="gnu.both.asm.option.flags.crt" value="-c -x assembler-with-cpp -D__NEWLIB__" valueType="string"/>\r
+                                                               <option IS_BUILTIN_EMPTY="false" IS_VALUE_EMPTY="false" id="gnu.both.asm.option.include.paths.85018563" name="Include paths (-I)" superClass="gnu.both.asm.option.include.paths" valueType="includePath">\r
                                                                        <listOptionValue builtIn="false" value="../../../../NXP_Code"/>\r
                                                                        <listOptionValue builtIn="false" value="../../../../NXP_Code/board"/>\r
                                                                        <listOptionValue builtIn="false" value="../../../../NXP_Code/source"/>\r
                                                                        <listOptionValue builtIn="false" value="../../../../NXP_Code/component/uart"/>\r
                                                                        <listOptionValue builtIn="false" value="../../../../NXP_Code/component/lists"/>\r
                                                                </option>\r
-                                                               <option id="gnu.both.asm.option.warnings.nowarn.525365220" name="Suppress warnings (-W)" superClass="gnu.both.asm.option.warnings.nowarn" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.both.asm.option.version.745202826" name="Announce version (-v)" superClass="gnu.both.asm.option.version" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.gas.exe.debug.option.debugging.level.1791700073" name="Debug level" superClass="com.crt.advproject.gas.exe.debug.option.debugging.level" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.gas.fpu.755898688" name="Floating point" superClass="com.crt.advproject.gas.fpu" useByScannerDiscovery="false" value="com.crt.advproject.gas.fpu.fpv5sp.hard" valueType="enumerated"/>\r
-                                                               <option id="com.crt.advproject.gas.thumbinterwork.141171748" name="Enable Thumb interworking" superClass="com.crt.advproject.gas.thumbinterwork" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.gas.hdrlib.722682127" name="Library headers" superClass="com.crt.advproject.gas.hdrlib" useByScannerDiscovery="false" value="com.crt.advproject.gas.hdrlib.newlibnano" valueType="enumerated"/>\r
-                                                               <option id="com.crt.advproject.gas.specs.1885198458" name="Specs" superClass="com.crt.advproject.gas.specs" useByScannerDiscovery="false" value="com.crt.advproject.gas.specs.newlibnano" valueType="enumerated"/>\r
-                                                               <option id="com.crt.advproject.gas.config.499332596" name="Obsolete (Config)" superClass="com.crt.advproject.gas.config" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.gas.store.865987186" name="Obsolete (Store)" superClass="com.crt.advproject.gas.store" useByScannerDiscovery="false"/>\r
-                                                               <inputType id="cdt.managedbuild.tool.gnu.assembler.input.190511065" superClass="cdt.managedbuild.tool.gnu.assembler.input"/>\r
-                                                               <inputType id="com.crt.advproject.assembler.input.1103847778" name="Additional Assembly Source Files" superClass="com.crt.advproject.assembler.input"/>\r
+                                                               <option id="gnu.both.asm.option.warnings.nowarn.1665805653" name="Suppress warnings (-W)" superClass="gnu.both.asm.option.warnings.nowarn"/>\r
+                                                               <option id="gnu.both.asm.option.version.1312777389" name="Announce version (-v)" superClass="gnu.both.asm.option.version"/>\r
+                                                               <option id="com.crt.advproject.gas.exe.debug.option.debugging.level.81072237" name="Debug level" superClass="com.crt.advproject.gas.exe.debug.option.debugging.level"/>\r
+                                                               <option id="com.crt.advproject.gas.thumbinterwork.827495805" name="Enable Thumb interworking" superClass="com.crt.advproject.gas.thumbinterwork"/>\r
+                                                               <option id="com.crt.advproject.gas.specs.704102674" name="Specs" superClass="com.crt.advproject.gas.specs" value="com.crt.advproject.gas.specs.newlibnano" valueType="enumerated"/>\r
+                                                               <option id="com.crt.advproject.gas.config.1553146838" name="Obsolete (Config)" superClass="com.crt.advproject.gas.config"/>\r
+                                                               <option id="com.crt.advproject.gas.store.1729287209" name="Obsolete (Store)" superClass="com.crt.advproject.gas.store"/>\r
+                                                               <inputType id="cdt.managedbuild.tool.gnu.assembler.input.657044625" superClass="cdt.managedbuild.tool.gnu.assembler.input"/>\r
+                                                               <inputType id="com.crt.advproject.assembler.input.1819825019" name="Additional Assembly Source Files" superClass="com.crt.advproject.assembler.input"/>\r
                                                        </tool>\r
-                                                       <tool id="com.crt.advproject.link.cpp.exe.debug.1820514807" name="MCU C++ Linker" superClass="com.crt.advproject.link.cpp.exe.debug">\r
-                                                               <option id="com.crt.advproject.link.cpp.arch.1702096630" name="Architecture" superClass="com.crt.advproject.link.cpp.arch" value="com.crt.advproject.link.cpp.target.cm33" valueType="enumerated"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.fpu.649198656" name="Floating point" superClass="com.crt.advproject.link.cpp.fpu" value="com.crt.advproject.link.cpp.fpu.none" valueType="enumerated"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.multicore.slave.1365170416" name="Multicore configuration" superClass="com.crt.advproject.link.cpp.multicore.slave" value="Master" valueType="string"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.multicore.master.1157057449" name="Multicore master" superClass="com.crt.advproject.link.cpp.multicore.master"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.multicore.master.userobjs.1976314814" name="Slave Objects (not visible)" superClass="com.crt.advproject.link.cpp.multicore.master.userobjs"/>\r
-                                                               <option id="gnu.cpp.link.option.nostart.1023915988" name="Do not use standard start files (-nostartfiles)" superClass="gnu.cpp.link.option.nostart"/>\r
-                                                               <option id="gnu.cpp.link.option.nodeflibs.393052520" name="Do not use default libraries (-nodefaultlibs)" superClass="gnu.cpp.link.option.nodeflibs"/>\r
-                                                               <option id="gnu.cpp.link.option.nostdlibs.268294983" name="No startup or default libs (-nostdlib)" superClass="gnu.cpp.link.option.nostdlibs" value="true" valueType="boolean"/>\r
-                                                               <option id="gnu.cpp.link.option.strip.876714120" name="Omit all symbol information (-s)" superClass="gnu.cpp.link.option.strip"/>\r
-                                                               <option id="gnu.cpp.link.option.libs.476314851" name="Libraries (-l)" superClass="gnu.cpp.link.option.libs" valueType="libs">\r
+                                                       <tool id="com.crt.advproject.link.cpp.exe.debug.440199501" name="MCU C++ Linker" superClass="com.crt.advproject.link.cpp.exe.debug">\r
+                                                               <option id="com.crt.advproject.link.cpp.hdrlib.1334166704" name="Library" superClass="com.crt.advproject.link.cpp.hdrlib" value="com.crt.advproject.cpp.link.hdrlib.newlibnano.semihost" valueType="enumerated"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.fpu.126796900" name="Floating point" superClass="com.crt.advproject.link.cpp.fpu" value="com.crt.advproject.link.cpp.fpu.fpv5sp.hard" valueType="enumerated"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.arch.388476401" name="Architecture" superClass="com.crt.advproject.link.cpp.arch" value="com.crt.advproject.link.cpp.target.cm33" valueType="enumerated"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.multicore.slave.61184467" name="Multicore configuration" superClass="com.crt.advproject.link.cpp.multicore.slave"/>\r
+                                                               <option id="gnu.cpp.link.option.nostart.772137565" name="Do not use standard start files (-nostartfiles)" superClass="gnu.cpp.link.option.nostart"/>\r
+                                                               <option id="gnu.cpp.link.option.nodeflibs.204190165" name="Do not use default libraries (-nodefaultlibs)" superClass="gnu.cpp.link.option.nodeflibs"/>\r
+                                                               <option id="gnu.cpp.link.option.nostdlibs.405478373" name="No startup or default libs (-nostdlib)" superClass="gnu.cpp.link.option.nostdlibs" value="true" valueType="boolean"/>\r
+                                                               <option id="gnu.cpp.link.option.strip.357958331" name="Omit all symbol information (-s)" superClass="gnu.cpp.link.option.strip"/>\r
+                                                               <option IS_BUILTIN_EMPTY="false" IS_VALUE_EMPTY="false" id="gnu.cpp.link.option.libs.1179361343" name="Libraries (-l)" superClass="gnu.cpp.link.option.libs" valueType="libs">\r
+                                                                       <listOptionValue builtIn="false" value="power_hardabi_s"/>\r
                                                                        <listOptionValue builtIn="false" value="power_hardabi"/>\r
                                                                </option>\r
-                                                               <option id="gnu.cpp.link.option.paths.264388819" name="Library search path (-L)" superClass="gnu.cpp.link.option.paths" valueType="libPaths">\r
-                                                                       <listOptionValue builtIn="false" value="&quot;${workspace_loc:/${ProjName}/SDK/libs}&quot;"/>\r
+                                                               <option IS_BUILTIN_EMPTY="false" IS_VALUE_EMPTY="false" id="gnu.cpp.link.option.paths.1296299894" name="Library search path (-L)" superClass="gnu.cpp.link.option.paths" valueType="libPaths">\r
+                                                                       <listOptionValue builtIn="false" value="&quot;${workspace_loc:/${ProjName}/libs}&quot;"/>\r
                                                                </option>\r
-                                                               <option id="gnu.cpp.link.option.flags.275239482" name="Linker flags" superClass="gnu.cpp.link.option.flags"/>\r
-                                                               <option id="gnu.cpp.link.option.other.1881649199" name="Other options (-Xlinker [option])" superClass="gnu.cpp.link.option.other"/>\r
-                                                               <option id="gnu.cpp.link.option.userobjs.147247482" name="Other objects" superClass="gnu.cpp.link.option.userobjs"/>\r
-                                                               <option id="gnu.cpp.link.option.shared.203536538" name="Shared (-shared)" superClass="gnu.cpp.link.option.shared"/>\r
-                                                               <option id="gnu.cpp.link.option.soname.1230033797" name="Shared object name (-Wl,-soname=)" superClass="gnu.cpp.link.option.soname"/>\r
-                                                               <option id="gnu.cpp.link.option.implname.1515958877" name="Import Library name (-Wl,--out-implib=)" superClass="gnu.cpp.link.option.implname"/>\r
-                                                               <option id="gnu.cpp.link.option.defname.1342446193" name="DEF file name (-Wl,--output-def=)" superClass="gnu.cpp.link.option.defname"/>\r
-                                                               <option id="gnu.cpp.link.option.debugging.prof.1310133566" name="Generate prof information (-p)" superClass="gnu.cpp.link.option.debugging.prof"/>\r
-                                                               <option id="gnu.cpp.link.option.debugging.gprof.1627175489" name="Generate gprof information (-pg)" superClass="gnu.cpp.link.option.debugging.gprof"/>\r
-                                                               <option id="gnu.cpp.link.option.debugging.codecov.1862888422" name="Generate gcov information (-ftest-coverage -fprofile-arcs)" superClass="gnu.cpp.link.option.debugging.codecov"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.lto.217446122" name="Enable Link-time optimization (-flto)" superClass="com.crt.advproject.link.cpp.lto"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.lto.optmization.level.218770253" name="Link-time optimization level" superClass="com.crt.advproject.link.cpp.lto.optmization.level"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.thumb.117233528" name="Thumb mode" superClass="com.crt.advproject.link.cpp.thumb"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.manage.80205795" name="Manage linker script" superClass="com.crt.advproject.link.cpp.manage"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.script.689911009" name="Linker script" superClass="com.crt.advproject.link.cpp.script"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.scriptdir.471036950" name="Script path" superClass="com.crt.advproject.link.cpp.scriptdir"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.crpenable.750514325" name="Enable automatic placement of Code Read Protection field in image" superClass="com.crt.advproject.link.cpp.crpenable"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.flashconfigenable.1838032263" name="Enable automatic placement of Flash Configuration field in image" superClass="com.crt.advproject.link.cpp.flashconfigenable" value="true" valueType="boolean"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.ecrp.904953872" name="Enhanced CRP" superClass="com.crt.advproject.link.cpp.ecrp"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.hdrlib.1663757446" name="Library" superClass="com.crt.advproject.link.cpp.hdrlib" value="com.crt.advproject.cpp.link.hdrlib.newlibnano.semihost" valueType="enumerated"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.nanofloat.1063844736" name="Enable printf float " superClass="com.crt.advproject.link.cpp.nanofloat"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.nanofloat.scanf.1834741438" name="Enable scanf float " superClass="com.crt.advproject.link.cpp.nanofloat.scanf"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.toram.1524276606" name="Link application to RAM" superClass="com.crt.advproject.link.cpp.toram"/>\r
-                                                               <option id="com.crt.advproject.link.memory.load.image.cpp.724841647" name="Plain load image" superClass="com.crt.advproject.link.memory.load.image.cpp"/>\r
-                                                               <option id="com.crt.advproject.link.memory.heapAndStack.style.cpp.273260840" name="Heap and Stack placement" superClass="com.crt.advproject.link.memory.heapAndStack.style.cpp"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.stackOffset.292968643" name="Stack offset" superClass="com.crt.advproject.link.cpp.stackOffset"/>\r
-                                                               <option id="com.crt.advproject.link.memory.heapAndStack.cpp.362827832" name="Heap and Stack options" superClass="com.crt.advproject.link.memory.heapAndStack.cpp"/>\r
-                                                               <option id="com.crt.advproject.link.memory.data.cpp.1708422696" name="Global data placement" superClass="com.crt.advproject.link.memory.data.cpp"/>\r
-                                                               <option id="com.crt.advproject.link.memory.sections.cpp.1219773476" name="Extra linker script input sections" superClass="com.crt.advproject.link.memory.sections.cpp"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.multicore.empty.2129211102" name="No Multicore options for this project" superClass="com.crt.advproject.link.cpp.multicore.empty"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.config.597162907" name="Obsolete (Config)" superClass="com.crt.advproject.link.cpp.config"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.store.449879723" name="Obsolete (Store)" superClass="com.crt.advproject.link.cpp.store"/>\r
-                                                               <option id="com.crt.advproject.link.cpp.securestate.443489996" name="TrustZone Project Type" superClass="com.crt.advproject.link.cpp.securestate"/>\r
+                                                               <option id="gnu.cpp.link.option.flags.1913482653" name="Linker flags" superClass="gnu.cpp.link.option.flags"/>\r
+                                                               <option id="gnu.cpp.link.option.other.1661285873" name="Other options (-Xlinker [option])" superClass="gnu.cpp.link.option.other"/>\r
+                                                               <option id="gnu.cpp.link.option.userobjs.1392829327" name="Other objects" superClass="gnu.cpp.link.option.userobjs"/>\r
+                                                               <option id="gnu.cpp.link.option.shared.1917895277" name="Shared (-shared)" superClass="gnu.cpp.link.option.shared"/>\r
+                                                               <option id="gnu.cpp.link.option.soname.1966778156" name="Shared object name (-Wl,-soname=)" superClass="gnu.cpp.link.option.soname"/>\r
+                                                               <option id="gnu.cpp.link.option.implname.418443996" name="Import Library name (-Wl,--out-implib=)" superClass="gnu.cpp.link.option.implname"/>\r
+                                                               <option id="gnu.cpp.link.option.defname.1080470331" name="DEF file name (-Wl,--output-def=)" superClass="gnu.cpp.link.option.defname"/>\r
+                                                               <option id="gnu.cpp.link.option.debugging.prof.226625030" name="Generate prof information (-p)" superClass="gnu.cpp.link.option.debugging.prof"/>\r
+                                                               <option id="gnu.cpp.link.option.debugging.gprof.812425849" name="Generate gprof information (-pg)" superClass="gnu.cpp.link.option.debugging.gprof"/>\r
+                                                               <option id="gnu.cpp.link.option.debugging.codecov.863099540" name="Generate gcov information (-ftest-coverage -fprofile-arcs)" superClass="gnu.cpp.link.option.debugging.codecov"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.lto.871408995" name="Enable Link-time optimization (-flto)" superClass="com.crt.advproject.link.cpp.lto"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.lto.optmization.level.945949675" name="Link-time optimization level" superClass="com.crt.advproject.link.cpp.lto.optmization.level"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.thumb.154813994" name="Thumb mode" superClass="com.crt.advproject.link.cpp.thumb"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.manage.1348222211" name="Manage linker script" superClass="com.crt.advproject.link.cpp.manage"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.script.614002626" name="Linker script" superClass="com.crt.advproject.link.cpp.script"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.scriptdir.1463750204" name="Script path" superClass="com.crt.advproject.link.cpp.scriptdir"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.crpenable.1159076209" name="Enable automatic placement of Code Read Protection field in image" superClass="com.crt.advproject.link.cpp.crpenable"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.flashconfigenable.613292505" name="Enable automatic placement of Flash Configuration field in image" superClass="com.crt.advproject.link.cpp.flashconfigenable" value="true" valueType="boolean"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.ecrp.1932261791" name="Enhanced CRP" superClass="com.crt.advproject.link.cpp.ecrp"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.nanofloat.1288754788" name="Enable printf float " superClass="com.crt.advproject.link.cpp.nanofloat"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.nanofloat.scanf.608822977" name="Enable scanf float " superClass="com.crt.advproject.link.cpp.nanofloat.scanf"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.toram.1558525416" name="Link application to RAM" superClass="com.crt.advproject.link.cpp.toram"/>\r
+                                                               <option id="com.crt.advproject.link.memory.load.image.cpp.1019012570" name="Plain load image" superClass="com.crt.advproject.link.memory.load.image.cpp"/>\r
+                                                               <option id="com.crt.advproject.link.memory.heapAndStack.style.cpp.287466095" name="Heap and Stack placement" superClass="com.crt.advproject.link.memory.heapAndStack.style.cpp"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.stackOffset.1771551790" name="Stack offset" superClass="com.crt.advproject.link.cpp.stackOffset"/>\r
+                                                               <option id="com.crt.advproject.link.memory.heapAndStack.cpp.240997915" name="Heap and Stack options" superClass="com.crt.advproject.link.memory.heapAndStack.cpp"/>\r
+                                                               <option id="com.crt.advproject.link.memory.data.cpp.1160860049" name="Global data placement" superClass="com.crt.advproject.link.memory.data.cpp"/>\r
+                                                               <option id="com.crt.advproject.link.memory.sections.cpp.1997776023" name="Extra linker script input sections" superClass="com.crt.advproject.link.memory.sections.cpp"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.multicore.master.557640176" name="Multicore master" superClass="com.crt.advproject.link.cpp.multicore.master"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.multicore.empty.455556460" name="No Multicore options for this project" superClass="com.crt.advproject.link.cpp.multicore.empty"/>\r
+                                                               <option IS_BUILTIN_EMPTY="false" IS_VALUE_EMPTY="false" id="com.crt.advproject.link.cpp.multicore.master.userobjs.1601184537" name="Slave Objects (not visible)" superClass="com.crt.advproject.link.cpp.multicore.master.userobjs" valueType="userObjs">\r
+                                                                       <listOptionValue builtIn="false" value="${workspace_loc:/FreeRTOSDemo_ns/${ConfigName}/FreeRTOSDemo_ns.axf.o}"/>\r
+                                                               </option>\r
+                                                               <option id="com.crt.advproject.link.cpp.config.1489036260" name="Obsolete (Config)" superClass="com.crt.advproject.link.cpp.config"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.store.1441066134" name="Obsolete (Store)" superClass="com.crt.advproject.link.cpp.store"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.securestate.1333634451" name="TrustZone Project Type" superClass="com.crt.advproject.link.cpp.securestate"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.sgstubs.placement.1440396588" name="Secure Gateway Placement" superClass="com.crt.advproject.link.cpp.sgstubs.placement"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.sgstubenable.336473181" name="Enable generation of Secure Gateway Import Library" superClass="com.crt.advproject.link.cpp.sgstubenable"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.nonsecureobject.1611087625" name="Secure Gateway Import Library" superClass="com.crt.advproject.link.cpp.nonsecureobject"/>\r
+                                                               <option id="com.crt.advproject.link.cpp.inimplib.95356798" name="Input Secure Gateway Import Library" superClass="com.crt.advproject.link.cpp.inimplib"/>\r
                                                        </tool>\r
-                                                       <tool commandLinePattern="${COMMAND} ${FLAGS} ${OUTPUT_FLAG} ${OUTPUT_PREFIX}${OUTPUT} ${INPUTS}" id="com.crt.advproject.link.exe.debug.132580208" name="MCU Linker" superClass="com.crt.advproject.link.exe.debug">\r
-                                                               <option id="com.crt.advproject.link.thumb.1175226565" name="Thumb mode" superClass="com.crt.advproject.link.thumb" useByScannerDiscovery="false" value="true" valueType="boolean"/>\r
-                                                               <option id="com.crt.advproject.link.memory.load.image.1746088994" name="Plain load image" superClass="com.crt.advproject.link.memory.load.image" useByScannerDiscovery="false" value="false;" valueType="string"/>\r
-                                                               <option id="com.crt.advproject.link.memory.heapAndStack.1035995788" name="Heap and Stack options" superClass="com.crt.advproject.link.memory.heapAndStack" useByScannerDiscovery="false" value="&amp;Heap:Default;Post Data;Default&amp;Stack:Default;End;Default" valueType="string"/>\r
-                                                               <option id="com.crt.advproject.link.memory.data.1366162557" name="Global data placement" superClass="com.crt.advproject.link.memory.data" useByScannerDiscovery="false" value="Default" valueType="string"/>\r
-                                                               <option id="com.crt.advproject.link.memory.sections.592414352" name="Extra linker script input sections" superClass="com.crt.advproject.link.memory.sections" useByScannerDiscovery="false" valueType="stringList"/>\r
-                                                               <option id="com.crt.advproject.link.gcc.multicore.master.1000790264" name="Multicore master" superClass="com.crt.advproject.link.gcc.multicore.master" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.link.gcc.multicore.master.userobjs.259367097" name="Slave Objects (not visible)" superClass="com.crt.advproject.link.gcc.multicore.master.userobjs" useByScannerDiscovery="false" valueType="userObjs"/>\r
-                                                               <option id="com.crt.advproject.link.arch.480914227" name="Architecture" superClass="com.crt.advproject.link.arch" useByScannerDiscovery="false" value="com.crt.advproject.link.target.cm33" valueType="enumerated"/>\r
-                                                               <option id="com.crt.advproject.link.gcc.multicore.slave.1009633444" name="Multicore configuration" superClass="com.crt.advproject.link.gcc.multicore.slave" useByScannerDiscovery="false" value="Master" valueType="string"/>\r
-                                                               <option id="gnu.c.link.option.nostart.970926191" name="Do not use standard start files (-nostartfiles)" superClass="gnu.c.link.option.nostart" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.link.option.nodeflibs.1258004430" name="Do not use default libraries (-nodefaultlibs)" superClass="gnu.c.link.option.nodeflibs" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.link.option.nostdlibs.221440806" name="No startup or default libs (-nostdlib)" superClass="gnu.c.link.option.nostdlibs" useByScannerDiscovery="false" value="true" valueType="boolean"/>\r
-                                                               <option id="gnu.c.link.option.strip.1429374345" name="Omit all symbol information (-s)" superClass="gnu.c.link.option.strip" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.link.option.noshared.1765766074" name="No shared libraries (-static)" superClass="gnu.c.link.option.noshared" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.link.option.libs.543645633" name="Libraries (-l)" superClass="gnu.c.link.option.libs" useByScannerDiscovery="false" valueType="libs">\r
+                                                       <tool id="com.crt.advproject.link.exe.debug.1575954080" name="MCU Linker" superClass="com.crt.advproject.link.exe.debug">\r
+                                                               <option id="com.crt.advproject.link.gcc.hdrlib.1299826475" name="Library" superClass="com.crt.advproject.link.gcc.hdrlib" value="com.crt.advproject.gcc.link.hdrlib.newlibnano.semihost" valueType="enumerated"/>\r
+                                                               <option id="com.crt.advproject.link.fpu.1851036505" name="Floating point" superClass="com.crt.advproject.link.fpu" value="com.crt.advproject.link.fpu.fpv5sp.hard" valueType="enumerated"/>\r
+                                                               <option id="com.crt.advproject.link.thumb.1523646796" name="Thumb mode" superClass="com.crt.advproject.link.thumb" value="true" valueType="boolean"/>\r
+                                                               <option id="com.crt.advproject.link.memory.load.image.1147252628" name="Plain load image" superClass="com.crt.advproject.link.memory.load.image" useByScannerDiscovery="false" value="false;" valueType="string"/>\r
+                                                               <option defaultValue="com.crt.advproject.heapAndStack.mcuXpressoStyle" id="com.crt.advproject.link.memory.heapAndStack.style.708171879" name="Heap and Stack placement" superClass="com.crt.advproject.link.memory.heapAndStack.style" useByScannerDiscovery="false" valueType="enumerated"/>\r
+                                                               <option id="com.crt.advproject.link.memory.heapAndStack.1139190245" name="Heap and Stack options" superClass="com.crt.advproject.link.memory.heapAndStack" value="&amp;Heap:Default;Post Data;Default&amp;Stack:Default;End;Default" valueType="string"/>\r
+                                                               <option id="com.crt.advproject.link.memory.data.939733183" name="Global data placement" superClass="com.crt.advproject.link.memory.data" useByScannerDiscovery="false" value="Default" valueType="string"/>\r
+                                                               <option IS_BUILTIN_EMPTY="false" IS_VALUE_EMPTY="true" id="com.crt.advproject.link.memory.sections.1859013801" name="Extra linker script input sections" superClass="com.crt.advproject.link.memory.sections" useByScannerDiscovery="false" valueType="stringList"/>\r
+                                                               <option IS_BUILTIN_EMPTY="false" IS_VALUE_EMPTY="true" id="com.crt.advproject.link.gcc.multicore.master.userobjs.1058234794" name="Slave Objects (not visible)" superClass="com.crt.advproject.link.gcc.multicore.master.userobjs" useByScannerDiscovery="false" valueType="userObjs"/>\r
+                                                               <option id="com.crt.advproject.link.arch.923548326" name="Architecture" superClass="com.crt.advproject.link.arch" value="com.crt.advproject.link.target.cm33" valueType="enumerated"/>\r
+                                                               <option id="com.crt.advproject.link.gcc.multicore.slave.1204204978" name="Multicore configuration" superClass="com.crt.advproject.link.gcc.multicore.slave"/>\r
+                                                               <option id="gnu.c.link.option.nostart.928960584" name="Do not use standard start files (-nostartfiles)" superClass="gnu.c.link.option.nostart"/>\r
+                                                               <option id="gnu.c.link.option.nodeflibs.1914226128" name="Do not use default libraries (-nodefaultlibs)" superClass="gnu.c.link.option.nodeflibs"/>\r
+                                                               <option id="gnu.c.link.option.nostdlibs.1888853" name="No startup or default libs (-nostdlib)" superClass="gnu.c.link.option.nostdlibs" value="true" valueType="boolean"/>\r
+                                                               <option id="gnu.c.link.option.strip.1872291704" name="Omit all symbol information (-s)" superClass="gnu.c.link.option.strip"/>\r
+                                                               <option id="gnu.c.link.option.noshared.791045923" name="No shared libraries (-static)" superClass="gnu.c.link.option.noshared"/>\r
+                                                               <option IS_BUILTIN_EMPTY="false" IS_VALUE_EMPTY="false" id="gnu.c.link.option.libs.487556433" name="Libraries (-l)" superClass="gnu.c.link.option.libs" valueType="libs">\r
+                                                                       <listOptionValue builtIn="false" value="power_hardabi_s"/>\r
                                                                        <listOptionValue builtIn="false" value="power_hardabi"/>\r
                                                                </option>\r
-                                                               <option id="gnu.c.link.option.paths.502061084" name="Library search path (-L)" superClass="gnu.c.link.option.paths" useByScannerDiscovery="false" valueType="libPaths">\r
+                                                               <option IS_BUILTIN_EMPTY="false" IS_VALUE_EMPTY="false" id="gnu.c.link.option.paths.298095952" name="Library search path (-L)" superClass="gnu.c.link.option.paths" useByScannerDiscovery="false" valueType="libPaths">\r
                                                                        <listOptionValue builtIn="false" value="&quot;${workspace_loc:/${ProjName}/NXP_Code/libs}&quot;"/>\r
                                                                </option>\r
-                                                               <option id="gnu.c.link.option.ldflags.1021554268" name="Linker flags" superClass="gnu.c.link.option.ldflags" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.link.option.other.1510422902" name="Other options (-Xlinker [option])" superClass="gnu.c.link.option.other" useByScannerDiscovery="false" valueType="stringList">\r
-                                                                       <listOptionValue builtIn="false" value="--cmse-implib"/>\r
-                                                                       <listOptionValue builtIn="false" value="--out-implib=FreeRTOSDemo_s_CMSE_lib.o"/>\r
+                                                               <option id="gnu.c.link.option.ldflags.535797612" name="Linker flags" superClass="gnu.c.link.option.ldflags"/>\r
+                                                               <option IS_BUILTIN_EMPTY="false" IS_VALUE_EMPTY="false" id="gnu.c.link.option.other.1723167711" name="Other options (-Xlinker [option])" superClass="gnu.c.link.option.other" valueType="stringList">\r
                                                                        <listOptionValue builtIn="false" value="-Map=&quot;${BuildArtifactFileBaseName}.map&quot;"/>\r
                                                                        <listOptionValue builtIn="false" value="--gc-sections"/>\r
                                                                        <listOptionValue builtIn="false" value="-print-memory-usage"/>\r
                                                                        <listOptionValue builtIn="false" value="--sort-section=alignment"/>\r
+                                                                       <listOptionValue builtIn="false" value="--cref"/>\r
                                                                </option>\r
-                                                               <option id="gnu.c.link.option.userobjs.996558392" name="Other objects" superClass="gnu.c.link.option.userobjs" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.link.option.shared.450033298" name="Shared (-shared)" superClass="gnu.c.link.option.shared" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.link.option.soname.140241374" name="Shared object name (-Wl,-soname=)" superClass="gnu.c.link.option.soname" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.link.option.implname.1859539111" name="Import Library name (-Wl,--out-implib=)" superClass="gnu.c.link.option.implname" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.link.option.defname.555920979" name="DEF file name (-Wl,--output-def=)" superClass="gnu.c.link.option.defname" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.link.option.debugging.prof.1384821332" name="Generate prof information (-p)" superClass="gnu.c.link.option.debugging.prof" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.link.option.debugging.gprof.1344284177" name="Generate gprof information (-pg)" superClass="gnu.c.link.option.debugging.gprof" useByScannerDiscovery="false"/>\r
-                                                               <option id="gnu.c.link.option.debugging.codecov.1644631219" name="Generate gcov information (-ftest-coverage -fprofile-arcs)" superClass="gnu.c.link.option.debugging.codecov" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.link.gcc.lto.1507979533" name="Enable Link-time optimization (-flto)" superClass="com.crt.advproject.link.gcc.lto" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.link.gcc.lto.optmization.level.1280803358" name="Link-time optimization level" superClass="com.crt.advproject.link.gcc.lto.optmization.level" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.link.fpu.1246905254" name="Floating point" superClass="com.crt.advproject.link.fpu" useByScannerDiscovery="false" value="com.crt.advproject.link.fpu.fpv5sp.hard" valueType="enumerated"/>\r
-                                                               <option id="com.crt.advproject.link.manage.1924163799" name="Manage linker script" superClass="com.crt.advproject.link.manage" useByScannerDiscovery="false" value="false" valueType="boolean"/>\r
-                                                               <option id="com.crt.advproject.link.script.1838672341" name="Linker script" superClass="com.crt.advproject.link.script" useByScannerDiscovery="false" value="../FreeRTOSDemo_s.ld" valueType="string"/>\r
-                                                               <option id="com.crt.advproject.link.scriptdir.1625669347" name="Script path" superClass="com.crt.advproject.link.scriptdir" useByScannerDiscovery="false" value="" valueType="string"/>\r
-                                                               <option id="com.crt.advproject.link.crpenable.574436271" name="Enable automatic placement of Code Read Protection field in image" superClass="com.crt.advproject.link.crpenable" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.link.flashconfigenable.338810248" name="Enable automatic placement of Flash Configuration field in image" superClass="com.crt.advproject.link.flashconfigenable" useByScannerDiscovery="false" value="true" valueType="boolean"/>\r
-                                                               <option id="com.crt.advproject.link.ecrp.175368222" name="Enhanced CRP" superClass="com.crt.advproject.link.ecrp" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.link.gcc.hdrlib.1255736365" name="Library" superClass="com.crt.advproject.link.gcc.hdrlib" useByScannerDiscovery="false" value="com.crt.advproject.gcc.link.hdrlib.newlibnano.semihost" valueType="enumerated"/>\r
-                                                               <option id="com.crt.advproject.link.gcc.nanofloat.1854693683" name="Enable printf float " superClass="com.crt.advproject.link.gcc.nanofloat" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.link.gcc.nanofloat.scanf.1276907599" name="Enable scanf float " superClass="com.crt.advproject.link.gcc.nanofloat.scanf" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.link.toram.346487100" name="Link application to RAM" superClass="com.crt.advproject.link.toram" useByScannerDiscovery="false"/>\r
-                                                               <option defaultValue="com.crt.advproject.heapAndStack.mcuXpressoStyle" id="com.crt.advproject.link.memory.heapAndStack.style.1211705771" name="Heap and Stack placement" superClass="com.crt.advproject.link.memory.heapAndStack.style" useByScannerDiscovery="false" valueType="enumerated"/>\r
-                                                               <option id="com.crt.advproject.link.stackOffset.751523983" name="Stack offset" superClass="com.crt.advproject.link.stackOffset" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.link.gcc.multicore.empty.1678626958" name="No Multicore options for this project" superClass="com.crt.advproject.link.gcc.multicore.empty" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.link.config.585909316" name="Obsolete (Config)" superClass="com.crt.advproject.link.config" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.link.store.1301591613" name="Obsolete (Store)" superClass="com.crt.advproject.link.store" useByScannerDiscovery="false"/>\r
-                                                               <option id="com.crt.advproject.link.securestate.563945228" name="TrustZone Project Type" superClass="com.crt.advproject.link.securestate" useByScannerDiscovery="false" value="com.crt.advproject.link.securestate.secure" valueType="enumerated"/>\r
-                                                               <inputType id="cdt.managedbuild.tool.gnu.c.linker.input.656036357" superClass="cdt.managedbuild.tool.gnu.c.linker.input">\r
+                                                               <option id="gnu.c.link.option.userobjs.616633223" name="Other objects" superClass="gnu.c.link.option.userobjs"/>\r
+                                                               <option id="gnu.c.link.option.shared.1397373631" name="Shared (-shared)" superClass="gnu.c.link.option.shared"/>\r
+                                                               <option id="gnu.c.link.option.soname.1617499588" name="Shared object name (-Wl,-soname=)" superClass="gnu.c.link.option.soname"/>\r
+                                                               <option id="gnu.c.link.option.implname.32513739" name="Import Library name (-Wl,--out-implib=)" superClass="gnu.c.link.option.implname"/>\r
+                                                               <option id="gnu.c.link.option.defname.662377620" name="DEF file name (-Wl,--output-def=)" superClass="gnu.c.link.option.defname"/>\r
+                                                               <option id="gnu.c.link.option.debugging.prof.1854291453" name="Generate prof information (-p)" superClass="gnu.c.link.option.debugging.prof"/>\r
+                                                               <option id="gnu.c.link.option.debugging.gprof.342980955" name="Generate gprof information (-pg)" superClass="gnu.c.link.option.debugging.gprof"/>\r
+                                                               <option id="gnu.c.link.option.debugging.codecov.461148228" name="Generate gcov information (-ftest-coverage -fprofile-arcs)" superClass="gnu.c.link.option.debugging.codecov"/>\r
+                                                               <option id="com.crt.advproject.link.gcc.lto.1701040480" name="Enable Link-time optimization (-flto)" superClass="com.crt.advproject.link.gcc.lto"/>\r
+                                                               <option id="com.crt.advproject.link.gcc.lto.optmization.level.1166617700" name="Link-time optimization level" superClass="com.crt.advproject.link.gcc.lto.optmization.level"/>\r
+                                                               <option id="com.crt.advproject.link.manage.1632689644" name="Manage linker script" superClass="com.crt.advproject.link.manage" useByScannerDiscovery="false" value="false" valueType="boolean"/>\r
+                                                               <option id="com.crt.advproject.link.script.1624597415" name="Linker script" superClass="com.crt.advproject.link.script" useByScannerDiscovery="false" value="../FreeRTOSDemo_s.ld" valueType="string"/>\r
+                                                               <option id="com.crt.advproject.link.scriptdir.672613792" name="Script path" superClass="com.crt.advproject.link.scriptdir" useByScannerDiscovery="false" value="" valueType="string"/>\r
+                                                               <option id="com.crt.advproject.link.crpenable.1893841501" name="Enable automatic placement of Code Read Protection field in image" superClass="com.crt.advproject.link.crpenable"/>\r
+                                                               <option id="com.crt.advproject.link.flashconfigenable.2080130590" name="Enable automatic placement of Flash Configuration field in image" superClass="com.crt.advproject.link.flashconfigenable" value="true" valueType="boolean"/>\r
+                                                               <option id="com.crt.advproject.link.ecrp.1207374060" name="Enhanced CRP" superClass="com.crt.advproject.link.ecrp"/>\r
+                                                               <option id="com.crt.advproject.link.gcc.nanofloat.1447267720" name="Enable printf float " superClass="com.crt.advproject.link.gcc.nanofloat"/>\r
+                                                               <option id="com.crt.advproject.link.gcc.nanofloat.scanf.792186062" name="Enable scanf float " superClass="com.crt.advproject.link.gcc.nanofloat.scanf"/>\r
+                                                               <option id="com.crt.advproject.link.toram.1417602046" name="Link application to RAM" superClass="com.crt.advproject.link.toram"/>\r
+                                                               <option id="com.crt.advproject.link.stackOffset.1363229835" name="Stack offset" superClass="com.crt.advproject.link.stackOffset"/>\r
+                                                               <option id="com.crt.advproject.link.gcc.multicore.master.1940960886" name="Multicore master" superClass="com.crt.advproject.link.gcc.multicore.master"/>\r
+                                                               <option id="com.crt.advproject.link.gcc.multicore.empty.754342398" name="No Multicore options for this project" superClass="com.crt.advproject.link.gcc.multicore.empty"/>\r
+                                                               <option id="com.crt.advproject.link.config.1818581960" name="Obsolete (Config)" superClass="com.crt.advproject.link.config"/>\r
+                                                               <option id="com.crt.advproject.link.store.1060722498" name="Obsolete (Store)" superClass="com.crt.advproject.link.store"/>\r
+                                                               <option id="com.crt.advproject.link.securestate.168381216" name="TrustZone Project Type" superClass="com.crt.advproject.link.securestate" value="com.crt.advproject.link.securestate.secure" valueType="enumerated"/>\r
+                                                               <option id="com.crt.advproject.link.sgstubs.placement.1807005268" name="Secure Gateway Placement" superClass="com.crt.advproject.link.sgstubs.placement"/>\r
+                                                               <option id="com.crt.advproject.link.sgstubenable.589286154" name="Enable generation of Secure Gateway Import Library" superClass="com.crt.advproject.link.sgstubenable"/>\r
+                                                               <option id="com.crt.advproject.link.nonsecureobject.249862774" name="Secure Gateway Import Library" superClass="com.crt.advproject.link.nonsecureobject"/>\r
+                                                               <option id="com.crt.advproject.link.inimplib.1938303527" name="Input Secure Gateway Import Library" superClass="com.crt.advproject.link.inimplib"/>\r
+                                                               <inputType id="cdt.managedbuild.tool.gnu.c.linker.input.927327018" superClass="cdt.managedbuild.tool.gnu.c.linker.input">\r
                                                                        <additionalInput kind="additionalinputdependency" paths="$(USER_OBJS)"/>\r
                                                                        <additionalInput kind="additionalinput" paths="$(LIBS)"/>\r
                                                                </inputType>\r
                                                        </tool>\r
-                                                       <tool id="com.crt.advproject.tool.debug.debug.1264514231" name="MCU Debugger" superClass="com.crt.advproject.tool.debug.debug">\r
-                                                               <option id="com.crt.advproject.linkserver.debug.prevent.debug.443329391" name="Prevent Debugging" superClass="com.crt.advproject.linkserver.debug.prevent.debug" useByScannerDiscovery="false"/>\r
+                                                       <tool id="com.crt.advproject.tool.debug.debug.1557284651" name="MCU Debugger" superClass="com.crt.advproject.tool.debug.debug">\r
+                                                               <option id="com.crt.advproject.linkserver.debug.prevent.debug.1709196565" name="Prevent Debugging" superClass="com.crt.advproject.linkserver.debug.prevent.debug"/>\r
+                                                               <option id="com.crt.advproject.miscellaneous.end_of_heap.2322711" name="Last used address of the heap" superClass="com.crt.advproject.miscellaneous.end_of_heap"/>\r
+                                                               <option id="com.crt.advproject.miscellaneous.pvHeapStart.138311563" name="First address of the heap" superClass="com.crt.advproject.miscellaneous.pvHeapStart"/>\r
+                                                               <option id="com.crt.advproject.miscellaneous.pvHeapLimit.896955365" name="Maximum extent of heap" superClass="com.crt.advproject.miscellaneous.pvHeapLimit"/>\r
+                                                               <option id="com.crt.advproject.debugger.security.nonsecureimageenable.1112624068" name="Enable pre-programming of Non-Secure Image" superClass="com.crt.advproject.debugger.security.nonsecureimageenable"/>\r
+                                                               <option id="com.crt.advproject.debugger.security.nonsecureimage.216340770" name="Non-Secure Project" superClass="com.crt.advproject.debugger.security.nonsecureimage" value="FreeRTOSDemo_ns" valueType="string"/>\r
                                                        </tool>\r
                                                </toolChain>\r
                                        </folderInfo>\r
                </cconfiguration>\r
        </storageModule>\r
        <storageModule moduleId="cdtBuildSystem" version="4.0.0">\r
-               <project id="FreeRTOSDemo_s.null.1784645050" name="FreeRTOSDemo_s" projectType="com.crt.advproject.projecttype.exe"/>\r
+               <project id="FreeRTOSDemo_s.null.1413959314" name="FreeRTOSDemo_s" projectType="com.crt.advproject.projecttype.exe"/>\r
        </storageModule>\r
        <storageModule moduleId="scannerConfiguration">\r
                <autodiscovery enabled="true" problemReportingEnabled="true" selectedProfileId=""/>\r
-               <scannerConfigBuildInfo instanceId="com.crt.advproject.config.exe.debug.1530580361;com.crt.advproject.config.exe.debug.1530580361.;com.crt.advproject.gas.exe.debug.707764944;com.crt.advproject.assembler.input.1103847778">\r
+               <scannerConfigBuildInfo instanceId="com.crt.advproject.config.exe.release.121728504;com.crt.advproject.config.exe.release.121728504.;com.crt.advproject.gcc.exe.release.439099755;com.crt.advproject.compiler.input.1792763292">\r
                        <autodiscovery enabled="true" problemReportingEnabled="true" selectedProfileId=""/>\r
                </scannerConfigBuildInfo>\r
-               <scannerConfigBuildInfo instanceId="com.crt.advproject.config.exe.release.1938663963;com.crt.advproject.config.exe.release.1938663963.;com.crt.advproject.gcc.exe.release.1345590658;com.crt.advproject.compiler.input.459926266">\r
+               <scannerConfigBuildInfo instanceId="com.crt.advproject.config.exe.release.121728504;com.crt.advproject.config.exe.release.121728504.;com.crt.advproject.gas.exe.release.438112624;com.crt.advproject.assembler.input.830191697">\r
                        <autodiscovery enabled="true" problemReportingEnabled="true" selectedProfileId=""/>\r
                </scannerConfigBuildInfo>\r
-               <scannerConfigBuildInfo instanceId="com.crt.advproject.config.exe.release.1938663963;com.crt.advproject.config.exe.release.1938663963.;com.crt.advproject.gas.exe.release.2101154997;com.crt.advproject.assembler.input.76589641">\r
+               <scannerConfigBuildInfo instanceId="com.crt.advproject.config.exe.debug.819597480;com.crt.advproject.config.exe.debug.819597480.;com.crt.advproject.gcc.exe.debug.1532884811;com.crt.advproject.compiler.input.1713049043">\r
                        <autodiscovery enabled="true" problemReportingEnabled="true" selectedProfileId=""/>\r
                </scannerConfigBuildInfo>\r
-               <scannerConfigBuildInfo instanceId="com.crt.advproject.config.exe.debug.1530580361;com.crt.advproject.config.exe.debug.1530580361.;com.crt.advproject.gcc.exe.debug.1335876398;com.crt.advproject.compiler.input.573563641">\r
+               <scannerConfigBuildInfo instanceId="com.crt.advproject.config.exe.debug.819597480;com.crt.advproject.config.exe.debug.819597480.;com.crt.advproject.gas.exe.debug.1771128662;com.crt.advproject.assembler.input.1819825019">\r
                        <autodiscovery enabled="true" problemReportingEnabled="true" selectedProfileId=""/>\r
                </scannerConfigBuildInfo>\r
        </storageModule>\r
        <storageModule moduleId="org.eclipse.cdt.core.LanguageSettingsProviders"/>\r
        <storageModule moduleId="com.nxp.mcuxpresso.core.datamodels">\r
-               <sdkName>SDK_2.x_LPC55S69</sdkName>\r
+               <sdkName>SDK_2.x_LPCXpresso55S69</sdkName>\r
                <sdkExample>FreeRTOSDemo_s</sdkExample>\r
-               <sdkVersion>2.5.0</sdkVersion>\r
-               <sdkComponents>platform.drivers.lpc_iocon.LPC55S69;platform.Include_common.LPC55S69;platform.Include_core_cm33.LPC55S69;platform.devices.LPC55S69_CMSIS.LPC55S69;platform.drivers.clock.LPC55S69;platform.drivers.common.LPC55S69;platform.drivers.power.LPC55S69;platform.drivers.reset.LPC55S69;platform.devices.LPC55S69_startup.LPC55S69;platform.drivers.flexcomm_usart.LPC55S69;platform.drivers.flexcomm.LPC55S69;platform.drivers.lpc_gpio.LPC55S69;platform.utilities.assert.LPC55S69;component.serial_manager.LPC55S69;utility.debug_console.LPC55S69;component.usart_adapter.LPC55S69;component.serial_manager_uart.LPC55S69;component.lists.LPC55S69;FreeRTOSDemo_s;</sdkComponents>\r
+               <sdkVersion>2.7.1</sdkVersion>\r
+               <sdkComponents>platform.drivers.power_s.LPC55S69;platform.drivers.common.LPC55S69;platform.drivers.clock.LPC55S69;platform.drivers.power.LPC55S69;platform.devices.LPC55S69_CMSIS.LPC55S69;platform.Include_core_cm33.LPC55S69;platform.Include_common.LPC55S69;platform.Include_dsp.LPC55S69;platform.drivers.reset.LPC55S69;platform.drivers.lpc_iocon.LPC55S69;platform.devices.LPC55S69_startup.LPC55S69;platform.drivers.flexcomm_usart.LPC55S69;platform.drivers.flexcomm.LPC55S69;platform.drivers.lpc_gpio.LPC55S69;platform.utilities.assert.LPC55S69;utility.debug_console.LPC55S69;component.serial_manager.LPC55S69;component.lists.LPC55S69;component.usart_adapter.LPC55S69;component.serial_manager_uart.LPC55S69;platform.utilities.misc_utilities.LPC55S69;FreeRTOSDemo_s;</sdkComponents>\r
                <boardId>lpcxpresso55s69</boardId>\r
                <package>LPC55S69JBD100</package>\r
                <core>cm33</core>\r
        <storageModule moduleId="com.crt.config">\r
                <projectStorage>&lt;?xml version="1.0" encoding="UTF-8"?&gt;&#13;\r
 &lt;TargetConfig&gt;&#13;\r
-&lt;Properties property_0="Master" property_3="NXP" property_4="LPC55S69" property_count="5" version="100300"/&gt;&#13;\r
+&lt;Properties property_3="NXP" property_4="LPC55S69" property_count="5" version="100300"/&gt;&#13;\r
 &lt;infoList vendor="NXP"&gt;&#13;\r
 &lt;info chip="LPC55S69" name="LPC55S69"&gt;&#13;\r
 &lt;chip&gt;&#13;\r
 &lt;memory can_program="true" id="Flash" is_ro="true" size="608" type="Flash"/&gt;&#13;\r
 &lt;memory id="RAM" size="304" type="RAM"/&gt;&#13;\r
 &lt;memoryInstance derived_from="Flash" driver="LPC55xx_S.cfx" edited="true" id="PROGRAM_FLASH" location="0x10000000" size="0xfe00"/&gt;&#13;\r
-&lt;memoryInstance derived_from="Flash" edited="true" id="veneer_table" location="0x1000fe00" size="0x200"/&gt;&#13;\r
-&lt;memoryInstance derived_from="RAM" edited="true" id="Ram0" location="0x30000000" size="0x7fff"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="FLASH-CFPA0" id="FLASH-CFPA0" location="0x9E000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="FLASH-CFPA0" id="FLASH-CFPA-SCRATCH" location="0x9DE00"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="FLASH-CFPA0" id="FLASH-CFPA1" location="0x9E200"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="FLASH-CMPA" id="FLASH-CMPA" location="0x9E400"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="FLASH-KEY-STORE" id="FLASH-KEY-STORE" location="0x9E600"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="SYSCON" id="SYSCON" location="0x40000000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="IOCON" id="IOCON" location="0x40001000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="GINT0" id="GINT0" location="0x40002000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="GINT0" id="GINT1" location="0x40003000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="PINT" id="PINT" location="0x40004000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="PINT" id="SECPINT" location="0x40005000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="INPUTMUX" id="INPUTMUX" location="0x40006000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="CTIMER0" id="CTIMER0" location="0x40008000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="CTIMER0" id="CTIMER1" location="0x40009000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="CTIMER0" id="CTIMER2" location="0x40028000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="CTIMER0" id="CTIMER3" location="0x40029000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="CTIMER0" id="CTIMER4" location="0x4002A000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="WWDT" id="WWDT" location="0x4000C000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="MRT0" id="MRT0" location="0x4000D000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="UTICK0" id="UTICK0" location="0x4000E000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="ANACTRL" id="ANACTRL" location="0x40013000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="PMC" id="PMC" location="0x40020000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="SYSCTL" id="SYSCTL" location="0x40023000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="RTC" id="RTC" location="0x4002C000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="OSTIMER" id="OSTIMER" location="0x4002D000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="FLASH" id="FLASH" location="0x40034000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="PRINCE" id="PRINCE" location="0x40035000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="USBPHY" id="USBPHY" location="0x40038000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="RNG" id="RNG" location="0x4003A000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="PUF" id="PUF" location="0x4003B000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="PLU" id="PLU" location="0x4003D000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="DMA0" id="DMA0" location="0x40082000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="DMA0" id="DMA1" location="0x400A7000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="USB0" id="USB0" location="0x40084000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="SCT0" id="SCT0" location="0x40085000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="FLEXCOMM0" id="FLEXCOMM0" location="0x40086000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="FLEXCOMM0" id="FLEXCOMM1" location="0x40087000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="FLEXCOMM0" id="FLEXCOMM2" location="0x40088000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="FLEXCOMM0" id="FLEXCOMM3" location="0x40089000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="FLEXCOMM0" id="FLEXCOMM4" location="0x4008A000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="FLEXCOMM0" id="FLEXCOMM5" location="0x40096000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="FLEXCOMM0" id="FLEXCOMM6" location="0x40097000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="FLEXCOMM0" id="FLEXCOMM7" location="0x40098000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="FLEXCOMM0" id="FLEXCOMM8" location="0x4009F000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="I2C0" id="I2C0" location="0x40086000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="I2C0" id="I2C1" location="0x40087000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="I2C0" id="I2C2" location="0x40088000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="I2C0" id="I2C3" location="0x40089000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="I2C0" id="I2C4" location="0x4008A000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="I2C0" id="I2C5" location="0x40096000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="I2C0" id="I2C6" location="0x40097000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="I2C0" id="I2C7" location="0x40098000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="I2S0" id="I2S0" location="0x40086000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="I2S0" id="I2S1" location="0x40087000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="I2S0" id="I2S2" location="0x40088000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="I2S0" id="I2S3" location="0x40089000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="I2S0" id="I2S4" location="0x4008A000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="I2S0" id="I2S5" location="0x40096000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="I2S0" id="I2S6" location="0x40097000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="I2S0" id="I2S7" location="0x40098000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="SPI0" id="SPI0" location="0x40086000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="SPI0" id="SPI1" location="0x40087000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="SPI0" id="SPI2" location="0x40088000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="SPI0" id="SPI3" location="0x40089000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="SPI0" id="SPI4" location="0x4008A000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="SPI0" id="SPI5" location="0x40096000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="SPI0" id="SPI6" location="0x40097000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="SPI0" id="SPI7" location="0x40098000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="SPI0" id="SPI8" location="0x4009F000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="USART0" id="USART0" location="0x40086000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="USART0" id="USART1" location="0x40087000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="USART0" id="USART2" location="0x40088000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="USART0" id="USART3" location="0x40089000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="USART0" id="USART4" location="0x4008A000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="USART0" id="USART5" location="0x40096000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="USART0" id="USART6" location="0x40097000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="USART0" id="USART7" location="0x40098000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="MAILBOX" id="MAILBOX" location="0x4008B000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="GPIO" id="GPIO" location="0x4008C000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="GPIO" id="SECGPIO" location="0x400A8000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="USBHSD" id="USBHSD" location="0x40094000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="CRC-ENGINE" id="CRC-ENGINE" location="0x40095000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="SDIF" id="SDIF" location="0x4009B000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="DGBMAILBOX" id="DGBMAILBOX" location="0x4009C000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="ADC0" id="ADC0" location="0x400A0000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="USBFSH" id="USBFSH" location="0x400A2000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="USBHSH" id="USBHSH" location="0x400A3000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="HASHCRYPT" id="HASHCRYPT" location="0x400A4000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="CASPER" id="CASPER" location="0x400A5000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="POWERQUAD" id="POWERQUAD" location="0x400A6000"/&gt;&#13;\r
-&lt;peripheralInstance derived_from="AHB-SECURE-CTRL" id="AHB-SECURE-CTRL" location="0x400AC000"/&gt;&#13;\r
+&lt;memoryInstance derived_from="Flash" edited="true" id="SG_veneer_table" location="0x1000fe00" size="0x200"/&gt;&#13;\r
+&lt;memoryInstance derived_from="RAM" edited="true" id="Ram0" location="0x30000000" size="0x8000"/&gt;&#13;\r
 &lt;/chip&gt;&#13;\r
 &lt;processor&gt;&#13;\r
 &lt;name gcc_name="cortex-m33"&gt;Cortex-M33&lt;/name&gt;&#13;\r
 &lt;name gcc_name="cortex-m33-nodsp"&gt;Cortex-M33 (No DSP)&lt;/name&gt;&#13;\r
 &lt;family&gt;Cortex-M&lt;/family&gt;&#13;\r
 &lt;/processor&gt;&#13;\r
-&lt;link href="LPC55S69_cm33_core0_internal_peripheral.xml" show="embed" type="simple"/&gt;&#13;\r
 &lt;/info&gt;&#13;\r
 &lt;/infoList&gt;&#13;\r
 &lt;/TargetConfig&gt;</projectStorage>\r
        </storageModule>\r
-       <storageModule moduleId="refreshScope" versionNumber="2">\r
-               <configuration configurationName="Debug">\r
-                       <resource resourceType="PROJECT" workspacePath="/FreeRTOSDemo_s"/>\r
-               </configuration>\r
-               <configuration configurationName="Release">\r
-                       <resource resourceType="PROJECT" workspacePath="/FreeRTOSDemo_s"/>\r
-               </configuration>\r
-       </storageModule>\r
        <storageModule moduleId="org.eclipse.cdt.make.core.buildtargets"/>\r
 </cproject>\r
index 91959cca8a74876160c65eef6c42219182012e5f..899b266ca52286c18693b31f80f04ed8142f1fac 100644 (file)
@@ -9,7 +9,7 @@ MEMORY
 {\r
     /* Define each memory region. */\r
     PROGRAM_FLASH (rx)  : ORIGIN = 0x10000000, LENGTH = 0xfe00 /* 63.5K bytes (alias Flash). */\r
-    veneer_table (rx)   : ORIGIN = 0x1000fe00, LENGTH = 0x200  /* 0.5K bytes (alias Flash2). */\r
+    SG_veneer_table (rx): ORIGIN = 0x1000fe00, LENGTH = 0x200  /* 0.5K bytes (alias Flash2). */\r
     Ram0 (rwx)          : ORIGIN = 0x30000000, LENGTH = 0x8000 /* 32K bytes (alias RAM). */\r
 }\r
 \r
@@ -19,9 +19,9 @@ __base_Flash            = 0x10000000;           /* Flash. */
 __top_PROGRAM_FLASH     = 0x10000000 + 0xfe00;  /* 63.5K bytes */\r
 __top_Flash             = 0x10000000 + 0xfe00;  /* 63.5K bytes */\r
 \r
-__base_veneer_table     = 0x1000fe00;           /* veneer_table. */\r
+__base_SG_veneer_table  = 0x1000fe00;           /* SG_veneer_table. */\r
 __base_Flash2           = 0x1000fe00;           /* Flash2. */\r
-__top_veneer_table      = 0x1000fe00 + 0x200;   /* 0.5K bytes. */\r
+__top_SG_veneer_table   = 0x1000fe00 + 0x200;   /* 0.5K bytes. */\r
 __top_Flash2            = 0x1000fe00 + 0x200;   /* 0.5K bytes. */\r
 \r
 __base_Ram0             = 0x30000000;           /* Ram0. */\r
@@ -34,17 +34,34 @@ ENTRY(ResetISR)
 \r
 SECTIONS\r
 {\r
+    /* Place TrustZone Secure Gateway Stubs Section in SG_veneer_table'\r
+     * (Non-Secure Callable) memory. */\r
+    .gnu.sgstubs : ALIGN (32)\r
+    {\r
+        . = ALIGN(32);\r
+        _start_sg = .;\r
+        *(.gnu.sgstubs*)\r
+        . = ALIGN(32);\r
+        _end_sg = .;\r
+    } > SG_veneer_table\r
+\r
     /* Veneer Table Section (Non-Secure Callable). */\r
     .text_Flash2 : ALIGN(4)\r
     {\r
         FILL(0xff)\r
-        *(.text_Flash2*) /* For compatibility with previous releases. */\r
-        *(.text_veneer_table*) /* For compatibility with previous releases. */\r
-        *(.text.$Flash2*)\r
-        *(.text.$veneer_table*)\r
-        *(.rodata.$Flash2*)\r
-        *(.rodata.$veneer_table*)\r
-    } > veneer_table\r
+        *(.text_Flash2)             /* For compatibility with previous releases. */\r
+        *(.text_SG_veneer_table)    /* For compatibility with previous releases. */\r
+        *(.text.$Flash2)\r
+        *(.text.$SG_veneer_table)\r
+        *(.text_Flash2.*)           /* For compatibility with previous releases. */\r
+        *(.text_SG_veneer_table.*)  /* For compatibility with previous releases. */\r
+        *(.text.$Flash2.*)\r
+        *(.text.$SG_veneer_table.*)\r
+        *(.rodata.$Flash2)\r
+        *(.rodata.$SG_veneer_table)\r
+        *(.rodata.$Flash2.*)\r
+        *(.rodata.$SG_veneer_table.*)\r
+    } > SG_veneer_table\r
 \r
     /* Vector Table Section. */\r
     .text : ALIGN(4)\r
@@ -89,21 +106,11 @@ SECTIONS
         *(.ARM.extab* .gnu.linkonce.armextab.*)\r
     } > PROGRAM_FLASH\r
 \r
-    __exidx_start = .;\r
     .ARM.exidx : ALIGN(4)\r
     {\r
+        __exidx_start = .;\r
         *(.ARM.exidx* .gnu.linkonce.armexidx.*)\r
-    } > PROGRAM_FLASH\r
-    __exidx_end = .;\r
-\r
-    /* TrustZone Secure Gateway Stubs Section. */\r
-    .gnu.sgstubs : ALIGN (32)\r
-    {\r
-        . = ALIGN(32);\r
-        _start_sg = .;\r
-        *(.gnu.sgstubs*)\r
-        . = ALIGN(32);\r
-        _end_sg = .;\r
+        __exidx_end = .;\r
     } > PROGRAM_FLASH\r
 \r
     /* Text Section End. */\r
@@ -112,42 +119,55 @@ SECTIONS
     /* Uninit Reserved Section. */\r
     .uninit_RESERVED : ALIGN(4)\r
     {\r
+        _start_uninit_RESERVED = .;\r
         KEEP(*(.bss.$RESERVED*))\r
         . = ALIGN(4);\r
         _end_uninit_RESERVED = .;\r
-    } > Ram0\r
+    } > Ram0 AT>Ram0\r
 \r
     /* Main Data section (Ram0). */\r
     .data : ALIGN(4)\r
     {\r
         FILL(0xff)\r
         _data = .;\r
+        PROVIDE(__start_data_RAM = .);\r
+        PROVIDE(__start_data_Ram0 = .);\r
         *(vtable)\r
         *(.ramfunc*)\r
         *(.data*)\r
         . = ALIGN(4);\r
         _edata = .;\r
+        PROVIDE(__end_data_RAM = .);\r
+        PROVIDE(__end_data_Ram0 = .);\r
     } > Ram0 AT>PROGRAM_FLASH\r
 \r
     /* Main BSS Section. */\r
     .bss : ALIGN(4)\r
     {\r
         _bss = .;\r
+        PROVIDE(__start_bss_RAM = .);\r
+        PROVIDE(__start_bss_Ram0 = .);\r
         *(.bss*)\r
         *(COMMON)\r
         . = ALIGN(4);\r
         _ebss = .;\r
+        PROVIDE(__end_bss_RAM = .);\r
+        PROVIDE(__end_bss_Ram0 = .);\r
         PROVIDE(end = .);\r
-    } > Ram0\r
+    } > Ram0 AT>Ram0\r
 \r
     /* Default Noinit Section. */\r
     .noinit (NOLOAD) : ALIGN(4)\r
     {\r
         _noinit = .;\r
+        PROVIDE(__start_noinit_RAM = .);\r
+        PROVIDE(__start_noinit_Ram0 = .);\r
         *(.noinit*)\r
         . = ALIGN(4);\r
         _end_noinit = .;\r
-    } > Ram0\r
+        PROVIDE(__end_noinit_RAM = .);\r
+        PROVIDE(__end_noinit_Ram0 = .);\r
+    } > Ram0 AT>Ram0\r
 \r
     /* Reserve space and place heap in memory map. */\r
     _HeapSize = 0x800;\r
index 31ddc0b3836649d02c609cc3d6718ddbe3dc8821..a69c769d6df1a408e5cadfd8a63f8b1252dd251f 100644 (file)
 /*\r
- * Copyright 2018 NXP\r
+ * Copyright 2017-2019 NXP\r
+ * All rights reserved.\r
  *\r
  * SPDX-License-Identifier: BSD-3-Clause\r
  */\r
 \r
+/***********************************************************************************************************************\r
+ * This file was generated by the MCUXpresso Config Tools. Any manual edits made to this file\r
+ * will be overwritten if the respective MCUXpresso Config Tools is used to update this file.\r
+ **********************************************************************************************************************/\r
+\r
+/* clang-format off */\r
+/* TEXT BELOW IS USED AS SETTING FOR TOOLS *************************************\r
+!!GlobalInfo\r
+product: TEE v1.0\r
+processor: LPC55S69\r
+package_id: LPC55S69JBD100\r
+mcu_data: ksdk2_0\r
+processor_version: 0.2.6\r
+ * BE CAREFUL MODIFYING THIS COMMENT - IT IS YAML SETTINGS FOR TOOLS **********/\r
+/* clang-format on */\r
+\r
 #include "fsl_common.h"\r
 #include "tzm_config.h"\r
 \r
-/*******************************************************************************\r
- * Definitions\r
- ******************************************************************************/\r
-#define CODE_FLASH_START_NS         0x00010000  \r
-#define CODE_FLASH_SIZE_NS          0x00072000\r
-#define CODE_FLASH_START_NSC        0x1000FE00\r
-#define CODE_FLASH_SIZE_NSC         0x200\r
-#define DATA_RAM_START_NS           0x20008000\r
-#define DATA_RAM_SIZE_NS            0x0002B000\r
-#define PERIPH_START_NS             0x40000000\r
-#define PERIPH_SIZE_NS              0x00100000\r
-\r
-/*******************************************************************************\r
- * Variables\r
- ******************************************************************************/\r
-#if defined(__MCUXPRESSO)\r
-extern unsigned char _start_sg[];\r
-#endif\r
+//********************************************************************\r
+//*** Definitions ****************************************************\r
+//********************************************************************\r
+/* SAU region boundaries */\r
+#define REGION_0_BASE 0\r
+#define REGION_0_END 0x0FFFFFFFU\r
+#define REGION_1_BASE 0x20000000U\r
+#define REGION_1_END 0xFFFFFFFFU\r
+#define REGION_2_BASE 0x1000FE00U\r
+#define REGION_2_END 0x1000FFFFU\r
+\r
+/* clang-format off */\r
+/* TEXT BELOW IS USED AS SETTING FOR TOOLS *************************************\r
+tee:\r
+- ahb:\r
+  - regions:\r
+    - relative_region: {memory: PROGRAM_FLASH, security: s_priv, start: '0x00000000', size: '0x00010000'}\r
+    - relative_region: {memory: PROGRAM_FLASH, security: ns_user, start: '0x00010000', size: '0x00090000'}\r
+    - relative_region: {memory: BootROM, security: ns_user, start: '0x00000000', size: '0x00020000'}\r
+    - relative_region: {memory: SRAMX, security: ns_user, start: '0x00000000', size: '0x00008000'}\r
+    - relative_region: {memory: SRAM0, security: s_priv, start: '0x00000000', size: '0x00008000'}\r
+    - relative_region: {memory: SRAM0, security: ns_user, start: '0x00008000', size: '0x00008000'}\r
+    - relative_region: {memory: SRAM1, security: ns_user, start: '0x00000000', size: '0x00010000'}\r
+    - relative_region: {memory: SRAM2, security: ns_user, start: '0x00000000', size: '0x00010000'}\r
+    - relative_region: {memory: SRAM3, security: ns_user, start: '0x00000000', size: '0x00010000'}\r
+    - relative_region: {memory: SRAM4, security: ns_user, start: '0x00000000', size: '0x00004000'}\r
+    - relative_region: {memory: USB_RAM, security: ns_user, start: '0x00000000', size: '0x00004000'}\r
+  - masters:\r
+    - ns_user: {id: HASH, id: MCM33C, id: MCM33S, id: PQ, id: SDIO, id: SDMA0, id: SDMA1, id: USBFSD, id: USBFSH}\r
+  - peripherals:\r
+    - ns_user: {id: ADC0, id: AHB_SECURE_CTRL, id: ANACTRL, id: CASPER, id: CRC_ENGINE, id: CTIMER0, id: CTIMER1, id: CTIMER2, id: CTIMER3, id: CTIMER4, id: DBGMAILBOX,\r
+      id: DMA0, id: DMA1, id: FLASH, id: FLEXCOMM1, id: FLEXCOMM2, id: FLEXCOMM3, id: FLEXCOMM4, id: FLEXCOMM5, id: FLEXCOMM6, id: FLEXCOMM7, id: GINT0, id: GINT1,\r
+      id: GPIO, id: HASHCRYPT, id: INPUTMUX, id: MAILBOX, id: MRT0, id: OSTIMER, id: PINT, id: PLU, id: PMC, id: POWERQUAD, id: PRINCE, id: PUF, id: RNG, id: RTC,\r
+      id: SCT0, id: SDIF, id: SECGPIO, id: SECPINT, id: SPI8, id: SYSCTL, id: USB0, id: USBFSH, id: USBHSD, id: USBHSH, id: USBPHY, id: UTICK0, id: WWDT}\r
+    - s_priv: {id: FLEXCOMM0, id: IOCON, id: SYSCON}\r
+  - interrupts:\r
+    - masking:\r
+      - Non-masked: {id: acmp_capt_irq, id: adc_irq, id: casper_irq, id: ctimer0_irq, id: ctimer1_irq, id: ctimer2_irq, id: ctimer3_irq, id: ctimer4_irq, id: flexcomm0_irq,\r
+        id: flexcomm1_irq, id: flexcomm2_irq, id: flexcomm3_irq, id: flexcomm4_irq, id: flexcomm5_irq, id: flexcomm6_irq, id: flexcomm7_irq, id: global_irq0, id: global_irq1,\r
+        id: lspi_hs_irq, id: mailbox_irq, id: mrt_irq, id: os_event_irq, id: pin_int4, id: pin_int5, id: pin_int6, id: pin_int7, id: pin_irq0, id: pin_irq1, id: pin_irq2,\r
+        id: pin_irq3, id: plu_irq, id: pq_irq, id: qddkey_irq, id: rtc_irq, id: sct_irq, id: sdio_irq, id: sdma0_irq, id: sdma1_irq, id: sec_hypervisor_call_irq,\r
+        id: sec_int0, id: sec_int1, id: sec_vio_irq, id: sha_irq, id: sys_irq, id: usb0_irq, id: usb0_needclk_irq, id: usb1_irq, id: usb1_needclk_irq, id: usb1_utmi_irq,\r
+        id: utick_irq}\r
+    - security:\r
+      - Secure: {id: acmp_capt_irq, id: adc_irq, id: casper_irq, id: ctimer0_irq, id: ctimer1_irq, id: ctimer2_irq, id: ctimer3_irq, id: ctimer4_irq, id: flexcomm0_irq,\r
+        id: flexcomm1_irq, id: flexcomm2_irq, id: flexcomm3_irq, id: flexcomm4_irq, id: flexcomm5_irq, id: flexcomm6_irq, id: flexcomm7_irq, id: global_irq0, id: global_irq1,\r
+        id: lspi_hs_irq, id: mailbox_irq, id: mrt_irq, id: os_event_irq, id: pin_int4, id: pin_int5, id: pin_int6, id: pin_int7, id: pin_irq0, id: pin_irq1, id: pin_irq2,\r
+        id: pin_irq3, id: plu_irq, id: pq_irq, id: qddkey_irq, id: rtc_irq, id: sct_irq, id: sdio_irq, id: sdma0_irq, id: sdma1_irq, id: sec_hypervisor_call_irq,\r
+        id: sec_int0, id: sec_int1, id: sec_vio_irq, id: sha_irq, id: sys_irq, id: usb0_irq, id: usb0_needclk_irq, id: usb1_irq, id: usb1_needclk_irq, id: usb1_utmi_irq,\r
+        id: utick_irq}\r
+  - pins_masks:\r
+    - pio0:\r
+      - Non-masked: {id: '0', id: '1', id: '10', id: '11', id: '12', id: '13', id: '14', id: '15', id: '16', id: '17', id: '18', id: '19', id: '2', id: '20', id: '21',\r
+        id: '22', id: '23', id: '24', id: '25', id: '26', id: '27', id: '28', id: '29', id: '3', id: '30', id: '31', id: '4', id: '5', id: '6', id: '7', id: '8',\r
+        id: '9'}\r
+    - pio1:\r
+      - Non-masked: {id: '0', id: '1', id: '10', id: '11', id: '12', id: '13', id: '14', id: '15', id: '16', id: '17', id: '18', id: '19', id: '2', id: '20', id: '21',\r
+        id: '22', id: '23', id: '24', id: '25', id: '26', id: '27', id: '28', id: '29', id: '3', id: '30', id: '31', id: '4', id: '5', id: '6', id: '7', id: '8',\r
+        id: '9'}\r
+- sau:\r
+  - enabled: 'true'\r
+  - generate_code_for_disabled_regions: 'false'\r
+  - regions:\r
+    - region: {index: '0', enabled: 'true', security: ns, start: '0x00000000', size: '0x10000000'}\r
+    - region: {index: '1', enabled: 'true', security: ns, start: '0x20000000', size: '0xE0000000'}\r
+    - region: {index: '2', enabled: 'true', security: nsc, start: '0x1000FE00', size: '0x00000200'}\r
+    - region: {index: '3', enabled: 'false', security: ns, start: '0x00000000', size: '0x00000020'}\r
+    - region: {index: '4', enabled: 'false', security: ns, start: '0x00000000', size: '0x00000020'}\r
+    - region: {index: '5', enabled: 'false', security: ns, start: '0x00000000', size: '0x00000020'}\r
+    - region: {index: '6', enabled: 'false', security: ns, start: '0x00000000', size: '0x00000020'}\r
+    - region: {index: '7', enabled: 'false', security: ns, start: '0x00000000', size: '0x00000020'}\r
+- global_options:\r
+  - no: {id: AIRCR_PRIS, id: AIRCR_BFHFNMINS, id: AIRCR_SYSRESETREQS, id: SCR_SLEEPDEEPS, id: SHCSR_SECUREFAULTENA, id: NSACR_CP2, id: NSACR_CP3, id: NSACR_CP4,\r
+    id: NSACR_CP5, id: NSACR_CP6, id: NSACR_CP7, id: CPPWR_SU0, id: CPPWR_SUS0, id: CPPWR_SU1, id: CPPWR_SUS1, id: CPPWR_SU2, id: CPPWR_SUS2, id: CPPWR_SU3, id: CPPWR_SUS3,\r
+    id: CPPWR_SU4, id: CPPWR_SUS4, id: CPPWR_SU5, id: CPPWR_SUS5, id: CPPWR_SU6, id: CPPWR_SUS6, id: CPPWR_SU7, id: CPPWR_SUS7, id: CPPWR_SU10, id: CPPWR_SUS10, id: CPPWR_SU11,\r
+    id: CPPWR_SUS11, id: SEC_GPIO_MASK0_LOCK, id: SEC_GPIO_MASK1_LOCK, id: SEC_CPU1_INT_MASK0_LOCK, id: SEC_CPU1_INT_MASK1_LOCK, id: MASTER_SEC_LEVEL_LOCK, id: CPU0_LOCK_NS_VTOR,\r
+    id: CPU0_LOCK_NS_MPU, id: CPU0_LOCK_S_VTAIRCR, id: CPU0_LOCK_S_MPU, id: CPU0_LOCK_SAU, id: CPU0_LOCK_REG_LOCK, id: CPU1_LOCK_NS_VTOR, id: CPU1_LOCK_NS_MPU, id: CPU1_LOCK_REG_LOCK,\r
+    id: AHB_MISC_CTRL_REG_ENABLE_S_PRIV_CHECK, id: AHB_MISC_CTRL_REG_ENABLE_NS_PRIV_CHECK, id: AHB_MISC_CTRL_REG_DISABLE_VIOLATION_ABORT, id: AHB_MISC_CTRL_REG_DISABLE_SIMPLE_MASTER_STRICT_MODE,\r
+    id: AHB_MISC_CTRL_REG_DISABLE_SMART_MASTER_STRICT_MODE, id: AHB_MISC_CTRL_REG_IDAU_ALL_NS}\r
+  - yes: {id: NSACR_CP0, id: NSACR_CP1, id: NSACR_CP10, id: NSACR_CP11, id: AHB_MISC_CTRL_REG_ENABLE_SECURE_CHECKING, id: AHB_MISC_CTRL_REG_WRITE_LOCK}\r
+- user_memory_regions:\r
+  - user_memory_region: {id: Region_1, security: s_priv, name: Secure Code, start: '0x10000000', size: '0x0000FE00'}\r
+  - user_memory_region: {id: Region_2, security: nsc_priv, name: Veneer Table, start: '0x1000FE00', size: '0x00000200'}\r
+  - user_memory_region: {id: Region_3, security: s_priv, name: Secure Stack and Data, start: '0x30000000', size: '0x00008000'}\r
+  - user_memory_region: {id: Region_4, security: ns_user, name: Non-secure Code, description: Privilege check is disabled so reset value (NS-User) can be used,\r
+    start: '0x00010000', size: '0x00062000'}\r
+  - user_memory_region: {id: Region_5, security: ns_user, name: Non-secure Stack and Data, description: 'Privilege check is disabled so reset value (NS-User) can\r
+      be used. ', start: '0x20008000', size: '0x0002B000'}\r
+ * BE CAREFUL MODIFYING THIS COMMENT - IT IS YAML SETTINGS FOR TOOLS **********/\r
+/* clang-format on */\r
 \r
 /*!\r
  * @brief TrustZone initialization\r
  *\r
- * SAU Configuration\r
- * This function configures 3 regions:\r
- * 0x00010000 - 0x00081FFF - non-secure for code execution\r
- * 0x1000FE00 - 0x1000FFFF - secure, non-secure callable for veneer table\r
- * 0x20000000 - 0x20032FFF - non-secure for data\r
- *\r
- * AHB secure controller settings\r
- * After RESET all memories and peripherals are set to user:non-secure access\r
- * This function configures following memories and peripherals as secure:\r
- * 0x00000000 - 0x0000FFFF - for secure code execution (this is physical FLASH address)\r
- * 0x00008000 - 0x20032FFF - for secure data (this is physical RAM address)\r
- *\r
- * Secure peripherals: SYSCON, IOCON, FLEXCOMM0\r
- * NOTE: This example configures necessary peripherals for this example. \r
- *       User should configure all peripherals, which shouldn't be accessible\r
- *       from normal world.\r
-*/\r
+ * The function configures SAU and AHB.\r
+ */\r
 void BOARD_InitTrustZone()\r
 {\r
-    /* Disable SAU */\r
-    SAU->CTRL = 0U;\r
-    \r
-    /* Configure SAU region 0 - Non-secure RAM for CODE execution*/\r
+    //####################################################################\r
+    //### SAU configuration ##############################################\r
+    //####################################################################\r
+\r
+    /* Set SAU Control register: Disable SAU and All Secure */\r
+    SAU->CTRL = 0;\r
+\r
     /* Set SAU region number */\r
     SAU->RNR = 0;\r
-    /* Region base address */   \r
-    SAU->RBAR = (CODE_FLASH_START_NS & SAU_RBAR_BADDR_Msk);\r
-    /* Region end address */\r
-    SAU->RLAR = ((CODE_FLASH_START_NS + CODE_FLASH_SIZE_NS-1) & SAU_RLAR_LADDR_Msk) | \r
-                 /* Region memory attribute index */\r
-                 ((0U << SAU_RLAR_NSC_Pos) & SAU_RLAR_NSC_Msk) |\r
-                 /* Enable region */\r
-                 ((1U << SAU_RLAR_ENABLE_Pos) & SAU_RLAR_ENABLE_Msk); \r
-    \r
-    /* Configure SAU region 1 - Non-secure RAM for DATA */\r
-    /* Set SAU region number */\r
-    SAU->RNR = 1;\r
-    /* Region base address */   \r
-    SAU->RBAR = (DATA_RAM_START_NS & SAU_RBAR_BADDR_Msk);\r
+    /* Region base address */\r
+    SAU->RBAR = REGION_0_BASE & SAU_RBAR_BADDR_Msk;\r
     /* Region end address */\r
-    SAU->RLAR = ((DATA_RAM_START_NS + DATA_RAM_SIZE_NS-1) & SAU_RLAR_LADDR_Msk) | \r
-                 /* Region memory attribute index */\r
-                 ((0U << SAU_RLAR_NSC_Pos) & SAU_RLAR_NSC_Msk) |\r
-                 /* Enable region */\r
-                 ((1U << SAU_RLAR_ENABLE_Pos) & SAU_RLAR_ENABLE_Msk); \r
-    \r
-    /* Configure SAU region 2 - Non-secure callable FLASH for CODE veneer table*/\r
+    SAU->RLAR = ((REGION_0_END & SAU_RLAR_LADDR_Msk) | ((0U << SAU_RLAR_NSC_Pos) & SAU_RLAR_NSC_Msk)) |\r
+                ((1U << SAU_RLAR_ENABLE_Pos) & SAU_RLAR_ENABLE_Msk);\r
+\r
     /* Set SAU region number */\r
-    SAU->RNR = 2;\r
-    /* Region base address */   \r
-#if defined(__MCUXPRESSO)\r
-    SAU->RBAR = ((uint32_t)&_start_sg & SAU_RBAR_BADDR_Msk);\r
-#else\r
-    SAU->RBAR = (CODE_FLASH_START_NSC & SAU_RBAR_BADDR_Msk);\r
-#endif\r
+    SAU->RNR = 0x00000001U;\r
+    /* Region base address */\r
+    SAU->RBAR = REGION_1_BASE & SAU_RBAR_BADDR_Msk;\r
     /* Region end address */\r
-#if defined(__MCUXPRESSO)\r
-    SAU->RLAR = (((uint32_t)&_start_sg + CODE_FLASH_SIZE_NSC-1) & SAU_RLAR_LADDR_Msk) |\r
-                 /* Region memory attribute index */\r
-                 ((1U << SAU_RLAR_NSC_Pos) & SAU_RLAR_NSC_Msk) |\r
-                 /* Enable region */\r
-                 ((1U << SAU_RLAR_ENABLE_Pos) & SAU_RLAR_ENABLE_Msk);\r
-#else\r
-    SAU->RLAR = ((CODE_FLASH_START_NSC + CODE_FLASH_SIZE_NSC-1) & SAU_RLAR_LADDR_Msk) | \r
-                 /* Region memory attribute index */\r
-                 ((1U << SAU_RLAR_NSC_Pos) & SAU_RLAR_NSC_Msk) |\r
-                 /* Enable region */\r
-                 ((1U << SAU_RLAR_ENABLE_Pos) & SAU_RLAR_ENABLE_Msk); \r
-#endif\r
-\r
-    /* Configure SAU region 3 - Non-secure peripherals address space */\r
+    SAU->RLAR = ((REGION_1_END & SAU_RLAR_LADDR_Msk) | ((0U << SAU_RLAR_NSC_Pos) & SAU_RLAR_NSC_Msk)) |\r
+                ((1U << SAU_RLAR_ENABLE_Pos) & SAU_RLAR_ENABLE_Msk);\r
+\r
     /* Set SAU region number */\r
-    SAU->RNR = 3;\r
+    SAU->RNR = 0x00000002U;\r
     /* Region base address */\r
-    SAU->RBAR = (PERIPH_START_NS & SAU_RBAR_BADDR_Msk);\r
+    SAU->RBAR = REGION_2_BASE & SAU_RBAR_BADDR_Msk;\r
     /* Region end address */\r
-    SAU->RLAR = ((PERIPH_START_NS + PERIPH_SIZE_NS-1) & SAU_RLAR_LADDR_Msk) |\r
-                 /* Region memory attribute index */\r
-                 ((0U << SAU_RLAR_NSC_Pos) & SAU_RLAR_NSC_Msk) |\r
-                 /* Enable region */\r
-                 ((1U << SAU_RLAR_ENABLE_Pos) & SAU_RLAR_ENABLE_Msk);\r
+    SAU->RLAR = ((REGION_2_END & SAU_RLAR_LADDR_Msk) | ((1U << SAU_RLAR_NSC_Pos) & SAU_RLAR_NSC_Msk)) |\r
+                ((1U << SAU_RLAR_ENABLE_Pos) & SAU_RLAR_ENABLE_Msk);\r
 \r
     /* Force memory writes before continuing */\r
     __DSB();\r
     /* Flush and refill pipeline with updated permissions */\r
-    __ISB();     \r
-    /* Enable SAU */\r
-    SAU->CTRL = 1U;  \r
-\r
-    /*Configuration of AHB Secure Controller \r
-     * Possible values for every memory sector or peripheral rule: \r
-     *  0b00    Non-secure and Non-priviledge user access allowed.\r
-     *  0b01    Non-secure and Privilege access allowed.\r
-     *  0b10    Secure and Non-priviledge user access allowed.\r
-     *  0b11    Secure and Priviledge user access allowed. */\r
-\r
-    /* FLASH memory configuration from 0x00000000 to 0x0000FFFF, sector size is 32kB */\r
+    __ISB();\r
+    /* Set SAU Control register: Enable SAU and All Secure (applied only if disabled) */\r
+    SAU->CTRL = 0x00000001U;\r
+\r
+    //####################################################################\r
+    //### AHB Configurations #############################################\r
+    //####################################################################\r
+\r
+    //--------------------------------------------------------------------\r
+    //--- AHB Security Level Configurations ------------------------------\r
+    //--------------------------------------------------------------------\r
+    /* Configuration of AHB Secure Controller\r
+     * Possible values for every memory sector or peripheral rule:\r
+     *  0    Non-secure, user access allowed.\r
+     *  1    Non-secure, privileged access allowed.\r
+     *  2    Secure, user access allowed.\r
+     *  3    Secure, privileged access allowed. */\r
+\r
+    //--- Security level configuration of memories -----------------------\r
     AHB_SECURE_CTRL->SEC_CTRL_FLASH_ROM[0].SEC_CTRL_FLASH_MEM_RULE[0] = 0x00000033U;\r
-    AHB_SECURE_CTRL->SEC_CTRL_FLASH_ROM[0].SEC_CTRL_FLASH_MEM_RULE[1] = 0x00000000U;\r
-    AHB_SECURE_CTRL->SEC_CTRL_FLASH_ROM[0].SEC_CTRL_FLASH_MEM_RULE[2] = 0x00000000U;\r
-    /* RAM memory configuration from 0x20000000 to 0x20007FFF, sector size is 4kB */\r
-    /* Memory settings for user non-secure access (0x0U) is mentioned for completness only. It is default RESET value. */    \r
-    AHB_SECURE_CTRL->SEC_CTRL_RAM0[0].MEM_RULE[0] = 0x33333333U;\r
-    AHB_SECURE_CTRL->SEC_CTRL_RAM0[0].MEM_RULE[1] = 0x00000000U;\r
-    AHB_SECURE_CTRL->SEC_CTRL_RAM1[0].MEM_RULE[0] = 0x00000000U;\r
-    AHB_SECURE_CTRL->SEC_CTRL_RAM1[0].MEM_RULE[1] = 0x00000000U;\r
-    AHB_SECURE_CTRL->SEC_CTRL_RAM2[0].MEM_RULE[0] = 0x00000000U;\r
-    AHB_SECURE_CTRL->SEC_CTRL_RAM2[0].MEM_RULE[1] = 0x00000000U;\r
-    AHB_SECURE_CTRL->SEC_CTRL_RAM3[0].MEM_RULE[0] = 0x00000000U;\r
-    AHB_SECURE_CTRL->SEC_CTRL_RAM3[0].MEM_RULE[1] = 0x00000000U;\r
-    AHB_SECURE_CTRL->SEC_CTRL_RAM4[0].MEM_RULE[0] = 0x00000000U;\r
-    \r
-    /* Set SYSCON and IOCON as secure */\r
-    AHB_SECURE_CTRL->SEC_CTRL_APB_BRIDGE[0].SEC_CTRL_APB_BRIDGE0_MEM_CTRL0 = AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_SYSCON_RULE(0x3U) |\r
-                                                                             AHB_SECURE_CTRL_SEC_CTRL_APB_BRIDGE_SEC_CTRL_APB_BRIDGE0_MEM_CTRL0_IOCON_RULE(0x3U);\r
-\r
-    /* Set FLEXCOMM0 as secure */\r
-    AHB_SECURE_CTRL->SEC_CTRL_AHB0_0_SLAVE_RULE = AHB_SECURE_CTRL_SEC_CTRL_AHB0_0_SLAVE_RULE_FLEXCOMM0_RULE(0x3U);\r
-\r
-    /* Enable AHB secure controller check and lock all rule registers */\r
-    AHB_SECURE_CTRL->MISC_CTRL_DP_REG = (AHB_SECURE_CTRL->MISC_CTRL_DP_REG & ~(AHB_SECURE_CTRL_MISC_CTRL_DP_REG_WRITE_LOCK_MASK | \r
-                                                                               AHB_SECURE_CTRL_MISC_CTRL_DP_REG_ENABLE_SECURE_CHECKING_MASK)) |\r
-                                        AHB_SECURE_CTRL_MISC_CTRL_DP_REG_WRITE_LOCK(0x1U) |\r
-                                        AHB_SECURE_CTRL_MISC_CTRL_DP_REG_ENABLE_SECURE_CHECKING(0x1U);\r
+    AHB_SECURE_CTRL->SEC_CTRL_FLASH_ROM[0].SEC_CTRL_FLASH_MEM_RULE[1] = 0;\r
+    AHB_SECURE_CTRL->SEC_CTRL_FLASH_ROM[0].SEC_CTRL_FLASH_MEM_RULE[2] = 0;\r
+    AHB_SECURE_CTRL->SEC_CTRL_FLASH_ROM[0].SEC_CTRL_ROM_MEM_RULE[0]   = 0;\r
+    AHB_SECURE_CTRL->SEC_CTRL_FLASH_ROM[0].SEC_CTRL_ROM_MEM_RULE[1]   = 0;\r
+    AHB_SECURE_CTRL->SEC_CTRL_FLASH_ROM[0].SEC_CTRL_ROM_MEM_RULE[2]   = 0;\r
+    AHB_SECURE_CTRL->SEC_CTRL_FLASH_ROM[0].SEC_CTRL_ROM_MEM_RULE[3]   = 0;\r
+    AHB_SECURE_CTRL->SEC_CTRL_RAMX[0].MEM_RULE[0]                     = 0;\r
+    AHB_SECURE_CTRL->SEC_CTRL_RAM0[0].MEM_RULE[0]                     = 0x33333333U;\r
+    AHB_SECURE_CTRL->SEC_CTRL_RAM0[0].MEM_RULE[1]                     = 0;\r
+    AHB_SECURE_CTRL->SEC_CTRL_RAM1[0].MEM_RULE[0]                     = 0;\r
+    AHB_SECURE_CTRL->SEC_CTRL_RAM1[0].MEM_RULE[1]                     = 0;\r
+    AHB_SECURE_CTRL->SEC_CTRL_RAM2[0].MEM_RULE[0]                     = 0;\r
+    AHB_SECURE_CTRL->SEC_CTRL_RAM2[0].MEM_RULE[1]                     = 0;\r
+    AHB_SECURE_CTRL->SEC_CTRL_RAM3[0].MEM_RULE[0]                     = 0;\r
+    AHB_SECURE_CTRL->SEC_CTRL_RAM3[0].MEM_RULE[1]                     = 0;\r
+    AHB_SECURE_CTRL->SEC_CTRL_RAM4[0].MEM_RULE[0]                     = 0;\r
+    AHB_SECURE_CTRL->SEC_CTRL_USB_HS[0].MEM_RULE[0]                   = 0;\r
+\r
+    //--- Security level configuration of peripherals --------------------\r
+    AHB_SECURE_CTRL->SEC_CTRL_APB_BRIDGE[0].SEC_CTRL_APB_BRIDGE0_MEM_CTRL0 = 0x00000033U;\r
+    AHB_SECURE_CTRL->SEC_CTRL_APB_BRIDGE[0].SEC_CTRL_APB_BRIDGE0_MEM_CTRL1 = 0;\r
+    AHB_SECURE_CTRL->SEC_CTRL_APB_BRIDGE[0].SEC_CTRL_APB_BRIDGE0_MEM_CTRL2 = 0;\r
+    AHB_SECURE_CTRL->SEC_CTRL_APB_BRIDGE[0].SEC_CTRL_APB_BRIDGE1_MEM_CTRL0 = 0;\r
+    AHB_SECURE_CTRL->SEC_CTRL_APB_BRIDGE[0].SEC_CTRL_APB_BRIDGE1_MEM_CTRL1 = 0;\r
+    AHB_SECURE_CTRL->SEC_CTRL_APB_BRIDGE[0].SEC_CTRL_APB_BRIDGE1_MEM_CTRL2 = 0;\r
+    AHB_SECURE_CTRL->SEC_CTRL_APB_BRIDGE[0].SEC_CTRL_APB_BRIDGE1_MEM_CTRL3 = 0;\r
+    AHB_SECURE_CTRL->SEC_CTRL_AHB_PORT8_SLAVE0_RULE                        = 0x03000000U;\r
+    AHB_SECURE_CTRL->SEC_CTRL_AHB_PORT8_SLAVE1_RULE                        = 0;\r
+    AHB_SECURE_CTRL->SEC_CTRL_AHB_PORT9_SLAVE0_RULE                        = 0;\r
+    AHB_SECURE_CTRL->SEC_CTRL_AHB_PORT9_SLAVE1_RULE                        = 0;\r
+    AHB_SECURE_CTRL->SEC_CTRL_AHB_PORT10[0].SLAVE0_RULE                    = 0;\r
+    AHB_SECURE_CTRL->SEC_CTRL_AHB_PORT10[0].SLAVE1_RULE                    = 0;\r
+\r
+    //--- Security level configuration of masters ------------------------\r
+    AHB_SECURE_CTRL->MASTER_SEC_LEVEL        = 0;\r
+    AHB_SECURE_CTRL->MASTER_SEC_ANTI_POL_REG = 0x3FFFFFFFU;\r
+\r
+    //--------------------------------------------------------------------\r
+    //--- Pins: Reading GPIO state ---------------------------------------\r
+    //--------------------------------------------------------------------\r
+    // Possible values for every pin:\r
+    //  0b0    Deny\r
+    //  0b1    Allow\r
+    //--------------------------------------------------------------------\r
+    AHB_SECURE_CTRL->SEC_GPIO_MASK0 = 0xFFFFFFFFU;\r
+    AHB_SECURE_CTRL->SEC_GPIO_MASK1 = 0xFFFFFFFFU;\r
+\r
+    //--------------------------------------------------------------------\r
+    //--- Interrupts: Interrupt handling by Core1 ------------------------\r
+    //--------------------------------------------------------------------\r
+    // Possible values for every interrupt:\r
+    //  0b0    Deny\r
+    //  0b1    Allow\r
+    //--------------------------------------------------------------------\r
+    AHB_SECURE_CTRL->SEC_CPU_INT_MASK0 = 0xFFFFFFFFU;\r
+    AHB_SECURE_CTRL->SEC_CPU_INT_MASK1 = 0xFFFFFFFFU;\r
+\r
+    //--------------------------------------------------------------------\r
+    //--- Interrupts: Interrupt security configuration -------------------\r
+    //--------------------------------------------------------------------\r
+    // Possible values for every interrupt:\r
+    //  0b0    Secure\r
+    //  0b1    Non-secure\r
+    //--------------------------------------------------------------------\r
+    NVIC->ITNS[0] = 0;\r
+    NVIC->ITNS[1] = 0;\r
+\r
+    //--------------------------------------------------------------------\r
+    //--- Global Options -------------------------------------------------\r
+    //--------------------------------------------------------------------\r
+    SCB->AIRCR = (SCB->AIRCR & 0x000009FF7U) | 0x005FA0000U;\r
+    SCB->SCR &= 0x0FFFFFFF7U;\r
+    SCB->SHCSR &= 0x0FFF7FFFFU;\r
+    SCB->NSACR                               = 0x00000C03U;\r
+    SCnSCB->CPPWR                            = 0;\r
+    AHB_SECURE_CTRL->SEC_MASK_LOCK           = 0x00000AAAU;\r
+    AHB_SECURE_CTRL->MASTER_SEC_LEVEL        = (AHB_SECURE_CTRL->MASTER_SEC_LEVEL & 0x03FFFFFFFU) | 0x080000000U;\r
+    AHB_SECURE_CTRL->MASTER_SEC_ANTI_POL_REG = (AHB_SECURE_CTRL->MASTER_SEC_ANTI_POL_REG & 0x03FFFFFFFU) | 0x080000000U;\r
+    AHB_SECURE_CTRL->CPU0_LOCK_REG           = 0x800002AAU;\r
+    AHB_SECURE_CTRL->CPU1_LOCK_REG           = 0x8000000AU;\r
+    AHB_SECURE_CTRL->MISC_CTRL_REG           = (AHB_SECURE_CTRL->MISC_CTRL_REG & 0x0FFFF0003U) | 0x00000AAA4U;\r
+    AHB_SECURE_CTRL->MISC_CTRL_DP_REG        = 0x0000AAA5U;\r
 }\r
index e21622654d19b42a22da824364d73f667df01594..9b2f904627b02f52e87eb259d1ac8ea60271f548 100644 (file)
@@ -1,9 +1,14 @@
 /*\r
- * Copyright 2018 NXP\r
+ * Copyright 2017-2019 NXP\r
+ * All rights reserved.\r
  *\r
  * SPDX-License-Identifier: BSD-3-Clause\r
  */\r
 \r
+/***********************************************************************************************************************\r
+ * This file was generated by the MCUXpresso Config Tools. Any manual edits made to this file\r
+ * will be overwritten if the respective MCUXpresso Config Tools is used to update this file.\r
+ **********************************************************************************************************************/\r
 \r
 #ifndef _TZM_CONFIG_H_\r
 #define _TZM_CONFIG_H_\r
@@ -12,8 +17,6 @@
  * Definitions\r
  **********************************************************************************************************************/\r
 \r
-\r
-\r
 /***********************************************************************************************************************\r
  * API\r
  **********************************************************************************************************************/\r
index ece6a5a727d55fe6ef32423671d283cc0b72e05a..10911a23b39fbfe2c9495f07133ee8936299ee0c 100644 (file)
@@ -36,9 +36,9 @@
  * with Read Only access then tries to write to the shared memory which results\r
  * in a Memory fault. The fault handler examines that it is the fault generated\r
  * by the task with Read Only access and if so, it recovers from the fault\r
- * greacefully by moving the Program Counter to the next instruction to the one\r
+ * gracefully by moving the Program Counter to the next instruction to the one\r
  * which generated the fault. If any other memory access violation occurs, the\r
- * fault handler will get stuck in an inifinite loop.\r
+ * fault handler will get stuck in an infinite loop.\r
  */\r
 void vStartMPUDemo( void );\r
 \r
diff --git a/FreeRTOS/Demo/Posix_GCC/SConstruct b/FreeRTOS/Demo/Posix_GCC/SConstruct
new file mode 100644 (file)
index 0000000..e055a3c
--- /dev/null
@@ -0,0 +1,52 @@
+# FreeRTOS Kernel V10.3.0
+# Copyright (C) 2020 Cambridge Consultants Ltd.
+#
+# Permission is hereby granted, free of charge, to any person obtaining a copy of
+# this software and associated documentation files (the "Software"), to deal in
+# the Software without restriction, including without limitation the rights to
+# use, copy, modify, merge, publish, distribute, sublicense, and/or sell copies of
+# the Software, and to permit persons to whom the Software is furnished to do so,
+# subject to the following conditions:
+#
+# The above copyright notice and this permission notice shall be included in all
+# copies or substantial portions of the Software.
+#
+# THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
+# IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS
+# FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR
+# COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER
+# IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN
+# CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
+#
+# http://www.FreeRTOS.org
+# http://aws.amazon.com/freertos
+#
+# This is the main SCons (https://scons.org/) build file for the
+# Posix/GCC demo application.
+
+import os
+
+# Create a symlink to the FreeRTOS sources.
+if not os.path.exists("src/FreeRTOS"):
+    os.symlink("../../..", "src/FreeRTOS")
+if not os.path.exists("src/FreeRTOS-Plus"):
+    os.symlink("../../../../FreeRTOS-Plus", "src/FreeRTOS-Plus")
+
+AddOption("--simple",
+          action='store_true',
+          help="build the simple 'blinky' demo application")
+
+AddOption("--coverage",
+          action='store_true',
+          help="enable code coverage")
+
+env = Environment()
+Export("env")
+
+env.Append(CFLAGS = [
+    "-g",
+    "-Wall",
+    "-O2",
+])
+
+SConscript("src/SConscript", variant_dir="build", duplicate=0)
diff --git a/FreeRTOS/Demo/Posix_GCC/src/FreeRTOSConfig.h b/FreeRTOS/Demo/Posix_GCC/src/FreeRTOSConfig.h
new file mode 100644 (file)
index 0000000..3c11b8a
--- /dev/null
@@ -0,0 +1,158 @@
+/*
+ * FreeRTOS Kernel V10.3.0
+ * Copyright (C) 2020 Amazon.com, Inc. or its affiliates.  All Rights Reserved.
+ *
+ * Permission is hereby granted, free of charge, to any person obtaining a copy of
+ * this software and associated documentation files (the "Software"), to deal in
+ * the Software without restriction, including without limitation the rights to
+ * use, copy, modify, merge, publish, distribute, sublicense, and/or sell copies of
+ * the Software, and to permit persons to whom the Software is furnished to do so,
+ * subject to the following conditions:
+ *
+ * The above copyright notice and this permission notice shall be included in all
+ * copies or substantial portions of the Software.
+ *
+ * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
+ * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS
+ * FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR
+ * COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER
+ * IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN
+ * CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
+ *
+ * http://www.FreeRTOS.org
+ * http://aws.amazon.com/freertos
+ *
+ * 1 tab == 4 spaces!
+ */
+#ifndef FREERTOS_CONFIG_H
+#define FREERTOS_CONFIG_H
+
+/*-----------------------------------------------------------
+ * Application specific definitions.
+ *
+ * These definitions should be adjusted for your particular hardware and
+ * application requirements.
+ *
+ * THESE PARAMETERS ARE DESCRIBED WITHIN THE 'CONFIGURATION' SECTION OF THE
+ * FreeRTOS API DOCUMENTATION AVAILABLE ON THE FreeRTOS.org WEB SITE.  See
+ * http://www.freertos.org/a00110.html
+ *----------------------------------------------------------*/
+
+#define configUSE_PREEMPTION                                   1
+#define configUSE_PORT_OPTIMISED_TASK_SELECTION        0
+#define configUSE_IDLE_HOOK                                            1
+#define configUSE_TICK_HOOK                                            1
+#define configUSE_DAEMON_TASK_STARTUP_HOOK             1
+#define configTICK_RATE_HZ                                             ( 1000 ) /* In this non-real time simulated environment the tick frequency has to be at least a multiple of the Win32 tick frequency, and therefore very slow. */
+#define configMINIMAL_STACK_SIZE                               ( ( unsigned short ) 70 ) /* In this simulated case, the stack only has to hold one small structure as the real stack is part of the win32 thread. */
+#define configTOTAL_HEAP_SIZE                                  ( ( size_t ) ( 65 * 1024 ) )
+#define configMAX_TASK_NAME_LEN                                        ( 12 )
+#define configUSE_TRACE_FACILITY                               1
+#define configUSE_16_BIT_TICKS                                 0
+#define configIDLE_SHOULD_YIELD                                        1
+#define configUSE_MUTEXES                                              1
+#define configCHECK_FOR_STACK_OVERFLOW                 0
+#define configUSE_RECURSIVE_MUTEXES                            1
+#define configQUEUE_REGISTRY_SIZE                              20
+#define configUSE_APPLICATION_TASK_TAG                 1
+#define configUSE_COUNTING_SEMAPHORES                  1
+#define configUSE_ALTERNATIVE_API                              0
+#define configUSE_QUEUE_SETS                                   1
+#define configUSE_TASK_NOTIFICATIONS                   1
+#define configSUPPORT_STATIC_ALLOCATION                        1
+
+/* Software timer related configuration options.  The maximum possible task
+priority is configMAX_PRIORITIES - 1.  The priority of the timer task is
+deliberately set higher to ensure it is correctly capped back to
+configMAX_PRIORITIES - 1. */
+#define configUSE_TIMERS                                               1
+#define configTIMER_TASK_PRIORITY                              ( configMAX_PRIORITIES - 1 )
+#define configTIMER_QUEUE_LENGTH                               20
+#define configTIMER_TASK_STACK_DEPTH                   ( configMINIMAL_STACK_SIZE * 2 )
+
+#define configMAX_PRIORITIES                                   ( 7 )
+
+/* Run time stats gathering configuration options. */
+unsigned long ulGetRunTimeCounterValue( void ); /* Prototype of function that returns run time counter. */
+void vConfigureTimerForRunTimeStats( void );   /* Prototype of function that initialises the run time counter. */
+#define configGENERATE_RUN_TIME_STATS                  1
+
+/* Co-routine related configuration options. */
+#define configUSE_CO_ROUTINES                                  0
+#define configMAX_CO_ROUTINE_PRIORITIES                        ( 2 )
+
+/* This demo can use of one or more example stats formatting functions.  These
+format the raw data provided by the uxTaskGetSystemState() function in to human
+readable ASCII form.  See the notes in the implementation of vTaskList() within
+FreeRTOS/Source/tasks.c for limitations. */
+#define configUSE_STATS_FORMATTING_FUNCTIONS   0
+
+/* Enables the test whereby a stack larger than the total heap size is
+requested. */
+#define configSTACK_DEPTH_TYPE uint32_t
+
+/* Set the following definitions to 1 to include the API function, or zero
+to exclude the API function.  In most cases the linker will remove unused
+functions anyway. */
+#define INCLUDE_vTaskPrioritySet                               1
+#define INCLUDE_uxTaskPriorityGet                              1
+#define INCLUDE_vTaskDelete                                            1
+#define INCLUDE_vTaskCleanUpResources                  0
+#define INCLUDE_vTaskSuspend                                   1
+#define INCLUDE_vTaskDelayUntil                                        1
+#define INCLUDE_vTaskDelay                                             1
+#define INCLUDE_uxTaskGetStackHighWaterMark            1
+#define INCLUDE_uxTaskGetStackHighWaterMark2   1
+#define INCLUDE_xTaskGetSchedulerState                 1
+#define INCLUDE_xTimerGetTimerDaemonTaskHandle 1
+#define INCLUDE_xTaskGetIdleTaskHandle                 1
+#define INCLUDE_xTaskGetHandle                                 1
+#define INCLUDE_eTaskGetState                                  1
+#define INCLUDE_xSemaphoreGetMutexHolder               1
+#define INCLUDE_xTimerPendFunctionCall                 1
+#define INCLUDE_xTaskAbortDelay                                        1
+
+#define configINCLUDE_MESSAGE_BUFFER_AMP_DEMO  0
+#if ( configINCLUDE_MESSAGE_BUFFER_AMP_DEMO == 1 )
+       extern void vGenerateCoreBInterrupt( void * xUpdatedMessageBuffer );
+       #define sbSEND_COMPLETED( pxStreamBuffer ) vGenerateCoreBInterrupt( pxStreamBuffer )
+#endif /* configINCLUDE_MESSAGE_BUFFER_AMP_DEMO */
+
+extern void vAssertCalled( unsigned long ulLine, const char * const pcFileName );
+
+/* projCOVERAGE_TEST should be defined on the command line so this file can be
+used with multiple project configurations.  If it is
+ */
+#ifndef projCOVERAGE_TEST
+       #error projCOVERAGE_TEST should be defined to 1 or 0 on the command line.
+#endif
+
+#if( projCOVERAGE_TEST == 1 )
+       /* Insert NOPs in empty decision paths to ensure both true and false paths
+       are being tested. */
+       #define mtCOVERAGE_TEST_MARKER() __asm volatile( "NOP" )
+
+       /* Ensure the tick count overflows during the coverage test. */
+       #define configINITIAL_TICK_COUNT 0xffffd800UL
+
+       /* Allows tests of trying to allocate more than the heap has free. */
+       #define configUSE_MALLOC_FAILED_HOOK                    0
+
+       /* To test builds that remove the static qualifier for debug builds. */
+       #define portREMOVE_STATIC_QUALIFIER
+#else
+       /* It is a good idea to define configASSERT() while developing.  configASSERT()
+       uses the same semantics as the standard C assert() macro.  Don't define
+       configASSERT() when performing code coverage tests though, as it is not
+       intended to asserts() to fail, some some code is intended not to run if no
+       errors are present. */
+       #define configASSERT( x ) if( ( x ) == 0 ) vAssertCalled( __LINE__, __FILE__ )
+
+       #define configUSE_MALLOC_FAILED_HOOK                    1
+
+       /* Include the FreeRTOS+Trace FreeRTOS trace macro definitions. */
+       #include "trcRecorder.h"
+#endif
+
+
+#endif /* FREERTOS_CONFIG_H */
diff --git a/FreeRTOS/Demo/Posix_GCC/src/SConscript b/FreeRTOS/Demo/Posix_GCC/src/SConscript
new file mode 100644 (file)
index 0000000..962556c
--- /dev/null
@@ -0,0 +1,124 @@
+# FreeRTOS Kernel V10.3.0
+# Copyright (C) 2020 Cambridge Consultants Ltd.
+#
+# Permission is hereby granted, free of charge, to any person obtaining a copy of
+# this software and associated documentation files (the "Software"), to deal in
+# the Software without restriction, including without limitation the rights to
+# use, copy, modify, merge, publish, distribute, sublicense, and/or sell copies of
+# the Software, and to permit persons to whom the Software is furnished to do so,
+# subject to the following conditions:
+#
+# The above copyright notice and this permission notice shall be included in all
+# copies or substantial portions of the Software.
+#
+# THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
+# IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS
+# FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR
+# COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER
+# IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN
+# CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
+#
+# http://www.FreeRTOS.org
+# http://aws.amazon.com/freertos
+
+Import("env")
+
+env.Append(CPPPATH = [
+    ".",
+    "FreeRTOS/Source/include",
+    "FreeRTOS/Source/portable/ThirdParty/GCC/Posix",
+    "FreeRTOS/Demo/Common/include",
+    "FreeRTOS-Plus/Source/FreeRTOS-Plus-Trace/Include",
+])
+
+env.Append(LIBS = [
+    "pthread",
+])
+
+src = [
+    "console.c",
+    "main.c",
+    "main_blinky.c",
+    "main_full.c",
+    "run-time-stats-utils.c",
+
+    # FreeRTOS kernel
+    "FreeRTOS/Source/event_groups.c",
+    "FreeRTOS/Source/list.c",
+    "FreeRTOS/Source/queue.c",
+    "FreeRTOS/Source/stream_buffer.c",
+    "FreeRTOS/Source/tasks.c",
+    "FreeRTOS/Source/timers.c",
+
+    # Memory manager (use malloc()/free()).
+    "FreeRTOS/Source/portable/MemMang/heap_3.c",
+
+    # Posix port.
+    "FreeRTOS/Source/portable/ThirdParty/GCC/Posix/port.c",
+
+    # Demo library.
+    "FreeRTOS/Demo/Common/Minimal/AbortDelay.c",
+    "FreeRTOS/Demo/Common/Minimal/BlockQ.c",
+    "FreeRTOS/Demo/Common/Minimal/blocktim.c",
+    "FreeRTOS/Demo/Common/Minimal/countsem.c",
+    "FreeRTOS/Demo/Common/Minimal/death.c",
+    "FreeRTOS/Demo/Common/Minimal/dynamic.c",
+    "FreeRTOS/Demo/Common/Minimal/EventGroupsDemo.c",
+    "FreeRTOS/Demo/Common/Minimal/flop.c",
+    "FreeRTOS/Demo/Common/Minimal/GenQTest.c",
+    "FreeRTOS/Demo/Common/Minimal/integer.c",
+    "FreeRTOS/Demo/Common/Minimal/IntSemTest.c",
+    "FreeRTOS/Demo/Common/Minimal/MessageBufferAMP.c",
+    "FreeRTOS/Demo/Common/Minimal/MessageBufferDemo.c",
+    "FreeRTOS/Demo/Common/Minimal/PollQ.c",
+    "FreeRTOS/Demo/Common/Minimal/QPeek.c",
+    "FreeRTOS/Demo/Common/Minimal/QueueOverwrite.c",
+    "FreeRTOS/Demo/Common/Minimal/QueueSet.c",
+    "FreeRTOS/Demo/Common/Minimal/QueueSetPolling.c",
+    "FreeRTOS/Demo/Common/Minimal/recmutex.c",
+    "FreeRTOS/Demo/Common/Minimal/semtest.c",
+    "FreeRTOS/Demo/Common/Minimal/StaticAllocation.c",
+    "FreeRTOS/Demo/Common/Minimal/StreamBufferDemo.c",
+    "FreeRTOS/Demo/Common/Minimal/StreamBufferInterrupt.c",
+    "FreeRTOS/Demo/Common/Minimal/TaskNotify.c",
+    "FreeRTOS/Demo/Common/Minimal/TimerDemo.c",
+]
+
+if GetOption("coverage"):
+    env.Append(CPPDEFINES = [
+        "projCOVERAGE_TEST=1",
+    ])
+
+    env.Append(CFLAGS = [
+        "-fprofile-arcs",
+        "-ftest-coverage",
+    ])
+    env.Append(LINKFLAGS = [
+        "-fprofile-arcs",
+        "-ftest-coverage",
+    ])
+
+    src += [
+        "code_coverage_additions.c",
+    ]
+else:
+    env.Append(CPPDEFINES = [
+        "projCOVERAGE_TEST=0",
+    ])
+
+    src += [
+        # Trace library.
+        "FreeRTOS-Plus/Source/FreeRTOS-Plus-Trace/trcKernelPort.c",
+        "FreeRTOS-Plus/Source/FreeRTOS-Plus-Trace/trcSnapshotRecorder.c",
+        "FreeRTOS-Plus/Source/FreeRTOS-Plus-Trace/trcStreamingRecorder.c",
+        "FreeRTOS-Plus/Source/FreeRTOS-Plus-Trace/streamports/File/trcStreamingPort.c",
+    ]
+
+# Build the simple "blinky" demo application, or the full test
+# applicaton?
+if GetOption("simple"):
+    env.Append(CPPDEFINES = [
+        "mainCREATE_SIMPLE_BLINKY_DEMO_ONLY=1",
+    ])
+
+env.Program("posix_demo", src)
diff --git a/FreeRTOS/Demo/Posix_GCC/src/code_coverage_additions.c b/FreeRTOS/Demo/Posix_GCC/src/code_coverage_additions.c
new file mode 100644 (file)
index 0000000..1f43a34
--- /dev/null
@@ -0,0 +1,615 @@
+/*
+ * FreeRTOS Kernel V10.3.0
+ * Copyright (C) 2020 Amazon.com, Inc. or its affiliates.  All Rights Reserved.
+ *
+ * Permission is hereby granted, free of charge, to any person obtaining a copy of
+ * this software and associated documentation files (the "Software"), to deal in
+ * the Software without restriction, including without limitation the rights to
+ * use, copy, modify, merge, publish, distribute, sublicense, and/or sell copies of
+ * the Software, and to permit persons to whom the Software is furnished to do so,
+ * subject to the following conditions:
+ *
+ * The above copyright notice and this permission notice shall be included in all
+ * copies or substantial portions of the Software.
+ *
+ * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
+ * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS
+ * FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR
+ * COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER
+ * IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN
+ * CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
+ *
+ * http://www.FreeRTOS.org
+ * http://aws.amazon.com/freertos
+ *
+ * 1 tab == 4 spaces!
+ */
+
+/*
+ * Contains sundry tests to exercise code that is not touched by the standard
+ * demo tasks (which are predominantly test tasks).  Some tests are incldued
+ * here because they can only be executed when configASSERT() is not defined.
+ */
+
+#include <string.h>
+
+#include "FreeRTOS.h"
+#include "task.h"
+#include "timers.h"
+#include "event_groups.h"
+#include "semphr.h"
+#include "stream_buffer.h"
+#include "message_buffer.h"
+
+/*-----------------------------------------------------------*/
+
+/*
+ * Try creating static objects with one of the mandatory parameters set to NULL.
+ * This can't be done in the standard demos as asserts() will get hit.
+ */
+static BaseType_t prvStaticAllocationsWithNullBuffers( void );
+
+/*
+ * Code coverage analysis is performed with tracing turned off, so this
+ * function executes the trace specific utility functions that would not
+ * otherwise be executed..
+ */
+static BaseType_t prvTraceUtils( void );
+
+/*
+ * The queue peek standard demo does not cover the case where an attempt to peek
+ * times out, so test that case.
+ */
+static BaseType_t prvPeekTimeout( void );
+
+/*
+ * Calls various interrupt safe functions designed to query the state of a
+ * queue.
+ */
+static BaseType_t prvQueueQueryFromISR( void );
+
+/*
+ * Hits a few paths in tasks state and status query functions not otherwise hit
+ * by standard demo and test files.
+ */
+static BaseType_t prvTaskQueryFunctions( void );
+
+/*
+ * None of the standard demo tasks use the task tags - exercise them here.
+ */
+static BaseType_t prvTaskTags( void );
+
+/*
+ * Exercises a few of the query functions that are not otherwise exercised in
+ * the standard demo and test functions.
+ */
+static BaseType_t prvTimerQuery( void );
+
+/*-----------------------------------------------------------*/
+
+static BaseType_t prvStaticAllocationsWithNullBuffers( void )
+{
+uintptr_t ulReturned = 0;
+BaseType_t xReturn = pdPASS;
+UBaseType_t uxDummy = 10;
+
+       /* Don't expect to create any of the objects as a NULL parameter is always
+       passed in place of a required buffer.  Hence if all passes then none of the
+       |= will be against 0, and ulReturned will still be zero at the end of this
+       function. */
+       ulReturned |= ( uintptr_t ) xEventGroupCreateStatic( NULL );
+
+       /* Try creating a task twice, once with puxStackBuffer NULL, and once with
+       pxTaskBuffer NULL. */
+       ulReturned |= ( uintptr_t ) xTaskCreateStatic( NULL, /* Task to run, not needed as the task is not created. */
+                                                                                                 "Dummy", /* Task name. */
+                                                                                                 configMINIMAL_STACK_SIZE,
+                                                                                                 NULL,
+                                                                                                 tskIDLE_PRIORITY,
+                                                                                                 NULL,
+                                                                                                 ( StaticTask_t * ) &xReturn ); /* Dummy value just to pass a non NULL value in - won't get used. */
+
+       ulReturned |= ( uintptr_t ) xTaskCreateStatic( NULL, /* Task to run, not needed as the task is not created. */
+                                                                                                 "Dummy", /* Task name. */
+                                                                                                 configMINIMAL_STACK_SIZE,
+                                                                                                 NULL,
+                                                                                                 tskIDLE_PRIORITY,
+                                                                                                 ( StackType_t  * ) &xReturn, /* Dummy value just to pass a non NULL value in - won't get used. */
+                                                                                                 NULL );
+
+       ulReturned |= ( uintptr_t ) xQueueCreateStatic( uxDummy,
+                                                                                                  uxDummy,
+                                                                                                  ( uint8_t * ) &xReturn, /* Dummy value just to pass a non NULL value in - won't get used. */
+                                                                                                  NULL );
+
+       /* Try creating a stream buffer twice, once with pucStreamBufferStorageArea
+       set to NULL, and once with pxStaticStreamBuffer set to NULL. */
+       ulReturned |= ( uintptr_t ) xStreamBufferCreateStatic( uxDummy,
+                                                                                                                 uxDummy,
+                                                                                                                 NULL,
+                                                                                                                 ( StaticStreamBuffer_t  * ) &xReturn ); /* Dummy value just to pass a non NULL value in - won't get used. */
+
+       ulReturned |= ( uintptr_t ) xStreamBufferCreateStatic( uxDummy,
+                                                                                                                 uxDummy,
+                                                                                                                 ( uint8_t * ) &xReturn, /* Dummy value just to pass a non NULL value in - won't get used. */
+                                                                                                                 NULL );
+
+       if( ulReturned != 0 )
+       {
+               /* Something returned a non-NULL value. */
+               xReturn = pdFAIL;
+       }
+
+       return xReturn;
+}
+/*-----------------------------------------------------------*/
+
+static BaseType_t prvTraceUtils( void )
+{
+EventGroupHandle_t xEventGroup;
+QueueHandle_t xQueue;
+BaseType_t xReturn = pdPASS;
+const UBaseType_t xNumber = ( UBaseType_t ) 100, xQueueLength = ( UBaseType_t ) 1;
+UBaseType_t uxValue;
+TaskHandle_t xTaskHandle;
+StreamBufferHandle_t xStreamBuffer;
+MessageBufferHandle_t xMessageBuffer;
+
+       /* Exercise the event group trace utilities. */
+       xEventGroup = xEventGroupCreate();
+
+       if( xEventGroup != NULL )
+       {
+               vEventGroupSetNumber( xEventGroup, xNumber );
+               if( uxEventGroupGetNumber( NULL ) != 0 )
+               {
+                       xReturn = pdFAIL;
+               }
+               if( uxEventGroupGetNumber( xEventGroup ) != xNumber )
+               {
+                       xReturn = pdFAIL;
+               }
+
+               vEventGroupDelete( xEventGroup );
+       }
+       else
+       {
+               xReturn = pdFAIL;
+       }
+
+       /* Exercise the queue trace utilities. */
+       xQueue = xQueueCreate( xQueueLength, ( UBaseType_t ) sizeof( uxValue ) );
+       if( xQueue != NULL )
+       {
+               vQueueSetQueueNumber( xQueue, xNumber );
+               if( uxQueueGetQueueNumber( xQueue ) != xNumber )
+               {
+                       xReturn = pdFAIL;
+               }
+               if( ucQueueGetQueueType( xQueue ) != queueQUEUE_TYPE_BASE )
+               {
+                       xReturn = pdFAIL;
+               }
+
+               vQueueDelete( xQueue );
+       }
+       else
+       {
+               xReturn = pdFAIL;
+       }
+
+       /* Exercise the task trace utilities.  Value of 100 is arbitrary, just want
+       to check the value that is set is also read back. */
+       uxValue = 100;
+       xTaskHandle = xTaskGetCurrentTaskHandle();
+       vTaskSetTaskNumber( xTaskHandle, uxValue );
+       if( uxTaskGetTaskNumber( xTaskHandle ) != uxValue )
+       {
+               xReturn = pdFAIL;
+       }
+       if( uxTaskGetTaskNumber( NULL ) != 0 )
+       {
+               xReturn = pdFAIL;
+       }
+
+       /* Timer trace util functions are exercised in prvTimerQuery(). */
+
+
+       /* Exercise the stream buffer utilities.  Try creating with a trigger level
+       of 0, it should then get capped to 1. */
+       xStreamBuffer = xStreamBufferCreate( sizeof( uint32_t ), 0 );
+       if( xStreamBuffer != NULL )
+       {
+               vStreamBufferSetStreamBufferNumber( xStreamBuffer, uxValue );
+               if( uxStreamBufferGetStreamBufferNumber( xStreamBuffer ) != uxValue )
+               {
+                       xReturn = pdFALSE;
+               }
+               if( ucStreamBufferGetStreamBufferType( xStreamBuffer ) != 0 )
+               {
+                       /* "Is Message Buffer" flag should have been 0. */
+                       xReturn = pdFALSE;
+               }
+
+               vStreamBufferDelete( xStreamBuffer );
+       }
+       else
+       {
+               xReturn = pdFALSE;
+       }
+
+       xMessageBuffer = xMessageBufferCreate( sizeof( uint32_t ) );
+       if( xMessageBuffer != NULL )
+       {
+               if( ucStreamBufferGetStreamBufferType( xMessageBuffer ) == 0 )
+               {
+                       /* "Is Message Buffer" flag should have been 1. */
+                       xReturn = pdFALSE;
+               }
+
+               vMessageBufferDelete( xMessageBuffer );
+       }
+       else
+       {
+               xReturn = pdFALSE;
+       }
+
+       return xReturn;
+}
+/*-----------------------------------------------------------*/
+
+static BaseType_t prvPeekTimeout( void )
+{
+QueueHandle_t xHandle;
+const UBaseType_t xQueueLength = 1;
+BaseType_t xReturn = pdPASS;
+TickType_t xBlockTime = ( TickType_t ) 2;
+UBaseType_t uxReceived;
+
+       /* Create the queue just to try peeking it while it is empty. */
+       xHandle = xQueueCreate( xQueueLength, ( UBaseType_t ) sizeof( xQueueLength ) );
+
+       if( xHandle != NULL )
+       {
+               if( uxQueueMessagesWaiting( xHandle ) != 0 )
+               {
+                       xReturn = pdFAIL;
+               }
+
+               /* Ensure peeking from the queue times out as the queue is empty. */
+               if( xQueuePeek( xHandle, &uxReceived, xBlockTime ) != pdFALSE )
+               {
+                       xReturn = pdFAIL;
+               }
+
+               vQueueDelete( xHandle );
+       }
+       else
+       {
+               xReturn = pdFAIL;
+       }
+
+       return xReturn;
+}
+/*-----------------------------------------------------------*/
+
+static BaseType_t prvQueueQueryFromISR( void )
+{
+BaseType_t xReturn = pdPASS, xValue = 1;
+const UBaseType_t xISRQueueLength = ( UBaseType_t ) 1;
+const char *pcISRQueueName = "ISRQueue";
+QueueHandle_t xISRQueue = NULL;
+
+       xISRQueue = xQueueCreate( xISRQueueLength, ( UBaseType_t ) sizeof( BaseType_t ) );
+
+       if( xISRQueue != NULL )
+       {
+               vQueueAddToRegistry( xISRQueue, pcISRQueueName );
+               if( strcmp( pcQueueGetName( xISRQueue ), pcISRQueueName ) )
+               {
+                       xReturn = pdFAIL;
+               }
+
+               /* Expect the queue to be empty here. */
+               if(     uxQueueMessagesWaitingFromISR( xISRQueue ) != 0 )
+               {
+                       xReturn = pdFAIL;
+               }
+
+               if( xQueueIsQueueEmptyFromISR( xISRQueue ) != pdTRUE )
+               {
+                       xReturn = pdFAIL;
+               }
+
+               if( xQueueIsQueueFullFromISR( xISRQueue ) != pdFALSE )
+               {
+                       xReturn = pdFAIL;
+               }
+
+               /* Now fill the queue - it only has one space. */
+               if( xQueueSendFromISR( xISRQueue, &xValue, NULL ) != pdPASS )
+               {
+                       xReturn = pdFAIL;
+               }
+
+               /* Check it now reports as full. */
+               if(     uxQueueMessagesWaitingFromISR( xISRQueue ) != 1 )
+               {
+                       xReturn = pdFAIL;
+               }
+
+               if( xQueueIsQueueEmptyFromISR( xISRQueue ) != pdFALSE )
+               {
+                       xReturn = pdFAIL;
+               }
+
+               if( xQueueIsQueueFullFromISR( xISRQueue ) != pdTRUE )
+               {
+                       xReturn = pdFAIL;
+               }
+
+               vQueueDelete( xISRQueue );
+       }
+       else
+       {
+               xReturn = pdFAIL;
+       }
+
+       return xReturn;
+}
+/*-----------------------------------------------------------*/
+
+static BaseType_t prvTaskQueryFunctions( void )
+{
+static TaskStatus_t xStatus, *pxStatusArray;
+TaskHandle_t xTimerTask, xIdleTask;
+BaseType_t xReturn = pdPASS;
+UBaseType_t uxNumberOfTasks, uxReturned, ux;
+uint32_t ulTotalRunTime1, ulTotalRunTime2;
+const uint32_t ulRunTimeTollerance = ( uint32_t ) 0xfff;
+
+       /* Obtain task status with the stack high water mark and without the
+       state. */
+       vTaskGetInfo( NULL, &xStatus, pdTRUE, eRunning );
+
+       if( uxTaskGetStackHighWaterMark( NULL ) != xStatus.usStackHighWaterMark )
+       {
+               xReturn = pdFAIL;
+       }
+
+       if( uxTaskGetStackHighWaterMark2( NULL ) != ( configSTACK_DEPTH_TYPE ) xStatus.usStackHighWaterMark )
+       {
+               xReturn = pdFAIL;
+       }
+
+       /* Now obtain a task status without the high water mark but with the state,
+       which in the case of the idle task should be Read. */
+       xTimerTask = xTimerGetTimerDaemonTaskHandle();
+       vTaskSuspend( xTimerTask ); /* Should never suspend Timer task normally!. */
+       vTaskGetInfo( xTimerTask, &xStatus, pdFALSE, eInvalid );
+       if( xStatus.eCurrentState != eSuspended )
+       {
+               xReturn = pdFAIL;
+       }
+       if( xStatus.uxBasePriority != uxTaskPriorityGetFromISR( xTimerTask ) )
+       {
+               xReturn = pdFAIL;
+       }
+       if( xStatus.uxBasePriority != ( configMAX_PRIORITIES - 1 ) )
+       {
+               xReturn = pdFAIL;
+       }
+       xTaskResumeFromISR( xTimerTask );
+       vTaskGetInfo( xTimerTask, &xStatus, pdTRUE, eInvalid );
+       if( ( xStatus.eCurrentState != eReady ) && ( xStatus.eCurrentState != eBlocked ) )
+       {
+               xReturn = pdFAIL;
+       }
+       if( uxTaskGetStackHighWaterMark( xTimerTask ) != xStatus.usStackHighWaterMark )
+       {
+               xReturn = pdFAIL;
+       }
+       if( uxTaskGetStackHighWaterMark2( xTimerTask ) != ( configSTACK_DEPTH_TYPE ) xStatus.usStackHighWaterMark )
+       {
+               xReturn = pdFAIL;
+       }
+
+       /* Attempting to abort a delay in the idle task should be guaranteed to
+       fail as the idle task should never block. */
+       xIdleTask = xTaskGetIdleTaskHandle();
+       if( xTaskAbortDelay( xIdleTask ) != pdFAIL )
+       {
+               xReturn = pdFAIL;
+       }
+
+       /* Create an array of task status objects large enough to hold information
+       on the number of tasks at this time - note this may change at any time if
+       higher priority tasks are executing and creating tasks. */
+       uxNumberOfTasks = uxTaskGetNumberOfTasks();
+       pxStatusArray = ( TaskStatus_t * ) pvPortMalloc( uxNumberOfTasks * sizeof( TaskStatus_t ) );
+
+       if( pxStatusArray != NULL )
+       {
+               /* Pass part of the array into uxTaskGetSystemState() to ensure it doesn't
+               try using more space than there is available. */
+               uxReturned = uxTaskGetSystemState( pxStatusArray, uxNumberOfTasks / ( UBaseType_t ) 2, NULL );
+               if( uxReturned != ( UBaseType_t ) 0 )
+               {
+                       xReturn = pdFAIL;
+               }
+
+               /* Now do the same but passing in the complete array size, this is done
+               twice to check for a difference in the total run time. */
+               uxTaskGetSystemState( pxStatusArray, uxNumberOfTasks, &ulTotalRunTime1 );
+               memset( ( void * ) pxStatusArray, 0xaa, uxNumberOfTasks * sizeof( TaskStatus_t ) );
+               uxReturned = uxTaskGetSystemState( pxStatusArray, uxNumberOfTasks, &ulTotalRunTime2 );
+               if( ( ulTotalRunTime2 - ulTotalRunTime1 ) > ulRunTimeTollerance )
+               {
+                       xReturn = pdFAIL;
+               }
+
+               /* Basic santity check of array contents. */
+               for( ux = 0; ux < uxReturned; ux++ )
+               {
+                       if( pxStatusArray[ ux ].eCurrentState >= ( UBaseType_t ) eInvalid )
+                       {
+                               xReturn = pdFAIL;
+                       }
+                       if( pxStatusArray[ ux ].uxCurrentPriority >= ( UBaseType_t ) configMAX_PRIORITIES )
+                       {
+                               xReturn = pdFAIL;
+                       }
+               }
+
+               vPortFree( pxStatusArray );
+       }
+       else
+       {
+               xReturn = pdFAIL;
+       }
+
+       return xReturn;
+}
+/*-----------------------------------------------------------*/
+
+static BaseType_t prvDummyTagFunction( void *pvParameter )
+{
+       return ( BaseType_t ) pvParameter;
+}
+/*-----------------------------------------------------------*/
+
+static BaseType_t prvTaskTags( void )
+{
+BaseType_t xReturn = pdPASS, xParameter = ( BaseType_t ) 0xDEADBEEF;
+TaskHandle_t xTask;
+
+       /* First try with the handle of a different task.  Use the timer task for
+       convenience. */
+       xTask = xTimerGetTimerDaemonTaskHandle();
+
+       vTaskSetApplicationTaskTag( xTask, prvDummyTagFunction );
+       if( xTaskGetApplicationTaskTag( xTask ) != prvDummyTagFunction )
+       {
+               xReturn = pdFAIL;
+       }
+       else
+       {
+               if( xTaskCallApplicationTaskHook( xTask, ( void * ) xParameter ) != xParameter )
+               {
+                       xReturn = pdFAIL;
+               }
+               if( xTaskCallApplicationTaskHook( xTask, ( void * ) NULL ) != pdFAIL )
+               {
+                       xReturn = pdFAIL;
+               }
+       }
+
+       /* Try FromISR version too. */
+       if( xTaskGetApplicationTaskTagFromISR( xTask ) != prvDummyTagFunction )
+       {
+               xReturn = pdFAIL;
+       }
+
+       /* Now try with a NULL handle, so using this task. */
+       vTaskSetApplicationTaskTag( NULL, NULL );
+       if( xTaskGetApplicationTaskTag( NULL ) != NULL )
+       {
+               xReturn = pdFAIL;
+       }
+       if( xTaskGetApplicationTaskTagFromISR( NULL ) != NULL )
+       {
+               xReturn = pdFAIL;
+       }
+
+       vTaskSetApplicationTaskTag( NULL, prvDummyTagFunction );
+       if( xTaskGetApplicationTaskTag( NULL ) != prvDummyTagFunction )
+       {
+               xReturn = pdFAIL;
+       }
+       else
+       {
+               if( xTaskCallApplicationTaskHook( NULL, ( void * ) xParameter ) != xParameter )
+               {
+                       xReturn = pdFAIL;
+               }
+               if( xTaskCallApplicationTaskHook( NULL, ( void * ) NULL ) != pdFAIL )
+               {
+                       xReturn = pdFAIL;
+               }
+       }
+
+       /* Try FromISR version too. */
+       if( xTaskGetApplicationTaskTagFromISR( NULL ) != prvDummyTagFunction )
+       {
+               xReturn = pdFAIL;
+       }
+
+       vTaskSetApplicationTaskTag( NULL, NULL );
+       if( xTaskGetApplicationTaskTag( NULL ) != NULL )
+       {
+               xReturn = pdFAIL;
+       }
+
+       return xReturn;
+}
+/*-----------------------------------------------------------*/
+
+static BaseType_t prvTimerQuery( void )
+{
+TimerHandle_t xTimer;
+BaseType_t xReturn = pdPASS;
+const char *pcTimerName = "TestTimer";
+const TickType_t xTimerPeriod = ( TickType_t ) 100;
+const UBaseType_t uxTimerNumber = ( UBaseType_t ) 55;
+
+       xTimer = xTimerCreate(  pcTimerName,
+                                                       xTimerPeriod,
+                                                       pdFALSE,
+                                                       ( void * ) xTimerPeriod,
+                                                       NULL ); /* Not actually going to start timer so NULL callback is ok. */
+
+       if( xTimer != NULL )
+       {
+               if( xTimerGetPeriod( xTimer ) != xTimerPeriod )
+               {
+                       xReturn = pdFAIL;
+               }
+
+               if( strcmp( pcTimerGetName( xTimer ), pcTimerName ) != 0 )
+               {
+                       xReturn = pdFAIL;
+               }
+
+               vTimerSetTimerNumber( xTimer, uxTimerNumber );
+               if( uxTimerGetTimerNumber( xTimer ) != uxTimerNumber )
+               {
+                       xReturn = pdFAIL;
+               }
+
+               xTimerDelete( xTimer, portMAX_DELAY );
+       }
+       else
+       {
+               xReturn = pdFAIL;
+       }
+
+       return xReturn;
+}
+/*-----------------------------------------------------------*/
+
+BaseType_t xRunCodeCoverageTestAdditions( void )
+{
+BaseType_t xReturn = pdPASS;
+
+       xReturn &= prvStaticAllocationsWithNullBuffers();
+       xReturn &= prvTraceUtils();
+       xReturn &= prvPeekTimeout();
+       xReturn &= prvQueueQueryFromISR();
+       xReturn &= prvTaskQueryFunctions();
+       xReturn &= prvTaskTags();
+       xReturn &= prvTimerQuery();
+
+       return xReturn;
+}
+/*-----------------------------------------------------------*/
+
diff --git a/FreeRTOS/Demo/Posix_GCC/src/console.c b/FreeRTOS/Demo/Posix_GCC/src/console.c
new file mode 100644 (file)
index 0000000..32f7db3
--- /dev/null
@@ -0,0 +1,59 @@
+/*
+ * FreeRTOS Kernel V10.3.0
+ * Copyright (C) 2020 Cambridge Consultants Ltd.
+ *
+ * Permission is hereby granted, free of charge, to any person obtaining a copy of
+ * this software and associated documentation files (the "Software"), to deal in
+ * the Software without restriction, including without limitation the rights to
+ * use, copy, modify, merge, publish, distribute, sublicense, and/or sell copies of
+ * the Software, and to permit persons to whom the Software is furnished to do so,
+ * subject to the following conditions:
+ *
+ * The above copyright notice and this permission notice shall be included in all
+ * copies or substantial portions of the Software.
+ *
+ * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
+ * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS
+ * FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR
+ * COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER
+ * IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN
+ * CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
+ *
+ * http://www.FreeRTOS.org
+ * http://aws.amazon.com/freertos
+ *
+ * 1 tab == 4 spaces!
+ */
+
+/*-----------------------------------------------------------
+ * Example console I/O wrappers.
+ *----------------------------------------------------------*/
+
+#include <stdarg.h>
+#include <stdio.h>
+
+#include <FreeRTOS.h>
+#include <semphr.h>
+
+SemaphoreHandle_t xStdioMutex;
+StaticSemaphore_t xStdioMutexBuffer;
+
+void console_init(void)
+{
+    xStdioMutex = xSemaphoreCreateMutexStatic(&xStdioMutexBuffer);
+}
+
+void console_print(const char *fmt, ...)
+{
+    va_list vargs;
+
+    va_start(vargs, fmt);
+    
+    xSemaphoreTake(xStdioMutex, portMAX_DELAY);
+
+    vprintf(fmt, vargs);
+
+    xSemaphoreGive(xStdioMutex);
+
+    va_end(vargs);
+}
diff --git a/FreeRTOS/Demo/Posix_GCC/src/console.h b/FreeRTOS/Demo/Posix_GCC/src/console.h
new file mode 100644 (file)
index 0000000..8543baa
--- /dev/null
@@ -0,0 +1,45 @@
+/*
+ * FreeRTOS Kernel V10.3.0
+ * Copyright (C) 2020 Cambridge Consultants Ltd.
+ *
+ * Permission is hereby granted, free of charge, to any person obtaining a copy of
+ * this software and associated documentation files (the "Software"), to deal in
+ * the Software without restriction, including without limitation the rights to
+ * use, copy, modify, merge, publish, distribute, sublicense, and/or sell copies of
+ * the Software, and to permit persons to whom the Software is furnished to do so,
+ * subject to the following conditions:
+ *
+ * The above copyright notice and this permission notice shall be included in all
+ * copies or substantial portions of the Software.
+ *
+ * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
+ * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS
+ * FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR
+ * COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER
+ * IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN
+ * CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
+ *
+ * http://www.FreeRTOS.org
+ * http://aws.amazon.com/freertos
+ *
+ * 1 tab == 4 spaces!
+ */
+#ifndef CONSOLE_H
+#define CONSOLE_H
+
+#ifdef __cplusplus
+extern "C" {
+#endif
+
+/*-----------------------------------------------------------
+ * Example console I/O wrappers.
+ *----------------------------------------------------------*/
+
+void console_init(void);
+void console_print(const char *fmt, ...);
+
+#ifdef __cplusplus
+}
+#endif
+
+#endif /* CONSOLE_H */
diff --git a/FreeRTOS/Demo/Posix_GCC/src/main.c b/FreeRTOS/Demo/Posix_GCC/src/main.c
new file mode 100644 (file)
index 0000000..630c71d
--- /dev/null
@@ -0,0 +1,354 @@
+/*
+ * FreeRTOS Kernel V10.3.0
+ * Copyright (C) 2020 Amazon.com, Inc. or its affiliates.  All Rights Reserved.
+ *
+ * Permission is hereby granted, free of charge, to any person obtaining a copy of
+ * this software and associated documentation files (the "Software"), to deal in
+ * the Software without restriction, including without limitation the rights to
+ * use, copy, modify, merge, publish, distribute, sublicense, and/or sell copies of
+ * the Software, and to permit persons to whom the Software is furnished to do so,
+ * subject to the following conditions:
+ *
+ * The above copyright notice and this permission notice shall be included in all
+ * copies or substantial portions of the Software.
+ *
+ * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
+ * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS
+ * FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR
+ * COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER
+ * IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN
+ * CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
+ *
+ * http://www.FreeRTOS.org
+ * http://aws.amazon.com/freertos
+ *
+ * 1 tab == 4 spaces!
+ */
+
+/******************************************************************************
+ * This project provides two demo applications.  A simple blinky style project,
+ * and a more comprehensive test and demo application.  The
+ * mainCREATE_SIMPLE_BLINKY_DEMO_ONLY setting is used to select between the two.
+ * The simply blinky demo is implemented and described in main_blinky.c.  The
+ * more comprehensive test and demo application is implemented and described in
+ * main_full.c.
+ *
+ * This file implements the code that is not demo specific, including the
+ * hardware setup and FreeRTOS hook functions.
+ *
+ *******************************************************************************
+ * NOTE: Windows will not be running the FreeRTOS demo threads continuously, so
+ * do not expect to get real time behaviour from the FreeRTOS Windows port, or
+ * this demo application.  Also, the timing information in the FreeRTOS+Trace
+ * logs have no meaningful units.  See the documentation page for the Windows
+ * port for further information:
+ * http://www.freertos.org/FreeRTOS-Windows-Simulator-Emulator-for-Visual-Studio-and-Eclipse-MingW.html
+ *
+
+ *
+ *******************************************************************************
+ */
+
+/* Standard includes. */
+#include <stdlib.h>
+#include <stdio.h>
+#include <unistd.h>
+
+/* FreeRTOS kernel includes. */
+#include "FreeRTOS.h"
+#include "task.h"
+
+/* Local includes. */
+#include "console.h"
+
+/* This project provides two demo applications.  A simple blinky style demo
+application, and a more comprehensive test and demo application.  The
+mainCREATE_SIMPLE_BLINKY_DEMO_ONLY setting is used to select between the two.
+
+If mainCREATE_SIMPLE_BLINKY_DEMO_ONLY is 1 then the blinky demo will be built.
+The blinky demo is implemented and described in main_blinky.c.
+
+If mainCREATE_SIMPLE_BLINKY_DEMO_ONLY is not 1 then the comprehensive test and
+demo application will be built.  The comprehensive test and demo application is
+implemented and described in main_full.c. */
+#ifndef mainCREATE_SIMPLE_BLINKY_DEMO_ONLY
+#define mainCREATE_SIMPLE_BLINKY_DEMO_ONLY     0
+#endif
+
+/* This demo uses heap_3.c (the libc provided malloc() and free()). */
+
+/*-----------------------------------------------------------*/
+
+/*
+ * main_blinky() is used when mainCREATE_SIMPLE_BLINKY_DEMO_ONLY is set to 1.
+ * main_full() is used when mainCREATE_SIMPLE_BLINKY_DEMO_ONLY is set to 0.
+ */
+extern void main_blinky( void );
+extern void main_full( void );
+
+/*
+ * Only the comprehensive demo uses application hook (callback) functions.  See
+ * http://www.freertos.org/a00016.html for more information.
+ */
+void vFullDemoTickHookFunction( void );
+void vFullDemoIdleFunction( void );
+
+/*
+ * Prototypes for the standard FreeRTOS application hook (callback) functions
+ * implemented within this file.  See http://www.freertos.org/a00016.html .
+ */
+void vApplicationMallocFailedHook( void );
+void vApplicationIdleHook( void );
+void vApplicationStackOverflowHook( TaskHandle_t pxTask, char *pcTaskName );
+void vApplicationTickHook( void );
+void vApplicationGetIdleTaskMemory( StaticTask_t **ppxIdleTaskTCBBuffer, StackType_t **ppxIdleTaskStackBuffer, uint32_t *pulIdleTaskStackSize );
+void vApplicationGetTimerTaskMemory( StaticTask_t **ppxTimerTaskTCBBuffer, StackType_t **ppxTimerTaskStackBuffer, uint32_t *pulTimerTaskStackSize );
+
+/*
+ * Writes trace data to a disk file when the trace recording is stopped.
+ * This function will simply overwrite any trace files that already exist.
+ */
+static void prvSaveTraceFile( void );
+
+/*-----------------------------------------------------------*/
+
+/* When configSUPPORT_STATIC_ALLOCATION is set to 1 the application writer can
+use a callback function to optionally provide the memory required by the idle
+and timer tasks.  This is the stack that will be used by the timer task.  It is
+declared here, as a global, so it can be checked by a test that is implemented
+in a different file. */
+StackType_t uxTimerTaskStack[ configTIMER_TASK_STACK_DEPTH ];
+
+/* Notes if the trace is running or not. */
+static BaseType_t xTraceRunning = pdTRUE;
+
+/*-----------------------------------------------------------*/
+
+int main( void )
+{
+       /* Do not include trace code when performing a code coverage analysis. */
+       #if( projCOVERAGE_TEST != 1 )
+       {
+               /* Initialise the trace recorder.  Use of the trace recorder is optional.
+               See http://www.FreeRTOS.org/trace for more information. */
+               vTraceEnable( TRC_START );
+
+               /* Start the trace recording - the recording is written to a file if
+               configASSERT() is called. */
+               printf( "\r\nTrace started.\r\nThe trace will be dumped to disk if a call to configASSERT() fails.\r\n" );
+               printf( "Uncomment the call to kbhit() in this file to also dump trace with a key press.\r\n" );
+               uiTraceStart();
+       }
+       #endif
+
+        console_init();
+
+       /* The mainCREATE_SIMPLE_BLINKY_DEMO_ONLY setting is described at the top
+       of this file. */
+       #if ( mainCREATE_SIMPLE_BLINKY_DEMO_ONLY == 1 )
+       {
+               main_blinky();
+       }
+       #else
+       {
+               main_full();
+       }
+       #endif
+
+       return 0;
+}
+/*-----------------------------------------------------------*/
+
+void vApplicationMallocFailedHook( void )
+{
+       /* vApplicationMallocFailedHook() will only be called if
+       configUSE_MALLOC_FAILED_HOOK is set to 1 in FreeRTOSConfig.h.  It is a hook
+       function that will get called if a call to pvPortMalloc() fails.
+       pvPortMalloc() is called internally by the kernel whenever a task, queue,
+       timer or semaphore is created.  It is also called by various parts of the
+       demo application.  If heap_1.c, heap_2.c or heap_4.c is being used, then the
+       size of the     heap available to pvPortMalloc() is defined by
+       configTOTAL_HEAP_SIZE in FreeRTOSConfig.h, and the xPortGetFreeHeapSize()
+       API function can be used to query the size of free heap space that remains
+       (although it does not provide information on how the remaining heap might be
+       fragmented).  See http://www.freertos.org/a00111.html for more
+       information. */
+       vAssertCalled( __LINE__, __FILE__ );
+}
+/*-----------------------------------------------------------*/
+
+void vApplicationIdleHook( void )
+{
+       /* vApplicationIdleHook() will only be called if configUSE_IDLE_HOOK is set
+       to 1 in FreeRTOSConfig.h.  It will be called on each iteration of the idle
+       task.  It is essential that code added to this hook function never attempts
+       to block in any way (for example, call xQueueReceive() with a block time
+       specified, or call vTaskDelay()).  If application tasks make use of the
+       vTaskDelete() API function to delete themselves then it is also important
+       that vApplicationIdleHook() is permitted to return to its calling function,
+       because it is the responsibility of the idle task to clean up memory
+       allocated by the kernel to any task that has since deleted itself. */
+
+        sleep(1);
+
+       #if ( mainCREATE_SIMPLE_BLINKY_DEMO_ONLY != 1 )
+       {
+               /* Call the idle task processing used by the full demo.  The simple
+               blinky demo does not use the idle task hook. */
+               vFullDemoIdleFunction();
+       }
+       #endif
+}
+/*-----------------------------------------------------------*/
+
+void vApplicationStackOverflowHook( TaskHandle_t pxTask, char *pcTaskName )
+{
+       ( void ) pcTaskName;
+       ( void ) pxTask;
+
+       /* Run time stack overflow checking is performed if
+       configCHECK_FOR_STACK_OVERFLOW is defined to 1 or 2.  This hook
+       function is called if a stack overflow is detected.  This function is
+       provided as an example only as stack overflow checking does not function
+       when running the FreeRTOS Windows port. */
+       vAssertCalled( __LINE__, __FILE__ );
+}
+/*-----------------------------------------------------------*/
+
+void vApplicationTickHook( void )
+{
+       /* This function will be called by each tick interrupt if
+       configUSE_TICK_HOOK is set to 1 in FreeRTOSConfig.h.  User code can be
+       added here, but the tick hook is called from an interrupt context, so
+       code must not attempt to block, and only the interrupt safe FreeRTOS API
+       functions can be used (those that end in FromISR()). */
+
+       #if ( mainCREATE_SIMPLE_BLINKY_DEMO_ONLY != 1 )
+       {
+               vFullDemoTickHookFunction();
+       }
+       #endif /* mainCREATE_SIMPLE_BLINKY_DEMO_ONLY */
+}
+/*-----------------------------------------------------------*/
+
+void vApplicationDaemonTaskStartupHook( void )
+{
+       /* This function will be called once only, when the daemon task starts to
+       execute (sometimes called the timer task).  This is useful if the
+       application includes initialisation code that would benefit from executing
+       after the scheduler has been started. */
+}
+/*-----------------------------------------------------------*/
+
+void vAssertCalled( unsigned long ulLine, const char * const pcFileName )
+{
+static BaseType_t xPrinted = pdFALSE;
+volatile uint32_t ulSetToNonZeroInDebuggerToContinue = 0;
+
+       /* Called if an assertion passed to configASSERT() fails.  See
+       http://www.freertos.org/a00110.html#configASSERT for more information. */
+
+       /* Parameters are not used. */
+       ( void ) ulLine;
+       ( void ) pcFileName;
+
+
+       taskENTER_CRITICAL();
+       {
+               /* Stop the trace recording. */
+               if( xPrinted == pdFALSE )
+               {
+                       xPrinted = pdTRUE;
+                       if( xTraceRunning == pdTRUE )
+                       {
+                               prvSaveTraceFile();
+                       }
+               }
+
+               /* You can step out of this function to debug the assertion by using
+               the debugger to set ulSetToNonZeroInDebuggerToContinue to a non-zero
+               value. */
+               while( ulSetToNonZeroInDebuggerToContinue == 0 )
+               {
+                       __asm volatile( "NOP" );
+                       __asm volatile( "NOP" );
+               }
+       }
+       taskEXIT_CRITICAL();
+}
+/*-----------------------------------------------------------*/
+
+static void prvSaveTraceFile( void )
+{
+       /* Tracing is not used when code coverage analysis is being performed. */
+       #if( projCOVERAGE_TEST != 1 )
+       {
+               FILE* pxOutputFile;
+
+               vTraceStop();
+
+               pxOutputFile = fopen( "Trace.dump", "wb");
+
+               if( pxOutputFile != NULL )
+               {
+                       fwrite( RecorderDataPtr, sizeof( RecorderDataType ), 1, pxOutputFile );
+                       fclose( pxOutputFile );
+                       printf( "\r\nTrace output saved to Trace.dump\r\n" );
+               }
+               else
+               {
+                       printf( "\r\nFailed to create trace dump file\r\n" );
+               }
+       }
+       #endif
+}
+/*-----------------------------------------------------------*/
+
+/* configUSE_STATIC_ALLOCATION is set to 1, so the application must provide an
+implementation of vApplicationGetIdleTaskMemory() to provide the memory that is
+used by the Idle task. */
+void vApplicationGetIdleTaskMemory( StaticTask_t **ppxIdleTaskTCBBuffer, StackType_t **ppxIdleTaskStackBuffer, uint32_t *pulIdleTaskStackSize )
+{
+/* If the buffers to be provided to the Idle task are declared inside this
+function then they must be declared static - otherwise they will be allocated on
+the stack and so not exists after this function exits. */
+static StaticTask_t xIdleTaskTCB;
+static StackType_t uxIdleTaskStack[ configMINIMAL_STACK_SIZE ];
+
+       /* Pass out a pointer to the StaticTask_t structure in which the Idle task's
+       state will be stored. */
+       *ppxIdleTaskTCBBuffer = &xIdleTaskTCB;
+
+       /* Pass out the array that will be used as the Idle task's stack. */
+       *ppxIdleTaskStackBuffer = uxIdleTaskStack;
+
+       /* Pass out the size of the array pointed to by *ppxIdleTaskStackBuffer.
+       Note that, as the array is necessarily of type StackType_t,
+       configMINIMAL_STACK_SIZE is specified in words, not bytes. */
+       *pulIdleTaskStackSize = configMINIMAL_STACK_SIZE;
+}
+/*-----------------------------------------------------------*/
+
+/* configUSE_STATIC_ALLOCATION and configUSE_TIMERS are both set to 1, so the
+application must provide an implementation of vApplicationGetTimerTaskMemory()
+to provide the memory that is used by the Timer service task. */
+void vApplicationGetTimerTaskMemory( StaticTask_t **ppxTimerTaskTCBBuffer, StackType_t **ppxTimerTaskStackBuffer, uint32_t *pulTimerTaskStackSize )
+{
+/* If the buffers to be provided to the Timer task are declared inside this
+function then they must be declared static - otherwise they will be allocated on
+the stack and so not exists after this function exits. */
+static StaticTask_t xTimerTaskTCB;
+
+       /* Pass out a pointer to the StaticTask_t structure in which the Timer
+       task's state will be stored. */
+       *ppxTimerTaskTCBBuffer = &xTimerTaskTCB;
+
+       /* Pass out the array that will be used as the Timer task's stack. */
+       *ppxTimerTaskStackBuffer = uxTimerTaskStack;
+
+       /* Pass out the size of the array pointed to by *ppxTimerTaskStackBuffer.
+       Note that, as the array is necessarily of type StackType_t,
+       configMINIMAL_STACK_SIZE is specified in words, not bytes. */
+       *pulTimerTaskStackSize = configTIMER_TASK_STACK_DEPTH;
+}
+
diff --git a/FreeRTOS/Demo/Posix_GCC/src/main_blinky.c b/FreeRTOS/Demo/Posix_GCC/src/main_blinky.c
new file mode 100644 (file)
index 0000000..69c7e7e
--- /dev/null
@@ -0,0 +1,265 @@
+/*
+ * FreeRTOS Kernel V10.3.0
+ * Copyright (C) 2020 Amazon.com, Inc. or its affiliates.  All Rights Reserved.
+ *
+ * Permission is hereby granted, free of charge, to any person obtaining a copy of
+ * this software and associated documentation files (the "Software"), to deal in
+ * the Software without restriction, including without limitation the rights to
+ * use, copy, modify, merge, publish, distribute, sublicense, and/or sell copies of
+ * the Software, and to permit persons to whom the Software is furnished to do so,
+ * subject to the following conditions:
+ *
+ * The above copyright notice and this permission notice shall be included in all
+ * copies or substantial portions of the Software.
+ *
+ * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
+ * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS
+ * FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR
+ * COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER
+ * IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN
+ * CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
+ *
+ * http://www.FreeRTOS.org
+ * http://aws.amazon.com/freertos
+ *
+ * 1 tab == 4 spaces!
+ */
+
+/******************************************************************************
+ * NOTE 1: Windows will not be running the FreeRTOS demo threads continuously, so
+ * do not expect to get real time behaviour from the FreeRTOS Windows port, or
+ * this demo application.  Also, the timing information in the FreeRTOS+Trace
+ * logs have no meaningful units.  See the documentation page for the Windows
+ * port for further information:
+ * http://www.freertos.org/FreeRTOS-Windows-Simulator-Emulator-for-Visual-Studio-and-Eclipse-MingW.html
+ *
+ * NOTE 2:  This project provides two demo applications.  A simple blinky style
+ * project, and a more comprehensive test and demo application.  The
+ * mainCREATE_SIMPLE_BLINKY_DEMO_ONLY setting in main.c is used to select
+ * between the two.  See the notes on using mainCREATE_SIMPLE_BLINKY_DEMO_ONLY
+ * in main.c.  This file implements the simply blinky version.  Console output
+ * is used in place of the normal LED toggling.
+ *
+ * NOTE 3:  This file only contains the source code that is specific to the
+ * basic demo.  Generic functions, such FreeRTOS hook functions, are defined
+ * in main.c.
+ ******************************************************************************
+ *
+ * main_blinky() creates one queue, one software timer, and two tasks.  It then
+ * starts the scheduler.
+ *
+ * The Queue Send Task:
+ * The queue send task is implemented by the prvQueueSendTask() function in
+ * this file.  It uses vTaskDelayUntil() to create a periodic task that sends
+ * the value 100 to the queue every 200 milliseconds (please read the notes
+ * above regarding the accuracy of timing under Windows).
+ *
+ * The Queue Send Software Timer:
+ * The timer is an auto-reload timer with a period of two seconds.  The timer's
+ * callback function writes the value 200 to the queue.  The callback function
+ * is implemented by prvQueueSendTimerCallback() within this file.
+ *
+ * The Queue Receive Task:
+ * The queue receive task is implemented by the prvQueueReceiveTask() function
+ * in this file.  prvQueueReceiveTask() waits for data to arrive on the queue.
+ * When data is received, the task checks the value of the data, then outputs a
+ * message to indicate if the data came from the queue send task or the queue
+ * send software timer.
+ *
+ * Expected Behaviour:
+ * - The queue send task writes to the queue every 200ms, so every 200ms the
+ *   queue receive task will output a message indicating that data was received
+ *   on the queue from the queue send task.
+ * - The queue send software timer has a period of two seconds, and is reset
+ *   each time a key is pressed.  So if two seconds expire without a key being
+ *   pressed then the queue receive task will output a message indicating that
+ *   data was received on the queue from the queue send software timer.
+ *
+ * NOTE:  Console input and output relies on Windows system calls, which can
+ * interfere with the execution of the FreeRTOS Windows port.  This demo only
+ * uses Windows system call occasionally.  Heavier use of Windows system calls
+ * can crash the port.
+ */
+
+#include <stdio.h>
+#include <pthread.h>
+
+/* Kernel includes. */
+#include "FreeRTOS.h"
+#include "task.h"
+#include "timers.h"
+#include "semphr.h"
+
+/* Local includes. */
+#include "console.h"
+
+/* Priorities at which the tasks are created. */
+#define mainQUEUE_RECEIVE_TASK_PRIORITY                ( tskIDLE_PRIORITY + 2 )
+#define        mainQUEUE_SEND_TASK_PRIORITY            ( tskIDLE_PRIORITY + 1 )
+
+/* The rate at which data is sent to the queue.  The times are converted from
+milliseconds to ticks using the pdMS_TO_TICKS() macro. */
+#define mainTASK_SEND_FREQUENCY_MS                     pdMS_TO_TICKS( 200UL )
+#define mainTIMER_SEND_FREQUENCY_MS                    pdMS_TO_TICKS( 2000UL )
+
+/* The number of items the queue can hold at once. */
+#define mainQUEUE_LENGTH                                       ( 2 )
+
+/* The values sent to the queue receive task from the queue send task and the
+queue send software timer respectively. */
+#define mainVALUE_SENT_FROM_TASK                       ( 100UL )
+#define mainVALUE_SENT_FROM_TIMER                      ( 200UL )
+
+/*-----------------------------------------------------------*/
+
+/*
+ * The tasks as described in the comments at the top of this file.
+ */
+static void prvQueueReceiveTask( void *pvParameters );
+static void prvQueueSendTask( void *pvParameters );
+
+/*
+ * The callback function executed when the software timer expires.
+ */
+static void prvQueueSendTimerCallback( TimerHandle_t xTimerHandle );
+
+/*-----------------------------------------------------------*/
+
+/* The queue used by both tasks. */
+static QueueHandle_t xQueue = NULL;
+
+/* A software timer that is started from the tick hook. */
+static TimerHandle_t xTimer = NULL;
+
+/*-----------------------------------------------------------*/
+
+/*** SEE THE COMMENTS AT THE TOP OF THIS FILE ***/
+void main_blinky( void )
+{
+const TickType_t xTimerPeriod = mainTIMER_SEND_FREQUENCY_MS;
+
+       /* Create the queue. */
+       xQueue = xQueueCreate( mainQUEUE_LENGTH, sizeof( uint32_t ) );
+
+       if( xQueue != NULL )
+       {
+               /* Start the two tasks as described in the comments at the top of this
+               file. */
+               xTaskCreate( prvQueueReceiveTask,                       /* The function that implements the task. */
+                                       "Rx",                                                   /* The text name assigned to the task - for debug only as it is not used by the kernel. */
+                                       configMINIMAL_STACK_SIZE,               /* The size of the stack to allocate to the task. */
+                                       NULL,                                                   /* The parameter passed to the task - not used in this simple case. */
+                                       mainQUEUE_RECEIVE_TASK_PRIORITY,/* The priority assigned to the task. */
+                                       NULL );                                                 /* The task handle is not required, so NULL is passed. */
+
+               xTaskCreate( prvQueueSendTask, "TX", configMINIMAL_STACK_SIZE, NULL, mainQUEUE_SEND_TASK_PRIORITY, NULL );
+
+               /* Create the software timer, but don't start it yet. */
+               xTimer = xTimerCreate( "Timer",                         /* The text name assigned to the software timer - for debug only as it is not used by the kernel. */
+                                                               xTimerPeriod,           /* The period of the software timer in ticks. */
+                                                               pdTRUE,                         /* xAutoReload is set to pdTRUE. */
+                                                               NULL,                           /* The timer's ID is not used. */
+                                                               prvQueueSendTimerCallback );/* The function executed when the timer expires. */
+
+               if( xTimer != NULL )
+               {
+                       xTimerStart( xTimer, 0 );
+               }
+
+               /* Start the tasks and timer running. */
+               vTaskStartScheduler();
+       }
+
+       /* If all is well, the scheduler will now be running, and the following
+       line will never be reached.  If the following line does execute, then
+       there was insufficient FreeRTOS heap memory available for the idle and/or
+       timer tasks     to be created.  See the memory management section on the
+       FreeRTOS web site for more details. */
+       for( ;; );
+}
+/*-----------------------------------------------------------*/
+
+static void prvQueueSendTask( void *pvParameters )
+{
+TickType_t xNextWakeTime;
+const TickType_t xBlockTime = mainTASK_SEND_FREQUENCY_MS;
+const uint32_t ulValueToSend = mainVALUE_SENT_FROM_TASK;
+
+       /* Prevent the compiler warning about the unused parameter. */
+       ( void ) pvParameters;
+
+       /* Initialise xNextWakeTime - this only needs to be done once. */
+       xNextWakeTime = xTaskGetTickCount();
+
+       for( ;; )
+       {
+               /* Place this task in the blocked state until it is time to run again.
+               The block time is specified in ticks, pdMS_TO_TICKS() was used to
+               convert a time specified in milliseconds into a time specified in ticks.
+               While in the Blocked state this task will not consume any CPU time. */
+               vTaskDelayUntil( &xNextWakeTime, xBlockTime );
+
+               /* Send to the queue - causing the queue receive task to unblock and
+               write to the console.  0 is used as the block time so the send operation
+               will not block - it shouldn't need to block as the queue should always
+               have at least one space at this point in the code. */
+               xQueueSend( xQueue, &ulValueToSend, 0U );
+       }
+}
+/*-----------------------------------------------------------*/
+
+static void prvQueueSendTimerCallback( TimerHandle_t xTimerHandle )
+{
+const uint32_t ulValueToSend = mainVALUE_SENT_FROM_TIMER;
+
+       /* This is the software timer callback function.  The software timer has a
+       period of two seconds and is reset each time a key is pressed.  This
+       callback function will execute if the timer expires, which will only happen
+       if a key is not pressed for two seconds. */
+
+       /* Avoid compiler warnings resulting from the unused parameter. */
+       ( void ) xTimerHandle;
+
+       /* Send to the queue - causing the queue receive task to unblock and
+       write out a message.  This function is called from the timer/daemon task, so
+       must not block.  Hence the block time is set to 0. */
+       xQueueSend( xQueue, &ulValueToSend, 0U );
+}
+/*-----------------------------------------------------------*/
+
+static void prvQueueReceiveTask( void *pvParameters )
+{
+uint32_t ulReceivedValue;
+
+       /* Prevent the compiler warning about the unused parameter. */
+       ( void ) pvParameters;
+
+       for( ;; )
+       {
+               /* Wait until something arrives in the queue - this task will block
+               indefinitely provided INCLUDE_vTaskSuspend is set to 1 in
+               FreeRTOSConfig.h.  It will not use any CPU time while it is in the
+               Blocked state. */
+               xQueueReceive( xQueue, &ulReceivedValue, portMAX_DELAY );
+
+               /* To get here something must have been received from the queue, but
+               is it an expected value?  Normally calling printf() from a task is not
+               a good idea.  Here there is lots of stack space and only one task is
+               using console IO so it is ok.  However, note the comments at the top of
+               this file about the risks of making Windows system calls (such as 
+               console output) from a FreeRTOS task. */
+               if( ulReceivedValue == mainVALUE_SENT_FROM_TASK )
+               {
+                       console_print( "Message received from task\n" );
+               }
+               else if( ulReceivedValue == mainVALUE_SENT_FROM_TIMER )
+               {
+                       console_print( "Message received from software timer\n" );
+               }
+               else
+               {
+                       console_print( "Unexpected message\n" );
+               }
+       }
+}
+/*-----------------------------------------------------------*/
diff --git a/FreeRTOS/Demo/Posix_GCC/src/main_full.c b/FreeRTOS/Demo/Posix_GCC/src/main_full.c
new file mode 100644 (file)
index 0000000..3bdfe98
--- /dev/null
@@ -0,0 +1,896 @@
+/*
+ * FreeRTOS Kernel V10.3.0
+ * Copyright (C) 2020 Amazon.com, Inc. or its affiliates.  All Rights Reserved.
+ *
+ * Permission is hereby granted, free of charge, to any person obtaining a copy of
+ * this software and associated documentation files (the "Software"), to deal in
+ * the Software without restriction, including without limitation the rights to
+ * use, copy, modify, merge, publish, distribute, sublicense, and/or sell copies of
+ * the Software, and to permit persons to whom the Software is furnished to do so,
+ * subject to the following conditions:
+ *
+ * The above copyright notice and this permission notice shall be included in all
+ * copies or substantial portions of the Software.
+ *
+ * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
+ * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS
+ * FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR
+ * COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER
+ * IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN
+ * CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
+ *
+ * http://www.FreeRTOS.org
+ * http://aws.amazon.com/freertos
+ *
+ * 1 tab == 4 spaces!
+ */
+
+/*
+ *******************************************************************************
+ * NOTE 1: The Win32 port is a simulation (or is that emulation?) only!  Do not
+ * expect to get real time behaviour from the Win32 port or this demo
+ * application.  It is provided as a convenient development and demonstration
+ * test bed only.
+ *
+ * Windows will not be running the FreeRTOS simulator threads continuously, so
+ * the timing information in the FreeRTOS+Trace logs have no meaningful units.
+ * See the documentation page for the Windows simulator for an explanation of
+ * the slow timing:
+ * http://www.freertos.org/FreeRTOS-Windows-Simulator-Emulator-for-Visual-Studio-and-Eclipse-MingW.html
+ * - READ THE WEB DOCUMENTATION FOR THIS PORT FOR MORE INFORMATION ON USING IT -
+ *
+ * NOTE 2:  This project provides two demo applications.  A simple blinky style
+ * project, and a more comprehensive test and demo application.  The
+ * mainCREATE_SIMPLE_BLINKY_DEMO_ONLY setting in main.c is used to select
+ * between the two.  See the notes on using mainCREATE_SIMPLE_BLINKY_DEMO_ONLY
+ * in main.c.  This file implements the comprehensive test and demo version.
+ *
+ * NOTE 3:  This file only contains the source code that is specific to the
+ * full demo.  Generic functions, such FreeRTOS hook functions, are defined in
+ * main.c.
+ *******************************************************************************
+ *
+ * main() creates all the demo application tasks, then starts the scheduler.
+ * The web documentation provides more details of the standard demo application
+ * tasks, which provide no particular functionality but do provide a good
+ * example of how to use the FreeRTOS API.
+ *
+ * In addition to the standard demo tasks, the following tasks and tests are
+ * defined and/or created within this file:
+ *
+ * "Check" task - This only executes every five seconds but has a high priority
+ * to ensure it gets processor time.  Its main function is to check that all the
+ * standard demo tasks are still operational.  While no errors have been
+ * discovered the check task will print out "OK" and the current simulated tick
+ * time.  If an error is discovered in the execution of a task then the check
+ * task will print out an appropriate error message.
+ *
+ */
+
+
+/* Standard includes. */
+#include <stdlib.h>
+#include <string.h>
+#include <time.h>
+
+/* Kernel includes. */
+#include <FreeRTOS.h>
+#include <task.h>
+#include <queue.h>
+#include <timers.h>
+#include <semphr.h>
+
+/* Standard demo includes. */
+#include "BlockQ.h"
+#include "integer.h"
+#include "semtest.h"
+#include "PollQ.h"
+#include "GenQTest.h"
+#include "QPeek.h"
+#include "recmutex.h"
+#include "flop.h"
+#include "TimerDemo.h"
+#include "countsem.h"
+#include "death.h"
+#include "dynamic.h"
+#include "QueueSet.h"
+#include "QueueOverwrite.h"
+#include "EventGroupsDemo.h"
+#include "IntSemTest.h"
+#include "TaskNotify.h"
+#include "QueueSetPolling.h"
+#include "StaticAllocation.h"
+#include "blocktim.h"
+#include "AbortDelay.h"
+#include "MessageBufferDemo.h"
+#include "StreamBufferDemo.h"
+#include "StreamBufferInterrupt.h"
+#include "MessageBufferAMP.h"
+
+/* Priorities at which the tasks are created. */
+#define mainCHECK_TASK_PRIORITY                        ( configMAX_PRIORITIES - 2 )
+#define mainQUEUE_POLL_PRIORITY                        ( tskIDLE_PRIORITY + 1 )
+#define mainSEM_TEST_PRIORITY                  ( tskIDLE_PRIORITY + 1 )
+#define mainBLOCK_Q_PRIORITY                   ( tskIDLE_PRIORITY + 2 )
+#define mainCREATOR_TASK_PRIORITY              ( tskIDLE_PRIORITY + 3 )
+#define mainFLASH_TASK_PRIORITY                        ( tskIDLE_PRIORITY + 1 )
+#define mainINTEGER_TASK_PRIORITY              ( tskIDLE_PRIORITY )
+#define mainGEN_QUEUE_TASK_PRIORITY            ( tskIDLE_PRIORITY )
+#define mainFLOP_TASK_PRIORITY                 ( tskIDLE_PRIORITY )
+#define mainQUEUE_OVERWRITE_PRIORITY   ( tskIDLE_PRIORITY )
+
+#define mainTIMER_TEST_PERIOD                  ( 50 )
+
+/*
+ * Exercises code that is not otherwise covered by the standard demo/test
+ * tasks.
+ */
+extern BaseType_t xRunCodeCoverageTestAdditions( void );
+
+/* Task function prototypes. */
+static void prvCheckTask( void *pvParameters );
+
+/* A task that is created from the idle task to test the functionality of
+eTaskStateGet(). */
+static void prvTestTask( void *pvParameters );
+
+/*
+ * Called from the idle task hook function to demonstrate a few utility
+ * functions that are not demonstrated by any of the standard demo tasks.
+ */
+static void prvDemonstrateTaskStateAndHandleGetFunctions( void );
+
+/*
+ * Called from the idle task hook function to demonstrate the use of
+ * xTimerPendFunctionCall() as xTimerPendFunctionCall() is not demonstrated by
+ * any of the standard demo tasks.
+ */
+static void prvDemonstratePendingFunctionCall( void );
+
+/*
+ * The function that is pended by prvDemonstratePendingFunctionCall().
+ */
+static void prvPendedFunction( void *pvParameter1, uint32_t ulParameter2 );
+
+/*
+ * prvDemonstrateTimerQueryFunctions() is called from the idle task hook
+ * function to demonstrate the use of functions that query information about a
+ * software timer.  prvTestTimerCallback() is the callback function for the
+ * timer being queried.
+ */
+static void prvDemonstrateTimerQueryFunctions( void );
+static void prvTestTimerCallback( TimerHandle_t xTimer );
+
+/*
+ * A task to demonstrate the use of the xQueueSpacesAvailable() function.
+ */
+static void prvDemoQueueSpaceFunctions( void *pvParameters );
+
+/*
+ * Tasks that ensure indefinite delays are truly indefinite.
+ */
+static void prvPermanentlyBlockingSemaphoreTask( void *pvParameters );
+static void prvPermanentlyBlockingNotificationTask( void *pvParameters );
+
+/*
+ * The test function and callback function used when exercising the timer AP
+ * function that changes the timer's auto-reload mode.
+ */
+static void prvDemonstrateChangingTimerReloadMode( void *pvParameters );
+static void prvReloadModeTestTimerCallback( TimerHandle_t xTimer );
+
+/*-----------------------------------------------------------*/
+
+/* The variable into which error messages are latched. */
+static char *pcStatusMessage = "No errors";
+
+/* This semaphore is created purely to test using the vSemaphoreDelete() and
+semaphore tracing API functions.  It has no other purpose. */
+static SemaphoreHandle_t xMutexToDelete = NULL;
+
+/*-----------------------------------------------------------*/
+
+int main_full( void )
+{
+       /* Start the check task as described at the top of this file. */
+       xTaskCreate( prvCheckTask, "Check", configMINIMAL_STACK_SIZE, NULL, mainCHECK_TASK_PRIORITY, NULL );
+
+       /* Create the standard demo tasks. */
+       vStartTaskNotifyTask();
+       vStartBlockingQueueTasks( mainBLOCK_Q_PRIORITY );
+       vStartSemaphoreTasks( mainSEM_TEST_PRIORITY );
+       vStartPolledQueueTasks( mainQUEUE_POLL_PRIORITY );
+       vStartIntegerMathTasks( mainINTEGER_TASK_PRIORITY );
+       vStartGenericQueueTasks( mainGEN_QUEUE_TASK_PRIORITY );
+       vStartQueuePeekTasks();
+       vStartMathTasks( mainFLOP_TASK_PRIORITY );
+       vStartRecursiveMutexTasks();
+       vStartCountingSemaphoreTasks();
+       vStartDynamicPriorityTasks();
+       vStartQueueSetTasks();
+       vStartQueueOverwriteTask( mainQUEUE_OVERWRITE_PRIORITY );
+       xTaskCreate( prvDemoQueueSpaceFunctions, NULL, configMINIMAL_STACK_SIZE, NULL, tskIDLE_PRIORITY, NULL ); /* Name is null for code coverage. */
+       vStartEventGroupTasks();
+       vStartInterruptSemaphoreTasks();
+       vStartQueueSetPollingTask();
+       vCreateBlockTimeTasks();
+       vCreateAbortDelayTasks();
+       xTaskCreate( prvDemoQueueSpaceFunctions, "QSpace", configMINIMAL_STACK_SIZE, NULL, tskIDLE_PRIORITY, NULL );
+       xTaskCreate( prvPermanentlyBlockingSemaphoreTask, "BlockSem", configMINIMAL_STACK_SIZE, NULL, tskIDLE_PRIORITY, NULL );
+       xTaskCreate( prvPermanentlyBlockingNotificationTask, "BlockNoti", configMINIMAL_STACK_SIZE, NULL, tskIDLE_PRIORITY, NULL );
+       xTaskCreate( prvDemonstrateChangingTimerReloadMode, "TimerMode", configMINIMAL_STACK_SIZE, NULL, configMAX_PRIORITIES - 1, NULL );
+
+       vStartMessageBufferTasks( configMINIMAL_STACK_SIZE );
+       /* vStartStreamBufferTasks(); */
+       /* vStartStreamBufferInterruptDemo(); */
+       vStartMessageBufferAMPTasks( configMINIMAL_STACK_SIZE );
+
+       #if( configSUPPORT_STATIC_ALLOCATION == 1 )
+       {
+               vStartStaticallyAllocatedTasks();
+       }
+       #endif
+
+       #if( configUSE_PREEMPTION != 0  )
+       {
+               /* Don't expect these tasks to pass when preemption is not used. */
+               //vStartTimerDemoTask( mainTIMER_TEST_PERIOD );
+       }
+       #endif
+
+       /* The suicide tasks must be created last as they need to know how many
+       tasks were running prior to their creation.  This then allows them to
+       ascertain whether or not the correct/expected number of tasks are running at
+       any given time. */
+       vCreateSuicidalTasks( mainCREATOR_TASK_PRIORITY );
+
+       /* Create the semaphore that will be deleted in the idle task hook.  This
+       is done purely to test the use of vSemaphoreDelete(). */
+       xMutexToDelete = xSemaphoreCreateMutex();
+
+       /* Start the scheduler itself. */
+       vTaskStartScheduler();
+
+       /* Should never get here unless there was not enough heap space to create
+       the idle and other system tasks. */
+       return 0;
+}
+/*-----------------------------------------------------------*/
+
+static void prvCheckTask( void *pvParameters )
+{
+TickType_t xNextWakeTime;
+const TickType_t xCycleFrequency = pdMS_TO_TICKS( 2500UL );
+
+       /* Just to remove compiler warning. */
+       ( void ) pvParameters;
+
+       /* Initialise xNextWakeTime - this only needs to be done once. */
+       xNextWakeTime = xTaskGetTickCount();
+
+       for( ;; )
+       {
+               /* Place this task in the blocked state until it is time to run again. */
+               vTaskDelayUntil( &xNextWakeTime, xCycleFrequency );
+
+               /* Check the standard demo tasks are running without error. */
+               /* #if( configUSE_PREEMPTION != 0 ) */
+               /* { */
+               /*      /\* These tasks are only created when preemption is used. *\/ */
+               /*      if( xAreTimerDemoTasksStillRunning( xCycleFrequency ) != pdTRUE ) */
+               /*      { */
+               /*              pcStatusMessage = "Error: TimerDemo"; */
+               /*      } */
+               /* } */
+               /* #endif */
+
+               /* if( xAreStreamBufferTasksStillRunning() != pdTRUE ) */
+               /* { */
+               /*      pcStatusMessage = "Error:  StreamBuffer"; */
+               /* } */
+               /* else  */if( xAreMessageBufferTasksStillRunning() != pdTRUE )
+               {
+                       pcStatusMessage = "Error:  MessageBuffer";
+               }
+               else if( xAreTaskNotificationTasksStillRunning() != pdTRUE )
+               {
+                       pcStatusMessage = "Error:  Notification";
+               }
+               else if( xAreInterruptSemaphoreTasksStillRunning() != pdTRUE )
+               {
+                       pcStatusMessage = "Error: IntSem";
+               }
+               else if( xAreEventGroupTasksStillRunning() != pdTRUE )
+               {
+                       pcStatusMessage = "Error: EventGroup";
+               }
+               else if( xAreIntegerMathsTaskStillRunning() != pdTRUE )
+               {
+                       pcStatusMessage = "Error: IntMath";
+               }
+               else if( xAreGenericQueueTasksStillRunning() != pdTRUE )
+               {
+                       pcStatusMessage = "Error: GenQueue";
+               }
+               else if( xAreQueuePeekTasksStillRunning() != pdTRUE )
+               {
+                       pcStatusMessage = "Error: QueuePeek";
+               }
+               else if( xAreBlockingQueuesStillRunning() != pdTRUE )
+               {
+                       pcStatusMessage = "Error: BlockQueue";
+               }
+               else if( xAreSemaphoreTasksStillRunning() != pdTRUE )
+               {
+                       pcStatusMessage = "Error: SemTest";
+               }
+               else if( xArePollingQueuesStillRunning() != pdTRUE )
+               {
+                       pcStatusMessage = "Error: PollQueue";
+               }
+               else if( xAreMathsTaskStillRunning() != pdPASS )
+               {
+                       pcStatusMessage = "Error: Flop";
+               }
+               else if( xAreRecursiveMutexTasksStillRunning() != pdTRUE )
+               {
+                       pcStatusMessage = "Error: RecMutex";
+               }
+               else if( xAreCountingSemaphoreTasksStillRunning() != pdTRUE )
+               {
+                       pcStatusMessage = "Error: CountSem";
+               }
+               else if( xIsCreateTaskStillRunning() != pdTRUE )
+               {
+                       pcStatusMessage = "Error: Death";
+               }
+               else if( xAreDynamicPriorityTasksStillRunning() != pdPASS )
+               {
+                       pcStatusMessage = "Error: Dynamic";
+               }
+               else if( xAreQueueSetTasksStillRunning() != pdPASS )
+               {
+                       pcStatusMessage = "Error: Queue set";
+               }
+               else if( xIsQueueOverwriteTaskStillRunning() != pdPASS )
+               {
+                       pcStatusMessage = "Error: Queue overwrite";
+               }
+               else if( xAreQueueSetPollTasksStillRunning() != pdPASS )
+               {
+                       pcStatusMessage = "Error: Queue set polling";
+               }
+               else if( xAreBlockTimeTestTasksStillRunning() != pdPASS )
+               {
+                       pcStatusMessage = "Error: Block time";
+               }
+               else if( xAreAbortDelayTestTasksStillRunning() != pdPASS )
+               {
+                       pcStatusMessage = "Error: Abort delay";
+               }
+               /* else if( xIsInterruptStreamBufferDemoStillRunning() != pdPASS ) */
+               /* { */
+               /*      pcStatusMessage = "Error: Stream buffer interrupt"; */
+               /* } */
+               else if( xAreMessageBufferAMPTasksStillRunning() != pdPASS )
+               {
+                       pcStatusMessage = "Error: Message buffer AMP";
+               }
+
+               #if( configSUPPORT_STATIC_ALLOCATION == 1 )
+                       else if( xAreStaticAllocationTasksStillRunning() != pdPASS )
+                       {
+                               pcStatusMessage = "Error: Static allocation";
+                       }
+               #endif /* configSUPPORT_STATIC_ALLOCATION */
+       }
+}
+/*-----------------------------------------------------------*/
+
+static void prvTestTask( void *pvParameters )
+{
+const unsigned long ulMSToSleep = 5;
+
+       /* Just to remove compiler warnings. */
+       ( void ) pvParameters;
+
+       /* This task is just used to test the eTaskStateGet() function.  It
+       does not have anything to do. */
+       for( ;; )
+       {
+               /* Sleep to reduce CPU load, but don't sleep indefinitely in case there are
+               tasks waiting to be terminated by the idle task. */
+        struct timespec ts;
+               ts.tv_sec = ulMSToSleep / 1000;
+               ts.tv_nsec = ulMSToSleep % 1000l * 1000000l;
+               nanosleep( &ts, NULL );
+       }
+}
+/*-----------------------------------------------------------*/
+
+/* Called from vApplicationIdleHook(), which is defined in main.c. */
+void vFullDemoIdleFunction( void )
+{
+const unsigned long ulMSToSleep = 15;
+void *pvAllocated;
+
+       /* Sleep to reduce CPU load, but don't sleep indefinitely in case there are
+       tasks waiting to be terminated by the idle task. */
+       struct timespec ts;
+       ts.tv_sec = ulMSToSleep / 1000;
+       ts.tv_nsec = ulMSToSleep % 1000l * 1000000l;
+       nanosleep( &ts, NULL );
+
+       /* Demonstrate a few utility functions that are not demonstrated by any of
+       the standard demo tasks. */
+       prvDemonstrateTaskStateAndHandleGetFunctions();
+
+       /* Demonstrate the use of xTimerPendFunctionCall(), which is not
+       demonstrated by any of the standard demo tasks. */
+       prvDemonstratePendingFunctionCall();
+
+       /* Demonstrate the use of functions that query information about a software
+       timer. */
+       prvDemonstrateTimerQueryFunctions();
+
+       /* If xMutexToDelete has not already been deleted, then delete it now.
+       This is done purely to demonstrate the use of, and test, the
+       vSemaphoreDelete() macro.  Care must be taken not to delete a semaphore
+       that has tasks blocked on it. */
+       if( xMutexToDelete != NULL )
+       {
+               /* For test purposes, add the mutex to the registry, then remove it
+               again, before it is deleted - checking its name is as expected before
+               and after the assertion into the registry and its removal from the
+               registry. */
+               configASSERT( pcQueueGetName( xMutexToDelete ) == NULL );
+               vQueueAddToRegistry( xMutexToDelete, "Test_Mutex" );
+               configASSERT( strcmp( pcQueueGetName( xMutexToDelete ), "Test_Mutex" ) == 0 );
+               vQueueUnregisterQueue( xMutexToDelete );
+               configASSERT( pcQueueGetName( xMutexToDelete ) == NULL );
+
+               vSemaphoreDelete( xMutexToDelete );
+               xMutexToDelete = NULL;
+       }
+
+       /* Exercise heap_5 a bit.  The malloc failed hook will trap failed
+       allocations so there is no need to test here. */
+       pvAllocated = pvPortMalloc( ( rand() % 500 ) + 1 );
+       vPortFree( pvAllocated );
+
+       /* Exit after a fixed time so code coverage results are written to the
+       disk. */
+       #if( projCOVERAGE_TEST == 1 )
+       {
+               const TickType_t xMaxRunTime = pdMS_TO_TICKS( 30000UL );
+
+               /* Exercise code not otherwise executed by standard demo/test tasks. */
+               if( xRunCodeCoverageTestAdditions() != pdPASS )
+               {
+                       pcStatusMessage = "Code coverage additions failed.\r\n";
+               }
+
+               if( ( xTaskGetTickCount() - configINITIAL_TICK_COUNT ) >= xMaxRunTime )
+               {
+                       vTaskEndScheduler();
+               }
+       }
+       #endif
+}
+/*-----------------------------------------------------------*/
+
+/* Called by vApplicationTickHook(), which is defined in main.c. */
+void vFullDemoTickHookFunction( void )
+{
+TaskHandle_t xTimerTask;
+
+       /* Call the periodic timer test, which tests the timer API functions that
+       can be called from an ISR. */
+       /* #if( configUSE_PREEMPTION != 0 ) */
+       /* { */
+       /*      /\* Only created when preemption is used. *\/ */
+       /*      vTimerPeriodicISRTests(); */
+       /* } */
+       /* #endif */
+
+       /* Call the periodic queue overwrite from ISR demo. */
+       vQueueOverwritePeriodicISRDemo();
+
+       /* Write to a queue that is in use as part of the queue set demo to
+       demonstrate using queue sets from an ISR. */
+       vQueueSetAccessQueueSetFromISR();
+       vQueueSetPollingInterruptAccess();
+
+       /* Exercise event groups from interrupts. */
+       vPeriodicEventGroupsProcessing();
+
+       /* Exercise giving mutexes from an interrupt. */
+       vInterruptSemaphorePeriodicTest();
+
+       /* Exercise using task notifications from an interrupt. */
+       xNotifyTaskFromISR();
+
+       /* Writes to stream buffer byte by byte to test the stream buffer trigger
+       level functionality. */
+       /* vPeriodicStreamBufferProcessing(); */
+
+       /* Writes a string to a string buffer four bytes at a time to demonstrate
+       a stream being sent from an interrupt to a task. */
+       /* vBasicStreamBufferSendFromISR(); */
+
+       /* For code coverage purposes. */
+       xTimerTask = xTimerGetTimerDaemonTaskHandle();
+       configASSERT( uxTaskPriorityGetFromISR( xTimerTask ) == configTIMER_TASK_PRIORITY );
+       ( void ) xTimerTask; /* In case configASSERT() is not defined. */
+}
+/*-----------------------------------------------------------*/
+
+static void prvPendedFunction( void *pvParameter1, uint32_t ulParameter2 )
+{
+static intptr_t ulLastParameter1 = 1000UL, ulLastParameter2 = 0UL;
+intptr_t ulParameter1;
+
+       ulParameter1 = ( intptr_t ) pvParameter1;
+
+       /* Ensure the parameters are as expected. */
+       configASSERT( ulParameter1 == ( ulLastParameter1 + 1 ) );
+       configASSERT( ulParameter2 == ( ulLastParameter2 + 1 ) );
+
+       /* Remember the parameters for the next time the function is called. */
+       ulLastParameter1 = ulParameter1;
+       ulLastParameter2 = ulParameter2;
+
+       /* Remove compiler warnings in case configASSERT() is not defined. */
+       ( void ) ulLastParameter1;
+       ( void ) ulLastParameter2;
+}
+/*-----------------------------------------------------------*/
+
+static void prvTestTimerCallback( TimerHandle_t xTimer )
+{
+       /* This is the callback function for the timer accessed by
+       prvDemonstrateTimerQueryFunctions().  The callback does not do anything. */
+       ( void ) xTimer;
+}
+/*-----------------------------------------------------------*/
+
+static void prvDemonstrateTimerQueryFunctions( void )
+{
+static TimerHandle_t xTimer = NULL;
+const char *pcTimerName = "TestTimer";
+volatile TickType_t xExpiryTime;
+const TickType_t xDontBlock = 0;
+
+       if( xTimer == NULL )
+       {
+               xTimer = xTimerCreate( pcTimerName, portMAX_DELAY, pdTRUE, NULL, prvTestTimerCallback );
+
+               if( xTimer != NULL )
+               {
+                       /* Called from the idle task so a block time must not be
+                       specified. */
+                       xTimerStart( xTimer, xDontBlock );
+               }
+       }
+
+       if( xTimer != NULL )
+       {
+               /* Demonstrate querying a timer's name. */
+               configASSERT( strcmp( pcTimerGetName( xTimer ), pcTimerName ) == 0 );
+
+               /* Demonstrate querying a timer's period. */
+               configASSERT( xTimerGetPeriod( xTimer ) == portMAX_DELAY );
+
+               /* Demonstrate querying a timer's next expiry time, although nothing is
+               done with the returned value.  Note if the expiry time is less than the
+               maximum tick count then the expiry time has overflowed from the current
+               time.  In this case the expiry time was set to portMAX_DELAY, so it is
+               expected to be less than the current time until the current time has
+               itself overflowed. */
+               xExpiryTime = xTimerGetExpiryTime( xTimer );
+               ( void ) xExpiryTime;
+       }
+}
+/*-----------------------------------------------------------*/
+
+static void prvDemonstratePendingFunctionCall( void )
+{
+static intptr_t ulParameter1 = 1000UL, ulParameter2 = 0UL;
+const TickType_t xDontBlock = 0; /* This is called from the idle task so must *not* attempt to block. */
+
+       /* prvPendedFunction() just expects the parameters to be incremented by one
+       each time it is called. */
+       ulParameter1++;
+       ulParameter2++;
+
+       /* Pend the function call, sending the parameters. */
+       xTimerPendFunctionCall( prvPendedFunction, ( void * ) ulParameter1, ulParameter2, xDontBlock );
+}
+/*-----------------------------------------------------------*/
+
+static void prvDemonstrateTaskStateAndHandleGetFunctions( void )
+{
+TaskHandle_t xIdleTaskHandle, xTimerTaskHandle;
+char *pcTaskName;
+static portBASE_TYPE xPerformedOneShotTests = pdFALSE;
+TaskHandle_t xTestTask;
+TaskStatus_t xTaskInfo;
+extern StackType_t uxTimerTaskStack[];
+static uint32_t ulLastIdleExecutionTime = 0;
+uint32_t ulIdleExecutionTime;
+
+       /* Demonstrate the use of the xTimerGetTimerDaemonTaskHandle() and
+       xTaskGetIdleTaskHandle() functions.  Also try using the function that sets
+       the task number. */
+       xIdleTaskHandle = xTaskGetIdleTaskHandle();
+       xTimerTaskHandle = xTimerGetTimerDaemonTaskHandle();
+
+       /* This is the idle hook, so the current task handle should equal the
+       returned idle task handle. */
+       if( xTaskGetCurrentTaskHandle() != xIdleTaskHandle )
+       {
+               pcStatusMessage = "Error:  Returned idle task handle was incorrect";
+       }
+
+       /* Check the same handle is obtained using the idle task's name.  First try
+       with the wrong name, then the right name. */
+       if( xTaskGetHandle( "Idle" ) == xIdleTaskHandle )
+       {
+               pcStatusMessage = "Error:  Returned handle for name Idle was incorrect";
+       }
+
+       if( xTaskGetHandle( "IDLE" ) != xIdleTaskHandle )
+       {
+               pcStatusMessage = "Error:  Returned handle for name Idle was incorrect";
+       }
+
+       /* Check the timer task handle was returned correctly. */
+       pcTaskName = pcTaskGetName( xTimerTaskHandle );
+       if( strcmp( pcTaskName, "Tmr Svc" ) != 0 )
+       {
+               pcStatusMessage = "Error:  Returned timer task handle was incorrect";
+       }
+
+       if( xTaskGetHandle( "Tmr Svc" ) != xTimerTaskHandle )
+       {
+               pcStatusMessage = "Error:  Returned handle for name Tmr Svc was incorrect";
+       }
+
+       /* This task is running, make sure it's state is returned as running. */
+       if( eTaskStateGet( xIdleTaskHandle ) != eRunning )
+       {
+               pcStatusMessage = "Error:  Returned idle task state was incorrect";
+       }
+
+       /* If this task is running, then the timer task must be blocked. */
+       if( eTaskStateGet( xTimerTaskHandle ) != eBlocked )
+       {
+               pcStatusMessage = "Error:  Returned timer task state was incorrect";
+       }
+
+       /* Also with the vTaskGetInfo() function. */
+       vTaskGetInfo( xTimerTaskHandle, /* The task being queried. */
+                                         &xTaskInfo,           /* The structure into which information on the task will be written. */
+                                         pdTRUE,                       /* Include the task's high watermark in the structure. */
+                                         eInvalid );           /* Include the task state in the structure. */
+
+       /* Check the information returned by vTaskGetInfo() is as expected. */
+       if( ( xTaskInfo.eCurrentState != eBlocked )                                              ||
+               ( strcmp( xTaskInfo.pcTaskName, "Tmr Svc" ) != 0 )                       ||
+               ( xTaskInfo.uxCurrentPriority != configTIMER_TASK_PRIORITY ) ||
+               ( xTaskInfo.pxStackBase != uxTimerTaskStack )                            ||
+               ( xTaskInfo.xHandle != xTimerTaskHandle ) )
+       {
+               pcStatusMessage = "Error:  vTaskGetInfo() returned incorrect information about the timer task";
+       }
+
+       /* Other tests that should only be performed once follow.  The test task
+       is not created on each iteration because to do so would cause the death
+       task to report an error (too many tasks running). */
+       if( xPerformedOneShotTests == pdFALSE )
+       {
+               /* Don't run this part of the test again. */
+               xPerformedOneShotTests = pdTRUE;
+
+               /* Create a test task to use to test other eTaskStateGet() return values. */
+               if( xTaskCreate( prvTestTask, "Test", configMINIMAL_STACK_SIZE, NULL, tskIDLE_PRIORITY, &xTestTask ) == pdPASS )
+               {
+                       /* If this task is running, the test task must be in the ready state. */
+                       if( eTaskStateGet( xTestTask ) != eReady )
+                       {
+                               pcStatusMessage = "Error: Returned test task state was incorrect 1";
+                       }
+
+                       /* Now suspend the test task and check its state is reported correctly. */
+                       vTaskSuspend( xTestTask );
+                       if( eTaskStateGet( xTestTask ) != eSuspended )
+                       {
+                               pcStatusMessage = "Error: Returned test task state was incorrect 2";
+                       }
+
+                       /* Now delete the task and check its state is reported correctly. */
+                       vTaskDelete( xTestTask );
+                       if( eTaskStateGet( xTestTask ) != eDeleted )
+                       {
+                               pcStatusMessage = "Error: Returned test task state was incorrect 3";
+                       }
+               }
+       }
+
+       ulIdleExecutionTime = ulTaskGetIdleRunTimeCounter();
+       if( ulIdleExecutionTime == ulLastIdleExecutionTime )
+       {
+               pcStatusMessage = "Error: Total amount of Idle task execution time did not change";
+       }
+       ulLastIdleExecutionTime = ulIdleExecutionTime;
+}
+/*-----------------------------------------------------------*/
+
+static void prvDemoQueueSpaceFunctions( void *pvParameters )
+{
+QueueHandle_t xQueue = NULL;
+const unsigned portBASE_TYPE uxQueueLength = 10;
+unsigned portBASE_TYPE uxReturn, x;
+
+       /* Remove compiler warnings. */
+       ( void ) pvParameters;
+
+       /* Create the queue that will be used.  Nothing is actually going to be
+       sent or received so the queue item size is set to 0. */
+       xQueue = xQueueCreate( uxQueueLength, 0 );
+       configASSERT( xQueue );
+
+       for( ;; )
+       {
+               for( x = 0; x < uxQueueLength; x++ )
+               {
+                       /* Ask how many messages are available... */
+                       uxReturn = uxQueueMessagesWaiting( xQueue );
+
+                       /* Check the number of messages being reported as being available
+                       is as expected, and force an assert if not. */
+                       if( uxReturn != x )
+                       {
+                               /* xQueue cannot be NULL so this is deliberately causing an
+                               assert to be triggered as there is an error. */
+                               configASSERT( xQueue == NULL );
+                       }
+
+                       /* Ask how many spaces remain in the queue... */
+                       uxReturn = uxQueueSpacesAvailable( xQueue );
+
+                       /* Check the number of spaces being reported as being available
+                       is as expected, and force an assert if not. */
+                       if( uxReturn != ( uxQueueLength - x ) )
+                       {
+                               /* xQueue cannot be NULL so this is deliberately causing an
+                               assert to be triggered as there is an error. */
+                               configASSERT( xQueue == NULL );
+                       }
+
+                       /* Fill one more space in the queue. */
+                       xQueueSendToBack( xQueue, NULL, 0 );
+               }
+
+               /* Perform the same check while the queue is full. */
+               uxReturn = uxQueueMessagesWaiting( xQueue );
+               if( uxReturn != uxQueueLength )
+               {
+                       configASSERT( xQueue == NULL );
+               }
+
+               uxReturn = uxQueueSpacesAvailable( xQueue );
+
+               if( uxReturn != 0 )
+               {
+                       configASSERT( xQueue == NULL );
+               }
+
+               /* The queue is full, start again. */
+               xQueueReset( xQueue );
+
+               #if( configUSE_PREEMPTION == 0 )
+                       taskYIELD();
+               #endif
+       }
+}
+/*-----------------------------------------------------------*/
+
+static void prvPermanentlyBlockingSemaphoreTask( void *pvParameters )
+{
+SemaphoreHandle_t xSemaphore;
+
+       /* Prevent compiler warning about unused parameter in the case that
+       configASSERT() is not defined. */
+       ( void ) pvParameters;
+
+       /* This task should block on a semaphore, and never return. */
+       xSemaphore = xSemaphoreCreateBinary();
+       configASSERT( xSemaphore );
+
+       xSemaphoreTake( xSemaphore, portMAX_DELAY );
+
+       /* The above xSemaphoreTake() call should never return, force an assert if
+       it does. */
+       configASSERT( pvParameters != NULL );
+       vTaskDelete( NULL );
+}
+/*-----------------------------------------------------------*/
+
+static void prvPermanentlyBlockingNotificationTask( void *pvParameters )
+{
+       /* Prevent compiler warning about unused parameter in the case that
+       configASSERT() is not defined. */
+       ( void ) pvParameters;
+
+       /* This task should block on a task notification, and never return. */
+       ulTaskNotifyTake( pdTRUE, portMAX_DELAY );
+
+       /* The above ulTaskNotifyTake() call should never return, force an assert
+       if it does. */
+       configASSERT( pvParameters != NULL );
+       vTaskDelete( NULL );
+}
+/*-----------------------------------------------------------*/
+
+static void prvReloadModeTestTimerCallback( TimerHandle_t xTimer )
+{
+intptr_t ulTimerID;
+
+       /* Increment the timer's ID to show the callback has executed. */
+       ulTimerID = ( intptr_t ) pvTimerGetTimerID( xTimer );
+       ulTimerID++;
+       vTimerSetTimerID( xTimer, ( void * ) ulTimerID );
+}
+/*-----------------------------------------------------------*/
+
+static void prvDemonstrateChangingTimerReloadMode( void *pvParameters )
+{
+TimerHandle_t xTimer;
+const char * const pcTimerName = "TestTimer";
+const TickType_t x100ms = pdMS_TO_TICKS( 100UL );
+
+       /* Avoid compiler warnings about unused parameter. */
+       ( void ) pvParameters;
+
+       xTimer = xTimerCreate(  pcTimerName,
+                                                       x100ms,
+                                                       pdFALSE, /* Created as a one-shot timer. */
+                                                       0,
+                                                       prvReloadModeTestTimerCallback );
+       configASSERT( xTimer );
+       configASSERT( xTimerIsTimerActive( xTimer ) == pdFALSE );
+       configASSERT( xTimerGetTimerDaemonTaskHandle() != NULL );
+       configASSERT( strcmp( pcTimerName, pcTimerGetName( xTimer ) ) == 0 );
+       configASSERT( xTimerGetPeriod( xTimer ) == x100ms );
+
+       /* Timer was created as a one-shot timer.  Its callback just increments the
+       timer's ID - so set the ID to 0, let the timer run for a number of timeout
+       periods, then check the timer has only executed once. */
+       vTimerSetTimerID( xTimer, ( void * ) 0 );
+       xTimerStart( xTimer, portMAX_DELAY );
+       vTaskDelay( 3UL * x100ms );
+       configASSERT( ( ( uintptr_t ) ( pvTimerGetTimerID( xTimer ) ) ) == 1UL );
+
+       /* Now change the timer to be an auto-reload timer and check it executes
+       the expected number of times. */
+       vTimerSetReloadMode( xTimer, pdTRUE );
+       vTimerSetTimerID( xTimer, ( void * ) 0 );
+       xTimerStart( xTimer, 0 );
+       vTaskDelay( ( 3UL * x100ms ) + ( x100ms / 2UL ) ); /* Three full periods. */
+       configASSERT( ( uintptr_t ) ( pvTimerGetTimerID( xTimer ) ) == 3UL );
+       configASSERT( xTimerStop( xTimer, 0 ) != pdFAIL );
+
+       /* Now change the timer back to be a one-shot timer and check it only
+       executes once. */
+       vTimerSetReloadMode( xTimer, pdFALSE );
+       vTimerSetTimerID( xTimer, ( void * ) 0 );
+       xTimerStart( xTimer, 0 );
+       vTaskDelay( 3UL * x100ms );
+       configASSERT( xTimerStop( xTimer, 0 ) != pdFAIL );
+       configASSERT( ( uintptr_t ) ( pvTimerGetTimerID( xTimer ) ) == 1UL );
+
+       /* Clean up at the end. */
+       xTimerDelete( xTimer, portMAX_DELAY );
+       vTaskDelete( NULL );
+}
diff --git a/FreeRTOS/Demo/Posix_GCC/src/run-time-stats-utils.c b/FreeRTOS/Demo/Posix_GCC/src/run-time-stats-utils.c
new file mode 100644 (file)
index 0000000..7cd8170
--- /dev/null
@@ -0,0 +1,68 @@
+/*
+ * FreeRTOS Kernel V10.3.0
+ * Copyright (C) 2020 Amazon.com, Inc. or its affiliates.  All Rights Reserved.
+ *
+ * Permission is hereby granted, free of charge, to any person obtaining a copy of
+ * this software and associated documentation files (the "Software"), to deal in
+ * the Software without restriction, including without limitation the rights to
+ * use, copy, modify, merge, publish, distribute, sublicense, and/or sell copies of
+ * the Software, and to permit persons to whom the Software is furnished to do so,
+ * subject to the following conditions:
+ *
+ * The above copyright notice and this permission notice shall be included in all
+ * copies or substantial portions of the Software.
+ *
+ * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
+ * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS
+ * FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR
+ * COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER
+ * IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN
+ * CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
+ *
+ * http://www.FreeRTOS.org
+ * http://aws.amazon.com/freertos
+ *
+ * 1 tab == 4 spaces!
+ */
+
+/*
+ * Utility functions required to gather run time statistics.  See:
+ * http://www.freertos.org/rtos-run-time-stats.html
+ *
+ * Note that this is a simulated port, where simulated time is a lot slower than
+ * real time, therefore the run time counter values have no real meaningful
+ * units.
+ *
+ * Also note that it is assumed this demo is going to be used for short periods
+ * of time only, and therefore timer overflows are not handled.
+*/
+
+#include <time.h>
+
+/* FreeRTOS includes. */
+#include <FreeRTOS.h>
+
+/* Time at start of day (in ns). */
+static unsigned long ulStartTimeNs;
+
+/*-----------------------------------------------------------*/
+
+void vConfigureTimerForRunTimeStats( void )
+{
+struct timespec xNow;
+
+       clock_gettime(CLOCK_MONOTONIC, &xNow);
+       ulStartTimeNs = xNow.tv_sec * 1000000000ul + xNow.tv_nsec;
+}
+/*-----------------------------------------------------------*/
+
+unsigned long ulGetRunTimeCounterValue( void )
+{
+struct timespec xNow;
+
+       /* Time at start. */
+       clock_gettime(CLOCK_MONOTONIC, &xNow);
+
+       return xNow.tv_sec * 1000000000ul + xNow.tv_nsec - ulStartTimeNs;
+}
+/*-----------------------------------------------------------*/
diff --git a/FreeRTOS/Demo/Posix_GCC/src/trcConfig.h b/FreeRTOS/Demo/Posix_GCC/src/trcConfig.h
new file mode 100644 (file)
index 0000000..5e1ed6a
--- /dev/null
@@ -0,0 +1,300 @@
+/*******************************************************************************
+ * Trace Recorder Library for Tracealyzer v3.1.2
+ * Percepio AB, www.percepio.com
+ *
+ * trcConfig.h
+ *
+ * Main configuration parameters for the trace recorder library.
+ * More settings can be found in trcStreamingConfig.h and trcSnapshotConfig.h.
+ *
+ * Read more at http://percepio.com/2016/10/05/rtos-tracing/
+ *
+ * Terms of Use
+ * This file is part of the trace recorder library (RECORDER), which is the
+ * intellectual property of Percepio AB (PERCEPIO) and provided under a
+ * license as follows.
+ * The RECORDER may be used free of charge for the purpose of recording data
+ * intended for analysis in PERCEPIO products. It may not be used or modified
+ * for other purposes without explicit permission from PERCEPIO.
+ * You may distribute the RECORDER in its original source code form, assuming
+ * this text (terms of use, disclaimer, copyright notice) is unchanged. You are
+ * allowed to distribute the RECORDER with minor modifications intended for
+ * configuration or porting of the RECORDER, e.g., to allow using it on a
+ * specific processor, processor family or with a specific communication
+ * interface. Any such modifications should be documented directly below
+ * this comment block.
+ *
+ * Disclaimer
+ * The RECORDER is being delivered to you AS IS and PERCEPIO makes no warranty
+ * as to its use or performance. PERCEPIO does not and cannot warrant the
+ * performance or results you may obtain by using the RECORDER or documentation.
+ * PERCEPIO make no warranties, express or implied, as to noninfringement of
+ * third party rights, merchantability, or fitness for any particular purpose.
+ * In no event will PERCEPIO, its technology partners, or distributors be liable
+ * to you for any consequential, incidental or special damages, including any
+ * lost profits or lost savings, even if a representative of PERCEPIO has been
+ * advised of the possibility of such damages, or for any claim by any third
+ * party. Some jurisdictions do not allow the exclusion or limitation of
+ * incidental, consequential or special damages, or the exclusion of implied
+ * warranties or limitations on how long an implied warranty may last, so the
+ * above limitations may not apply to you.
+ *
+ * Tabs are used for indent in this file (1 tab = 4 spaces)
+ *
+ * Copyright Percepio AB, 2016.
+ * www.percepio.com
+ ******************************************************************************/
+
+#ifndef TRC_CONFIG_H
+#define TRC_CONFIG_H
+
+#ifdef __cplusplus
+extern "C" {
+#endif
+
+#include "trcPortDefines.h"
+
+/******************************************************************************
+ * Include of processor header file
+ *
+ * Here you may need to include the header file for your processor. This is
+ * required at least for the ARM Cortex-M port, that uses the ARM CMSIS API.
+ * Try that in case of build problems. Otherwise, remove the #error line below.
+ *****************************************************************************/
+//#error "Trace Recorder: Please include your processor's header file here and remove this line."
+
+/*******************************************************************************
+ * Configuration Macro: TRC_CFG_HARDWARE_PORT
+ *
+ * Specify what hardware port to use (i.e., the "timestamping driver").
+ *
+ * All ARM Cortex-M MCUs are supported by "TRC_HARDWARE_PORT_ARM_Cortex_M".
+ * This port uses the DWT cycle counter for Cortex-M3/M4/M7 devices, which is
+ * available on most such devices. In case your device don't have DWT support,
+ * you will get an error message opening the trace. In that case, you may
+ * force the recorder to use SysTick timestamping instead, using this define:
+ *
+ * #define TRC_CFG_ARM_CM_USE_SYSTICK
+ *
+ * For ARM Cortex-M0/M0+ devices, SysTick mode is used automatically.
+ *
+ * See trcHardwarePort.h for available ports and information on how to
+ * define your own port, if not already present.
+ ******************************************************************************/
+#define TRC_CFG_HARDWARE_PORT TRC_HARDWARE_PORT_Win32
+
+/*******************************************************************************
+ * Configuration Macro: TRC_CFG_RECORDER_MODE
+ *
+ * Specify what recording mode to use. Snapshot means that the data is saved in
+ * an internal RAM buffer, for later upload. Streaming means that the data is
+ * transferred continuously to the host PC.
+ *
+ * For more information, see http://percepio.com/2016/10/05/rtos-tracing/
+ * and the Tracealyzer User Manual.
+ *
+ * Values:
+ * TRC_RECORDER_MODE_SNAPSHOT
+ * TRC_RECORDER_MODE_STREAMING
+ ******************************************************************************/
+#define TRC_CFG_RECORDER_MODE TRC_RECORDER_MODE_SNAPSHOT
+/******************************************************************************
+ * TRC_CFG_FREERTOS_VERSION
+ *
+ * Specify what version of FreeRTOS that is used (don't change unless using the
+ * trace recorder library with an older version of FreeRTOS).
+ *
+ * TRC_FREERTOS_VERSION_7_3                                            If using FreeRTOS v7.3.x
+ * TRC_FREERTOS_VERSION_7_4                                            If using FreeRTOS v7.4.x 
+ * TRC_FREERTOS_VERSION_7_5_OR_7_6                             If using FreeRTOS v7.5.0 - v7.6.0
+ * TRC_FREERTOS_VERSION_8_X                                            If using FreeRTOS v8.X.X
+ * TRC_FREERTOS_VERSION_9_0_0                                  If using FreeRTOS v9.0.0
+ * TRC_FREERTOS_VERSION_9_0_1                                  If using FreeRTOS v9.0.1
+ * TRC_FREERTOS_VERSION_9_0_2                                  If using FreeRTOS v9.0.2
+ * TRC_FREERTOS_VERSION_10_0_0                                 If using FreeRTOS v10.0.0 or later
+ *****************************************************************************/
+#define TRC_CFG_FREERTOS_VERSION TRC_FREERTOS_VERSION_10_0_0
+
+/*******************************************************************************
+ * TRC_CFG_SCHEDULING_ONLY
+ *
+ * Macro which should be defined as an integer value.
+ *
+ * If this setting is enabled (= 1), only scheduling events are recorded.
+ * If disabled (= 0), all events are recorded (unless filtered in other ways).
+ *
+ * Default value is 0 (= include additional events).
+ ******************************************************************************/
+#define TRC_CFG_SCHEDULING_ONLY 0
+
+ /******************************************************************************
+ * TRC_CFG_INCLUDE_MEMMANG_EVENTS
+ *
+ * Macro which should be defined as either zero (0) or one (1).
+ *
+ * This controls if malloc and free calls should be traced. Set this to zero (0)
+ * to exclude malloc/free calls, or one (1) to include such events in the trace.
+ *
+ * Default value is 1.
+ *****************************************************************************/
+#define TRC_CFG_INCLUDE_MEMMANG_EVENTS 1
+
+ /******************************************************************************
+ * TRC_CFG_INCLUDE_USER_EVENTS
+ *
+ * Macro which should be defined as either zero (0) or one (1).
+ *
+ * If this is zero (0), all code related to User Events is excluded in order 
+ * to reduce code size. Any attempts of storing User Events are then silently
+ * ignored.
+ *
+ * User Events are application-generated events, like "printf" but for the 
+ * trace log, generated using vTracePrint and vTracePrintF. 
+ * The formatting is done on host-side, by Tracealyzer. User Events are 
+ * therefore much faster than a console printf and can often be used
+ * in timing critical code without problems.
+ *
+ * Note: In streaming mode, User Events are used to provide error messages
+ * and warnings from the recorder (in case of incorrect configuration) for
+ * display in Tracealyzer. Disabling user events will also disable these
+ * warnings. You can however still catch them by calling xTraceGetLastError
+ * or by putting breakpoints in prvTraceError and prvTraceWarning.
+ *
+ * Default value is 1.
+ *****************************************************************************/
+#define TRC_CFG_INCLUDE_USER_EVENTS 1
+
+ /*****************************************************************************
+ * TRC_CFG_INCLUDE_ISR_TRACING
+ *
+ * Macro which should be defined as either zero (0) or one (1).
+ *
+ * If this is zero (0), the code for recording Interrupt Service Routines is
+ * excluded, in order to reduce code size.
+ *
+ * Default value is 1.
+ *
+ * Note: tracing ISRs requires that you insert calls to vTraceStoreISRBegin
+ * and vTraceStoreISREnd in your interrupt handlers.
+ *****************************************************************************/
+#define TRC_CFG_INCLUDE_ISR_TRACING 1
+
+ /*****************************************************************************
+ * TRC_CFG_INCLUDE_READY_EVENTS
+ *
+ * Macro which should be defined as either zero (0) or one (1).
+ *
+ * If one (1), events are recorded when tasks enter scheduling state "ready".
+ * This allows Tracealyzer to show the initial pending time before tasks enter
+ * the execution state, and present accurate response times.
+ * If zero (0), "ready events" are not created, which allows for recording
+ * longer traces in the same amount of RAM.
+ *
+ * Default value is 1.
+ *****************************************************************************/
+#define TRC_CFG_INCLUDE_READY_EVENTS 1
+
+ /*****************************************************************************
+ * TRC_CFG_INCLUDE_OSTICK_EVENTS
+ *
+ * Macro which should be defined as either zero (0) or one (1).
+ *
+ * If this is one (1), events will be generated whenever the OS clock is
+ * increased. If zero (0), OS tick events are not generated, which allows for
+ * recording longer traces in the same amount of RAM.
+ *
+ * Default value is 1.
+ *****************************************************************************/
+#define TRC_CFG_INCLUDE_OSTICK_EVENTS 1
+
+ /*****************************************************************************
+ * TRC_CFG_INCLUDE_EVENT_GROUP_EVENTS
+ *
+ * Macro which should be defined as either zero (0) or one (1).
+ *
+ * If this is zero (0), the trace will exclude any "event group" events.
+ *
+ * Default value is 0 (excluded) since dependent on event_groups.c
+ *****************************************************************************/
+#define TRC_CFG_INCLUDE_EVENT_GROUP_EVENTS 1
+
+ /*****************************************************************************
+ * TRC_CFG_INCLUDE_TIMER_EVENTS
+ *
+ * Macro which should be defined as either zero (0) or one (1).
+ *
+ * If this is zero (0), the trace will exclude any Timer events.
+ *
+ * Default value is 0 since dependent on timers.c
+ *****************************************************************************/
+#define TRC_CFG_INCLUDE_TIMER_EVENTS 1
+
+ /*****************************************************************************
+ * TRC_CFG_INCLUDE_PEND_FUNC_CALL_EVENTS
+ *
+ * Macro which should be defined as either zero (0) or one (1).
+ *
+ * If this is zero (0), the trace will exclude any "pending function call" 
+ * events, such as xTimerPendFunctionCall().
+ *
+ * Default value is 0 since dependent on timers.c
+ *****************************************************************************/
+#define TRC_CFG_INCLUDE_PEND_FUNC_CALL_EVENTS 1
+
+/*******************************************************************************
+ * Configuration Macro: TRC_CFG_INCLUDE_STREAM_BUFFER_EVENTS
+ *
+ * Macro which should be defined as either zero (0) or one (1).
+ *
+ * If this is zero (0), the trace will exclude any stream buffer or message
+ * buffer events.
+ *
+ * Default value is 0 since dependent on stream_buffer.c (new in FreeRTOS v10)
+ ******************************************************************************/
+#define TRC_CFG_INCLUDE_STREAM_BUFFER_EVENTS 1
+
+/*******************************************************************************
+ * Configuration Macro: TRC_CFG_RECORDER_BUFFER_ALLOCATION
+ *
+ * Specifies how the recorder buffer is allocated (also in case of streaming, in
+ * port using the recorder's internal temporary buffer)
+ *
+ * Values:
+ * TRC_RECORDER_BUFFER_ALLOCATION_STATIC  - Static allocation (internal)
+ * TRC_RECORDER_BUFFER_ALLOCATION_DYNAMIC - Malloc in vTraceEnable
+ * TRC_RECORDER_BUFFER_ALLOCATION_CUSTOM  - Use vTraceSetRecorderDataBuffer
+ *
+ * Static and dynamic mode does the allocation for you, either in compile time
+ * (static) or in runtime (malloc).
+ * The custom mode allows you to control how and where the allocation is made,
+ * for details see TRC_ALLOC_CUSTOM_BUFFER and vTraceSetRecorderDataBuffer().
+ ******************************************************************************/
+#define TRC_CFG_RECORDER_BUFFER_ALLOCATION TRC_RECORDER_BUFFER_ALLOCATION_STATIC
+
+/******************************************************************************
+ * TRC_CFG_MAX_ISR_NESTING
+ *
+ * Defines how many levels of interrupt nesting the recorder can handle, in
+ * case multiple ISRs are traced and ISR nesting is possible. If this
+ * is exceeded, the particular ISR will not be traced and the recorder then
+ * logs an error message. This setting is used to allocate an internal stack
+ * for keeping track of the previous execution context (4 byte per entry).
+ *
+ * This value must be a non-zero positive constant, at least 1.
+ *
+ * Default value: 8
+ *****************************************************************************/
+#define TRC_CFG_MAX_ISR_NESTING 8
+
+/* Specific configuration, depending on Streaming/Snapshot mode */
+#if (TRC_CFG_RECORDER_MODE == TRC_RECORDER_MODE_SNAPSHOT)
+#include "trcSnapshotConfig.h"
+#elif (TRC_CFG_RECORDER_MODE == TRC_RECORDER_MODE_STREAMING)
+#include "trcStreamingConfig.h"
+#endif
+
+#ifdef __cplusplus
+}
+#endif
+
+#endif /* _TRC_CONFIG_H */
diff --git a/FreeRTOS/Demo/Posix_GCC/src/trcSnapshotConfig.h b/FreeRTOS/Demo/Posix_GCC/src/trcSnapshotConfig.h
new file mode 100644 (file)
index 0000000..9c43cd0
--- /dev/null
@@ -0,0 +1,378 @@
+/*******************************************************************************
+ * Trace Recorder Library for Tracealyzer v3.1.2
+ * Percepio AB, www.percepio.com
+ *
+ * trcSnapshotConfig.h
+ *
+ * Configuration parameters for trace recorder library in snapshot mode.
+ * Read more at http://percepio.com/2016/10/05/rtos-tracing/
+ *
+ * Terms of Use
+ * This file is part of the trace recorder library (RECORDER), which is the
+ * intellectual property of Percepio AB (PERCEPIO) and provided under a
+ * license as follows.
+ * The RECORDER may be used free of charge for the purpose of recording data
+ * intended for analysis in PERCEPIO products. It may not be used or modified
+ * for other purposes without explicit permission from PERCEPIO.
+ * You may distribute the RECORDER in its original source code form, assuming
+ * this text (terms of use, disclaimer, copyright notice) is unchanged. You are
+ * allowed to distribute the RECORDER with minor modifications intended for
+ * configuration or porting of the RECORDER, e.g., to allow using it on a
+ * specific processor, processor family or with a specific communication
+ * interface. Any such modifications should be documented directly below
+ * this comment block.
+ *
+ * Disclaimer
+ * The RECORDER is being delivered to you AS IS and PERCEPIO makes no warranty
+ * as to its use or performance. PERCEPIO does not and cannot warrant the
+ * performance or results you may obtain by using the RECORDER or documentation.
+ * PERCEPIO make no warranties, express or implied, as to noninfringement of
+ * third party rights, merchantability, or fitness for any particular purpose.
+ * In no event will PERCEPIO, its technology partners, or distributors be liable
+ * to you for any consequential, incidental or special damages, including any
+ * lost profits or lost savings, even if a representative of PERCEPIO has been
+ * advised of the possibility of such damages, or for any claim by any third
+ * party. Some jurisdictions do not allow the exclusion or limitation of
+ * incidental, consequential or special damages, or the exclusion of implied
+ * warranties or limitations on how long an implied warranty may last, so the
+ * above limitations may not apply to you.
+ *
+ * Tabs are used for indent in this file (1 tab = 4 spaces)
+ *
+ * Copyright Percepio AB, 2017.
+ * www.percepio.com
+ ******************************************************************************/
+
+#ifndef TRC_SNAPSHOT_CONFIG_H
+#define TRC_SNAPSHOT_CONFIG_H
+
+#define TRC_SNAPSHOT_MODE_RING_BUFFER          (0x01)
+#define TRC_SNAPSHOT_MODE_STOP_WHEN_FULL       (0x02)
+
+/******************************************************************************
+ * TRC_CFG_SNAPSHOT_MODE
+ *
+ * Macro which should be defined as one of:
+ * - TRC_SNAPSHOT_MODE_RING_BUFFER
+ * - TRC_SNAPSHOT_MODE_STOP_WHEN_FULL
+ * Default is TRC_SNAPSHOT_MODE_RING_BUFFER.
+ *
+ * With TRC_CFG_SNAPSHOT_MODE set to TRC_SNAPSHOT_MODE_RING_BUFFER, the
+ * events are stored in a ring buffer, i.e., where the oldest events are
+ * overwritten when the buffer becomes full. This allows you to get the last
+ * events leading up to an interesting state, e.g., an error, without having
+ * to store the whole run since startup.
+ *
+ * When TRC_CFG_SNAPSHOT_MODE is TRC_SNAPSHOT_MODE_STOP_WHEN_FULL, the
+ * recording is stopped when the buffer becomes full. This is useful for
+ * recording events following a specific state, e.g., the startup sequence.
+ *****************************************************************************/
+#define TRC_CFG_SNAPSHOT_MODE TRC_SNAPSHOT_MODE_RING_BUFFER
+
+/*******************************************************************************
+ * TRC_CFG_EVENT_BUFFER_SIZE
+ *
+ * Macro which should be defined as an integer value.
+ *
+ * This defines the capacity of the event buffer, i.e., the number of records
+ * it may store. Most events use one record (4 byte), although some events
+ * require multiple 4-byte records. You should adjust this to the amount of RAM
+ * available in the target system.
+ *
+ * Default value is 1000, which means that 4000 bytes is allocated for the
+ * event buffer.
+ ******************************************************************************/
+#define TRC_CFG_EVENT_BUFFER_SIZE 15000
+
+/*******************************************************************************
+ * TRC_CFG_NTASK, TRC_CFG_NISR, TRC_CFG_NQUEUE, TRC_CFG_NSEMAPHORE...
+ *
+ * A group of macros which should be defined as integer values, zero or larger.
+ *
+ * These define the capacity of the Object Property Table, i.e., the maximum
+ * number of objects active at any given point, within each object class (e.g.,
+ * task, queue, semaphore, ...).
+ *
+ * If tasks or other objects are deleted in your system, this
+ * setting does not limit the total amount of objects created, only the number
+ * of objects that have been successfully created but not yet deleted.
+ *
+ * Using too small values will cause vTraceError to be called, which stores an
+ * error message in the trace that is shown when opening the trace file. The
+ * error message can also be retrieved using xTraceGetLastError.
+ *
+ * It can be wise to start with large values for these constants,
+ * unless you are very confident on these numbers. Then do a recording and
+ * check the actual usage by selecting View menu -> Trace Details ->
+ * Resource Usage -> Object Table.
+ ******************************************************************************/
+#define TRC_CFG_NTASK                  150
+#define TRC_CFG_NISR                   90
+#define TRC_CFG_NQUEUE                 90
+#define TRC_CFG_NSEMAPHORE             90
+#define TRC_CFG_NMUTEX                 90
+#define TRC_CFG_NTIMER                 250
+#define TRC_CFG_NEVENTGROUP            90
+#define TRC_CFG_NSTREAMBUFFER  5
+#define TRC_CFG_NMESSAGEBUFFER 5
+
+
+/******************************************************************************
+ * TRC_CFG_INCLUDE_FLOAT_SUPPORT
+ *
+ * Macro which should be defined as either zero (0) or one (1).
+ *
+ * If this is zero (0), the support for logging floating point values in
+ * vTracePrintF is stripped out, in case floating point values are not used or
+ * supported by the platform used.
+ *
+ * Floating point values are only used in vTracePrintF and its subroutines, to
+ * allow for storing float (%f) or double (%lf) arguments.
+ *
+ * vTracePrintF can be used with integer and string arguments in either case.
+ *
+ * Default value is 0.
+ *****************************************************************************/
+#define TRC_CFG_INCLUDE_FLOAT_SUPPORT 0
+
+/*******************************************************************************
+ * TRC_CFG_SYMBOL_TABLE_SIZE
+ *
+ * Macro which should be defined as an integer value.
+ *
+ * This defines the capacity of the symbol table, in bytes. This symbol table
+ * stores User Events labels and names of deleted tasks, queues, or other kernel
+ * objects. If you don't use User Events or delete any kernel
+ * objects you set this to a very low value. The minimum recommended value is 4.
+ * A size of zero (0) is not allowed since a zero-sized array may result in a
+ * 32-bit pointer, i.e., using 4 bytes rather than 0.
+ *
+ * Default value is 800.
+ ******************************************************************************/
+#define TRC_CFG_SYMBOL_TABLE_SIZE 5000
+
+#if (TRC_CFG_SYMBOL_TABLE_SIZE == 0)
+#error "TRC_CFG_SYMBOL_TABLE_SIZE may not be zero!"
+#endif
+
+/******************************************************************************
+ * TRC_CFG_NAME_LEN_TASK, TRC_CFG_NAME_LEN_QUEUE, ...
+ *
+ * Macros that specify the maximum lengths (number of characters) for names of
+ * kernel objects, such as tasks and queues. If longer names are used, they will
+ * be truncated when stored in the recorder.
+ *****************************************************************************/
+#define TRC_CFG_NAME_LEN_TASK                  15
+#define TRC_CFG_NAME_LEN_ISR                   15
+#define TRC_CFG_NAME_LEN_QUEUE                 15
+#define TRC_CFG_NAME_LEN_SEMAPHORE             15
+#define TRC_CFG_NAME_LEN_MUTEX                 15
+#define TRC_CFG_NAME_LEN_TIMER                 15
+#define TRC_CFG_NAME_LEN_EVENTGROUP    15
+#define TRC_CFG_NAME_LEN_STREAMBUFFER  15
+#define TRC_CFG_NAME_LEN_MESSAGEBUFFER         15
+
+/******************************************************************************
+ *** ADVANCED SETTINGS ********************************************************
+ ******************************************************************************
+ * The remaining settings are not necessary to modify but allows for optimizing
+ * the recorder setup for your specific needs, e.g., to exclude events that you
+ * are not interested in, in order to get longer traces.
+ *****************************************************************************/
+
+/******************************************************************************
+* TRC_CFG_HEAP_SIZE_BELOW_16M
+*
+* An integer constant that can be used to reduce the buffer usage of memory
+* allocation events (malloc/free). This value should be 1 if the heap size is
+* below 16 MB (2^24 byte), and you can live with reported addresses showing the
+* lower 24 bits only. If 0, you get the full 32-bit addresses.
+*
+* Default value is 0.
+******************************************************************************/
+#define TRC_CFG_HEAP_SIZE_BELOW_16M 0
+
+/******************************************************************************
+ * TRC_CFG_USE_IMPLICIT_IFE_RULES
+ *
+ * Macro which should be defined as either zero (0) or one (1).
+ * Default is 1.
+ *
+ * Tracealyzer groups the events into "instances" based on Instance Finish
+ * Events (IFEs), produced either by default rules or calls to the recorder
+ * functions vTraceInstanceFinishedNow and vTraceInstanceFinishedNext.
+ *
+ * If TRC_CFG_USE_IMPLICIT_IFE_RULES is one (1), the default IFE rules is
+ * used, resulting in a "typical" grouping of events into instances.
+ * If these rules don't give appropriate instances in your case, you can
+ * override the default rules using vTraceInstanceFinishedNow/Next for one
+ * or several tasks. The default IFE rules are then disabled for those tasks.
+ *
+ * If TRC_CFG_USE_IMPLICIT_IFE_RULES is zero (0), the implicit IFE rules are
+ * disabled globally. You must then call vTraceInstanceFinishedNow or
+ * vTraceInstanceFinishedNext to manually group the events into instances,
+ * otherwise the tasks will appear a single long instance.
+ *
+ * The default IFE rules count the following events as "instance finished":
+ * - Task delay, delay until
+ * - Task suspend
+ * - Blocking on "input" operations, i.e., when the task is waiting for the
+ *   next a message/signal/event. But only if this event is blocking.
+ *
+ * For details, see trcSnapshotKernelPort.h and look for references to the
+ * macro trcKERNEL_HOOKS_SET_TASK_INSTANCE_FINISHED.
+ *****************************************************************************/
+#define TRC_CFG_USE_IMPLICIT_IFE_RULES 1
+
+/******************************************************************************
+ * TRC_CFG_USE_16BIT_OBJECT_HANDLES
+ *
+ * Macro which should be defined as either zero (0) or one (1).
+ *
+ * If set to 0 (zero), the recorder uses 8-bit handles to identify kernel
+ * objects such as tasks and queues. This limits the supported number of
+ * concurrently active objects to 255 of each type (tasks, queues, mutexes,
+ * etc.) Note: 255, not 256, since handle 0 is reserved.
+ *
+ * If set to 1 (one), the recorder uses 16-bit handles to identify kernel
+ * objects such as tasks and queues. This limits the supported number of
+ * concurrent objects to 65535 of each type (object class). However, since the
+ * object property table is limited to 64 KB, the practical limit is about
+ * 3000 objects in total.
+ *
+ * Default is 0 (8-bit handles)
+ *
+ * NOTE: An object with handle above 255 will use an extra 4-byte record in
+ * the event buffer whenever the object is referenced. Moreover, some internal
+ * tables in the recorder gets slightly larger when using 16-bit handles.
+ *****************************************************************************/
+#define TRC_CFG_USE_16BIT_OBJECT_HANDLES 0
+
+/******************************************************************************
+ * TRC_CFG_USE_TRACE_ASSERT
+ *
+ * Macro which should be defined as either zero (0) or one (1).
+ * Default is 1.
+ *
+ * If this is one (1), the TRACE_ASSERT macro (used at various locations in the
+ * trace recorder) will verify that a relevant condition is true.
+ * If the condition is false, prvTraceError() will be called, which stops the
+ * recording and stores an error message that is displayed when opening the
+ * trace in Tracealyzer.
+ *
+ * This is used on several places in the recorder code for sanity checks on
+ * parameters. Can be switched off to reduce the footprint of the tracing, but
+ * we recommend to have it enabled initially.
+ *****************************************************************************/
+#define TRC_CFG_USE_TRACE_ASSERT 1
+
+/*******************************************************************************
+ * TRC_CFG_USE_SEPARATE_USER_EVENT_BUFFER
+ *
+ * Macro which should be defined as an integer value.
+ *
+ * Set TRC_CFG_USE_SEPARATE_USER_EVENT_BUFFER to 1 to enable the
+ * separate user event buffer (UB).
+ * In this mode, user events are stored separately from other events,
+ * e.g., RTOS events. Thereby you can get a much longer history of
+ * user events as they don't need to share the buffer space with more
+ * frequent events.
+ *
+ * The UB is typically used with the snapshot ring-buffer mode, so the
+ * recording can continue when the main buffer gets full. And since the
+ * main buffer then overwrites the earliest events, Tracealyzer displays
+ * "Unknown Actor" instead of task scheduling for periods with UB data only.
+ *
+ * In UB mode, user events are structured as UB channels, which contains
+ * a channel name and a default format string. Register a UB channel using
+ * xTraceRegisterUBChannel.
+ *
+ * Events and data arguments are written using vTraceUBEvent and
+ * vTraceUBData. They are designed to provide efficient logging of
+ * repeating events, using the same format string within each channel.
+ *
+ * Examples:
+ *
+ *  traceString chn1 = xTraceRegisterString("Channel 1");
+ *  traceString fmt1 = xTraceRegisterString("Event!");
+ *  traceUBChannel UBCh1 = xTraceRegisterUBChannel(chn1, fmt1);
+ *
+ *  traceString chn2 = xTraceRegisterString("Channel 2");
+ *  traceString fmt2 = xTraceRegisterString("X: %d, Y: %d");
+ *     traceUBChannel UBCh2 = xTraceRegisterUBChannel(chn2, fmt2);
+ *
+ *  // Result in "[Channel 1] Event!"
+ *     vTraceUBEvent(UBCh1);
+ *
+ *  // Result in "[Channel 2] X: 23, Y: 19"
+ *     vTraceUBData(UBCh2, 23, 19);
+ *
+ * You can also use the other user event functions, like vTracePrintF.
+ * as they are then rerouted to the UB instead of the main event buffer.
+ * vTracePrintF then looks up the correct UB channel based on the
+ * provided channel name and format string, or creates a new UB channel
+ * if no match is found. The format string should therefore not contain
+ * "random" messages but mainly format specifiers. Random strings should
+ * be stored using %s and with the string as an argument.
+ *
+ *  // Creates a new UB channel ("Channel 2", "%Z: %d")
+ *  vTracePrintF(chn2, "%Z: %d", value1);
+ *
+ *  // Finds the existing UB channel
+ *  vTracePrintF(chn2, "%Z: %d", value2);
+
+ ******************************************************************************/
+#define TRC_CFG_USE_SEPARATE_USER_EVENT_BUFFER 0
+
+/*******************************************************************************
+ * TRC_CFG_SEPARATE_USER_EVENT_BUFFER_SIZE
+ *
+ * Macro which should be defined as an integer value.
+ *
+ * This defines the capacity of the user event buffer (UB), in number of slots.
+ * A single user event can use multiple slots, depending on the arguments.
+ *
+ * Only applicable if TRC_CFG_USE_SEPARATE_USER_EVENT_BUFFER is 1.
+ ******************************************************************************/
+#define TRC_CFG_SEPARATE_USER_EVENT_BUFFER_SIZE 200
+
+/*******************************************************************************
+ * TRC_CFG_UB_CHANNELS
+ *
+ * Macro which should be defined as an integer value.
+ *
+ * This defines the number of User Event Buffer Channels (UB channels).
+ * These are used to structure the events when using the separate user
+ * event buffer, and contains both a User Event Channel (the name) and
+ * a default format string for the channel.
+ *
+ * Only applicable if TRC_CFG_USE_SEPARATE_USER_EVENT_BUFFER is 1.
+ ******************************************************************************/
+#define TRC_CFG_UB_CHANNELS 32
+
+/*******************************************************************************
+ * TRC_CFG_ISR_TAILCHAINING_THRESHOLD
+ *
+ * Macro which should be defined as an integer value.
+ *
+ * If tracing multiple ISRs, this setting allows for accurate display of the
+ * context-switching also in cases when the ISRs execute in direct sequence.
+ *
+ * vTraceStoreISREnd normally assumes that the ISR returns to the previous
+ * context, i.e., a task or a preempted ISR. But if another traced ISR
+ * executes in direct sequence, Tracealyzer may incorrectly display a minimal
+ * fragment of the previous context in between the ISRs.
+ *
+ * By using TRC_CFG_ISR_TAILCHAINING_THRESHOLD you can avoid this. This is
+ * however a threshold value that must be measured for your specific setup.
+ * See http://percepio.com/2014/03/21/isr_tailchaining_threshold/
+ *
+ * The default setting is 0, meaning "disabled" and that you may get an
+ * extra fragments of the previous context in between tail-chained ISRs.
+ *
+ * Note: This setting has separate definitions in trcSnapshotConfig.h and
+ * trcStreamingConfig.h, since it is affected by the recorder mode.
+ ******************************************************************************/
+#define TRC_CFG_ISR_TAILCHAINING_THRESHOLD 0
+
+#endif /*TRC_SNAPSHOT_CONFIG_H*/
index 9ca71e6efddc9028a5b49582cd331f64af2d4e7d..648ec1c9f15b7bac08f276d1357bf8e8caa1ec8d 100644 (file)
                                                                        \r
                         <toolChain id="cdt.managedbuild.toolchain.gnu.cross.exe.debug.1023181676" name="Cross GCC" superClass="cdt.managedbuild.toolchain.gnu.cross.exe.debug">\r
                                                                                \r
-                            <option id="cdt.managedbuild.option.gnu.cross.path.2116215758" name="Path" superClass="cdt.managedbuild.option.gnu.cross.path" value="C:\devtools\FreedomStudio-2019-08-2-win64\SiFive\riscv64-unknown-elf-gcc-8.3.0-2019.08.0\bin" valueType="string"/>\r
+                            <option id="cdt.managedbuild.option.gnu.cross.path.2116215758" name="Path" superClass="cdt.managedbuild.option.gnu.cross.path" useByScannerDiscovery="false" value="${eclipse_home}/SiFive/riscv64-unknown-elf-gcc-8.3.0-2019.08.0/bin" valueType="string"/>\r
                                                                                \r
                             <targetPlatform archList="all" binaryParser="org.eclipse.cdt.core.ELF" id="cdt.managedbuild.targetPlatform.gnu.cross.1119183919" isAbstract="false" osList="all" superClass="cdt.managedbuild.targetPlatform.gnu.cross"/>\r
                                                                                \r
                             <builder buildPath="${workspace_loc:/RTOSDemo}/Debug" id="cdt.managedbuild.builder.gnu.cross.1388532167" keepEnvironmentInBuildfile="false" managedBuildOn="true" name="Gnu Make Builder" parallelBuildOn="true" parallelizationNumber="optimal" superClass="cdt.managedbuild.builder.gnu.cross"/>\r
                                                                                \r
-                            <tool command="riscv64-unknown-elf-gcc.exe" id="cdt.managedbuild.tool.gnu.cross.c.compiler.1469975065" name="Cross GCC Compiler" superClass="cdt.managedbuild.tool.gnu.cross.c.compiler">\r
+                            <tool command="riscv64-unknown-elf-gcc" id="cdt.managedbuild.tool.gnu.cross.c.compiler.1469975065" name="Cross GCC Compiler" superClass="cdt.managedbuild.tool.gnu.cross.c.compiler">\r
                                                                                                \r
                                 <option defaultValue="gnu.c.optimization.level.none" id="gnu.c.compiler.option.optimization.level.440219377" name="Optimization Level" superClass="gnu.c.compiler.option.optimization.level" useByScannerDiscovery="false" valueType="enumerated"/>\r
                                                                                                \r
@@ -83,7 +83,7 @@
                                                                                        \r
                             </tool>\r
                                                                                \r
-                            <tool command="riscv64-unknown-elf-gcc.exe" id="cdt.managedbuild.tool.gnu.cross.c.linker.558060359" name="Cross GCC Linker" superClass="cdt.managedbuild.tool.gnu.cross.c.linker">\r
+                            <tool command="riscv64-unknown-elf-gcc" id="cdt.managedbuild.tool.gnu.cross.c.linker.558060359" name="Cross GCC Linker" superClass="cdt.managedbuild.tool.gnu.cross.c.linker">\r
                                                                                                \r
                                 <option id="gnu.c.link.option.ldflags.46965227" name="Linker flags" superClass="gnu.c.link.option.ldflags" useByScannerDiscovery="false" value="-Xlinker --gc-sections -Wl,-Map,RTOSDemo.map -T../bsp/metal.default.lds -march=rv32imac -mabi=ilp32 -mcmodel=medlow -Wl,--start-group -lc -lgcc -Wl,--end-group --specs=nano.specs" valueType="string"/>\r
                                                                                                \r
                                                                                \r
                             <tool id="cdt.managedbuild.tool.gnu.cross.archiver.424513814" name="Cross GCC Archiver" superClass="cdt.managedbuild.tool.gnu.cross.archiver"/>\r
                                                                                \r
-                            <tool command="riscv64-unknown-elf-gcc.exe" id="cdt.managedbuild.tool.gnu.cross.assembler.825438707" name="Cross GCC Assembler" superClass="cdt.managedbuild.tool.gnu.cross.assembler">\r
+                            <tool command="riscv64-unknown-elf-gcc" id="cdt.managedbuild.tool.gnu.cross.assembler.825438707" name="Cross GCC Assembler" superClass="cdt.managedbuild.tool.gnu.cross.assembler">\r
                                                                                                \r
                                 <option id="gnu.both.asm.option.flags.1946908814" name="Assembler flags" superClass="gnu.both.asm.option.flags" useByScannerDiscovery="false" value="-march=rv32imac -mabi=ilp32 -mcmodel=medlow -c -DportasmHANDLE_INTERRUPT=handle_trap -g3" valueType="string"/>\r
                                                                                                \r
diff --git a/FreeRTOS/Source/History.txt b/FreeRTOS/Source/History.txt
new file mode 100644 (file)
index 0000000..f7a5455
--- /dev/null
@@ -0,0 +1,2745 @@
+Documentation and download available at http://www.FreeRTOS.org/\r
+\r
+Changes between FreeRTOS V10.2.1 and FreeRTOS V10.3.0 released February 7 2020\r
+\r
+       See http://www.FreeRTOS.org/FreeRTOS-V10.3.x.html\r
+\r
+       New and updated kernel ports:\r
+\r
+       + Added RISC-V port for the IAR compiler.\r
+       + Update the Windows simulator port to use a synchronous object to prevent\r
+         a user reported error whereby a task continues to run for a short time\r
+         after being moved to the Blocked state.  Note we were not able to\r
+         replicate the reported issue and it likely depends on your CPU model.\r
+       + Correct alignment of stack top in RISC-V port when\r
+         configISR_STACK_SIZE_WORDS is defined to a non zero value, which causes\r
+         the interrupt stack to be statically allocated.\r
+       + The RISC-V machine timer compare register can now be for any HART, whereas\r
+         previously it was always assumed FreeRTOS was running on HART 0.\r
+       + Update the sequence used to update the 64-bit machine timer\r
+         compare register on 32-bit cores to match that suggested in RISC-V\r
+         documentation.\r
+       + Added tickless low power modes into the ARM, IAR and GCC Cortex-M0 compiler\r
+         ports.\r
+       + Updated the behaviour of the ARMv7-M MPU (Memory Protection Unit) ports to\r
+         match that of the ARMv8-M ports whereby privilege escalations can only\r
+         originate from within the kernel's own memory segment.  Added\r
+         configENFORCE_SYSTEM_CALLS_FROM_KERNEL_ONLY configuration constant.\r
+       + Update existing MPU ports to correctly disable the MPU before it is\r
+         updated.\r
+       + Added contributed port and demo application for a T-Head (formally C-SKY)\r
+         microcontroller.\r
+\r
+       New API functions:\r
+\r
+       + Added the vPortGetHeapStats() API function which returns information on\r
+         the heap_4 and heap_5 state.\r
+       + Added xTaskCatchUpTicks(), which corrects the tick count value after the\r
+         application code has held interrupts disabled for an extended period.\r
+       + Added xTaskNotifyValueClear() API function.\r
+       + Added uxTimerGetReloadMode() API function.\r
+\r
+       Other miscellaneous changes:\r
+       + Change type of uxPendedTicks from UBaseType_t to TickType_t to ensure it\r
+         has the same type as variables with which it is compared to, and therefore\r
+         also renamed the variable xPendingTicks.\r
+       + Update Keil projects that use the MPU so memory regions come from linker\r
+         script (scatter file) variables instead of being hard coded.\r
+       + Added LPC51U68 Cortex-M0+ demos for GCC (MCUXpresso), Keil and IAR\r
+         compilers.\r
+       + Added CORTEX_MPU_STM32L4_Discovery_Keil_STM32Cube demo.\r
+       + Added LPC54018 MPU demo.\r
+       + Rename xTaskGetIdleRunTimeCounter() to ulTaskGetIdleRunTimeCounter().\r
+\r
+\r
+Changes between FreeRTOS V10.2.1 and FreeRTOS V10.2.0 released May 13 2019:\r
+\r
+       + Added ARM Cortex-M23 port layer to complement the pre-existing ARM\r
+         Cortex-M33 port layer.\r
+       + The RISC-V port now automatically switches between 32-bit and 64-bit\r
+         cores.\r
+       + Introduced the portMEMORY_BARRIER macro to prevent instruction re-ordering\r
+         when GCC link time optimisation is used.\r
+       + Introduced the portDONT_DISCARD macro to the ARMv8-M ports to try and\r
+         prevent the secure side builds from removing symbols required by the\r
+         non secure side build.\r
+       + Introduced the portARCH_NAME to provide additional data to select semi-\r
+         automated build environments.\r
+       + Cortex-M33 and Cortex-M23 ports now correctly disable the MPU before\r
+         updating the MPU registers.\r
+\r
+       + Added Nuvoton NuMaker-PFM-M2351 ARM Cortex-M23 demo.\r
+       + Added LPC55S69 ARM Cortex-M33 demo.\r
+       + Added an STM32 dual core AMP stress test demo.\r
+\r
+\r
+Changes between FreeRTOS V10.1.1 and FreeRTOS V10.2.0 released February 25 2019:\r
+\r
+       + Added GCC RISC-V MCU port with three separate demo applications.\r
+       + Included pre-existing ARM Cortex-M33 (ARMv8-M) GCC/ARMclang and IAR ports\r
+         with Keil simulator demo.\r
+       + Update the method used to detect if a timer is active.  Previously the\r
+         timer was deemed to be inactive if it was not referenced from a list.\r
+         However, when a timer is updated it is temporarily removed from, then\r
+         re-added to a list, so now the timer's active status is stored separately.\r
+       + Add vTimerSetReloadMode(), xTaskGetIdleRunTimeCounter(), and\r
+         xTaskGetApplicationTaskTagFromISR() API functions.\r
+       + Updated third party Xtensa port so it is MIT licensed.\r
+       + Added configINCLUDE_PLATFORM_H_INSTEAD_OF_IODEFINE_H to the Renesas\r
+         compiler RX600v2 port to enable switching between platform.h and\r
+         iodefine.h includes within that port's port.c file.\r
+       + Removed the 'FromISR' functions from the MPU ports as ISRs run privileged\r
+         anyway.\r
+       + Added uxTaskGetStackHighWaterMark2() function to enable the return type to\r
+         be changed without breaking backward compatibility.\r
+         uxTaskGetStackHighWaterMark() returns a UBaseType_t as always,\r
+         uxTaskGetStackHighWaterMark2() returns configSTACK_DEPTH_TYPE to allow the\r
+         user to determine the return type.\r
+       + Fixed issues in memory protected ports related to different combinations\r
+         of static memory only and dynamic memory only builds.  As a result the\r
+         definition of tskSTATIC_AND_DYNAMIC_ALLOCATION_POSSIBLE became more\r
+         complex and was moved to FreeRTOS.h with a table explaining its definition.\r
+       + Added a 'get task tag from ISR' function.\r
+       + Change the method used to determine if a timer is active or not from just\r
+         seeing if it is referenced from the active timer list to storing its\r
+         active state explicitly.  The change prevents the timer reporting that it\r
+         is inactive while it is being moved from one list to another.\r
+       + The pcName parameter passed into the task create functions can be NULL,\r
+         previously a name had to be provided.\r
+       + When using tickless idle, prvResetNextTaskUnblockTime() is now only called\r
+         in xTaskRemoveFromEventList() if the scheduler is not suspended.\r
+       + Introduced portHAS_STACK_OVERFLOW_CHECKING, which should be set to 1 for\r
+         FreeRTOS ports that run on architectures that have stack limit registers.\r
+\r
+\r
+Changes between FreeRTOS V10.1.0 and FreeRTOS V10.1.1 released 7 September 2018\r
+\r
+       + Reverted a few structure name changes that broke several kernel aware\r
+         debugger plug-ins.\r
+       + Updated to the latest trace recorder code.\r
+       + Fixed some formatting in the FreeRTOS+TCP TCP/IP stack code.\r
+       + Reverted moving some variables from file to function scope as doing so\r
+         broke debug scenarios that require the static qualifier to be removed.\r
+\r
+Changes between FreeRTOS V10.0.1 and FreeRTOS V10.1.0 released 22 August 2018\r
+\r
+       FreeRTOS Kernel Changes:\r
+\r
+       + Update lint checked MISRA compliance to use the latest MISRA standard, was\r
+         previously using the original MISRA standard.\r
+       + Updated all object handles (TaskHandle_t, QueueHandle_t, etc.) to be\r
+         unique types instead of void pointers, improving type safety.  (this was\r
+         attempted some years back but had to be backed out due to bugs in some\r
+         debuggers).  Note this required the pvContainer member of a ListItem_t\r
+         struct to be renamed - set configENABLE_BACKWARD_COMPATIBILITY to 1 if\r
+         this causes an issue.\r
+       + Added configUSE_POSIX_ERRNO to enable per task POSIX style errno\r
+         functionality in a more user friendly way - previously the generic thread\r
+         local storage feature was used for this purpose.\r
+       + Added Xtensa port and demo application for the XCC compiler.\r
+       + Changed the implementation of vPortEndScheduler() for the Win32 port to\r
+         simply call exit( 0 ).\r
+       + Bug fix in vPortEnableInterrupt() for the GCC Microblaze port to protect\r
+         the read modify write access to an internal Microblaze register.\r
+       + Fix minor niggles when the MPU is used with regards to prototype\r
+         differences, static struct size differences, etc.\r
+       + The usStackHighWaterMark member of the TaskStatus_t structure now has type\r
+         configSTACK_DEPTH_TYPE in place of uint16_t - that change should have been\r
+         made when the configSTACK_DEPTH_TYPE type (which gets around the previous\r
+         16-bit limit on stack size specifications) was introduced.\r
+       + Added the xMessageBufferNextLengthBytes() API function and likewise stream\r
+         buffer equivalent.\r
+       + Introduce configMESSAGE_BUFFER_LENGTH_TYPE to allow the number of bytes\r
+         used to hold the length of a message in the message buffer to be reduced.\r
+         configMESSAGE_BUFFER_LENGTH_TYPE default to size_t, but if, for example,\r
+         messages can never be more than 255 bytes it could be set to uint8_t,\r
+         saving 3 bytes each time a message is written into the message buffer\r
+         (assuming sizeof( size_t ) is 4).\r
+       + Updated the StaticTimer_t structure to ensure it matches the size of the\r
+         Timer_t structure when the size of TaskFunction_t does not equal the size\r
+         of void *.\r
+       + Update various Xilinx demos to use 2018.1 version of the SDK tools.\r
+       + Various updates to demo tasks to maintain test coverage.\r
+       + FreeRTOS+UDP was removed in FreeRTOS V10.1.0 as it was replaced by\r
+         FreeRTOS+TCP, which was brought into the main download in FreeRTOS\r
+         V10.0.0.  FreeRTOS+TCP can be configured as a UDP only stack, and\r
+         FreeRTOS+UDP does not contain the patches applied to FreeRTOS+TCP.\r
+\r
+       FreeRTOS+TCP Changes:\r
+\r
+       + Multiple security improvements and fixes in packet parsing routines, DNS\r
+         caching, and TCP sequence number and ID generation.\r
+       + Disable NBNS and LLMNR by default.\r
+       + Add TCP hang protection by default.\r
+\r
+       We thank Ori Karliner of Zimperium zLabs Team for reporting these issues.\r
+\r
+\r
+Changes between FreeRTOS V10.0.0 and FreeRTOS V10.0.1, released December 20 2017\r
+\r
+       + Fix position of "#if defined( __cplusplus )" in stream_buffer.h.\r
+       + Correct declarations of MPU_xQueuePeek() and MPU_xQueueSemaphoreTake() in\r
+         mpu_prototypes.h.\r
+       + Correct formatting in vTaskList() helper function when it prints the state\r
+         of the currently executing task.\r
+       + Introduce #error if stream_buffer.c is built without\r
+         configUSE_TASK_NOTIFICATIONS set to 1.\r
+       + Update FreeRTOS+TCP to V2.0.0\r
+               - Improve the formatting of text that displays the available netword\r
+                 interfaces when FreeRTOS+TCP is used on Windows with WinPCap.\r
+               - Introduce ipconfigSOCKET_HAS_USER_WAKE_CALLBACK option to enable a user\r
+                 definable callback to execute when data arrives on a socket.\r
+\r
+Changes between FreeRTOS V9.0.1 and FreeRTOS V10.0.0:\r
+\r
+       The FreeRTOS kernel is now MIT licensed: https://www.FreeRTOS.org/license\r
+\r
+       New Features and components:\r
+\r
+       + Stream Buffers - see http://www.FreeRTOS.org/RTOS-stream-buffer-example.html\r
+       + Message Buffers - see http://www.FreeRTOS.org//RTOS-message-buffer-example.html\r
+       + Move FreeRTOS+TCP into the main repository, along with the basic Win32\r
+         TCP demo FreeRTOS_Plus_TCP_Minimal_Windows_Simulator.\r
+\r
+       New ports or demos:\r
+\r
+       + Added demo for TI SimpleLink CC3220 MCU.\r
+       + Added MPU and non MPU projects for Microchip CEC and MEC 17xx and 51xx\r
+         MCUs.\r
+       + Added CORTEX_MPU_Static_Simulator_Keil_GCC demo to test static allocation\r
+         in the MPU port.\r
+\r
+       Fixes or enhancements:\r
+\r
+       + Cortex-M ports push additional register prior to calling\r
+         vTaskSwitchContext to ensure 8-byte alignment is maintained.  Only\r
+         important if a user defined tick hook function performs an operation that\r
+         requires 8-byte alignment.\r
+       + Optimisations to the implementation of the standard tickless idle mode on\r
+         Cortex-M devices.\r
+       + Improvements to the Win32 port including using higher priority threads.\r
+       + Ensure interrupt stack alignment on PIC32 ports.\r
+       + Updated GCC TriCore port to build with later compiler versions.\r
+       + Update mpu_wrappers.c to support static allocation.\r
+       + The uxNumberOfItems member of List_t is now volatile - solving an issue\r
+         when the IAR compiler was used with maximum optimization.\r
+       + Introduced configRECORD_STACK_HIGH_ADDRESS.  When set to 1 the stack start\r
+         address is saved into each task's TCB (assuming stack grows down).\r
+       + Introduced configINCLUDE_FREERTOS_TASK_C_ADDITIONS_H to allow user defined\r
+         functionality, and user defined initialisation, to be added to FreeRTOS's\r
+         tasks.c source file.  When configINCLUDE_FREERTOS_TASK_C_ADDITIONS_H is\r
+         set to 1 a user provided header file called freertos_task_c_additions.h\r
+         will be included at the bottom of tasks.c.  Functions defined in that\r
+         header file can call freertos_tasks_c_additions_init(), which in turn\r
+         calls a macro called FREERTOS_TASKS_C_ADDITIONS_INIT(), if it is defined.\r
+         FREERTOS_TASKS_C_ADDITIONS_INIT() can be defined in FreeRTOSConfig.h.\r
+       + Introduced configPRE_SUPPRESS_TICKS_AND_SLEEP_PROCESSING( x ) which can be\r
+         defined by a user in FreeRTOSConfig.h.  The macro is called before\r
+         assessing whether to enter tickless idle mode or not.  If the macro sets\r
+         x to zero then tickless idle mode will not be entered.  This allows users\r
+         to abort tickless idle mode entry before the tickless idle function is\r
+         even called - previously it was only possible to abort from within the\r
+         tickless idle function itself.\r
+       + Added configPRINTF(), which can be defined by users to allow all libraries\r
+         to use the same print formatter.\r
+       + Introduced configMAX() and configMIN() macros which default to standard\r
+         max( x, y ) and min( x, y ) macro behaviour, but can be overridden if the\r
+         application writer defines the same macros in FreeRTOSConfig.h.\r
+       + Corrected the definition of StaticTask_t in the case where\r
+         INCLUDE_xTaskAbortDelay is set to 1.\r
+       + Introduced configTIMER_SERVICE_TASK_NAME and configIDLE_TASK_NAME, both of\r
+         which can be defined to strings in FreeRTOSConfig.h to change the default\r
+         names of the timer service and idle tasks respectively.\r
+       + Only fill the stack of a newly created task with a known value if stack\r
+         checking, or high water mark checking/viewing, is in use - removing the\r
+         dependency on memset() in other cases.\r
+       + Introduced xTaskCreateRestrictedStatic() so static allocation can be used\r
+         with the MPU.\r
+       + Ensure suspended tasks cannot be unsuspended by a received task\r
+         notification.\r
+       + Fix race condition in vTaskSetTimeOutState().\r
+       + Updated trace recorder files to the latest version.\r
+\r
+Changes since FreeRTOS V9.0.0:\r
+\r
+       + Priority dis-inheritance behaviour has been enhanced in the case where a\r
+         task that attempted to take a mutex that was held by a lower priority task\r
+         timed out before it was able to obtain the mutex (causing the task that\r
+         holds the mutex to have its priority raised, then lowered again, in\r
+         accordance with the priority inheritance protocol).\r
+       + Split the overloaded xQueueGenericReceive() function into three separate\r
+         dedicated functions.\r
+       + Allow the default human readable text names given to the Idle and Timer\r
+         tasks to be overridden by defining the configIDLE_TASK_NAME and\r
+         configTIMER_SERVICE_TASK_NAME definitions respectively in FreeRTOSConfig.h.\r
+       + Introduced configINITIAL_TICK_COUNT to allow the tick count to take a\r
+         value of than than 0 when the system boots.  This can be useful for\r
+         testing purposes - although setting configUSE_16_BIT_TICKS to 1 can also\r
+         be used to test frequent tick overflows.\r
+       + Ensure the Cortex-M SysTick count is cleared to zero before starting the\r
+         first task.\r
+       + Add configASSERT() into ARM Cortex-M ports to check the number of priority\r
+         bit settings.\r
+       + Clear the 'control' register before starting ARM Cortex-M4F ports in case\r
+         the FPU is used before the scheduler is started.  This just saves a few\r
+         bytes on the main stack as it prevents space being left for a later save\r
+         of FPU registers.\r
+       + Added xSemaphoreGetMutexHolderFromISR().\r
+       + Corrected use of portNVIC_PENDSVSET to portNVIC_PENDSVSET_BIT in MPU ports.\r
+       + Introduced configSTACK_DEPTH_TYPE to allow users to change the type used\r
+         to specify the stack size when using xTaskCreate().  For historic reasons,\r
+         when FreeRTOS was only used on small MCUs, the type was set to uint16_t,\r
+         but that can be too restrictive when FreeRTOS is used on larger\r
+         processors.  configSTACK_DEPTH_TYPE defaults to uint16_t.\r
+         xTaskCreateStatic(), being a newer function, used a uint32_t.\r
+       + Increase the priority of the Windows threads used by the Win32 port.  As\r
+         all the threads run on the same core, and the threads run with very high\r
+         priority, there is a risk that the host will become unresponsive, so also\r
+         prevent the Windows port executing on single core hosts.\r
+\r
+Changes between FreeRTOS V9.0.0 and FreeRTOS V9.0.0rc2 released May 25 2016:\r
+\r
+       See http://www.FreeRTOS.org/FreeRTOS-V9.html\r
+\r
+       RTOS kernel updates:\r
+\r
+       + The prototype of the new xTaskCreateStatic() API function was modified to\r
+         remove a parameter and improve compatibility with other new\r
+         "CreateStatic()" API functions.  The stack size parameter in\r
+         xTaskCreateStatic() is now uint32_t, which changes the prototype of the\r
+         callback functions.  See the following URL:\r
+         http://www.freertos.org/xTaskCreateStatic.html\r
+       + GCC ARM Cortex-A port:  Introduced the configUSE_TASK_FPU_SUPPORT\r
+         constant.  When configUSE_TASK_FPU_SUPPORT is set to 2 every task is\r
+         automatically given a floating point (FPU) context.\r
+       + GCC ARM Cortex-A port:  It is now possible to automatically save and\r
+         restore all floating point (FPU) registers on entry to each potentially\r
+         nested interrupt by defining vApplicationFPUSafeIRQHandler() instead of\r
+         vApplicationIRQHandler().\r
+       + All ARM Cortex-M3/4F/7 ports:  Clear the least significant bit of the task\r
+         entry address placed onto the stack of a task when the task is created for\r
+         strict compliance with the ARM Cortex-M3/4/7 architecture documentation\r
+         (no noticeable effect unless using the QMEU emulator).\r
+       + Added GCC and Keil ARM Cortex-M4F MPU ports - previously the MPU was only\r
+         supported on ARM Cortex-M3.\r
+       + ARM Cortex-M3/4F MPU ports:  Update to fully support the FreeRTOS V9.0.0\r
+         API (other than static object creation) and added the\r
+         FreeRTOS/Demo/CORTEX_MPU_Simulator_Keil_GCC demo application to\r
+         demonstrate how to use the updated MPU port.\r
+       + All ARM Cortex-M3/4F/7 ports:  Add additional barrier instructions to the\r
+         default low power tickless implementation.\r
+       + All ARM Cortex-M0 ports:  Prevent an item being left on the stack of the\r
+         first task that executes.\r
+       + Win32 ports:  Reduce the amount of stack used and change the way Windows\r
+         threads are deleted to increase the maximum execution time.\r
+       + Add an ARM Cortex-M4F port for the MikroC compiler.  Ensure to read the\r
+         documentation page for this port before use.\r
+       + MPS430X IAR port:  Update to be compatible with the latest EW430 tools\r
+         release.\r
+       + IAR32 GCC port:  Correct vPortExitCritical() when\r
+         configMAX_API_CALL_INTERRUPT_PRIORITY == portMAX_PRIORITY.\r
+       + For consistency vTaskGetTaskInfo() now has the alias vTaskGetInfo(),\r
+         xTaskGetTaskHandle() now has the alias xTaskGetHandle() and\r
+         pcQueueGetQueueName() now has an alias pcQueueGetName().\r
+       + Fix various errors in comments and compiler warnings.\r
+\r
+       Demo application updates:\r
+\r
+       + Update Atmel Studio projects to use Atmel Studio 7.\r
+       + Update Xilinx SDK projects to use the 2016.1 version of the SDK.\r
+       + Remove dependency on legacy IO libraries from the PIC32 demos.\r
+       + Move the Xilinx UltraScale Cortex-R5 demo into the main distribution.\r
+       + Update the MSP432 libraries to the latest version.\r
+       + Add Microchip CEC1302 (ARM Cortex-M4F) demos for GCC, Keil and MikroC\r
+         compilers.\r
+       + Move the Atmel SAMA5D2 demo into the main distribution.\r
+\r
+Changes between FreeRTOS V9.0.0rc1 and FreeRTOS V9.0.0rc2 (release candidate 2)\r
+released March 30 2016:\r
+\r
+       NOTE - See http://www.FreeRTOS.org/FreeRTOS-V9.html for details\r
+\r
+       + The functions that create RTOS objects using static memory allocation have\r
+         been simplified and will not revert to using dynamic allocation if a\r
+         buffer is passed into a function as NULL.\r
+       + Introduced the configSUPPORT_DYNAMIC_ALLOCATION configuration constant to\r
+         allow a FreeRTOS application to be built without a heap even being being\r
+         defined. The Win32 example located in the\r
+         /FreeRTOS/demo/WIN32-MSVC-Static-Allocation-Only directory is provided as\r
+         a reference for projects that do not include a FreeRTOS heap.\r
+       + Minor run-time optimisations.\r
+       + Two new low power tickless implementations that target Silicon Labs EFM32\r
+         microcontrollers.\r
+       + Addition of the xTimerGetPeriod() and xTimerGetExpireTime() API functions.\r
+\r
+Changes between FreeRTOS V8.2.3 and FreeRTOS V9.0.0rc1 (release candidate 1)\r
+released February 19 2016:\r
+\r
+       RTOS Kernel Updates:\r
+\r
+       + Major new feature - tasks, semaphores, queues, timers and event groups can\r
+         now be created using statically allocated memory, so without any calls to\r
+         pvPortMalloc().\r
+       + Major new features - Added the xTaskAbortDelay() API function which allows\r
+         one task to force another task to immediately leave the Blocked state,\r
+         even if the event the blocked task is waiting for has not occurred, or the\r
+         blocked task's timeout has not expired.\r
+       + Updates necessary to allow FreeRTOS to run on 64-bit architectures.\r
+       + Added vApplicationDaemonTaskStartupHook() which executes when the RTOS\r
+         daemon task (which used to be called the timer service task) starts\r
+         running.  This is useful if the application includes initialisation code\r
+         that would benefit from executing after the scheduler has been started.\r
+       + Added the xTaskGetTaskHandle() API function, which obtains a task handle\r
+         from the task's name.  xTaskGetTaskHandle() uses multiple string compare\r
+         operations, so it is recommended that it is called only once per task.\r
+         The handle returned by xTaskGetTaskHandle() can then be stored locally for\r
+         later re-use.\r
+       + Added the pcQueueGetQueueName() API function, which obtains the name of\r
+         a queue from the queue's handle.\r
+       + Tickless idling (for low power applications) can now also be used when\r
+         configUSE_PREEMPTION is 0.\r
+       + If one task deletes another task, then the stack and TCB of the deleted\r
+         task is now freed immediately.  If a task deletes itself, then the stack\r
+         and TCB of the deleted task are freed by the Idle task as before.\r
+       + If a task notification is used to unblock a task from an ISR, but the\r
+         xHigherPriorityTaskWoken parameter is not used, then pend a context switch\r
+         that will then occur during the next tick interrupt.\r
+       + Heap_1.c and Heap_2.c now use the configAPPLICATION_ALLOCATED_HEAP\r
+         settings, which previously was only used by heap_4.c.\r
+         configAPPLICATION_ALLOCATED_HEAP allows the application writer to declare\r
+         the array that will be used as the FreeRTOS heap, and in-so-doing, place\r
+         the heap at a specific memory location.\r
+       + TaskStatus_t structures are used to obtain details of a task.\r
+         TaskStatus_t now includes the bae address of the task's stack.\r
+       + Added the vTaskGetTaskInfo() API function, which returns a TaskStatus_t\r
+         structure that contains information about a single task.  Previously this\r
+         information could only be obtained for all the tasks at once, as an array\r
+         of TaskStatus_t structures.\r
+       + Added the uxSemaphoreGetCount() API function.\r
+       + Replicate previous Cortex-M4F and Cortex-M7 optimisations in some\r
+         Cortex-M3 port layers.\r
+\r
+       Demo Application Updates:\r
+\r
+       Further demo applications will be added prior to the final FreeRTOS V9\r
+       release.\r
+\r
+       + Updated SAM4L Atmel Studio project to use Atmel Studio 7.\r
+       + Added ARM Cortex-A53 64-bit port.\r
+       + Added a port and demo for the ARM Cortex-A53 64-bit cores on the Xilinx\r
+         Ultrascale MPSoC.\r
+       + Added Cortex-M7 SAME70 GCC demo.\r
+       + Added EFM32 Giant and Wonder Gecko demos.\r
+\r
+\r
+Changes between V8.2.2 and V8.2.3 released October 16, 2015\r
+\r
+       RTOS kernel updates:\r
+\r
+       + Fix bug identified in a modification made in V8.2.2 to the software timer\r
+         code that allows tickless low power applications to sleep indefinitely\r
+         when software timers are used.\r
+       + Simplify and improve efficiency of stack overflow checking.\r
+       + Add xTaskNotifyStateClear() API function.\r
+       + New IAR and GCC Cortex-R ports for microprocessors that do not use an ARM\r
+         generic interrupt controller (GIC).\r
+       + New PIC32MEC14xx port.\r
+       + Add support for PIC32MZ EF parts (with floating point) into the PIC32MZ\r
+         port.\r
+       + Zynq7000 port layer now declares the functions that setup and clear the\r
+         tick interrupt as weak symbols so they can be overridden by the\r
+         application, and uses a global XScuGic object so the same object can be\r
+         used by the application code.\r
+       + Introduced configUSE_TASK_FPU_SUPPORT, although the PIC32MZ EF port is\r
+         currently the only port that uses it.\r
+       + Updates to RL78 and 78K0 IAR port layers to improve support for\r
+         combinations of memory models.\r
+       + Minor updates to heap_5.c to remove compiler warnings generated by some\r
+         compilers.\r
+       + License simplifications.  See /FreeRTOS/License/license.txt in the\r
+         official distribution.\r
+\r
+       FreeRTOS+ updates:\r
+\r
+       + Update directory names to use WolfSSL instead of CyaSSL, inline with\r
+         WolfSSL's re-branding.\r
+       + Update to latest WolfSSL code.\r
+       + Update to latest FreeRTOS+Trace recorder code.\r
+       + Add in the FreeRTOS+Trace recorder library required for streaming trace.\r
+\r
+       Demo application changes:\r
+\r
+       + Add demo applications for Renesas RZ/T (Cortex-R), PIC32MZ EF (PIC32 with\r
+         floating point hardware), PIC32MEC14xx, RX71M, RX113 and RX231.\r
+       + General tidy up of spelling and compiler warnings.\r
+\r
+\r
+Changes between V8.2.1 and V8.2.2 released August 12, 2015\r
+\r
+       RTOS kernel updates:\r
+\r
+       + Added Intel IA32/x86 32-bit port.\r
+       + General maintenance.\r
+       + PRIVILEGED_FUNCTION and PRIVILEGED_DATA macros, which are used in memory\r
+         protected systems, have been added to the newer event group and software\r
+         timer functions.\r
+       + Add the errno definitions used by FreeRTOS+ components into projdefs.h.\r
+       + Remove the restriction that prevented tick-less idle implementations\r
+         waiting indefinitely when software timers were used in the same\r
+         application.\r
+       + Introduce xTaskNotifyAndQueryFromISR() as the interrupt safe version of\r
+         xTaskNotifyAndQuery().\r
+       + Add additional NOPs to the MSP430X port layers to ensure strict compliance\r
+         with the hardware documentation.\r
+       + Microblaze port: Added option for port optimised task selection.\r
+       + Microblaze port: Previously tasks inherited the exception enable state\r
+         at the time the task was created.  Now all tasks are created with\r
+         exceptions enabled if the Microblaze design supports exceptions.\r
+       + Windows port: Add additional safe guards to ensure the correct start up\r
+         sequence and thread switching timing.\r
+       + Windows port: Improve the implementation of the port optimised task\r
+         selection assembly code.\r
+       + Update heap_4 and heap_5 to allow use on 64-bit processors.\r
+       + Simplify the code that creates a queue.\r
+       + General improved tick-less idle behaviour.\r
+       + Ensure none of the variables in the common kernel files are initialised to\r
+         anything other than zero.\r
+       + Correct calculation of xHeapStructSize in heap_4 and heap_5.\r
+\r
+       Demo application updates:\r
+\r
+       + Added demo project for the new IA32/x86 port that targets the Galileo\r
+         hardware.\r
+       + Added MSP430FR5969 demos (previously provided as a separate download).\r
+       + Added FreeRTOS BSP repository for automatic creation of FreeRTOS\r
+         applications in the Xilinx SDK.\r
+       + Added Atmel Studio / GCC project for the SAMV71 (ARM Cortex-M7)\r
+       + Update Xilinx SDK projects to use version 2015.2 of the SDK.\r
+       + Remove Microblaze demos that were using obsolete tools.\r
+       + Add MSP43FR5969 IAR and CCS demos.\r
+\r
+       FreeRTOS+ Updates:\r
+\r
+       + Updated FreeRTOS+Trace recorder library, which requires an update to the\r
+         FreeRTOS+Trace application.\r
+       + Added Reliance Edge source code and demo application.  Reliance edge is\r
+         a fail safe transactional file system ideal for applications that require\r
+         file storage, and especially when high reliability is essential.\r
+       + Introduce configAPPLICATION_PROVIDES_cOutputBuffer to allow FreeRTOS+CLI\r
+         users to place the output buffer at a fixed memory address.\r
+       + Improve the NetworkInterface.c file provided for the Windows port of\r
+         FreeRTOS+UDP.\r
+\r
+Changes between V8.2.0 and V8.2.1 released 24th March 2015.\r
+\r
+       RTOS kernel updates:\r
+\r
+       + Added user definable and flexible thread local storage facility.\r
+       + Added vTimerSetTimerID() API function to complement the pvTimerGetTimerID()\r
+         function to allow the timer's ID to be used as timer local storage.\r
+       + Fixed a potential issue related to the use of queue sets from an ISR.\r
+       + Some updates to the Xilinx Microblaze GCC port.\r
+       + Added ARM Cortex-M4F port for Texas Instruments Code Composer Studio.\r
+       + Added ARM Cortex-M7 r0p1 port layer for IAR, GCC and Keil which contains a\r
+         minor errata work around.  All other ARM Cortex-M7 core revisions should\r
+         use the ARM Cortex-M4F port.\r
+       + Exclude the whole of croutine.c if configUSE_CO_ROUTINES is set to 0.\r
+       + Change some data types from uint32_t to size_t in preparation for 64-bit\r
+         Windows port.\r
+       + Update the PIC32 port to remove deprecation warnings output by the latest\r
+         XC32 compilers.\r
+       + Fix bug when xQueueOverwrite() and xQueueOverwrite() from ISR are used to\r
+         overwrite items in two queues that are part of the same set.\r
+\r
+       Demo application updates:\r
+\r
+       + Added demo application for TI's ARM Cortex-M4F based MSP432\r
+         microcontroller using IAR, Keil and CCS compilers.\r
+       + Added demo application for STM32F ARM Cortex-M7 based microcontroller\r
+         using IAR and Keil.\r
+       + Added demo application for Atmel SAMV71 ARM Cortex-M7 based\r
+         microcontroller using IAR and Keil.\r
+       + Added Microblaze demo that uses the 2014.4 version of the Xilinx SDK and\r
+         runs on the KC705 evaluation board (Kintex FPGA).\r
+\r
+Changes between V8.1.2 and V8.2.0 released 16th January 2015\r
+\r
+       Changes between release candidate 1 and the official release are restricted\r
+       to maintenance only.\r
+\r
+       Significant RTOS kernel updates:\r
+\r
+       + MAJOR NEW FEATURE!  Task notifications.  Please see the following URL for\r
+         details: http://www.FreeRTOS.org/RTOS-task-notifications.html\r
+       + NEW HEADER FILE REQUIRED!  Obsolete definitions have been separated into\r
+         a new header file called FreeRTOS/Source/include/deprecated_definitions.h.\r
+         This header file must be present to build.  Note some of the obsolete\r
+         definitions are still used by very old demo application projects.\r
+\r
+       Other RTOS kernel updates:\r
+\r
+       + Made xSemaphoreGiveFromISR() a function rather than a macro that calls\r
+         xQueueGenericSendFromISR().  This allows for major performance\r
+         enhancements at the expense of some additional code size if both functions\r
+         are used in the same application.  NOTE:  In most uses cases such use of\r
+         a semaphore can now be replaced with a task notification which is smaller\r
+         and faster still.\r
+       + The TCB is now always allocated such that the task's stack grows away from\r
+         the TCB (improves debugging of stack overflows as the overflow will not\r
+         overwrite the task's name).\r
+       + GCC, IAR and Keil Cortex-M4F ports now use more inlining (performance\r
+         enhancements at the cost of a little additional code space).\r
+       + Queues are now allocated with a single call to pvPortMalloc() which\r
+         allocates both the queue structure and the queue storage area.\r
+       + Introduced a new critical section macro for reading the tick count that\r
+         defines away to nothing in cases where the width of the tick allows the\r
+         tick count to be read atomically (performance benefits - especially when\r
+         optimisation is on).\r
+       + Introduced configAPPLICATION_ALLOCATED_HEAP in heap_4.c to allow the\r
+         application writer to provide their own heap array - and in so doing\r
+         control the location of the heap.\r
+       + Introduced configUSE_LIST_DATA_INTEGRITY_CHECK_BYTES which, when set, will\r
+         include known values in both list and list item structures.  The values\r
+         are intended to assist debugging.  If the values get overwritten then it\r
+         is likely application code has written over RAM used by the kernel.\r
+       + configASSERT()s in all Cortex-M ports used to test the lowest 5 bits of\r
+         the interrupt control register to detect taskENTER_CRITICAL() being called\r
+         from an interrupt.  This has been changed to test all 8 bits.\r
+       + Introduced uxTaskPriorityGetFromISR().\r
+       + Microblze V8 port now tests XPAR_MICROBLAZE_0_USE_FPU for inequality to 0\r
+         rather than equality to 1, and 2 and 3 are also valid values.\r
+       + Cortex-A5 GIC-less port no longer passes the address of the interrupting\r
+         peripheral into the interrupt handler.\r
+       + Fix an issue in FreeRTOS-MPU where an attempt was made to free the stack\r
+         belonging to a task when the task was deleted, even when the stack was\r
+         allocated statically.\r
+       + Utility (helper) functions that format task statistic information into\r
+         human readable tables now pad task names with spaces to ensure columns\r
+         line up correctly even where task name lengths vary greatly.\r
+       + Update FreeRTOS+Trace recorder library to version 2.7.0.\r
+\r
+       Demo application updates:\r
+\r
+       + Added two new standard demo task sets:  IntSemTest and TaskNotify.\r
+       + Added port and demo application for Atmel SAMA5D4 Cortex-A5 MPU.\r
+       + Added demo application for Altera Cyclone V Cortex-A9 MPU.\r
+       + Updated Zynq demo to use version 2014.4 of Xilinx's SDK and added in\r
+         demo tasks for new RTOS features.\r
+       + Updated Atmel SAM4E and SAM4S demos to include a lot of additional test\r
+         and demo tasks.\r
+       + Fixed a corner case issue in Atmel SAM4L low power tickless\r
+         implementation, and added button interrupt handling.\r
+       + Make the interrupt queue tests more tolerant to heave CPU loads.\r
+       + Updated MSVC FreeRTOS simulator demo to include the latest standard test\r
+         and demo tasks.\r
+       + Updated MingW/Eclipse FreeRTOS simulator demo to match the FreeRTOS MSVC\r
+         simulator demo.\r
+       + Updated all demos that use FreeRTOS+Trace to work with the latest trace\r
+         recorder code.\r
+\r
+\r
+Changes between V8.1.1 and V8.1.2 released September 2nd 2014\r
+\r
+       Move the defaulting of configUSE_PORT_OPTIMISED_TASK_SELECTION into the\r
+       individual port layers where necessary so it does not affect ports that do\r
+       not support the definition.\r
+\r
+Changes between V8.1.0 and V8.1.1 released August 29th 2014\r
+\r
+       By popular requests - a minor patch to V8.1.0 to re-instate the ability to\r
+       give a mutex type semaphore (with priority inheritance) from an interrupt\r
+       handler.\r
+\r
+Changes between V8.0.1 and V8.1.0 released August 26th 2014\r
+\r
+       FreeRTOS scheduler, kernel, demo and test updates:\r
+\r
+       + Improved the priority inheritance algorithms to assist integration with\r
+         off the shelf middleware that may hold multiple mutexes simultaneously.\r
+       + Introduce heap_5.c, which is similar to heap_4.c but allows the heap to\r
+         span multiple non-contiguous memory regions.\r
+       + Updated all Cortex-A9 ports to help trap a couple of common usage errors -\r
+         the first being when a task incorrectly attempts to exit its implementing\r
+         function and the second being when a non interrupt safe API function is\r
+         called from an interrupt.\r
+       + Update all Cortex-A9 ports to remove obsolete mode switches prior to\r
+         restoring a task context.\r
+       + configUSE_PORT_OPTIMISED_TASK_SELECTION now defaults to 1 instead of 0.\r
+       + Update all Cortex-M3/4F ports to trap a non interrupt safe API function\r
+         being called from an interrupt handler.\r
+       + Simplify the alignment checks in heap_4.c.\r
+       + Update the MSVC Windows simulator demo to use heap_5.c in place of\r
+         heap_4.c to ensure end users have an example to refer to.\r
+       + Updated standard demo test code to test the new priority inheritance\r
+         algorithms.\r
+       + Updated the standard demo tasks to make use of stdint and the FreeRTOS\r
+         specific typedefs that were introduced in FreeRTOS V8.0.0.\r
+       + Introduce the pdMS_TO_TICKS() macro as a more user friendly and intuitive\r
+         alternative to pdTICKS_PER_MS - both of which can be used to convert a\r
+         time specified in milliseconds to a time specified in RTOS ticks.\r
+       + Fix a bug in the Tasking compiler's Cortex-M port that resulted in an\r
+         incorrect value being written to the basepri register.  This only effects\r
+         users of the Tasking compiler.\r
+       + Update the Zynq demo to use version 2014.2 of the SDK and add in an lwIP\r
+         example that demonstrates lwIP being used with both its raw and sockets\r
+         interfaces.\r
+       + Updated the CCS Cortex-R4 port to enable it to be built with the latest\r
+         CCS compiler.\r
+\r
+       New ports and demo applications:\r
+\r
+       + Two Renesas RX64M ports (RXv2 core) and demos introduced, one for the GCC\r
+         compiler and one for the Renesas compiler.  Both demos use e2 studio.\r
+       + Generic IAR Cortex-A5 port (without any reliance on a GIC) introduced.\r
+         The new port is demonstrated on an Atmel SAMA5D3 XPlained board.\r
+\r
+       FreeRTOS+ component updates:\r
+\r
+       + Update CyaSSL to the latest version.\r
+       + Updated the FreeRTOS+ components supplied directly by Real Time Engineers\r
+         Ltd. to make use of stdint and the FreeRTOS specific typedefs that were\r
+         introduced in FreeRTOS V8.0.0.\r
+       + Rework and simplify the FreeRTOS+FAT SL RAM disk driver.\r
+\r
+       Miscellaneous updates and maintenance:\r
+\r
+       + Update the IAR and DS-5/ARM RZ demos to target the official RZ RSK\r
+         hardware in place of the previously targeted Renesas internal (not\r
+         publicly available) hardware.\r
+       + Various other maintenance tasks.\r
+\r
+\r
+Changes between V8.0.0 and V8.0.1 released 2nd May 2014\r
+\r
+       + Minor fixes to the event group functionality that was released in V8.0.0.\r
+         The 'clear bits from ISR' functionality is now implemented using a\r
+         deferred interrupt callback instead of a function, and the 'wait bits' and\r
+         'task sync' functions now correctly clear internal control bits before\r
+         returning a value in every possible path through the respective functions.\r
+       + Ensure the updating of internal control data is protected by a critical\r
+         section after a task is deleted or suspended.\r
+       + Minor fixes to FreeRTOS+FAT SL - namely seeking beyond the end of a file\r
+         when the offset was not a multiple of the sector size.\r
+       + Ensure Cortex-A9 system registers are only ever accessed as 32-bit values,\r
+         even when only the lest significant byte of the register is implemented.\r
+\r
+       Other updates:\r
+\r
+       + Updated the XMC4200 IAR project so it links with version 7.x of the IAR\r
+         tools.\r
+       + Add RL78L1C demo.\r
+       + Add pcTimerGetName() API function.\r
+       + Call _reclaim_reent() when a task is deleted if configUSE_NEWLIB_REENTRANT\r
+         is defined.\r
+\r
+Changes between V7.6.0 and V8.0.0 released 19th Feb 2014\r
+\r
+       http://www.freertos.org/upgrading-to-FreeRTOS-V8.html\r
+\r
+       FreeRTOS V8.x.x is a drop-in compatible replacement for FreeRTOS V7.x.x,\r
+       although a change to the type used to reference character strings may result\r
+       in application code generating a few (easily clearable) compiler warnings\r
+       after the upgrade, and an updated typedef naming convention means use of the\r
+       old typedef names is now discouraged.\r
+       See http://www.freertos.org/upgrading-to-FreeRTOS-V8.html for full\r
+       information.\r
+\r
+       New features and functionality:\r
+\r
+       + Event groups - see http://www.freertos.org/FreeRTOS-Event-Groups.html\r
+       + Centralised deferred interrupt processing - see\r
+         http://www.freertos.org/xTimerPendFunctionCallFromISR.html\r
+\r
+       Other updates:\r
+\r
+       + Previously, when a task left the Blocked state, a context switch was\r
+         performed if the priority of the unblocked task was greater than or equal\r
+         to the priority of the Running task.  Now a context switch is only\r
+         performed if the priority of the unblocked task is greater than the\r
+         priority of the Running task.\r
+       + New low power tickless demonstration project that targets the ST STM32L\r
+         microcontroller - see\r
+         http://www.freertos.org/STM32L-discovery-low-power-tickless-RTOS-demo.html\r
+       + Add xPortGetMinimumEverFreeHeapSize() to heap_4.c.\r
+       + Small change to the tickless low power implementation on the SAM4L to\r
+         ensure the alarm value (compare match value) cannot be set to zero when a\r
+         tickless period is exited due to an interrupt originating from a source\r
+         other than the RTOS tick.\r
+       + Update the GCC/Eclipse Win32 simulator demo to make better use of Eclipse\r
+         resource filters and match the functionality of the MSVC equivalent.\r
+       + xTaskIsTaskSuspended() is no longer a public function.  Use\r
+         eTaskGetState() in its place.\r
+       + Improved trace macros, including tracing of heap usage.\r
+       + Remove one level of indirection when accepting interrupts on the PIC32MZ.\r
+       + Add Cortex-A9 GCC port layer.\r
+       + Add Xilinx Zynq demo application.\r
+\r
+\r
+Changes between V7.5.3 and V7.6.0 released 18th November 2013\r
+\r
+       V7.6.0 changes some behaviour when the co-operative scheduler is used (when\r
+       configUSE_PREEMPTION is set to 0).  It is important to note that the\r
+       behaviour of the pre-emptive scheduler is unchanged - the following\r
+       description only applies when configUSE_PREEMPTION is set to 0:\r
+\r
+       WHEN configUSE_PREEMPTION IS SET TO 0 (which is in a small minority of\r
+       cases) a context switch will now only occur when a task places itself into\r
+       the Blocked state, or explicitly calls taskYIELD().  This differs from\r
+       previous versions, where a context switch would also occur when implicitly\r
+       moving a higher priority task out of the Blocked state.  For example,\r
+       previously, WHEN PREEMPTION WAS TURNED OFF, if task A unblocks task B by\r
+       writing to a queue, then the scheduler would switch to the higher priority\r
+       task.  Now, WHEN PREEMPTION IS TURNED OFF, if task A unblocks task B by\r
+       writing to a queue, task B will not start running until task A enters the\r
+       Blocked state or task A calls taskYIELD().  [If configUSE_PREEMPTION is not\r
+       set to 0, so the normal pre-emptive scheduler is being used, then task B\r
+       will start running immediately that it is moved out of the Blocked state].\r
+\r
+       Other changes:\r
+\r
+       + Added a port layer and a demo project for the new PIC32MZ architecture.\r
+       + Update the PIC32MX port layer to re-introduce some ehb instructions that\r
+         were previously removed, add the ability to catch interrupt stack\r
+         overflows (previously only task stack overflows were trapped), and also\r
+         add the ability to catch an application task incorrectly attempting to\r
+         return from its implementing function.\r
+       + Make dramatic improvements to the performance of the Win32 simulator port\r
+         layer.\r
+       + Ensure tasks that are blocked indefinitely report their state as Blocked\r
+         instead of Suspended.\r
+       + Slight improvement to the Cortex-M4F port layers where previously one\r
+         register was inadvertently being saved twice.\r
+       + Introduce the xSemaphoreCreateBinary() API function to ensure consistency\r
+         in the semantics of how each semaphore type is created.  It is no longer\r
+         recommended to use vSemaphoreCreateBinary() (the version prefixed with a\r
+         'v'), although it will remain in the code for backward compatibility.\r
+       + Update the Cortex-M0 port layers to allow the scheduler to be started\r
+         without using the SVC handler.\r
+       + Added a build configuration to the PIC32MX MPLAB X demo project that\r
+         targets the PIC32 USB II starter kit.  Previously all the build\r
+         configurations required the Explorer 16 hardware.\r
+       + Some of the standard demo tasks have been updated to ensure they execute\r
+         correctly with the updated co-operative scheduling behaviour.\r
+       + Added comprehensive demo for the Atmel SAM4E, including use of\r
+         FreeRTOS+UDP, FreeRTOS+FAT SL and FreeRTOS+CLI.\r
+\r
+       FreeRTOS+ Changes:\r
+\r
+       + Minor maintenance on FreeRTOS+UDP.\r
+\r
+Changes between V7.5.2 and V7.5.3 released October 14 2013\r
+\r
+       Kernel changes:\r
+\r
+       + Prior to V7.5.x yields requested from the tick hook would occur in the\r
+         same tick interrupt - revert to that original behaviour.\r
+       + New API function uxQueueSpacesAvailable().\r
+       + Introduced the prvTaskExitError() function to Cortex-M0, Cortex-M3/4\r
+         and Cortex-M4F ports.  prvTaskExitError() is used to trap tasks that\r
+         attempt to return from their implementing functions (tasks should call\r
+         vTaskDelete( NULL ); if they want to exit).\r
+       + The Cortex-M0 version of portSET_INTERRUPT_MASK_FROM_ISR and\r
+         portCLEAR_INTERRUPT_MASK_FROM_ISR are now fully nestable.\r
+       + Improved behaviour and robustness of the default Cortex-M tickless idle\r
+         behaviour.\r
+       + Add workaround for silicon errata PMU_CM001 in Infineon XMC4000 devices to\r
+         all Cortex-M4F ports.\r
+       + Add Cortex-M0 port for Keil.\r
+       + Updated Cortus port.\r
+       + Ensure _impure_ptr is initialised before the scheduler is started.\r
+         Previously it was not set until the first context switch.\r
+\r
+       FreeRTOS+ changes:\r
+\r
+       + Update FreeRTOS+UDP to V1.0.1 - including direct integration of the\r
+         FreeRTOS+Nabto task, improvements to the DHCP behaviour, and a correction\r
+         to the test that prevents the network event hook being called on the first\r
+         network down event.  The FreeRTOS+UDP change history is maintained\r
+         separately.\r
+       + Correct the __NVIC_PRIO_BITS setting in the LPC18xx.h header files\r
+         provided in the NXP CMSIS library, then update the interrupts used by the\r
+         LPC18xx demos accordingly.\r
+       + Replace double quotes (") with single quotes (') in FreeRTOS+CLI help\r
+         strings to ensure the strings can be used with the JSON descriptions used\r
+         in the FreeRTOS+Nabto demos.\r
+\r
+       Demo and miscellaneous changes:\r
+\r
+       + Added demo for the Atmel SAMD20 Cortex-M0+.  The demo includes\r
+         FreeRTOS+CLI\r
+       + Added a demo for the Infineon Cortex-M0 that can be built with the IAR\r
+         Keil and GCC tools.\r
+       + Updated the Infineon XMC4000 demos for IAR, Keil, GCC and Tasking tools,\r
+         with additional build configurations to directly support the XMC4200 and\r
+         XMC4400 devices, in addition to the previously supported XMC4500.\r
+       + Updated the demo application.\r
+       + Added additional trace macros traceMALLOC and traceFREE to track heap\r
+         usage.\r
+\r
+Changes between V7.5.0 and V7.5.2 released July 24 2013\r
+\r
+       V7.5.2 makes the new Cortex-M vPortCheckInterruptPriority() function\r
+       compatible with the STM32 standard peripheral driver library, and adds\r
+       an extra critical section to the default low power tickless mode\r
+       implementation.  Only users of the STM32 peripheral library or the default\r
+       tickless implementation need update from version 7.5.0.\r
+\r
+Changes between V7.4.2 and V7.5.0 released July 19 2013\r
+\r
+       V7.5.0 is a major upgrade that includes multiple scheduling and efficiency\r
+       improvements, and some new API functions.\r
+\r
+       Compatibility information for FreeRTOS users:\r
+         FreeRTOS V7.5.0 is backward compatible with FreeRTOS V7.4.0 with one\r
+         exception; the vTaskList() and vTaskGetRunTimeStats() functions are now\r
+         considered legacy, having been replaced by the single uxTaskGetSystemState()\r
+         function.  configUSE_STATS_FORMATTING_FUNCTIONS must be set to 1 in\r
+         FreeRTOSConfig.h for vTaskList() and vTaskGetRunTimeStats() to be\r
+         available.\r
+\r
+       Compatibility information for FreeRTOS port writers:\r
+         vTaskIncrementTick() is now called xTaskIncrementTick() (because it now\r
+         returns a value).\r
+\r
+       Headline changes:\r
+\r
+       + Multiple scheduling and efficiency improvements.\r
+       + Core kernel files now pass PC-Lint V8 static checking without outputting\r
+         any warnings (information on the test conditions will follow).\r
+\r
+       New API functions:\r
+\r
+       + uxTaskGetSystemState() http://www.freertos.org/uxTaskGetSystemState.html\r
+       + xQueueOverwrite() http://www.freertos.org/xQueueOverwrite.html\r
+       + xQueueOverwriteFromISR()\r
+       + xQueuePeekFromISR()\r
+\r
+       The following ports and demos, which were previously available separately,\r
+       are now incorporated into the main FreeRTOS zip file download:\r
+\r
+       + ARM Cortex-A9 IAR\r
+       + ARM Cortex-A9 ARM compiler\r
+       + Renesas RZ\r
+       + Microsemi SmartFusion2\r
+\r
+       New FreeRTOSConfig.h settings\r
+       http://shop.freertos.org/FreeRTOS_API_and_Configuration_Reference_s/1822.htm\r
+\r
+       + configUSE_TIME_SLICING\r
+       + configUSE_NEWLIB_REENTRANT\r
+       + configUSE_STATS_FORMATTING_FUNCTIONS\r
+       + configINCLUDE_APPLICATION_DEFINED_PRIVILEGED_FUNCTIONS\r
+\r
+       Other changes:\r
+\r
+       + (MPU port only) The configINCLUDE_APPLICATION_DEFINED_PRIVILEGED_FUNCTIONS\r
+         options provides a mechanism that allows application writers to execute\r
+         certain functions in privileged mode even when a task is running in user\r
+         mode.\r
+       + Ports that support interrupt nesting now include a configASSERT() that\r
+         will trigger if an interrupt safe FreeRTOS function is called from an\r
+         interrupt that has a priority designated as above the maximum system/API\r
+         call interrupt priority.\r
+       + The included FreeRTOS+Trace recorder code has been updated to the latest\r
+         version, and the demo applications that use the trace recorder code have\r
+         been updated accordingly.\r
+       + The FreeRTOS Windows Simulator (MSVC version only) has been updated to\r
+         include a new basic 'blinky' build option in addition to the original\r
+         comprehensive build option.\r
+       + Improve RAM usage efficiency of heap_4.c and heap_2.c.\r
+       + Prevent heap_4.c from attempting to free memory blocks that were not\r
+         allocated by heap_4.c, or have already been freed.\r
+       + As FreeRTOS now comes with FreeRTOS+FAT SL (donated by HCC) the Chan FATfs\r
+         files have been removed from FreeRTOS/Demo/Common.\r
+       + Fix build error when R4 port is build in co-operative mode.\r
+       + Multiple port and demo application maintenance activities.\r
+\r
+Changes between V7.4.1 and V7.4.2 released May 1 2013\r
+\r
+       NOTE: There are no changes in the FreeRTOS kernel between V7.4.1 and V7.4.2\r
+\r
+       + Added FreeRTOS+FAT SL source code and demo project.  The demo project\r
+         runs in the FreeRTOS Windows simulator for easy and hardware independent\r
+         experimentation and evaluation.  See http://www.FreeRTOS.org/fat_sl\r
+\r
+Changes between V7.4.0 and V7.4.1 released April 18 2013\r
+\r
+       + To ensure strict conformance with the spec and ensure compatibility with\r
+         future chips data and instruction barrier instructions have been added to\r
+         the yield macros of Cortex-M and Cortex-R port layers.  For efficiency\r
+         the Cortex-M port layer "yield" and "yield" from ISR are now implemented\r
+         separately as the barrier instructions are not required in the ISR case.\r
+       + Added FreeRTOS+UDP into main download.\r
+       + Reorganised the FreeRTOS+ directory so it now matches the FreeRTOS\r
+         directory with Source and Demo subdirectories.\r
+       + Implemented the Berkeley sockets select() function in FreeRTOS+UDP.\r
+       + Changed (unsigned) casting in calls to standard library functions with\r
+         (size_t) casting.\r
+       + Added the Atmel SAM4L and Renesas RX100 demos that demonstrates the\r
+         tickless (tick suppression) low power FreeRTOS features.\r
+       + Add a new RL78 IAR demo that targets numerous new RL78 chips and\r
+         evaluation boards.\r
+       + Adjusted stack alignment on RX200 ports to ensure an assert was not\r
+         falsely triggered when configASSERT() is defined.\r
+       + Updated the Cortex_M4F_Infineon_XMC4500_IAR demo to build with the latest\r
+         version of EWARM.\r
+       + Corrected header comments in the het.c and het.h files (RM48/TMS570 demo).\r
+\r
+\r
+Changes between V7.3.0 and V7.4.0 released February 20 2013\r
+\r
+       + New feature:  Queue sets.  See:\r
+         http://www.FreeRTOS.org/Pend-on-multiple-rtos-objects.html\r
+       + Overhauled the default tickless idle mode implementation provided with the\r
+         ARM Cortex-M3 port layers.\r
+       + Enhanced tickless support in the core kernel code with the introduction of\r
+         the configEXPECTED_IDLE_TIME_BEFORE_SLEEP macro and the\r
+         eTaskConfirmSleepModeStatus() function.\r
+       + Added the QueueSet.c common demo/test file.  Several demo applications\r
+         have been updated to use the new demo/test tasks.\r
+       + Removed reliance on the PLIB libraries from the MPLAB PIC32 port layer and\r
+         demo applications.\r
+       + Added the FreeRTOS+Trace recorder code to the MSVC Win32 demo.\r
+       + Renamed eTaskStateGet() to eTaskGetState() for consistency, and added a\r
+         pre-processor macro for backward compatibility with the previous name.\r
+       + Updated functions implemented in the core queue.c source file to allow\r
+         queue.h to be included from the .c file directly (this prevents compiler\r
+         warnings that were generated by some compilers).\r
+       + Updated the CCS Cortex-R4 port layer to replace the CLZ assembler function\r
+         with the CLZ compiler intrinsic that is provided by the latest versions of\r
+         the CCS ARM compiler.\r
+       + Updated all heap_x.c implementations to replace the structure that was\r
+         used to ensure the start of the heap was aligned with a more portable\r
+         direct C code implementation.\r
+       + Added support for PIC24 devices that include EDS.\r
+       + Minor optimisations to the PIC32 port layer.\r
+       + Minor changes to tasks.c that allow the state viewer plug-ins to display\r
+         additional information.\r
+       + Bug fix:  Update prvProcessReceivedCommands() in timers.c to remove an\r
+         issue that could occur if the priority of the timer daemon task was set\r
+         below the priority of tasks that used timer services.\r
+       + Update the FreeRTOS+Trace recorder code to the latest version.\r
+\r
+Changes between V7.2.0 and V7.3.0 released October 31 2012\r
+\r
+       + Added ability to override the default scheduler task selection mechanism\r
+         with implementations that make use of architecture specific instructions.\r
+       + Added ability to suppress tick interrupts during idle time, and in so\r
+         doing, provide the ability to make use of architecture specific low power\r
+         functionality.\r
+       + Added the portSUPPRESS_TICKS_AND_SLEEP() macro and vTaskStepTick() helper\r
+         function.\r
+       + Added the configSYSTICK_CLOCK_HZ configuration constant.\r
+       + Reworked the Cortex-M3 and Cortex-M4F port layers for GCC, Keil and IAR to\r
+         directly support basic power saving functionality.\r
+       + Added hooks to allow basic power saving to be augmented in the application\r
+         by making use of chip specific functionality.\r
+       + Minor change to allow mutex type semaphores to be used from interrupts\r
+         (which would not be a normal usage model for a mutex).\r
+       + Change the behaviour of the interrupt safe interrupt mask save and restore\r
+         macros in the Cortex-M ports.  The save macro now returns the previous\r
+         mask value.  The restore macro now uses the previous mask value.  These\r
+         changes are not necessary for the kernel's own implementation, and are\r
+         made purely because the macros were being used by application writers.\r
+       + Added eTaskStateGet() API function.\r
+       + Added port specific optimisations to the PIC32 port layer, and updated the\r
+         PIC32 demo applications to make use of this new feature.\r
+       + Added port specific optimisations to the Win32 simulator port.\r
+       + Added new ports and demo applications for the TI Hercules RM48 and TMS570\r
+         safety microcontrollers.\r
+       + Added SAM3 demos targeting the ATSAM3S-EK2 and ATSAM3X-EK evaluation\r
+         boards.\r
+       + Updated the PIC32 MPLAB X project to manually set the compiler include\r
+         paths instead of using the IDE entry box following reports that the\r
+         include paths were somehow being deleted.\r
+       + Improved character handling in FreeRTOS+CLI.\r
+\r
+Changes between V7.1.1 and V7.2.0 released 14 August 2012\r
+\r
+       FreeRTOS V7.2.0 is backward compatible with FreeRTOS V7.1.2.\r
+\r
+       + Added a FreeRTOS+ sub-directory.  The directory contains some FreeRTOS+\r
+         source code, and example projects that use the FreeRTOS Win32 simulator.\r
+       + Added a new example heap allocation implementation (heap_4.c) that\r
+         includes memory block coalescence.\r
+       + Added a demo that targets the Atmel SAM4S Cortex-M4 based microcontroller.\r
+         The demo is preconfigured to build using the free Atmel Studio 6 IDE and\r
+         GCC compiler.\r
+       + Added xSemaphoreTakeFromISR() implementation.\r
+       + The last parameter in ISR safe FreeRTOS queue and semaphore functions\r
+         (xHigherPriorityTaskWoken) is now optional and can be set to NULL if it\r
+         is not required.\r
+       + Update the IAR and MSP430X ports to clear all lower power mode bits before\r
+         exiting the tick interrupt [bug fix].\r
+       + Allow xQueueReset() to be used, even when the queues event lists are not\r
+         empty.\r
+       + Added a vQueueDelete() handler for the FreeRTOS MPU port (this was\r
+         previously missing).\r
+       + Updated the vPortSVCHandler() functions in the FreeRTOS MPU port layer to\r
+         ensure it compiles with the latest ARM GCC compilers from Linaro.\r
+       + Updated the prvReadGP() function in the NIOS II port to ensure the compiler\r
+         can choose any register for the functions parameter (required at high\r
+         compiler optimisation levels).\r
+       + Add #error macros into the Keil and IAR Cortex-M ports to ensure they\r
+         cannot be built if the user has set configMAX_SYSCALL_INTERRUPT_PRIORITY\r
+         to 0.\r
+       + Added comments in the FreeRTOSConfig.h files associated with Cortex-M3 and\r
+         Cortex-M4 demos stating that the configMAX_SYSCALL_INTERRUPT_PRIORITY\r
+         parameter must not be set to 0.\r
+       + Introduce new INCLUDE_xQueueGetMutexHolder configuration constant\r
+         (defaulted to 0).\r
+       + Added two new list handling macros - for internal use only in upcoming new\r
+         products.\r
+       + Removed all mention of the legacy vTaskStartTrace and ulTaskEndTrace\r
+         macros.  FreeRTOS+Trace supersedes the legacy trace.\r
+       + Added a configASSERT() into the vPortFree() function in heap_1.c as it is\r
+         invalid for the function to be called.\r
+       + Made the xRxLock and xTxLock members of the queue structure volatile.\r
+         This is probably not necessary, and is included as a precautionary\r
+         measure.\r
+       + Modify the assert() that checks to see if the priority passed into an\r
+         xTaskCreate() function is within valid bounds to permit the assert to be\r
+         used in the FreeRTOS MPU port.\r
+       + The software timer service (daemon) task is now created in a way that\r
+         to ensure compatibility with FreeRTOS MPU.\r
+\r
+Changes between V7.1.0 and V7.1.1 released May 1 2012\r
+\r
+       New ports:\r
+\r
+       The following ports are brand new:\r
+       + Cortex-M3 Tasking\r
+\r
+       The following ports have been available as separate downloads for a number\r
+       of months, but are now included in the main FreeRTOS download.\r
+       + Cortex-M0 IAR\r
+       + Cortex-M0 GCC\r
+       + Cortex-M4F GCC (with full floating point support)\r
+\r
+\r
+       New demos:\r
+\r
+       The following demos are brand new:\r
+       + Renesas RX63N RDK (Renesas compiler)\r
+\r
+       The following demos have been available as separate downloads for a number\r
+       of months, but are now included in the main FreeRTOS download.\r
+       + NXP LPC1114 GCC/LPCXpresso\r
+       + ST STM32F0518 IAR\r
+       + Infineon XMC4500 GCC/Atollic\r
+       + Infineon XMC4500 IAR\r
+       + Infineon XMC4500 Keil\r
+       + Infineon XMC4500 Tasking\r
+\r
+\r
+       Kernel miscellaneous / maintenance:\r
+\r
+       + Introduced the portSETUP_TCB() macro to remove the requirement for the\r
+         Windows simulator to use the traceTASK_CREATE() macro, leaving the trace\r
+         macro available for use by FreeRTOS+Trace (http://www.FreeRTOS.org/trace).\r
+       + Added a new trace macro, traceMOVE_TASK_TO_READY_STATE(), to allow future\r
+         FreeRTOS+Trace versions to provide even more information to users.\r
+       + Updated the FreeRTOS MPU port to be correct for changes that were\r
+         introduced in FreeRTOS V7.1.0.\r
+       + Introduced the xQueueReset() API function.\r
+       + Introduced the xSemaphoreGetMutexHolder() API function.\r
+       + Tidy up various port implementations to add the static key word where\r
+         appropriate, and remove obsolete code.\r
+       + Slight change to the initial stack frame given to the RX600 ports to allow\r
+         them to be used in the Eclipse based E2Studio IDE without confusing GDB.\r
+       + Correct the alignment given to the initial stack of Cortex-M4F tasks.\r
+       + Added a NOP following each DINT instruction on MSP430 devices for strict\r
+         conformance with the instructions on using DINT.\r
+       + Changed the implementation of thread deletes in the Win32 port to prevent\r
+         the port making use of the traceTASK_DELETE() trace macros - leaving this\r
+         macro free for use by FreeRTOS+Trace.\r
+       + Made some benign changes to the RX600 Renesas compiler port layer to\r
+         ensure the code can be built to a library without essential code being\r
+         removed by the linker.\r
+       + Reverted the change in the name of the uxTaskNumber variable made in\r
+         V7.1.0 as it broke the IAR plug-in.\r
+\r
+\r
+       Demo miscellaneous / maintenance:\r
+\r
+       + The command interpreter has now been formally released as FreeRTOS+CLI,\r
+         and been moved out of the main FreeRTOS download, to instead be available\r
+         from the FreeRTOS+ Ecosystem site http://www.FreeRTOS.org/plus.\r
+       + flash_timer.c/h has been added to the list of standard demo tasks.  This\r
+         performs the same functionality as the flash.c tasks, but using software\r
+         timers in place of tasks.\r
+       + Upgraded the PIC32 demo as follows:  Changes to how the library functions\r
+         are called necessitated by the new compiler version, addition of MPLAB X\r
+         project with PIC32MX360, PIC32MX460 and PIC32MX795 configurations,\r
+         addition of simply blinky demo, updated FreeRTOSConfig.h to include more\r
+         parameters, addition of hook function stubs.\r
+       + The MSP430X IAR and CCS demos have been updated to ensure the power\r
+         settings are correct for the configured CPU frequency.\r
+       + Rowley CrossWorks projects have been updated to correct the "multiple\r
+         definition of ..." warnings introduced when the toolchain was updated.\r
+       + Updated various FreeRTOSConfig.h header files associated with projects\r
+         that build with Eclipse to include a #error statement informing the user\r
+         that the CreateProjectDirectoryStructure.bat batch file needs to be\r
+         executed before the projects can be opened.\r
+       + Renamed directories that included "CCS4" in their name to remove the '4'\r
+         and instead just be "CCS".  This is because the demo was updated and\r
+         tested to also work with later Code Composer Studio versions.\r
+       + Updated the TCP/IP periodic timer frequency in numerous uIP demos to be\r
+         50ms instead of 500ms.\r
+\r
+Changes between V7.0.2 and V7.1.0 released December 13 2011\r
+\r
+       New ports:\r
+\r
+       + Cortex-M4F IAR port.\r
+       + Cortex-M4F Keil/RVDS port.\r
+       + TriCore GCC port.\r
+\r
+       New demos:\r
+\r
+       + NXP LPC4350 using the Keil MDK, and demonstrated on a Hitex development\r
+         board.\r
+       + ST STM32F407 using the IAR Embedded Workbench for ARM, and demonstrated on\r
+         the IAR STM32F407ZG-SK starter kit.\r
+       + Infineon TriCore TC1782, using the GCC compiler, demonstrated on the\r
+         TriBoard TC1782 evaluation board.\r
+       + Renesas RX630, using the Renesas compiler and HEW, demonstrated on an\r
+         RX630 RSK (Renesas Starter Kit).\r
+\r
+       Miscellaneous / maintenance:\r
+\r
+       + Removed all calls to printf() from the K60/IAR Kinetis demo so the project\r
+         can execute stand alone - without being connected to the debugger.\r
+       + Completed the command interpreter framework.  Command handlers now receive\r
+         the entire command string, giving them direct access to parameters.\r
+         Utility functions are provided to check the number of parameters, and\r
+         return parameter sub-strings.\r
+       + The previously documented fix for the bug in xTaskResumeFromISR() that\r
+         effected (only) ports supporting interrupt nesting has now been\r
+         incorporated into the main release.\r
+       + The portALIGNMENT_ASSERT_pxCurrentTCB() definition has been added to allow\r
+         specific ports to skip the second stack alignment check when a task is\r
+         created.  This is because the second check is not appropriate for some\r
+         ports - including the new TriCore port where the checked pointer does not\r
+         actually point to a stack.\r
+       + The portCLEAN_UP_TCB() macro has been added to allow port specific clean\r
+         up when a task is deleted - again this is required by the TriCore port.\r
+       + Various other minor changes to ensure warning free builds on a growing\r
+         number of microcontroller and toolchain platforms.  This includes a\r
+         (benign) correction to the prototype of the\r
+         vApplicationStackOverflowHook() definition found in lots of recent demos.\r
+\r
+       Trace system:\r
+\r
+       + The legacy trace mechanism has been completely removed - it has been\r
+         obsolete for the years since the trace macros were introduced.  The\r
+         configuration constant configUSE_TRACE_FACILITY is now used to optionally\r
+         include additional queue and task information.  The additional information\r
+         is intended to make the trace mechanism more generic, and allow the trace\r
+         output to provide more information.  When configUSE_TRACE_FACILITY is set\r
+         to 1:\r
+               - the queue structure includes an additional member to hold the queue\r
+                 type, which can be base, mutex, counting semaphore, binary semaphore\r
+                 or recursive mutex.\r
+               - the queue structure includes an additional member to hold a queue\r
+                 number.  A trace tool can set and query the queue number for its own\r
+                 purposes.  The kernel does not use the queue number itself.\r
+               - the TCB structure includes an additional member to hold a task number\r
+                 number.  A trace tool can set and query the task number for its own\r
+                 purposes.  The kernel does not use the task number itself.\r
+       + Queues and all types of semaphores are now automatically allocated their\r
+         type as they are created.\r
+       + Added two new trace macros - traceTASK_PRIORITY_INHERIT() and\r
+         traskTASK_PRIORITY_DISINHERIT().\r
+       + Updated the traceQUEUE_CREATE_FAILED() macro to take a parameter that\r
+         indicates the type of queue, mutex, or semaphore that failed to be\r
+         created.\r
+       + The position from which traceCREATE_MUTEX() is called has been moved from\r
+         after the call to xQueueGenericSend() [within the same function] to before\r
+         the call.  This ensures the trace events occur in the correct order.\r
+       + The value passed into tracePRIORITY_SET() has been corrected for the case\r
+         where vTaskPrioritySet() is called with a null parameter.\r
+\r
+Changes between V7.0.1 and V7.0.2 released September 20 2011\r
+\r
+       New ports:\r
+\r
+       + The official FreeRTOS Renesas RX200 port and demo application have been\r
+         incorporated into the main FreeRTOS zip file download.\r
+       + The official FreeRTOS Renesas RL78 port and demo application have been\r
+         incorporated into the main FreeRTOS zip file download.\r
+       + The official FreeRTOS Freescale Kinetis K60 tower demo application has\r
+         been incorporated into the main FreeRTOS zip file download.  This includes\r
+         an embedded web server example.\r
+       + A new Microblaze V8 port layer has been created to replace the older, now\r
+         deprecated, port layer.  The V8 port supports V8.x of the Microblaze IP,\r
+         including exceptions, caches, and the floating point unit.  A new\r
+         Microblaze demo has also been added to demonstrate the new Microblaze V8\r
+         port layer.  The demo application was created using V13.1 of the Xilinx\r
+         EDK, and includes a basic embedded web server that uses lwIP V1.4.0.\r
+       + The official FreeRTOS Fujitsu FM3 MB9A310 demo application has been\r
+         incorporated into the main FreeRTOS zip file download.  Projects are\r
+         provided for both the IAR and Keil toolchains.\r
+\r
+\r
+       API additions:\r
+\r
+       + xTaskGetIdleTaskHandle() has been added.\r
+       + xTaskGetTimerDaemonTaskHandle() has been added.\r
+       + pcTaskGetTaskName() has been added.\r
+       + vSemaphoreDelete() macro has been added to make it obvious how to delete\r
+         a semaphore.  In previous versions vQueueDelete() had to be used.\r
+       + vTaskCleanUpResources() has been removed.  It has been obsolete for a\r
+         while.\r
+       + portPOINTER_SIZE_TYPE has been introduced to prevent compiler warnings\r
+         being generated when the size of a pointer does not match the size of\r
+         the stack type.  This will (has already) be used in new ports, but will\r
+         not be retrofitted to existing ports until the existing port itself is\r
+         updated.\r
+\r
+       Other updates and news:\r
+\r
+       + The core files have all been modified to tighten the coding standard even\r
+         further.  These are style, not functional changes.\r
+       + All ARM7 port layers have been slightly modified to prevent erroneous\r
+         assert() failures when tasks are created and configASSERT() is defined.\r
+       + All ARM IAR projects have been updated to build with the latest V6.2.x\r
+         versions of the IAR Embedded Workbench for ARM tools (EWARM).  This was\r
+         necessary due to a change in the way EWARM uses the CMSIS libraries.\r
+       + The PIC32 port layer has been updated in preparation for V2 of the C32\r
+         compiler.\r
+       + The old Virtex-4 Microblaze demo has been marked as deprecated.  Please\r
+         use the brand new Spartan-6 port and demo in its place.\r
+       + The bones of a new generic command interpreter is located in\r
+         FreeRTOS/Demo/Common/Utils/CommandInterpreter.c.  This is still a work in\r
+         progress, and not documented.  It is however already in use.  It will be\r
+         documented in full when the projects that are already using it are\r
+         completed.\r
+       + A couple of new standard demos have been included.  First, a version of\r
+         flop.c called sp_flop.c.  This is similar to flop.c, but uses single\r
+         precision floats in place of double precision doubles.  This allows the\r
+         for testing ports to processors that have only single precision floating\r
+         point units, and revert to using emulated calculations whenever a double\r
+         is used.  Second, comtest_strings.c has been included to allow the test\r
+         of UART drivers when an entire string is transmitted at once.  The\r
+         previous comtest.c only used single character transmission and reception.\r
+       + lwIP V1.4.0 is now included in the FreeRTOS/Demo/Common directory, and\r
+         used by a couple of new demos.\r
+\r
+Changes between V7.0.0 and V7.0.1 released May 13 2011\r
+\r
+       + Added a Fujitsu FM3 demo application for both the IAR and Keil tool\r
+         chains.\r
+       + Added a SmartFusion demo application for all of the IAR, Keil and\r
+         SoftConsole (GCC/Eclipse) tool chains.\r
+       + Updated the RX600 port and demo applications to take into account the\r
+         different semantics required when using the latest (V1.0.2.0) version of\r
+         the Renesas compiler.\r
+       + Modified the RX600 Ethernet driver slightly to make it more robust under\r
+         heavy load, and updated the uIP handling task to make use of the FreeRTOS\r
+         software timers.\r
+       + Slightly changed the PIC32 port layer to move an ehb instruction in line\r
+         with the recommendations of the MIPS core manual, and ensure 8 byte stack\r
+         alignment is truly always obtained.\r
+       + Changed the behaviour when tasks are suspended before the scheduler has\r
+         been started.  Before, there needed to be at least one task that was not\r
+         in the suspended state.  This is no longer the case.\r
+\r
+Changes between V6.1.1 and V7.0.0 released April 8 2011\r
+\r
+       FreeRTOS V7.0.0 is backward compatible with FreeRTOS V6.x.x\r
+\r
+       Main changes:\r
+\r
+       + Introduced a new software timer implementation.\r
+       + Introduced a new common demo application file to exercise the new timer\r
+         implementation.\r
+       + Updated the Win32/MSVC simulator project to include the new software timer\r
+         demo tasks and software timer tick hook test.  Much simpler software timer\r
+         demonstrations are included in the demo projects for both of the new ports\r
+         (MSP430X with CCS4 and STM32 with TrueStudio).\r
+       + Various enhancements to the kernel implementation in tasks.c.  These are\r
+         transparent to users and do not effect the pre-existing API.\r
+       + Added calls to configASSERT() within the kernel code.  configASSERT() is\r
+         functionally equivalent to the standard C assert() macro, but does not\r
+         rely on the compiler providing assert.h.\r
+\r
+       Other changes:\r
+\r
+       + Updated the MSP430X IAR port and demo project to include support for the\r
+         medium memory model.\r
+       + Added a demo project for the MSP430X that targets the MSP430X Discovery\r
+         board and uses the Code Composer Studio 4 tools.  This demo includes use\r
+         of the new software timer implementation.\r
+       + Added an STM32F100RB demo project that targets the STM32 Discovery Board\r
+         and uses the TrueStudio Eclipse based IDE from Atollic.\r
+       + Removed some compiler warnings from the PSoC demo application.\r
+       + Updated the PIC32 port layer to ensure the\r
+         configMAX_SYSCALL_INTERRUPT_PRIORITY constant works as expected no matter\r
+         what its value is (within the valid range set by the microcontroller\r
+         kernel).\r
+       + Updated the PIC24, dsPIC and PIC32 projects so they work with the latest\r
+         MPLAB compiler versions from Microchip.\r
+       + Various cosmetic changes to prepare for a standards compliance statement\r
+         that will be published after the software release.\r
+\r
+\r
+Changes between V6.1.0 and V6.1.1 released January 14 2011\r
+\r
+       + Added two new Windows simulator ports.  One uses the free Microsoft Visual\r
+         Studio 2010 express edition, and the other the free MingW/Eclipse\r
+         environment.  Demo projects are provided for both.\r
+       + Added three demo projects for the PSoC 5 (CYAC5588).  These are for the\r
+         GCC, Keil, and RVDS build tools, and all use the PSoC Creator IDE.\r
+       + Added a demo for the low power STM32L152 microcontroller using the IAR\r
+         Embedded Workbench.\r
+       + Added a new port for the MSP430X core using the IAR Embedded Workbench.\r
+       + Updated all the RX62N demo projects that target the Renesas Demonstration\r
+         Kit (RDK) to take into account the revered LED wiring on later hardware\r
+         revisions, and the new J-Link debug interface DLL.\r
+       + Updated all the RX62N demo projects so the IO page served by the example\r
+         embedded web server works with all web browsers.\r
+       + Updated the Red Suite projects to work with the up coming Red Suite\r
+         release, and to use a more recent version of the CMSIS libraries.\r
+       + Added the traceTAKE_MUTEX_RECURSIVE_FAILED() trace macro.\r
+       + Removed the (pointless) parameter from the traceTASK_CREATE_FAILED()\r
+         trace macro.\r
+       + Introduced the portALT_GET_RUN_TIME_COUNTER_VALUE() macro to compliment\r
+         the already existing portGET_RUN_TIME_COUNTER_VALUE().  This allows for\r
+         more flexibility in how the time base for the run time statistics feature\r
+         can be implemented.\r
+       + Added a "cpsie i" instruction before the "svc 0" instruction used to start\r
+         the scheduler in each of the Cortex M3 ports.  This is to ensure that\r
+         interrupts are globally enabled prior to the "svc 0" instruction being\r
+         executed in cases where interrupts are left disabled by the C start up\r
+         code.\r
+       + Slight optimisation in the run time stats calculation.\r
+\r
+Changes between V6.0.5 and V6.1.0 released October 6 2010\r
+\r
+       + Added xTaskGetTickCountFromISR() function.\r
+       + Modified vTaskSuspend() to allow tasks that have just been created to be\r
+         immediately suspended even when the kernel has not been started.  This\r
+         allows them to effectively start in the Suspended state - a feature that\r
+         has been asked for on numerous occasions to assist with initialisation\r
+         procedures.\r
+       + Added ports for the Renesas RX62N using IAR, GCC and Renesas tool suites.\r
+       + Added a STM32F103 demo application that uses the Rowley tools.\r
+       + Under specific conditions xFreeBytesRemaining within heap_2.c could end up\r
+         with an incorrect     value.  This has been fixed.\r
+       + xTaskCreateGeneric() has a parameter that can be used to pass the handle\r
+         of the task just created out to the calling task.  The assignment to this\r
+         parameter has been moved to ensure it is assigned prior to the newly\r
+         created having any possibility of executing.  This takes into account the\r
+         case where the assignment is made to a global variable that is accessed by\r
+         the newly created task.\r
+       + Fixed some build time compiler warnings in various FreeTCPIP (based on\r
+         uIP) files.\r
+       + Fixed some build time compiler warnings in Demo/Common/Minimal/IntQueue.c.\r
+\r
+Changes between V6.0.4 and V6.0.5 released May 17 2010\r
+\r
+       + Added port and demo application for the Cortus APS3 processor.\r
+\r
+Changes between V6.0.3 and V6.0.4 released March 14 2010\r
+\r
+       + All the contributed files that were located in the Demo/Unsupported_Demos\r
+         directory have been removed.  These files are instead now available in the\r
+         new  Community Contributions section of the FreeRTOS website.  See\r
+         http://www.freertos.org/RTOS-contributed-ports.html\r
+       + The project file located in the Demo/CORTEX_STM32F107_GCC_Rowley directory\r
+         has been upgraded to use V2.x of the Rowley Crossworks STM32 support\r
+         package.\r
+       + An initial Energy Micro EFM32 demo has been included.  This will be\r
+         updated over the coming months to make better use of the low power modes\r
+         the EFM32 provides.\r
+\r
+Changes between V6.0.2 and V6.0.3 released February 26 2010\r
+\r
+       + SuperH SH7216 (SH2A-FPU) port and demo application added.\r
+       + Slight modification made to the default implementation of\r
+         pvPortMallocAligned() and vPortFreeAligned() macros so by default they\r
+         just call pvPortMalloc() and vPortFree().  The macros are only needed to\r
+         be defined when a memory protection unit (MPU) is being used - and then\r
+         only depending on other configuration settings.\r
+\r
+Changes between V6.0.1 and V6.0.2 released January 9th 2010\r
+\r
+       + Changed all GCC ARM 7 ports to use 0 as the SWI instruction parameter.\r
+         Previously the parameter was blank and therefore only an implicit 0 but\r
+         newer GCC releases do not permit this.\r
+       + Updated IAR SAM7S and SAM7X ports to work with IAR V5.40.\r
+       + Changed the stack alignment requirement for PIC32 from 4 bytes to 8 bytes.\r
+       + Updated prvListTaskWithinSingleList() is it works on processors where the\r
+         stack grows up from low memory.\r
+       + Corrected some comments.\r
+       + Updated the startup file for the RVDS LPC21xx demo.\r
+\r
+Changes between V6.0.0 and V6.0.1 released November 15th 2009\r
+\r
+       + Altered pxPortInitialiseStack() for all Cortex-M3 ports to ensure the\r
+         stack pointer is where the compiler expects it to be when a task first\r
+         starts executing.\r
+\r
+         The following minor changes only effect the Cortex-M3 MPU port:\r
+\r
+       + portRESET_PRIVILEGE() assembly macro updated to include a clobber list.\r
+       + Added prototypes for all the privileged function wrappers to ensure no\r
+         compile time warnings are generated no matter what the warning level\r
+         setting.\r
+       + Corrected the name of portSVC_prvRaisePrivilege to\r
+         portSVC_RAISE_PRIVILEGE.\r
+       + Added conditional compilation into xTaskGenericCreate() to prevent some\r
+         compilers issuing warnings when portPRIVILEGE_BIT is defined as zero.\r
+\r
+\r
+Changes between V5.4.2 and V6.0.0 released October 16th 2009\r
+\r
+       FreeRTOS V6 is backward compatible with FreeRTOS V5.x.\r
+\r
+       Main changes:\r
+\r
+       + FreeRTOS V6 is the first version to include memory protection unit (MPU)\r
+         support.  Two ports now exist for the Cortex M3, the standard FreeRTOS\r
+         which does not include MPU support, and FreeRTOS-MPU which does.\r
+       + xTaskCreateRestricted() and vTaskAllocateMPURegions() API functions added\r
+         in support of FreeRTOS-MPU.\r
+       + Wording for the GPL exception has been (hopefully) clarified.  Also the\r
+         license.txt file included in the download has been fixed (the previous\r
+         version contained some corruption).\r
+\r
+       Other changes:\r
+\r
+       + New API function xPortGetFreeHeapSize() added to heap_1.c and heap_2.c.\r
+       + ARM7 GCC demo interrupt service routines wrappers have been modified to\r
+         call the C portion using an __asm statement.  This prevents the function\r
+         call being inlined at higher optimisation levels.\r
+       + ARM7 ports now automatically set the THUMB bit if necessary when\r
+         setting up the initial stack of a task - removing the need for\r
+         THUMB_INTERWORK to be defined.  This also allows THUMB mode and ARM mode\r
+         tasks to be mixed more easily.\r
+       + All ARM7/9 ports now have portBYTE_ALIGNMENT set to 8 by default.\r
+       + Various demo application project files have been updated to be up to date\r
+         with the latest IDE versions.\r
+       + The linker scripts used with command line GCC demos have been updated to\r
+         include an eh_frame section to allow their use with the latest Yagarto\r
+         release.  Likewise the demo makefiles have been updated to include\r
+         command line options to reduce or eliminate the eh_frame section all\r
+         together.\r
+       + The definition of portBYTE_ALIGNMENT_MASK has been moved out of the\r
+         various memory allocation files and into the common portable.h header\r
+         file.\r
+       + Removed unnecessary use of portLONG, portSHORT and portCHAR.\r
+       + Added LM3Sxxxx demo for Rowley CrossWorks.\r
+       + Posix simulator has been upgraded - see the corresponding WEB page on the\r
+         FreeRTOS.org site.\r
+\r
+\r
+Changes between V5.4.1 and V5.4.2 released August 9th 2009\r
+\r
+       + Added a new port and demo app for the Altera Nios2 soft core.\r
+       + Added LPC1768 demo for IAR.\r
+       + Added a USB CDC demo to all LPC1768 demos (Code Red, CrossWorks and IAR).\r
+       + Changed clock frequency of LPC1768 demos to 99MHz.\r
+\r
+Changes between V5.4.0 and V5.4.1 released July 25th 2009\r
+\r
+       + New hook function added.  vApplicationMallocFailedHook() is (optionally)\r
+         called if pvPortMalloc() returns NULL.\r
+       + Additional casting added to xTaskCheckForTimeOut().  This prevents\r
+         problems that can arise should configUSE_16_BIT_TICKS be set to 1 on a\r
+         32 bit architecture (which would probably be a mistake, anyway).\r
+       + Corrected the parameter passed to NVIC_SetPriority() to set the MAC\r
+         interrupt priority in both LPC1768 demos.\r
+       + Decreased the default setting of configMINIMAL_STACK_SIZE in the PIC32\r
+         demo application to ensure the heap space was not completely consumed\r
+         before the scheduler was started.\r
+\r
+Changes between V5.3.1 and V5.4.0 released July 13th 2009\r
+\r
+       + Added Virtex5 / PPC440 port and demos.\r
+       + Replaced the LPC1766 Red Suite demo with an LPC1768 Red Suite demo.  The\r
+         original demo was configured to use engineering samples of the CPU.  The\r
+         new demo has an improved Ethernet driver.\r
+       + Added LPC1768 Rowley demo with zero copy Ethernet driver.\r
+       + Reworked byte alignment code to ensure 8 byte alignment works correctly.\r
+       + Set configUSE_16_BIT_TICKS to 0 in the PPC405 demo projects.\r
+       + Changed the initial stack setup for the PPC405 to ensure the small data\r
+         area pointers are setup correctly.\r
+\r
+Changes between V5.3.0 and V5.3.1 released June 21st 2009\r
+\r
+       + Added ColdFire V1 MCF51CN128 port and WEB server demo.\r
+       + Added STM32 Connectivity Line STM32107 Cortex M3 WEB server demo.\r
+       + Changed the Cortex M3 port.c asm statements to __asm so it can be\r
+         compiled using Rowley CrossWorks V2 in its default configuration.\r
+       + Updated the Posix/Linux simulator contributed port.\r
+\r
+Changes between V5.2.0 and V5.3.0 released June 1st 2009\r
+\r
+       Main changes:\r
+\r
+       + Added new (optional) feature that gathers statistics on the amount of CPU\r
+         time used by each task.\r
+       + Added a new demo application for the Atmel AT91SAM3U Cortex-M3 based\r
+         microcontroller.\r
+       + Added a new demo application for the NXP LPC1766 Cortex-M3 based\r
+         microcontroller.\r
+       + Added a contributed port/demo that allows FreeRTOS to be 'simulated' in a\r
+         Linux environment.\r
+\r
+       Minor changes:\r
+       + Updated the Stellaris uIP WEB server demos to include the new run time\r
+         statistics gathering feature - and include a served WEB page that\r
+         presents the information in a tabular format.\r
+       + Added in the lwIP port layer for the Coldfire MCF52259.\r
+       + Updated the CrossWorks LPC2368 WEB server to include an image in the\r
+         served content.\r
+       + Changed some of the timing in the initialisation of the LPC2368 MAC to\r
+         permit its use on all part revisions.\r
+       + Minor modifications to the core uIP code to remove some compiler warnings.\r
+       + Added xTaskGetApplicationTaskTag() function and updated the OpenWatcom\r
+         demo to make use of the new function.\r
+       + Added contributed demos for AVR32 AP7000, STM32 Primer 2 and STM32 using\r
+         Rowley Crossworks.\r
+       + Heap_1.c and Heap_2.c used to define structures for the purpose of data\r
+         alignment.  These have been converted to unions to save a few bytes of\r
+         RAM that would otherwise be wasted.\r
+       + Remove the call to strncpy() used to copy the task name into the TCB when\r
+         the maximum task name is configured to be 1 byte long.\r
+\r
+Changes between V5.1.2 and V5.2.0 released March 14th 2009\r
+\r
+       + Optimised the queue send and receive functions (also used by semaphores).\r
+       + Replaced the standard critical sections used to protect BIOS calls in the\r
+         PC port to instead use scheduler locks.  This is because the BIOS calls\r
+         always return with interrupts enabled.\r
+       + Corrected unclosed comments in boot.s.\r
+\r
+Changes between V5.1.1 and V5.1.2 released February 9th 2009\r
+\r
+       + Added NEC V850ES port and demo.\r
+       + Added NEC 78K0R port and demo.\r
+       + Added MCF52259 port and demo.\r
+       + Added the AT91SAM9XE port and demo.\r
+       + Updated the MCF52233 FEC driver to work around a silicon bug that\r
+         prevents the part auto negotiating some network parameters.\r
+       + Minor modifications to the MCF52233 makefile to permit it to be used\r
+         on Linux hosts.\r
+       + Updated the STM32 primer files to allow them to be built with the latest\r
+         version of the RIDE tools.\r
+       + Updated the threads.js Java script used for kernel aware debugging in\r
+         the Rowley CrossWorks IDE.\r
+\r
+\r
+Changes between V5.1.0 and V5.1.1 released November 20, 2008\r
+\r
+       + Added Coldfire MCF52233 WEB server demo using GCC and Eclipse.\r
+       + Added IAR MSP430 port and demo.\r
+       + Corrected several compiler time issues that had crept in as tool versions\r
+         change.\r
+       + Included FreeRTOS-uIP - a faster uIP.  This is not yet complete.\r
+\r
+Changes between V5.0.4 and V5.1.0 released October 24, 2008\r
+\r
+       + Added a new port and demo application for the ColdFire V2 core using the\r
+         CodeWarrior development tools.\r
+       + Replaced the ARM7 demo that used the old (and now no longer supported)\r
+         Keil compiler with a new port that uses the new Keil/RVDS combo.\r
+       + Stack overflow checking now works for stacks that grow up from low\r
+         memory (PIC24 and dsPIC).\r
+       + BUG FIX - set the PIC32 definition of portSTACK_GROWTH to the correct\r
+         value of -1.\r
+       + MSP430 port layers have been updated to permit tasks to place the\r
+         microcontroller into power down modes 1 to 3.  The demo applications have\r
+         likewise been updated to demonstrate the new feature.\r
+       + Replaced the two separate MSP430/Rowley port layers with a single and more\r
+         flexible version.\r
+       + Added more contributed ports, including ports for NEC and SAM9\r
+         microcontrollers.\r
+       + Changed the linker script used in the LPC2368 Eclipse demo.\r
+\r
+Changes between V5.0.3 and V5.0.4 released September 22, 2008\r
+\r
+       + Completely re-written port for ColdFire GCC.\r
+       + Bug fix:  All Cortex M3 ports have a minor change to the code that sets\r
+         the pending interrupt.\r
+       + Some header files require that FreeRTOS.h be included prior to their\r
+         inclusion.  #error message have been added to all such header file\r
+         informing users to the cause of the compilation error should the headers\r
+         not be included in the correct order.\r
+\r
+Changes between V5.0.2 and V5.0.3 released July 31, 2008\r
+\r
+       Changes relating to the Cortex M3:\r
+\r
+       + Added configMAX_SYSCALL_INTERRUPT_PRIORITY usage to all the Cortex M3\r
+         ports and demos.  See the port documentation pages on the FreeRTOS.org\r
+         WEB site for full usage information.\r
+       + Improved efficiency of Cortex M3 port even further.\r
+       + Ensure the Cortex M3 port works no matter where the vector table is\r
+         located.\r
+       + Added the IntQTimer demo/test tasks to a demo project for each CM3 port\r
+         (Keil, GCC and IAR) to test the new configMAX_SYSCALL_INTERRUPT_PRIORITY\r
+         functionality.\r
+       + Added the mainINCLUDE_WEB_SERVER definition to the LM3SXXXX IAR and Keil\r
+         projects to allow the WEB server to be conditionally excluded from the\r
+         build and therefore allow use of the KickStart (code size limited)\r
+         compiler version.\r
+\r
+       Other changes:\r
+\r
+       + Moved the PIC24 and dsPIC versions of vPortYield() from the C file to\r
+         an assembly file to allow use with all MPLAB compiler versions.  This also\r
+         allows the omit-frame-pointer optimisation to be turned off.\r
+\r
+Changes between V5.0.0 and V5.0.2 released May 30, 2008\r
+\r
+       + Updated the PIC32 port to allow queue API calls to be used from\r
+         interrupts above the kernel interrupt priority, and to allow full\r
+         interrupt nesting.  Task stack usages has also been reduced.\r
+       + Added a new PowerPC port that demonstrates how the trace macros can be\r
+         used to allow the use of a floating point co-processor.  The\r
+         traceTASK_SWITCHED_OUT() and traceTASK_SWITCHED_INT() macros are used to\r
+         save and restore the floating point context respectively for those tasks\r
+         that actually use floating point operations.\r
+       + BUG FIX:  The first PPC405 port contained a bug in that it did not leave\r
+         adequate space above the stack for the backchain to be saved when a task\r
+         started to execute for the first time.\r
+       + Updated queue.c to add in the means to allow interrupt nesting and for\r
+         queue API functions to be called from interrupts that have a priority\r
+         above the kernel priority.  This is only supported on PIC32 ports thus\r
+         far.\r
+       + Fixed the compiler warnings that were generated when the latest version\r
+         of WinAVR was used.\r
+       + Remove all inline usage of 'inline' from the core kernel code.\r
+       + Added the queue registry feature.  The queue registry is provided as a\r
+         means for kernel aware debuggers to locate queue definitions.  It has no\r
+         purpose unless you are using a kernel aware debugger.  The queue registry\r
+         will only be used when configQUEUE_REGISTRY_SIZE is greater than zero.\r
+       + Added the ST Cortex-M3 drivers into the Demo/Common/Drivers directory to\r
+         prevent them from having to be included in multiple demos.\r
+       + Added a Keil STM32 demo application.\r
+       + Changed the blocktim.c test files as it is no longer legitimate for all\r
+         ports to call queue API functions from within a critical section.\r
+       + Added the IntQueue.c test file to test the calling of queue API functions\r
+         from different interrupt priority levels, and test interrupt nesting.\r
+\r
+Changes between V5.0.0 and V5.0.1\r
+\r
+       + V5.0.1 was a customer specific release.\r
+\r
+Changes between V4.8.0 and V5.0.0 released April 15, 2008\r
+\r
+       *** VERY IMPORTANT INFORMATION ON UPGRADING TO FREERTOS.ORG V5.0.0 ***\r
+\r
+       The parameters to the functions xQueueSendFromISR(), xQueueSendToFrontFromISR(),\r
+       xQueueSendToBackFromISR() and xSemaphoreGiveFromISR() have changed.  You must\r
+       update all calls to these functions to use the new calling convention!  Your\r
+       compiler might not issue any type mismatch warnings!\r
+\r
+\r
+       See http://www.FreeRTOS.org/upgrading.html for full information.\r
+\r
+\r
+       Other changes:\r
+\r
+       + Support added for the new Luminary Micro LM3S3768 and LM3S3748 Cortex-M3\r
+         microcontrollers.\r
+       + New task hook feature added.\r
+       + PowerPC demo updated to use version 10.1 of the Xilinx EDK.\r
+       + Efficiency gains within the PIC32 port layer.\r
+\r
+Changes between V4.7.2 and V4.8.0 released March 26 2008\r
+\r
+       + Added a Virtex4 PowerPC 405 port and demo application.\r
+       + Added optional stack overflow checking and new\r
+         uxTaskGetStackHighWaterMark() function.\r
+       + Added new xQueueIsQueueEmptyFromISR(), xQueueIsQueueFullFromISR() and\r
+         uxQueueMessagesWaitingFromISR() API functions.\r
+       + Efficiency improvements to the Cortex-M3 port layer.  NOTE: This\r
+         requires that an SVC handler be installed in the application.\r
+       + Efficiency improvements to the queue send and receive functions.\r
+       + Added new trace macros.  These are application definable to provide\r
+         a flexible trace facility.\r
+       + Implemented the configKERNEL_INTERRUPT_PRIORITY within the Keil Cortex\r
+         M3 port layer (bringing it up to the same standard as the IAR and GCC\r
+         versions).\r
+       + Ports that used the arm-stellaris-eabi-gcc tools have been converted to\r
+         use the arm-non-eabi-gcc tools.\r
+\r
+Changes between V4.7.1 and V4.7.2 released February 21, 2008\r
+\r
+       + Added Fujitsu MB91460 port and demo.\r
+       + Added Fujitsu MB96340 port and demo.\r
+       + Tidied up the capitalisation of include files to facilitate builds on\r
+         Linux hosts.\r
+       + Removed some redundant casting that was generating warnings - but was\r
+         included to remove warnings on other compilers.\r
+\r
+Changes between V4.7.0 and V4.7.1 released February 3, 2008\r
+\r
+       + Updated all IAR ARM projects to use V5.11 of the IAR Embedded Workbench\r
+         for ARM.\r
+       + Introduced recursive semaphore feature.\r
+       + Updated LPC2368 demos to take into account silicon bugs in old chip\r
+         revisions.\r
+       + Updated STR9 uIP port to manually set the net mask and gateway addresses.\r
+       + Updating demos to allow more to run with the co-operative scheduler.\r
+       + Fixed co-operative scheduler behaviour upon the occurrence of a tick\r
+         interrupt while the scheduler was suspended.\r
+       + Updated documentation contained within semphr.h.\r
+       + ARM7 GCC ports no longer use the IRQ attribute.\r
+\r
+Changes between V4.6.1 and V4.7.0 released December 6, 2007\r
+\r
+       + Introduced the counting semaphore macros and demo source files.  The\r
+          Open Watcom PC project has been updated to include the new demo.  See\r
+          the online documentation for more information.\r
+       + Introduced the 'alternative' queue handling API and demo source files.\r
+         The Open Watcom PC project has been updated to include the new demo\r
+         source files.  See the online documentation for more information.\r
+       + Added AT91SAM7X Eclipse demo project.\r
+       + Added the STM32 primer demo project for the GCC compiler and Ride IDE.\r
+       + Removed the .lock files that were mistakenly included in the V4.6.1\r
+         eclipse workspaces.\r
+\r
+Changes between V4.6.0 and V4.6.1 released November 5 2007\r
+\r
+       + Added support for the MIPS M4K based PIC32.\r
+       + Added 'extern "C"' to all the header files to facilitate use with C++.\r
+\r
+Changes between V4.5.0 and V4.6.0 released October 28 2007\r
+\r
+       + Changed the method used to force a context switch within an ISR for the\r
+         ARM7/9 GCC ports only.  The portENTER_SWITCHING_ISR() and\r
+         portEXIT_SWITCHING_ISR() macros are no longer supported.  This is to\r
+         ensure correct behaviour no matter which GCC version is used, with or\r
+         without the -fomit-frame-pointer option, and at all optimisation levels.\r
+       + Corrected the prototype for xQueueGenericSend() within queue.h.\r
+\r
+Changes between V4.4.0 and V4.5.0 released September 17 2007\r
+\r
+       + Added the xQueueSendToFront(), xQueueSendToBack() and xQueuePeek()\r
+         functionality.  These should now be used in preference to the old\r
+         xQueueSend() function - which is maintained for backward compatibility.\r
+       + Added Mutex functionality.  The behaviour of mutexes is subtly different\r
+         to the already existing binary semaphores as mutexes automatically\r
+         include a priority inheritance mechanism.\r
+       + Added the GenQTest.c and QPeek.c to test and demonstrate the behaviour\r
+         of the new functionality.\r
+       + Updated the LM3Sxxxx and PC ports to include the new GenQTest.c and\r
+         QPeek.c files.\r
+       + Updated the GCC port for the Cortex M3 to include the\r
+         configKERNEL_INTERRUPT_PRIORITY functionality.  This was previously only\r
+         included in the IAR port.\r
+       + Optimised the GCC and IAR port layer code - specifically the context\r
+         switch code.\r
+       + Consolidated the LM3Sxxxx EK demos for all development tools into a\r
+         single project that automatically detects which version of the EK the\r
+         application is executing on.\r
+       + Added Eclipse support for LM3Sxxxx evaluation kits.\r
+       + Added Eclipse support for the Keil LPC2368 evaluation kit.\r
+       + Added the Demo/Drivers directory to hold code that is common to multiple\r
+         demo application projects.\r
+       + Included some minor bug fixes in the uIP 1.0 code.\r
+       + Added an lwIP demo for the STR9 - thanks ST for assistance.\r
+       + Updated the AVR32 port to ensure correct behaviour with full compiler\r
+         optimisation.\r
+       + Included binaries for OpenOCD FTDI and parallel port interfaces.\r
+\r
+Changes between V4.4.0 and V4.3.1 released July 31, 2007\r
+\r
+       + Added AVR32 UC3B demo application.\r
+       + Updated AVR32 UC3A port and demo applications.\r
+       + Added IAR lwIP demo for AVR32 UC3A.\r
+       + Updated listGET_OWNER_OF_NEXT_ENTRY() to assist compiler optimisation\r
+         (thanks Niu Yong for making the suggestion).\r
+       + Added xTaskGetSchedulerState() API function.\r
+       + BUG FIX:  Corrected behaviour when tasks that are blocked indefinitely\r
+         have their block time adjusted (within xQueueSend() and xQueueReceive()),\r
+         and are the subject of a call the vTaskResume() when they are not\r
+         actually in the Suspended state (thanks Dan Searles for reporting the\r
+         issues).\r
+\r
+\r
+Changes between V4.3.0 and V4.3.1 released June 11, 2007\r
+\r
+       + Added STMicroelectronics STM32 Cortex-M3 demo application.\r
+       + Updated ustdlib.c for the GCC LM3S6965 demo.\r
+\r
+Changes between V4.2.1 and V4.3.0 released June 5, 2007\r
+\r
+       + Introduced configKERNEL_INTERRUPT_PRIORITY to the IAR Cortex-M3, PIC24\r
+         and dsPIC ports.  See the LM3S6965 and PIC24 demo application\r
+         documentation pages for more information.\r
+       + Updated the PIC24 and dsPIC demos to build with V3.0 of the PIC30 GCC\r
+         tools, and changed the demo applications.\r
+       + Added demos for the new Ethernet and CAN enabled Luminary Micro Stellaris\r
+         microcontrollers.\r
+       + Corrected bug in uIP the demos that prevented frames of approximately 1480\r
+         bytes and over from being transmitted.\r
+       + Included the LPC2368/uIP/Rowley demo into the main FreeRTOS.org\r
+         download.\r
+       + Update to WizC PIC18 port to permit its use with version 14 of the\r
+         compiler.  Thanks Marcel!\r
+\r
+Changes between V4.2.1 and V4.2.0 released April 2, 2007\r
+\r
+       + Added AVR32 AT32UC3A ports for GCC and IAR.\r
+       + Added -fomit-frame-pointer option to lwIP SAM7X demo makefile.\r
+       + Moved location of call to LCD_Init() in STR9 demo to ensure it is only\r
+         called after the scheduler has been started.\r
+\r
+Changes between V4.1.3 and V4.2.0 released February 8, 2007\r
+\r
+       + Changes to both task.c and queue.c as a result of testing performed on\r
+         the SafeRTOS code base.\r
+       + Added Cortex-M3 LM3S811 demos for GCC and IAR tools.\r
+\r
+Changes between V4.1.2 and V4.1.3 released November 19, 2006\r
+\r
+       + Added STR750 ARM7 port using the Raisonance RIDE/GCC tools.\r
+       + Added -fomit-frame-pointer option to Rowley ARM7 demos as work around\r
+         to GCC bug at some optimisation levels.\r
+       + Altered the way the heap is defined in the LM3S811 Keil demo to prevent\r
+         the RAM usage from counting toward the code size limit calculation.\r
+       + CO-ROUTINE BUG FIX:  Removed the call to prvIsQueueEmpty from within\r
+         xQueueCRReceive as it exited with interrupts enabled.  Thanks Paul Katz.\r
+       + Tasks that block on events with a timeout of portMAX_DELAY are now\r
+         blocked indefinitely if configINCLUDE_vTaskSuspend is defined.\r
+         Previously portMAX_DELAY was just the longest block time possible. This\r
+         is still the case if configINCLUDE_vTaskSuspend is not defined.\r
+       + Minor changes to some demo application files.\r
+\r
+Changes between V4.1.1 and V4.1.2 released October 21, 2006\r
+\r
+       + Added 16bit PIC ports and demos.\r
+       + Added STR750 port and demo.\r
+\r
+\r
+Changes between V4.1.0 and V4.1.1 released September 24, 2006\r
+\r
+       + Added the Luminary Micro Stellaris LM3S811 demo application.\r
+\r
+Changes between V4.0.5 and V4.1.0 released August 28, 2006\r
+\r
+       + Prior to V4.1.0, under certain documented circumstances, it was possible\r
+         for xQueueSend() and xQueueReceive() to return without having completed\r
+         and without their block time expiring.  The block time effectively\r
+         stated a maximum block time, and the return value of the function needed\r
+         to be checked to determine the reason for returning.  This is no longer\r
+         the case as the functions will only return once the block time has\r
+         expired or they are able to complete their operation.  It is therefore no\r
+         longer necessary to wrap calls within loops.\r
+       + Changed the critical section handling in the IAR AVR port to correct the\r
+         behaviour when used with later compiler versions.\r
+       + Added the LPC2138 CrossWorks demo into the zip file.  Previously this was\r
+         only available as a separate download.\r
+       + Modified the AVR demo applications to demonstrate the use of co-routines.\r
+\r
+Changes between V4.0.4 and V4.0.5 released August 13, 2006\r
+\r
+       + Introduced API function xTaskResumeFromISR().  Same functionality as\r
+         xTaskResume(), but can be called from within an interrupt service routine.\r
+       + Optimised vListInsert() in the case when the wake time is the maximum\r
+         tick count value.\r
+       + Bug fix:  The 'value' of the event list item is updated when the priority\r
+         of a task is changed.  Previously only the priority of the TCB itself was\r
+         changed.\r
+       + vTaskPrioritySet() and vTaskResume() no longer use the event list item.\r
+         This has not been necessary since V4.0.1 when the xMissedYield handling\r
+         was added.\r
+       + Lowered the PCLK setting on the ARM9 STR9 demo from 96MHz to 48MHz.\r
+       + When ending the scheduler - do not try to attempt a context switch when\r
+         deleting the current task.\r
+       + SAM7X EMAC drivers:  Corrected the Rx frame length mask when obtaining\r
+         the length from the rx descriptor.\r
+\r
+\r
+Changes between V4.0.3 and V4.0.4 released June 22, 2006\r
+\r
+       + Added a port and demo application for the STR9 ARM9 based processors from\r
+         ST.\r
+       + Slight optimisation to the vTaskPrioritySet() function.\r
+       + Included the latest uIP version (1.0) in the demo/common/ethernet\r
+         directory.\r
+\r
+Changes between V4.0.2 and V4.0.3 released June 7, 2006\r
+\r
+       + Added a port and demo application for the Cortex-M3 target using the IAR\r
+         development tools.\r
+       + The ARM Cortex-m3 Rowley projects have been updated to use V1.6 of the\r
+         CrossStudio tools.\r
+       + The heap size defined for the lwIP Rowley demo has been reduced so that\r
+         the project will link correctly when using the command line GCC tools\r
+         also.  The makefile has also been modified to allow debugging.\r
+       + The lwIP Rowley demo not includes a 'kernel aware' debug window.\r
+       + The uIP Rowley project has been updated to build with V1.6 of CrossWorks.\r
+       + The second set of tasks in the blockQ demo were created the wrong way\r
+         around (inconsistent to the description in the file).  This has been\r
+         corrected.\r
+\r
+Changes between V4.0.1 and V4.0.2 released May 28, 2006\r
+\r
+       + Port and demo application added for the Tern Ethernet Engine controller.\r
+       + Port and demo application added for MC9S12 using GCC, thanks to\r
+         Jefferson "imajeff" Smith.\r
+       + The function vTaskList() now suspends the scheduler rather than disabling\r
+         interrupts during the creation of the task list.\r
+       + Allow a task to delete itself by passing in its own handle.  Previously\r
+         this could only be done by passing in NULL.\r
+       + Corrected the value passed to the WDG_PeriodValueConfig() library\r
+         function in the STR71x demo.\r
+       + The tick hook function is now called only within a tick isr.  Previously\r
+         it was also called when the tick function was called during the scheduler\r
+         unlocking process.\r
+       + The EMAC driver in the SAM7X lwIP demo has been made more robust as per\r
+         the thread: http://sourceforge.net/forum/message.php?msg_id=3714405\r
+       + In the PC ports:  Add function prvSetTickFrequencyDefault() to set the\r
+         DOS tick back to its proper value when the scheduler exits.  Thanks\r
+         Raynald!\r
+       + In the Borland x86 ports there was a mistake in the portFIRST_CONTEXT\r
+         macro where the BP register was not popped from the stack correctly.  The\r
+         BP value would never get used so this did not cause a problem, but it has\r
+         been corrected all the same.\r
+\r
+\r
+Changes between V4.0.0 and V4.0.1 released April 7 2006\r
+\r
+       + Improved the ARM CORTEX M3 ports so they now only have to service\r
+         pendSV interrupts.\r
+       + Added a Luminary Micro port and demo for use with Rowley CrossWorks.\r
+       + Added the xMissedYield handling to tasks.c.\r
+\r
+Changes between V3.2.4 and V4.0.0\r
+\r
+       Major changes:\r
+\r
+       + Added new RTOS port for Luminary Micros ARM CORTEX M3 microcontrollers.\r
+       + Added new co-routine functionality.\r
+\r
+       Other kernel changes:\r
+\r
+       + An optional tick hook call is now included in the tick function.\r
+       + Introduced the xMiniListItem structure and removed the list pxHead\r
+         member in order to reduce RAM usage.\r
+       + Added the following definitions to the FreeRTOSConfig.h file included\r
+         with every port:\r
+               configUSE_TICK_HOOK\r
+               configUSE_CO_ROUTINES\r
+               configMAX_CO_ROUTINE_PRIORITIES\r
+       + The volatile qualification has been changed on the list members to allow\r
+         the task.c code to be tidied up a bit.\r
+       + The scheduler can now be started even if no tasks have been created!\r
+         This is to allow co-routines to run when there are no tasks.\r
+       + A task being woken by an event will now preempt the currently running task\r
+         even if its priority is only equal to the currently running task.\r
+\r
+       Port and demo application changes:\r
+\r
+       + Updated the WinAVR demo to compile with the latest version of WinAVR\r
+         with no warnings generated.\r
+       + Changed the WinAVR makefile to make chars signed - needed for the\r
+         co-routine code if BaseType_t is set to char.\r
+       + Added new demo application file crflash.c.  This demonstrates co-routine\r
+         functionality including passing data between co-routines.\r
+       + Added new demo application file crhook.c.  This demonstrates co-routine\r
+         and tick hook functionality including passing data between and ISR and\r
+         a co-routine.\r
+       + Some NOP's were missing following stmdb{}^ instructions in various ARM7\r
+         ports.  These have been added.\r
+       + Updated the Open Watcom PC demo project to include the crflash and crhook\r
+         demo co-routines as an example of their use.\r
+       + Updated the H8S demo to compile with the latest version of GCC.\r
+       + Updated the SAM7X EMAC drivers to take into account the hardware errata\r
+         regarding lost packets.\r
+       + Changed the default MAC address used by some WEB server demos as the\r
+         original addresses used was not liked by some routers.\r
+       + Modified the SAM7X/IAR startup code slightly to prevent it hanging on\r
+         some systems when the code is executed using a j-link debugger.  The\r
+         j-link macro file configures the PLL before the code executes so\r
+         attempting to configure it again in the startup code was causing a\r
+         problem for some user.  Now a check is performed first to see if the\r
+         PLL is already set up.\r
+       + GCC port now contain all assembler code in a single asm block rather than\r
+         individual blocks as before.\r
+       + GCC LPC2000 code now explicitly uses R0 rather than letting the assembler\r
+         choose the register to use as a temporary register during the context\r
+         switch.\r
+       + Added portNOP() macro.\r
+       + The compare match load value on LPC2000 ports now has 1 added to correct\r
+         the value used.\r
+       + The minimal stack depth has been increased slightly on the WIZC PIC18\r
+         port.\r
+\r
+Changes between V3.2.3 and V3.2.4\r
+\r
+       + Modified the GCC ARM7 port layer to allow use with GCC V4.0.0 and above.\r
+         Many thanks to Glen Biagioni for the provided update.\r
+       + Added a new Microblaze port and demo application.\r
+       + Modified the SAM7X EMAC demo to default to use the MII interface rather\r
+         than the RMII interface.\r
+       + Modified the startup sequence of the SAM7X demo slightly to allow the\r
+         EMAC longer to auto negotiate.\r
+\r
+Changes between V3.2.2 and V3.2.3\r
+\r
+       + Added MII interface support to the SAM7X EMAC peripheral driver.\r
+         Previously versions worked with the RMII interface only.\r
+       + Added command line GCC support to the SAM7X lwIP demo.  Previously the\r
+         project could only be built using the CrossWorks IDE.  Modifications to\r
+         this end include the addition of a standard makefile and linker script to\r
+         the download, and some adjustments to the stacks allocated to each task.\r
+       + Changed the page returned by the lwIP WEB server demo to display the\r
+         task status table rather than the TCP/IP statistics.\r
+       + Corrected the capitalisation of some header file includes and makefile\r
+         dependencies to facilitate use on Linux host computers.\r
+       + The various LPC2000 ports had a mistake in the timer setup where the\r
+         prescale value was written to T0_PC instead of T0_PR.  This would have\r
+         no effect unless a prescale value was actually required.  This has been\r
+         corrected.\r
+\r
+Changes between V3.2.1 and V3.2.2 - Released 23 September, 2005\r
+\r
+       + Added an IAR port for the Philips LPC2129\r
+       + The Atmel ARM7 IAR demo project files are now saved in the IAR Embedded\r
+         Workbench V4.30a format.\r
+       + Updated the J-Link macro file included with the SAM7X uIP demo project\r
+         to allow the demo board to be reset over the J-Link.\r
+\r
+Changes between V3.2.0 and V3.2.1 - Released 1 September, 2005\r
+\r
+       + Added lwIP demo for AT91SAM7X using Rowley tools.\r
+       + Added uIP demo for AT91SAM7X using IAR tools.\r
+       + Added function xTaskGetCurrentTaskHandle().\r
+       + Renamed events.h to mevents.h to prevent it conflicting with the events.h\r
+         generated automatically by the HCS12 processor expert utility.  events.h\r
+         is only used by the PC demo application.\r
+       + Both PIC18 ports now initialise the TBLPTRU to 0 as this is the value\r
+         expected by the compiler, and the compilers do not write to this\r
+         register.\r
+       + The HCS12 banked model demo now creates the 'suicide' tasks immediately\r
+         prior to starting the scheduler.  These tasks should be the last tasks to\r
+         get started in order for the test to function correctly.\r
+\r
+Changes between V3.1.1 and V3.2.0 - Released 29 June, 2005\r
+\r
+       V3.2.0 introduces two new MSP430 ports and corrects a minor kernel\r
+       issues.  Thanks to Ares.qi for his input.\r
+\r
+       + Added two MSP430 ports that use the Rowley CrossWorks development tools.\r
+         One port just mirrors the existing GCC port.  The other port was provided\r
+         by Milos Prokic.  Thanks!\r
+       + V3.2.0 corrects the behavior when vTaskPrioritySet() or vTaskResume()\r
+         are called while the scheduler is locked (by a call to\r
+         vTaskSuspendAll()).  When this is done the subject task now starts to\r
+         execute immediately when the scheduler is unlocked if it has the highest\r
+         priority that is ready to run.  Previously there was a possibility that\r
+         the task would not run until the next RTOS tick or call to portYIELD().\r
+       + Another similar small correction ensures that in the case where more than\r
+         one task is blocked on a semaphore or queue, the task with the highest\r
+         priority is guaranteed to be unblocked first.\r
+       + Added a couple of more test tasks to the PC demo which cover the points\r
+         above.\r
+\r
+Changes between V3.1.0 and V3.1.1 - Released 21st June, 2005\r
+\r
+       This release updates the HCS12 port.  The common kernel code\r
+       remains unchanged.\r
+\r
+       + Updated the HCS12 port to support banking and introduced a demo\r
+         application for the MC9S12DP256.  The new demo application is\r
+         located in the Demo/HCS12_CodeWarrior_banked directory.\r
+       + The name of the directory containing the MC9S12F32 demo application\r
+         has been changed to Demo/HCS12_CodeWarrior_small (as in 'small'\r
+         memory model).\r
+       + MC9S12F32 demo updated slightly to use the PLL.  The CPU speed for the\r
+         demo application is now 24MHz.  Previously it was 8MHz.\r
+       + The demo application file Demo/Common/Minimal/death.c has a slight\r
+         alteration to prevent it using floating point variables.\r
+\r
+\r
+Changes between V3.0.0 and V3.1.0 - Released 11th June, 2005\r
+\r
+       + Added new ports for ST Microsystems STR71x, and Freescale HCS12\r
+         microcontrollers.  Currently the HCS12 port is limited to the small\r
+         memory model.  Large memory models will be supported in the next\r
+         release.\r
+       + PIC18 wizC port updated.  Thanks to Marcel van Lieshout for his\r
+         continuing contribution.\r
+       + The accuracy of the AVR port timer setup has been improved.  Thanks to\r
+         Thomas Krutmann for this contribution.\r
+       + Added a new conditional compilation macro configIDLE_SHOULD_YIELD.\r
+         See the WEB documentation for details.\r
+       + Updated the CrossWorks uIP demo to build with V1.4 of CrossWorks.\r
+       + Slight modification to the SAM7 release build configuration to correct\r
+         an include path definition.\r
+       + Updated the MPLAB PIC18 documentation to provide extra details on linker\r
+         file configuration.\r
+\r
+Changes between V3.0.0 and V2.6.1 - Released 23rd April, 2005\r
+\r
+       V3.0.0 includes many enhancements, so this history list is broken into\r
+       subsections as follows:\r
+\r
+               API changes\r
+               New ports\r
+               Directory name changes\r
+               Kernel and miscellaneous changes changes\r
+\r
+       - API changes\r
+\r
+               + Each port now defines BaseType_t as the data type that is most\r
+                 efficient for that architecture.  The type BaseType_t is used\r
+                 extensively in API calls necessitating the following changes to the\r
+                 FreeRTOS API function prototypes.\r
+\r
+                 See the "New for V3.0.0" section of the FreeRTOS online\r
+                 documentation for full details of API changes.\r
+\r
+       - New ports\r
+\r
+               + The AT91FR40008 ARM7 port contributed by John Feller is now included\r
+                 in the download (thanks John!).\r
+               + The PIC18 port for the wizC/fedC compiler contributed by Marcel van\r
+                 Lieshout is now included in the download (thanks Marcel!).\r
+               + The IAR port for the AVR microcontroller has been upgraded to V3.0.0\r
+                 and is now a supported port.\r
+\r
+       - Directory name changes\r
+\r
+               For consistency, and to allow integration of the new ports, the\r
+               following directory names have been changed.\r
+\r
+               + The source/portable/GCC/ARM7 directory has been renamed\r
+                 source/portable/GCC/ARM7_LPC2000 so it is compatible with the naming\r
+                 of other GCC ARM7 ports.\r
+               + The Demo/PIC directory has been renamed Demo/PIC18_MPLAB to\r
+                 accommodate the wizC/fedC PIC port.\r
+               + The demo applications for the two AVR ports no longer share the same\r
+                 directory.  The WinAVR demo is in the Demo/AVR_ATMega323_WinAVR\r
+                 directory and the IAR port in the Demo/AVR_ATMega323_IAR directory.\r
+\r
+\r
+       - Kernel and miscellaneous changes changes\r
+\r
+                 See the "New for V3.0.0" section of the FreeRTOS online\r
+                 documentation for more information.\r
+\r
+               + Previously 'portmacro.h' contained some user editable definitions\r
+                 relating to the user application, and some fixed definitions relating\r
+                 specifically to the port being used.  The application specific\r
+                 definitions have been removed from 'portmacro.h' and placed inside a\r
+                 new header file called 'FreeRTOSConfig.h'.  'portmacro.h' should now\r
+                 never be modified by the user.  A 'FreeRTOSConfig.h' is now included\r
+                 in each of FreeRTOS/Demo subdirectories - as it's settings relate to\r
+                 the demo application rather than being specific to the port.\r
+               + Introduced configUSE_IDLE_HOOK in idle task.\r
+               + The idle task will yield when another idle priority task is ready to\r
+                 run. Previously the idle task would run to the end of its time slice\r
+                 regardless.\r
+               + The idle task is now created when the scheduler is started.  This\r
+                 requires less stack than the previous scheme where it was created upon\r
+                 creation of the first application task.\r
+               + The function usPortCheckFreeStackSpace() has been renamed\r
+                 usTaskCheckFreeStackSpace() and moved from the portable layer to\r
+                 tasks.c.\r
+               + Corrected spelling of portMINMAL_STACK_SIZE to portMINIMAL_STACK_SIZE.\r
+               + The portheap.c file included with the AVR port has been deleted.  The\r
+                 AVR demo now uses the standard heap1 sample memory allocator.\r
+               + The GCC AVR port is now build using the standard make utility.  The\r
+                 batch files used previously have been deleted.  This means a recent\r
+                 version of WinAVR is required in order to create a binary suitable for\r
+                 source level debugging.\r
+               + vTaskStartScheduler() no longer takes the configUSE_PREEMPTION\r
+                 constant as a parameter.  Instead the constant is used directly within\r
+                 tasks.c  and no parameter is required.\r
+               + The header file 'FreeRTOS.h' has been created and is used to include\r
+                 'projdefs.h', 'FreeRTOSConfig.h' and 'portable.h' in the necessary\r
+                 order.  FreeRTOS.h can now be included in place of these other\r
+                 headers.\r
+               + The header file 'errors.h' has been deleted.  The definitions it\r
+                 contained are now located within 'projdefs.h'.\r
+               + pvPortMalloc() now takes a size_t parameter as per the ANSI malloc().\r
+                 Previously an unsigned short was used.\r
+               + When resuming the scheduler a yield is performed if either a tick has\r
+                 been missed, or a task is moved from the pending ready list into a\r
+                 ready list.  Previously a yield was not performed on this second\r
+                 condition.\r
+               + In heap1.c an overflow check has been added to ensure the next free\r
+                 byte variable does not wrap around.\r
+               + Introduced the portTASK_FUNCTION() and portTASK_FUNCTION_PROTO()\r
+                 macros.\r
+               + The MPLAB PIC port now saved the TABLAT register in interrupt service\r
+                 routines.\r
+\r
+Changes between V2.6.0 and V2.6.1 - Released Feb 22, 2005\r
+\r
+       This version adds support for the H8 processor.\r
+\r
+       Other changes:\r
+\r
+       + tskMAX_TASK_NAME_LEN removed from the task.h header and added to each\r
+         individual portmacro.h file as portMAX_TASK_NAME_LEN.  This allows RAM\r
+         limited ports to allocate fewer characters to the task name.\r
+       + AVR port - Replaced the inb() and outb() functions with direct memory\r
+         access.  This allows the port to be built with the 20050414 build of\r
+         WinAVR.\r
+       + GCC LPC2106 port - removed the 'static' from the definition of\r
+         vNonPreemptiveTick() to allow the demo to link when using the cooperative\r
+         scheduler.\r
+       + GCC LPC2106 port - Corrected the optimisation options in the batch files\r
+         ROM_THUMB.bat, RAM_THUMB.bat, ROM_ARM.bat and RAM_ARM.bat.  The lower case\r
+         -o is replaced by an uppercase -O.\r
+       + Tasks.c - The strcpy call has been removed when copying across the task\r
+         name into the TCB.\r
+       + Updated the trace visualisation to always be 4 byte aligned so it can be\r
+         used on ARM architectures.\r
+       + There are now two tracecon executables (that convert the trace file binary\r
+         into an ASCII file).  One for big endian targets and one for little endian\r
+         targets.\r
+       + Added ucTasksDeleted variable to prevent vTaskSuspendAll() being called\r
+         too often in the idle task.\r
+       + SAM7 USB driver - Replaced the duplicated RX_DATA_BK0 in the interrupt\r
+         mask with the RX_DATA_BK1.\r
+\r
+\r
+Changes between V2.5.5 and V2.6.0 - Released January 16, 2005\r
+\r
+       + Added the API function vTaskDelayUntil().  The demo app file\r
+         Demo/Common/Minimal/flash.c has been updated to demonstrate its use.\r
+       + Added INCLUDE_vTaskDelay conditional compilation.\r
+       + Changed the name of the Demo/ARM7_AtmelSAM7S64_IAR directory to\r
+         Demo/ARM7_AT91SAM7S64_IAR for consistency.\r
+       + Modified the AT91SAM7S USB driver to allow descriptors that have\r
+         a length that is an exact multiple of the FIFO to be transmitted.\r
+\r
+Changes between V2.5.4 and V2.5.5 - Released January 3, 2005\r
+\r
+       This version adds support for the Atmel SAM7 ARM7 microcontrollers\r
+       along with the IAR development tools.\r
+\r
+       Other changes:\r
+\r
+       + Renamed the Demo/ARM7 directory to Demo/ARM7_LPC2106_GCC.\r
+       + Renamed the Demo/ARM7_Keil directory to Demo/ARM7_LPC2129_Keil.\r
+       + Modified the Philips ARM7 serial interrupt service routines to only\r
+         process one interrupt per call.  This seems to enable the ISR to\r
+         operate more quickly.\r
+       + Removed the 'far' keyword from the Open Watcom portable layer source\r
+         files.  This allows their use with V1.3 of Open Watcom.\r
+       + Minor modifications to the SDCC build files to allow their use under\r
+         Linux.  Thanks to Frieder Ferlemann for this contribution.\r
+       + Small change to sTaskCreate() to allow a context switch even when\r
+         pxCreatedTask is NULL.  Thanks to Kamil for this contribution.\r
+       + inline keyword removed from vTaskSwitchContext() and VTaskIncrementTick()\r
+         definitions.\r
+\r
+Changes between V2.5.3 and V2.5.4 - Released Dec 1, 2004\r
+\r
+       This is an important maintenance release.\r
+\r
+       The function cTaskResumeAll() has been modified so it can be used safely\r
+       prior to the kernel being initialised.  This was an issue as\r
+       cTaskResumeAll() is called from pvPortMalloc().  Thanks to Daniel Braun\r
+       for highlighting this issue.\r
+\r
+Changes between V2.5.2 and V2.5.3 - Released Nov 2, 2004\r
+\r
+       The critical section handling functions have been changed for the GCC ARM7\r
+       port.   Some optimisation levels use the stack differently to others.  This\r
+       means the interrupt flags cannot always be stored on the stack and are\r
+       instead now stored in a variable, which is then saved as part of the\r
+       tasks context.  This allows the GCC ARM7 port to be used at all\r
+       optimisation levels - including -Os.\r
+\r
+       Other minor changes:\r
+\r
+       + MSP430 definition of usCriticalNesting now uses the volatile qualifier.\r
+         This is probably not required but added just in case.\r
+\r
+Changes between V2.5.1 and V2.5.2 - Released Oct 26, 2004\r
+\r
+       + Added the Keil ARM7 port.\r
+       + Slight modification to comtest.c to make the delay periods more random.\r
+         This creates a better test condition.\r
+\r
+Changes between V2.5.0 and V2.5.1 - Released Oct 9, 2004\r
+\r
+       + Added the MSP430 port.\r
+       + Extra comments added to the GCC ARM7 port.c and portISR.c files.\r
+       + The memory pool allocated within heap_1.c has been placed within a\r
+         structure to ensure correct memory alignment on 32bit systems.\r
+       + Within the GCC ARM7 serial drivers an extra check is made to ensure\r
+         the post to the queue was successful if then attempting immediately\r
+         retrieve the posted character.\r
+       + Changed the name of the constant portTICKS_PER_MS to portTICK_PERIOD_MS\r
+         as the old name was misleading.\r
+\r
+\r
+Changes between V2.4.2 and V2.5.0 - Released Aug 12, 2004\r
+\r
+       The RTOS source code download now includes three separate memory allocation\r
+       schemes - so you can choose the most appropriate for your application.\r
+       These are found in the Source/Portable/MemMang directory.  The demo\r
+       application projects have also been updated to demonstrate the new schemes.\r
+       See the "Memory Management" page of the API documentation for more details.\r
+\r
+       + Added heap_1.c, heap_2.c and heap_3.c in the Source/Portable/MemMang\r
+         directory.\r
+       + Replaced the portheap.c files for each demo application with one of the\r
+         new memory allocation files.\r
+       + Updated the portmacro.h file for each demo application to include the\r
+         constants required for the new memory allocators: portTOTAL_HEAP_SIZE and\r
+         portBYTE_ALIGNMENT.\r
+       + Added a new test to the ARM7 demo application that tests the operation\r
+         of the heap_2 memory allocator.\r
+\r
+\r
+Changes between V2.4.1 and V2.4.2 - Released July 14, 2004\r
+\r
+       + The ARM7 port now supports THUMB mode.\r
+       + Modification to the ARM7 demo application serial port driver.\r
+\r
+Changes between V2.4.0 and V2.4.1 - Released July 2, 2004\r
+\r
+       + Rationalised the ARM7 port version of portEXIT_CRITICAL() -\r
+         improvements provided by Bill Knight.\r
+       + Made demo serial driver more complete and robust.\r
+\r
+\r
+Changes between V2.4.0 and V2.3.1 - Released June 30, 2004\r
+\r
+       + Added the first ARM7 port - thanks to Bill Knight for the assistance\r
+         provided.\r
+       + Added extra files to the Demo/Common/Minimal directory.  These are\r
+         equivalent to their Demo/Common/Full counterparts but with the\r
+         calls to the functions defined in print.c removed.\r
+       + Added TABLAT to the list of registers saved as part of a PIC18 context.\r
+\r
+Changes between V2.3.0 and V2.3.1 - Released June 25, 2004\r
+\r
+       + Changed the way the vector table is defined to be more portable.\r
+       + Corrected the definitions of SPH and SPL in portmacro.s90.\r
+         The previous definitions prevented V2.3.0 operating if the iom323.h\r
+         header file was included in portmacro.s90.\r
+\r
+Changes between V2.2.0 and V2.3.0 - Released June 19, 2004\r
+\r
+       + Added an AVR port that uses the IAR compiler.\r
+       + Explicit use of 'signed' qualifier on plain char types.\r
+       + Modified the Open Watcom project files to use 'signed' as the\r
+         default char type.\r
+       + Changed odd calculation of initial pxTopOfStack value when\r
+         portSTACK_GROWTH < 0.\r
+       + Added inline qualifier to context switch functions within task.c.\r
+         Ports that do not support the (non ANSI) inline keyword have the\r
+         inline #define'd away in  their respective portmacro.h files.\r
+\r
+Changes between V2.1.1 and V2.2.0 - Released May 18, 2004\r
+\r
+       + Added Cygnal 8051 port.\r
+       + PCLATU and PCLATH are now saved as part of the PIC18 context.  This\r
+         allows function pointers to be used within tasks.  Thanks to Javier\r
+         Espeche for the enhancement.\r
+       + Minor changes to demo application files to reduce stack usage.\r
+       + Minor changes to prevent compiler warnings when compiling the new port.\r
+\r
+Changes between V2.1.0 and V2.1.1 - Released March 12, 2004\r
+\r
+       + Bug fix - pxCurrentTCB is now initialised before the call to\r
+         prvInitialiseTaskLists().  Previously pxCurrentTCB could be accessed\r
+         while null during the initialisation sequence.  Thanks to Giuseppe\r
+         Franco for the correction.\r
+\r
+Changes between V2.0.0 and V2.1.0 - Released Feb 29, 2004\r
+\r
+       V2.1.0 has significant reworks that greatly reduce the amount of time\r
+       the kernel has interrupts disabled.  The first section of modifications\r
+       listed here must be taken into account by users.  The second section\r
+       are related to the kernel implementation and as such are transparent.\r
+\r
+       Section1 :\r
+\r
+       + The typedef TickType_t has been introduced.  All delay times should\r
+         now use a variable of type TickType_t in place of the unsigned long's\r
+         used previously.  API function prototypes have been updated\r
+         appropriately.\r
+       + The configuration macro USE_16_BIT_TICKS has been introduced.  If set\r
+         to 1 TickType_t is defined as an unsigned short.  If set to 0\r
+         TickType_t is defined as an unsigned long.  See the configuration\r
+         section of the API documentation for more details.\r
+       + The configuration macro INCLUDE_vTaskSuspendAll is now obsolete.\r
+       + vTaskResumeAll() has been renamed cTaskResumeAll() as it now returns a\r
+         value (see the API documentation).\r
+       + ulTaskGetTickCount() has been renamed xTaskGetTickCount() as the type\r
+         it returns now depends on the USE_16_BIT_TICKS definition.\r
+       + cQueueReceive() must now >never< be used from within an ISR.  Use the new\r
+         cQueueReceiveFromISR() function instead.\r
+\r
+       Section 2:\r
+\r
+       + A mechanism has been introduced that allows a queue to be accessed by\r
+         a task and ISR simultaneously.\r
+       + A "pending ready" queue has been introduced that enables interrupts to\r
+         be processed when the scheduler is suspended.\r
+       + The list implementation has been improved to provide faster item\r
+         removal.\r
+       + The scheduler now makes use of the scheduler suspend mechanism in places\r
+         where previously interrupts were disabled.\r
+\r
+Changes between V1.2.6 and V2.0.0 - Released Jan 31, 2004\r
+\r
+       + Introduced new API functions:\r
+               vTaskPriorityGet ()\r
+               vTaskPrioritySet ()\r
+               vTaskSuspend ()\r
+               vTaskResume ()\r
+               vTaskSuspendAll ()\r
+               vTaskResumeAll ()\r
+       + Added conditional compilation options that allow the components of the\r
+         kernel that are unused by an application to be excluded from the build.\r
+         See the Configuration section on the WEB site for more information (on\r
+         the API pages).  The macros have been added to each portmacro.h file (\r
+         sometimes called prtmacro.h).\r
+       + Rearranged tasks.c.\r
+       + Added demo application file dynamic.c.\r
+       + Updated the PC demo application to make use of dynamic.c.\r
+       + Updated the documentation contained in the kernel header files.\r
+       + Creating a task now causes a context switch if the task being created\r
+         has a higher priority than the calling task - assuming the kernel is\r
+         running.\r
+       + vTaskDelete() now only causes a context switch if the calling task is\r
+         the task being deleted.\r
+\r
+Changes between V1.2.5 and V1.2.6 - Released December 31, 2003\r
+\r
+       Barring the change to the interrupt vector (PIC port) these are minor\r
+       enhancements.\r
+\r
+       + The interrupt vector used for the PIC master ISR has been changed from\r
+         0x18 to 0x08 - where it should have always been.  The incorrect address\r
+         still works but probably executes a number of NOP's before getting to the\r
+         ISR.\r
+       + Changed the baud rate used by the AVR demo application to 38400.  This\r
+         has an error percentage of less than one percent with an 8MHz clock.\r
+       + Raised the priority of the Rx task in demo\full\comtest.c.  This only\r
+         affects the Flashlite and PC ports.  This was done to prevent the Rx\r
+         buffer becoming full.\r
+       + Reverted the Flashlite COM port driver back so it does not use the DMA.\r
+         The DMA appears to miss characters under stress.  The Borland Flashlite\r
+         port was also calculating a register value incorrectly resulting in the\r
+         wrong DMA source address being used.  The same code worked fine when\r
+         compiling with Open Watcom.  Other minor enhancements were made to the\r
+         interrupt handling.\r
+       + Modified the PIC serial Rx ISR to check for and clear overrun errors.\r
+         Overrun errors seem to prevent any further characters being received.\r
+       + The PIC demo projects now have some optimisation switched on.\r
+\r
+\r
+Changes between V1.2.4 and V1.2.5\r
+\r
+       Small fix made to the PIC specific port.c file described below.\r
+\r
+       + Introduced portGLOBAL_INTERRUPT_FLAG definition to test the global\r
+         interrupt flag setting.  Using the two bits defined within\r
+         portINITAL_INTERRUPT_STATE was causing the w register to get clobbered\r
+         before the test was performed.\r
+\r
+Changes between V1.2.3 and V1.2.4\r
+\r
+       V1.2.4 contains a release version of the PIC18 port.\r
+       An optional exception has been included with the GPL.  See the licensing\r
+       section of www.FreeRTOS.org for details.\r
+\r
+       + The function xPortInitMinimal() has been renamed to\r
+         xSerialPortInitMinimal() and the function xPortInit() has been renamed\r
+         to xSerialPortInit().\r
+       + The function sSerialPutChar() has been renamed cSerialPutChar() and\r
+         the function return type chaned to portCHAR.\r
+       + The integer and flop tasks now include calls to tskYIELD(), allowing\r
+         them to be used with the cooperative scheduler.\r
+       + All the demo applications now use the integer and comtest tasks when the\r
+         cooperative scheduler is being used.  Previously they were only used with\r
+         the preemptive scheduler.\r
+       + Minor changes made to operation of minimal versions of comtest.c and\r
+         integer.c.\r
+       + The ATMega port definition of portCPU_CLOSK_HZ definition changed to\r
+         8MHz base 10, previously it base 16.\r
+\r
+\r
+\r
+Changes between V1.2.2a and V1.2.3\r
+\r
+       The only change of any significance is to the license, which has changed\r
+       from the Open Software License to the GNU GPL.\r
+\r
+       The zip file also contains a pre-release version of the PIC18 port.  This\r
+       has not yet completed testing and as such does not constitute part of the\r
+       V1.2.3 release.  It is still however covered by the GNU GPL.\r
+\r
+       There are minor source code changes to accommodate the PIC C compiler.\r
+       These mainly involve more explicit casting.\r
+\r
+       + sTaskCreate() has been modified slightly to make use of the\r
+         portSTACK_GROWTH macro.  This is required for the PIC port where the\r
+         stack grows in the opposite direction to the other existing ports.\r
+       + prvCheckTasksWaitingTermination() has been modified slightly to bring\r
+         the decrementing of usCurrentNumberOfTasks within the critical section,\r
+         where it should have been since the creation of an eight bit port.\r
+\r
+Changes between V1.2.2 and V1.2.2a\r
+\r
+       The makefile and buildcoff.bat files included with the AVR demo application\r
+       have been modified for use with the September 2003 build of WinAVR.  No\r
+       source files have changed.\r
+\r
+Changes between V1.2.1 and V1.2.2\r
+\r
+       There are only minor changes here to allow the PC and Flashlite 186 ports\r
+       to use the Borland V4.52 compiler, as supplied with the Flashlite 186\r
+       development kit.\r
+\r
+       + Introduced a BCC directory under source\portable.  This contains all the\r
+         files specific to the Borland compiler port.\r
+       + Corrected the macro naming of portMS_PER_TICK to portTICKS_PER_MS.\r
+       + Modified comtest.c to increase the rate at which the string is\r
+         transmitted and received on the serial port.  The Flashlite 186 demo\r
+         app baud rate has also been increased.\r
+       + The values of the constants used in both integer.c files have been\r
+          increased to force the Borland compiler to use 32 bit values.  The\r
+          Borland optimiser placed the previous values in 16 bit registers, and in\r
+          So doing invalidated the test.\r
+\r
+Changes between V1.2.0 and V1.2.1\r
+\r
+       This version includes some minor changes to the list implementation aimed\r
+       at improving the context switch time - with is now approximately 10% faster.\r
+       Changes include the removal of some null pointer assignment checks.  These\r
+       were redundant where the scheduler uses the list functions, but means any\r
+       user application choosing to use the same list functions must now check\r
+       that no NULL pointers are passed as a parameter.\r
+\r
+       The Flashlite 186 serial port driver has also been modified to use a DMA\r
+       channel for transmissions.  The serial driver is fully functional but still\r
+       under development.  Flashlite users may prefer to use V1.2.0 for now.\r
+\r
+       Details:\r
+\r
+       + Changed the baud rate for the ATMega323 serial test from 19200 to 57600.\r
+       + Use vSerialPutString() instead of single character puts in\r
+         Demo\Full\Comtest.c.  This allows the use of the flashlite DMA serial\r
+         driver.  Also the check variable only stops incrementing after two\r
+         consecutive failures.\r
+       + semtest.c creates four tasks, two of which operate at the idle priority.\r
+         The tasks that operate at the idle priority now use a lower expected\r
+         count than those running at a higher priority.  This prevents the low\r
+         priority tasks from signalling an error because they have not been\r
+         scheduled enough time for each of them to count the shared variable to\r
+         the higher original value.\r
+       + The flashlite 186 serial driver now uses a DMA channel for transmissions.\r
+       + Removed the volatile modifier from the list function parameters.  This was\r
+         only ever included to prevent compiler warnings.  Now warnings are\r
+         removed by casting parameters where the calls are made.\r
+       + prvListGetOwnerOfNextEntry() and prvListGetOwnerOfHeadEntry() have been\r
+         removed from list.c and added as macros in list.h.\r
+       + usNumberOfItems has been added to the list structure.  This removes the\r
+         need for a pointer comparison when checking if a list is empty, and so\r
+         is slightly faster.\r
+       + Removed the NULL check in vListRemove().  This makes the call faster but\r
+         necessitates any application code utilising the list implementation to\r
+         ensure NULL pointers are not passed.\r
+       + Renamed portTICKS_PER_MS definition to portMS_PER_TICK (milli seconds\r
+         per tick).  This is what it always should have been.\r
+\r
+Changes between V1.01 and V1.2.0\r
+\r
+       The majority of these changes were made to accommodate the 8bit AVR port.\r
+       The scheduler workings have not changed, but some of the data types used\r
+       have been made more friendly to an eight bit environment.\r
+\r
+       Details:\r
+\r
+       + Changed the version numbering format.\r
+       + Added AVR port.\r
+       + Split the directory demo\common into demo\common\minimal and\r
+         demo\common\full.  The files in the full directory are for systems with\r
+         a display (currently PC and Flashlite 186 demo's).  The files in the\r
+         minimal directory are for systems with limited RAM and no display\r
+         (currently MegaAVR).\r
+       + Minor changes to demo application function prototypes to make more use\r
+         of 8bit data types.\r
+       + Within the scheduler itself the following functions have slightly\r
+         modified declarations to make use of 8bit data types where possible:\r
+               xQueueCreate(),\r
+               sQueueReceive(),\r
+               sQUeueReceive(),\r
+               usQueueMessageWaiting(),\r
+               sQueueSendFromISR(),\r
+               sSemaphoreTake(),\r
+               sSemaphoreGive(),\r
+               sSemaphoreGiveFromISR(),\r
+               sTaskCreate(),\r
+               sTaskMoveFromEventList().\r
+\r
+         Where the return type has changed the function name has also changed in\r
+         accordance with the naming convention.  For example\r
+         usQueueMessageWaiting() has become ucQueueMessageWaiting().\r
+       + The definition tskMAX_PRIORITIES has been moved from task.h to\r
+         portmacro.h and renamed portMAX_PRIORITIES.  This allows different\r
+         ports to allocate a different maximum number of priorities.\r
+       + By default the trace facility is off, previously USE_TRACE_FACILITY\r
+         was defined.\r
+       + comtest.c now uses a psuedo random delay between sends.  This allows for\r
+         better testing as the interrupts do not arrive at regular intervals.\r
+       + Minor change to the Flashlite serial port driver.  The driver is written\r
+         to demonstrate the scheduler and is not written to be efficient.\r
+\r
+\r
+\r
+Changes between V1.00 and V1.01\r
+\r
+       These changes improve the ports.  The scheduler itself has not changed.\r
+\r
+       Improved context switch mechanism used when performing a context\r
+       switch from an ISR (both the tick ISR and the serial comms ISR's within\r
+       the demo application).  The new mechanism is faster and uses less stack.\r
+\r
+       The assembler file portasm.asm has been replaced by a header file\r
+       portasm.h.  This includes a few assembler macro definitions.\r
+\r
+       All saving and restoring of registers onto/off of the stack is now handled\r
+       by the compiler.  This means the initial stack setup for a task has to\r
+       mimic the stack used by the compiler, which is different for debug and\r
+       release builds.\r
+\r
+       Slightly changed the operation of the demo application, details below.\r
+\r
+       Details:\r
+\r
+       + portSWITCH_CONTEXT() replaced by vPortFirstContext().\r
+       + pxPortInitialiseStack() modified to replicate the stack used by the\r
+         compiler.\r
+       + portasm.asm file removed.\r
+       + portasm.h introduced.  This contains macro definitions for\r
+         portSWITCH_CONTEXT() and portFIRST_CONTEXT().\r
+       + Context switch from ISR now uses the compiler generated interrupt\r
+         mechanism.  This is done simply by calling portSWITCH_CONTEXT and leaving\r
+         the save/restore to compiler generated code.\r
+       + Calls to taskYIELD() during ISR's have been replaced by calling the\r
+         simpler and faster portSWITCH_CONTEXT().\r
+       + The Flashlite 186 port now uses 186 instruction set (used to use 80x86\r
+         instructions only).\r
+       + The blocking queue tasks within the demo application did not operate\r
+         quite as described.  This has been corrected.\r
+       + The priority of the comtest Rx task within the demo application has been\r
+         lowered.  Received characters are now processed (read from the queue) at\r
+         the idle priority, allowing low priority tasks to run evenly at times of\r
+         a high communications overhead.\r
+       + Prevent the call to kbhit() in main.c for debug builds as the debugger\r
+         seems to have problems stepping over the call.  This if for the PC port\r
+         only.\r
+\r
+\r
+\r
diff --git a/FreeRTOS/Source/License/license.txt b/FreeRTOS/Source/License/license.txt
new file mode 100644 (file)
index 0000000..2977d52
--- /dev/null
@@ -0,0 +1,37 @@
+The FreeRTOS kernel is released under the MIT open source license, the text of
+which is provided below.
+
+This license covers the FreeRTOS kernel source files, which are located in the
+/FreeRTOS/Source directory of the official FreeRTOS kernel download.  It also
+covers most of the source files in the demo application projects, which are
+located in the /FreeRTOS/Demo directory of the official FreeRTOS download.  The
+demo projects may also include third party software that is not part of FreeRTOS
+and is licensed separately to FreeRTOS.  Examples of third party software
+includes header files provided by chip or tools vendors, linker scripts,
+peripheral drivers, etc.  All the software in subdirectories of the /FreeRTOS
+directory is either open source or distributed with permission, and is free for
+use.  For the avoidance of doubt, refer to the comments at the top of each
+source file.
+
+
+License text:
+-------------
+
+Copyright (C) 2019 Amazon.com, Inc. or its affiliates.  All Rights Reserved.
+Permission is hereby granted, free of charge, to any person obtaining a copy of
+this software and associated documentation files (the "Software"), to deal in
+the Software without restriction, including without limitation the rights to
+use, copy, modify, merge, publish, distribute, sublicense, and/or sell copies of
+the Software, and to permit persons to whom the Software is furnished to do so,
+subject to the following conditions:
+
+The above copyright notice and this permission notice shall be included in all
+copies or substantial portions of the Software.
+
+THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
+IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS
+FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR
+COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER
+IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN
+CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
+
diff --git a/FreeRTOS/Source/Quick_Start_Guide.url b/FreeRTOS/Source/Quick_Start_Guide.url
new file mode 100644 (file)
index 0000000..be74fdc
--- /dev/null
@@ -0,0 +1,5 @@
+[InternetShortcut]\r
+URL=http://www.freertos.org/FreeRTOS-quick-start-guide.html\r
+IDList=\r
+[{000214A0-0000-0000-C000-000000000046}]\r
+Prop3=19,2\r
index 91483846792ed3e93f795e5cb7628ba6379d1393..205ad62fcb4afddab6afe3ac0fe7559b61a8d0dc 100644 (file)
@@ -121,7 +121,7 @@ typedef void (*PendedFunction_t)( void *, uint32_t );
  * after 100 ticks, then xTimerPeriodInTicks should be set to 100.\r
  * Alternatively, if the timer must expire after 500ms, then xPeriod can be set\r
  * to ( 500 / portTICK_PERIOD_MS ) provided configTICK_RATE_HZ is less than or\r
- * equal to 1000.\r
+ * equal to 1000.  Time timer period must be greater than 0.\r
  *\r
  * @param uxAutoReload If uxAutoReload is set to pdTRUE then the timer will\r
  * expire repeatedly with a frequency set by the xTimerPeriodInTicks parameter.\r
@@ -138,9 +138,9 @@ typedef void (*PendedFunction_t)( void *, uint32_t );
  * which is    "void vCallbackFunction( TimerHandle_t xTimer );".\r
  *\r
  * @return If the timer is successfully created then a handle to the newly\r
- * created timer is returned.  If the timer cannot be created (because either\r
- * there is insufficient FreeRTOS heap remaining to allocate the timer\r
- * structures, or the timer period was set to 0) then NULL is returned.\r
+ * created timer is returned.  If the timer cannot be created because there is\r
+ * insufficient FreeRTOS heap remaining to allocate the timer\r
+ * structures then NULL is returned.\r
  *\r
  * Example usage:\r
  * @verbatim\r
@@ -267,7 +267,7 @@ typedef void (*PendedFunction_t)( void *, uint32_t );
  * after 100 ticks, then xTimerPeriodInTicks should be set to 100.\r
  * Alternatively, if the timer must expire after 500ms, then xPeriod can be set\r
  * to ( 500 / portTICK_PERIOD_MS ) provided configTICK_RATE_HZ is less than or\r
- * equal to 1000.\r
+ * equal to 1000.  The timer period must be greater than 0.\r
  *\r
  * @param uxAutoReload If uxAutoReload is set to pdTRUE then the timer will\r
  * expire repeatedly with a frequency set by the xTimerPeriodInTicks parameter.\r
diff --git a/GitHub-FreeRTOS-Home.url b/GitHub-FreeRTOS-Home.url
new file mode 100644 (file)
index 0000000..3daafe2
--- /dev/null
@@ -0,0 +1,8 @@
+[{000214A0-0000-0000-C000-000000000046}]
+Prop3=19,2
+[InternetShortcut]
+URL=https://github.com/FreeRTOS/FreeRTOS
+IconIndex=0
+IDList=
+HotKey=0
+
diff --git a/LICENSE.md b/LICENSE.md
new file mode 100644 (file)
index 0000000..9cf1062
--- /dev/null
@@ -0,0 +1,19 @@
+MIT License
+
+Permission is hereby granted, free of charge, to any person obtaining a copy
+of this software and associated documentation files (the "Software"), to deal
+in the Software without restriction, including without limitation the rights
+to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
+copies of the Software, and to permit persons to whom the Software is
+furnished to do so, subject to the following conditions:
+
+The above copyright notice and this permission notice shall be included in all
+copies or substantial portions of the Software.
+
+THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
+IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
+FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
+AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
+LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
+OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE
+SOFTWARE.
diff --git a/README.md b/README.md
new file mode 100644 (file)
index 0000000..56d13c2
--- /dev/null
+++ b/README.md
@@ -0,0 +1,45 @@
+## Getting started
+The easiest way to use FreeRTOS is to start with one of the pre-configured demo application projects (found in the FreeRTOS/Demo directory).  That way you will have the correct FreeRTOS source files included, and the correct include paths configured.  Once a demo application is building and executing you can remove the demo application files, and start to add in your own application source files.  See the [FreeRTOS Kernel Quick Start Guide](https://www.freertos.org/FreeRTOS-quick-start-guide.html) for detailed instructions and other useful links.
+
+Additionally, for FreeRTOS kernel feature information refer to the [Developer Documentation](https://www.freertos.org/features.html), and [API Reference](https://www.freertos.org/a00106.html).
+
+### Getting help
+If you have any questions or need assistance troubleshooting your FreeRTOS project, we have an active community that can help on the [FreeRTOS Community Support Forum](https://forums.freertos.org). Please also refer to [FAQ](http://www.freertos.org/FAQHelp.html) for frequently asked questions.
+
+## Cloning this repository
+This repo uses [Git Submodules](https://git-scm.com/book/en/v2/Git-Tools-Submodules) to bring in dependent components.
+
+Note: If you download the ZIP file provided by GitHub UI, you will not get the contents of the submodules. (The ZIP file is also not a valid git repository)
+
+To clone using HTTPS:
+```
+git clone https://github.com/FreeRTOS/FreeRTOS.git --recurse-submodules
+```
+Using SSH:
+```
+git clone git@github.com:FreeRTOS/FreeRTOS.git --recurse-submodules
+```
+
+If you have downloaded the repo without using the `--recurse-submodules` argument, you need to run:
+```
+git submodule update --init --recursive
+```
+
+## Repository structure
+This repository contains the FreeRTOS Kernel, a number of supplementary libraries, and a comprehensive set of example applications.
+
+### Kernel sources
+The FreeRTOS Kernel Source is in [FreeRTOS/FreeRTOS-Kernel repository](https://github.com/FreeRTOS/FreeRTOS-Kernel), and it is consumed as a submodule in this repository.
+
+The version of the FreeRTOS Kernel Source in use could be accessed at ```./FreeRTOS/Source``` directory.
+
+A number of Demo projects can be found under ```./FreeRTOS/Demo``` directory.
+
+### Supplementary library sources
+The [FreeRTOS-Plus/Source](https://github.com/FreeRTOS/FreeRTOS/tree/master/FreeRTOS-Plus/Source) directory contains source code for some of the FreeRTOS+ components, as well as select partner provided libraries. These subdirectories contain further readme files and links to documentation.
+
+[FreeRTOS-Labs](https://github.com/FreeRTOS/FreeRTOS/tree/master/FreeRTOS-Labs) contains libraries and demos that are fully functional, but undergoing optimizations or refactorization to improve memory usage, modularity,
+documentation, demo usability, or test coverage.  At this time the projects ARE A WORK IN PROGRESS and will be released in the main FreeRTOS directories of the download following full review and completion of the documentation.
+
+## Previous releases
+Previous releases are available for download under [releases](https://github.com/FreeRTOS/FreeRTOS/releases).
diff --git a/SECURITY.md b/SECURITY.md
new file mode 100644 (file)
index 0000000..d1a40a4
--- /dev/null
@@ -0,0 +1,5 @@
+## Reporting a Vulnerability
+
+If you discover a potential security issue in this project we ask that you notify AWS/Amazon Security 
+via our [vulnerability reporting page](http://aws.amazon.com/security/vulnerability-reporting/) or directly via email to aws-security@amazon.com. 
+Please do **not** create a public github issue.