]> git.sur5r.net Git - openocd/blob - src/jtag/core.c
jtag: make caller always allocate buffer
[openocd] / src / jtag / core.c
1 /***************************************************************************
2  *   Copyright (C) 2009 Zachary T Welch                                    *
3  *   zw@superlucidity.net                                                  *
4  *                                                                         *
5  *   Copyright (C) 2007,2008,2009 Ã˜yvind Harboe                            *
6  *   oyvind.harboe@zylin.com                                               *
7  *                                                                         *
8  *   Copyright (C) 2009 SoftPLC Corporation                                *
9  *       http://softplc.com                                                *
10  *   dick@softplc.com                                                      *
11  *                                                                         *
12  *   Copyright (C) 2005 by Dominic Rath                                    *
13  *   Dominic.Rath@gmx.de                                                   *
14  *                                                                         *
15  *   This program is free software; you can redistribute it and/or modify  *
16  *   it under the terms of the GNU General Public License as published by  *
17  *   the Free Software Foundation; either version 2 of the License, or     *
18  *   (at your option) any later version.                                   *
19  *                                                                         *
20  *   This program is distributed in the hope that it will be useful,       *
21  *   but WITHOUT ANY WARRANTY; without even the implied warranty of        *
22  *   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the         *
23  *   GNU General Public License for more details.                          *
24  *                                                                         *
25  *   You should have received a copy of the GNU General Public License     *
26  *   along with this program; if not, write to the                         *
27  *   Free Software Foundation, Inc.,                                       *
28  *   59 Temple Place - Suite 330, Boston, MA  02111-1307, USA.             *
29  ***************************************************************************/
30 #ifdef HAVE_CONFIG_H
31 #include "config.h"
32 #endif
33
34 #include "jtag.h"
35 #include "interface.h"
36 #include <transport/transport.h>
37
38 #ifdef HAVE_STRINGS_H
39 #include <strings.h>
40 #endif
41
42 /* SVF and XSVF are higher level JTAG command sets (for boundary scan) */
43 #include "svf/svf.h"
44 #include "xsvf/xsvf.h"
45
46 /// The number of JTAG queue flushes (for profiling and debugging purposes).
47 static int jtag_flush_queue_count;
48
49 // Sleep this # of ms after flushing the queue
50 static int jtag_flush_queue_sleep = 0;
51
52 static void jtag_add_scan_check(struct jtag_tap *active,
53                 void (*jtag_add_scan)(struct jtag_tap *active, int in_num_fields, const struct scan_field *in_fields, tap_state_t state),
54                 int in_num_fields, struct scan_field *in_fields, tap_state_t state);
55
56 /**
57  * The jtag_error variable is set when an error occurs while executing
58  * the queue.  Application code may set this using jtag_set_error(),
59  * when an error occurs during processing that should be reported during
60  * jtag_execute_queue().
61  *
62  * The value is set and cleared, but never read by normal application code.
63  *
64  * This value is returned (and cleared) by jtag_execute_queue().
65  */
66 static int jtag_error = ERROR_OK;
67
68 static const char *jtag_event_strings[] =
69 {
70         [JTAG_TRST_ASSERTED] = "TAP reset",
71         [JTAG_TAP_EVENT_SETUP] = "TAP setup",
72         [JTAG_TAP_EVENT_ENABLE] = "TAP enabled",
73         [JTAG_TAP_EVENT_DISABLE] = "TAP disabled",
74 };
75
76 /*
77  * JTAG adapters must initialize with TRST and SRST de-asserted
78  * (they're negative logic, so that means *high*).  But some
79  * hardware doesn't necessarily work that way ... so set things
80  * up so that jtag_init() always forces that state.
81  */
82 static int jtag_trst = -1;
83 static int jtag_srst = -1;
84
85 /**
86  * List all TAPs that have been created.
87  */
88 static struct jtag_tap *__jtag_all_taps = NULL;
89 /**
90  * The number of TAPs in the __jtag_all_taps list, used to track the
91  * assigned chain position to new TAPs
92  */
93 static unsigned jtag_num_taps = 0;
94
95 static enum reset_types jtag_reset_config = RESET_NONE;
96 tap_state_t cmd_queue_cur_state = TAP_RESET;
97
98 static bool jtag_verify_capture_ir = true;
99 static int jtag_verify = 1;
100
101 /* how long the OpenOCD should wait before attempting JTAG communication after reset lines deasserted (in ms) */
102 static int adapter_nsrst_delay = 0; /* default to no nSRST delay */
103 static int jtag_ntrst_delay = 0; /* default to no nTRST delay */
104 static int adapter_nsrst_assert_width = 0; /* width of assertion */
105 static int jtag_ntrst_assert_width = 0; /* width of assertion */
106
107 /**
108  * Contains a single callback along with a pointer that will be passed
109  * when an event occurs.
110  */
111 struct jtag_event_callback {
112         /// a event callback
113         jtag_event_handler_t callback;
114         /// the private data to pass to the callback
115         void* priv;
116         /// the next callback
117         struct jtag_event_callback* next;
118 };
119
120 /* callbacks to inform high-level handlers about JTAG state changes */
121 static struct jtag_event_callback *jtag_event_callbacks;
122
123 /* speed in kHz*/
124 static int speed_khz = 0;
125 /* speed to fallback to when RCLK is requested but not supported */
126 static int rclk_fallback_speed_khz = 0;
127 static enum {CLOCK_MODE_UNSELECTED, CLOCK_MODE_KHZ, CLOCK_MODE_RCLK} clock_mode;
128 static int jtag_speed = 0;
129
130 static struct jtag_interface *jtag = NULL;
131
132
133 const struct swd_driver *swd = NULL;
134
135 /* configuration */
136 struct jtag_interface *jtag_interface = NULL;
137
138 void jtag_set_flush_queue_sleep(int ms)
139 {
140         jtag_flush_queue_sleep = ms;
141 }
142
143 void jtag_set_error(int error)
144 {
145         if ((error == ERROR_OK) || (jtag_error != ERROR_OK))
146                 return;
147         jtag_error = error;
148 }
149
150 int jtag_error_clear(void)
151 {
152         int temp = jtag_error;
153         jtag_error = ERROR_OK;
154         return temp;
155 }
156
157 /************/
158
159 static bool jtag_poll = 1;
160
161 bool is_jtag_poll_safe(void)
162 {
163         /* Polling can be disabled explicitly with set_enabled(false).
164          * It is also implicitly disabled while TRST is active and
165          * while SRST is gating the JTAG clock.
166          */
167         if (!jtag_poll || jtag_trst != 0)
168                 return false;
169         return jtag_srst == 0 || (jtag_reset_config & RESET_SRST_NO_GATING);
170 }
171
172 bool jtag_poll_get_enabled(void)
173 {
174         return jtag_poll;
175 }
176
177 void jtag_poll_set_enabled(bool value)
178 {
179         jtag_poll = value;
180 }
181
182 /************/
183
184 struct jtag_tap *jtag_all_taps(void)
185 {
186         return __jtag_all_taps;
187 };
188
189 unsigned jtag_tap_count(void)
190 {
191         return jtag_num_taps;
192 }
193
194 unsigned jtag_tap_count_enabled(void)
195 {
196         struct jtag_tap *t = jtag_all_taps();
197         unsigned n = 0;
198         while (t)
199         {
200                 if (t->enabled)
201                         n++;
202                 t = t->next_tap;
203         }
204         return n;
205 }
206
207 /// Append a new TAP to the chain of all taps.
208 void jtag_tap_add(struct jtag_tap *t)
209 {
210         t->abs_chain_position = jtag_num_taps++;
211
212         struct jtag_tap **tap = &__jtag_all_taps;
213         while (*tap != NULL)
214                 tap = &(*tap)->next_tap;
215         *tap = t;
216 }
217
218 /* returns a pointer to the n-th device in the scan chain */
219 struct jtag_tap *jtag_tap_by_position(unsigned n)
220 {
221         struct jtag_tap *t = jtag_all_taps();
222
223         while (t && n-- > 0)
224                 t = t->next_tap;
225
226         return t;
227 }
228
229 struct jtag_tap *jtag_tap_by_string(const char *s)
230 {
231         /* try by name first */
232         struct jtag_tap *t = jtag_all_taps();
233
234         while (t)
235         {
236                 if (0 == strcmp(t->dotted_name, s))
237                         return t;
238                 t = t->next_tap;
239         }
240
241         /* no tap found by name, so try to parse the name as a number */
242         unsigned n;
243         if (parse_uint(s, &n) != ERROR_OK)
244                 return NULL;
245
246         /* FIXME remove this numeric fallback code late June 2010, along
247          * with all info in the User's Guide that TAPs have numeric IDs.
248          * Also update "scan_chain" output to not display the numbers.
249          */
250         t = jtag_tap_by_position(n);
251         if (t)
252                 LOG_WARNING("Specify TAP '%s' by name, not number %u",
253                         t->dotted_name, n);
254
255         return t;
256 }
257
258 struct jtag_tap* jtag_tap_next_enabled(struct jtag_tap* p)
259 {
260         p = p ? p->next_tap : jtag_all_taps();
261         while (p)
262         {
263                 if (p->enabled)
264                         return p;
265                 p = p->next_tap;
266         }
267         return NULL;
268 }
269
270 const char *jtag_tap_name(const struct jtag_tap *tap)
271 {
272         return (tap == NULL) ? "(unknown)" : tap->dotted_name;
273 }
274
275
276 int jtag_register_event_callback(jtag_event_handler_t callback, void *priv)
277 {
278         struct jtag_event_callback **callbacks_p = &jtag_event_callbacks;
279
280         if (callback == NULL)
281         {
282                 return ERROR_INVALID_ARGUMENTS;
283         }
284
285         if (*callbacks_p)
286         {
287                 while ((*callbacks_p)->next)
288                         callbacks_p = &((*callbacks_p)->next);
289                 callbacks_p = &((*callbacks_p)->next);
290         }
291
292         (*callbacks_p) = malloc(sizeof(struct jtag_event_callback));
293         (*callbacks_p)->callback = callback;
294         (*callbacks_p)->priv = priv;
295         (*callbacks_p)->next = NULL;
296
297         return ERROR_OK;
298 }
299
300 int jtag_unregister_event_callback(jtag_event_handler_t callback, void *priv)
301 {
302         struct jtag_event_callback **p = &jtag_event_callbacks, *temp;
303
304         if (callback == NULL)
305         {
306                 return ERROR_INVALID_ARGUMENTS;
307         }
308
309         while (*p)
310         {
311                 if (((*p)->priv != priv) || ((*p)->callback != callback))
312                 {
313                         p = &(*p)->next;
314                         continue;
315                 }
316
317                 temp = *p;
318                 *p = (*p)->next;
319                 free(temp);
320         }
321
322         return ERROR_OK;
323 }
324
325 int jtag_call_event_callbacks(enum jtag_event event)
326 {
327         struct jtag_event_callback *callback = jtag_event_callbacks;
328
329         LOG_DEBUG("jtag event: %s", jtag_event_strings[event]);
330
331         while (callback)
332         {
333                 struct jtag_event_callback *next;
334
335                 /* callback may remove itself */
336                 next = callback->next;
337                 callback->callback(event, callback->priv);
338                 callback = next;
339         }
340
341         return ERROR_OK;
342 }
343
344 static void jtag_checks(void)
345 {
346         assert(jtag_trst == 0);
347 }
348
349 static void jtag_prelude(tap_state_t state)
350 {
351         jtag_checks();
352
353         assert(state != TAP_INVALID);
354
355         cmd_queue_cur_state = state;
356 }
357
358 void jtag_add_ir_scan_noverify(struct jtag_tap *active, const struct scan_field *in_fields,
359                 tap_state_t state)
360 {
361         jtag_prelude(state);
362
363         int retval = interface_jtag_add_ir_scan(active, in_fields, state);
364         jtag_set_error(retval);
365 }
366
367 static void jtag_add_ir_scan_noverify_callback(struct jtag_tap *active, int dummy, const struct scan_field *in_fields,
368                 tap_state_t state)
369 {
370         jtag_add_ir_scan_noverify(active, in_fields, state);
371 }
372
373 void jtag_add_ir_scan(struct jtag_tap *active, struct scan_field *in_fields, tap_state_t state)
374 {
375         assert(state != TAP_RESET);
376
377         if (jtag_verify && jtag_verify_capture_ir)
378         {
379                 /* 8 x 32 bit id's is enough for all invocations */
380
381                 /* if we are to run a verification of the ir scan, we need to get the input back.
382                  * We may have to allocate space if the caller didn't ask for the input back.
383                  */
384                 in_fields->check_value = active->expected;
385                 in_fields->check_mask = active->expected_mask;
386                 jtag_add_scan_check(active, jtag_add_ir_scan_noverify_callback, 1, in_fields, state);
387         } else
388         {
389                 jtag_add_ir_scan_noverify(active, in_fields, state);
390         }
391 }
392
393 void jtag_add_plain_ir_scan(int num_bits, const uint8_t *out_bits, uint8_t *in_bits,
394                 tap_state_t state)
395 {
396         assert(out_bits != NULL);
397         assert(state != TAP_RESET);
398
399         jtag_prelude(state);
400
401         int retval = interface_jtag_add_plain_ir_scan(
402                         num_bits, out_bits, in_bits, state);
403         jtag_set_error(retval);
404 }
405
406 static int jtag_check_value_inner(uint8_t *captured, uint8_t *in_check_value,
407                 uint8_t *in_check_mask, int num_bits);
408
409 static int jtag_check_value_mask_callback(jtag_callback_data_t data0, jtag_callback_data_t data1, jtag_callback_data_t data2, jtag_callback_data_t data3)
410 {
411         return jtag_check_value_inner((uint8_t *)data0, (uint8_t *)data1, (uint8_t *)data2, (int)data3);
412 }
413
414 static void jtag_add_scan_check(struct jtag_tap *active, void (*jtag_add_scan)(struct jtag_tap *active, int in_num_fields, const struct scan_field *in_fields, tap_state_t state),
415                 int in_num_fields, struct scan_field *in_fields, tap_state_t state)
416 {
417         for (int i = 0; i < in_num_fields; i++)
418         {
419                 struct scan_field *field = &in_fields[i];
420                 /* caller must provide in_buffer if needed for callback */
421                 assert((field->check_value == NULL) || (field->in_value != NULL));
422         }
423
424         jtag_add_scan(active, in_num_fields, in_fields, state);
425
426         for (int i = 0; i < in_num_fields; i++)
427         {
428                 if ((in_fields[i].check_value != NULL) && (in_fields[i].in_value != NULL))
429                 {
430                         /* this is synchronous for a minidriver */
431                         jtag_add_callback4(jtag_check_value_mask_callback, (jtag_callback_data_t)in_fields[i].in_value,
432                                 (jtag_callback_data_t)in_fields[i].check_value,
433                                 (jtag_callback_data_t)in_fields[i].check_mask,
434                                 (jtag_callback_data_t)in_fields[i].num_bits);
435                 }
436         }
437 }
438
439 void jtag_add_dr_scan_check(struct jtag_tap *active, int in_num_fields, struct scan_field *in_fields, tap_state_t state)
440 {
441         if (jtag_verify)
442         {
443                 jtag_add_scan_check(active, jtag_add_dr_scan, in_num_fields, in_fields, state);
444         } else
445         {
446                 jtag_add_dr_scan(active, in_num_fields, in_fields, state);
447         }
448 }
449
450
451 void jtag_add_dr_scan(struct jtag_tap *active, int in_num_fields, const struct scan_field *in_fields,
452                 tap_state_t state)
453 {
454         assert(state != TAP_RESET);
455
456         jtag_prelude(state);
457
458         int retval;
459         retval = interface_jtag_add_dr_scan(active, in_num_fields, in_fields, state);
460         jtag_set_error(retval);
461 }
462
463 void jtag_add_plain_dr_scan(int num_bits, const uint8_t *out_bits, uint8_t *in_bits,
464                 tap_state_t state)
465 {
466         assert(out_bits != NULL);
467         assert(state != TAP_RESET);
468
469         jtag_prelude(state);
470
471         int retval;
472         retval = interface_jtag_add_plain_dr_scan(num_bits, out_bits, in_bits, state);
473         jtag_set_error(retval);
474 }
475
476 void jtag_add_tlr(void)
477 {
478         jtag_prelude(TAP_RESET);
479         jtag_set_error(interface_jtag_add_tlr());
480
481         /* NOTE: order here matches TRST path in jtag_add_reset() */
482         jtag_call_event_callbacks(JTAG_TRST_ASSERTED);
483         jtag_notify_event(JTAG_TRST_ASSERTED);
484 }
485
486 /**
487  * If supported by the underlying adapter, this clocks a raw bit sequence
488  * onto TMS for switching betwen JTAG and SWD modes.
489  *
490  * DO NOT use this to bypass the integrity checks and logging provided
491  * by the jtag_add_pathmove() and jtag_add_statemove() calls.
492  *
493  * @param nbits How many bits to clock out.
494  * @param seq The bit sequence.  The LSB is bit 0 of seq[0].
495  * @param state The JTAG tap state to record on completion.  Use
496  *      TAP_INVALID to represent being in in SWD mode.
497  *
498  * @todo Update naming conventions to stop assuming everything is JTAG.
499  */
500 int jtag_add_tms_seq(unsigned nbits, const uint8_t *seq, enum tap_state state)
501 {
502         int retval;
503
504         if (!(jtag->supported & DEBUG_CAP_TMS_SEQ))
505                 return ERROR_JTAG_NOT_IMPLEMENTED;
506
507         jtag_checks();
508         cmd_queue_cur_state = state;
509
510         retval = interface_add_tms_seq(nbits, seq, state);
511         jtag_set_error(retval);
512         return retval;
513 }
514
515 void jtag_add_pathmove(int num_states, const tap_state_t *path)
516 {
517         tap_state_t cur_state = cmd_queue_cur_state;
518
519         /* the last state has to be a stable state */
520         if (!tap_is_state_stable(path[num_states - 1]))
521         {
522                 LOG_ERROR("BUG: TAP path doesn't finish in a stable state");
523                 jtag_set_error(ERROR_JTAG_NOT_STABLE_STATE);
524                 return;
525         }
526
527         for (int i = 0; i < num_states; i++)
528         {
529                 if (path[i] == TAP_RESET)
530                 {
531                         LOG_ERROR("BUG: TAP_RESET is not a valid state for pathmove sequences");
532                         jtag_set_error(ERROR_JTAG_STATE_INVALID);
533                         return;
534                 }
535
536                 if (tap_state_transition(cur_state, true)  != path[i]
537                   && tap_state_transition(cur_state, false) != path[i])
538                 {
539                         LOG_ERROR("BUG: %s -> %s isn't a valid TAP transition",
540                                         tap_state_name(cur_state), tap_state_name(path[i]));
541                         jtag_set_error(ERROR_JTAG_TRANSITION_INVALID);
542                         return;
543                 }
544                 cur_state = path[i];
545         }
546
547         jtag_checks();
548
549         jtag_set_error(interface_jtag_add_pathmove(num_states, path));
550         cmd_queue_cur_state = path[num_states - 1];
551 }
552
553 int jtag_add_statemove(tap_state_t goal_state)
554 {
555         tap_state_t cur_state = cmd_queue_cur_state;
556
557         if (goal_state != cur_state)
558         {
559                 LOG_DEBUG("cur_state=%s goal_state=%s",
560                         tap_state_name(cur_state),
561                         tap_state_name(goal_state));
562         }
563
564         /* If goal is RESET, be paranoid and force that that transition
565          * (e.g. five TCK cycles, TMS high).  Else trust "cur_state".
566          */
567         if (goal_state == TAP_RESET)
568                 jtag_add_tlr();
569         else if (goal_state == cur_state)
570                 /* nothing to do */ ;
571
572         else if (tap_is_state_stable(cur_state) && tap_is_state_stable(goal_state))
573         {
574                 unsigned tms_bits  = tap_get_tms_path(cur_state, goal_state);
575                 unsigned tms_count = tap_get_tms_path_len(cur_state, goal_state);
576                 tap_state_t moves[8];
577                 assert(tms_count < ARRAY_SIZE(moves));
578
579                 for (unsigned i = 0; i < tms_count; i++, tms_bits >>= 1)
580                 {
581                         bool bit = tms_bits & 1;
582
583                         cur_state = tap_state_transition(cur_state, bit);
584                         moves[i] = cur_state;
585                 }
586
587                 jtag_add_pathmove(tms_count, moves);
588         }
589         else if (tap_state_transition(cur_state, true)  == goal_state
590                 ||   tap_state_transition(cur_state, false) == goal_state)
591         {
592                 jtag_add_pathmove(1, &goal_state);
593         }
594
595         else
596                 return ERROR_FAIL;
597
598         return ERROR_OK;
599 }
600
601 void jtag_add_runtest(int num_cycles, tap_state_t state)
602 {
603         jtag_prelude(state);
604         jtag_set_error(interface_jtag_add_runtest(num_cycles, state));
605 }
606
607
608 void jtag_add_clocks(int num_cycles)
609 {
610         if (!tap_is_state_stable(cmd_queue_cur_state))
611         {
612                  LOG_ERROR("jtag_add_clocks() called with TAP in unstable state \"%s\"",
613                                  tap_state_name(cmd_queue_cur_state));
614                  jtag_set_error(ERROR_JTAG_NOT_STABLE_STATE);
615                  return;
616         }
617
618         if (num_cycles > 0)
619         {
620                 jtag_checks();
621                 jtag_set_error(interface_jtag_add_clocks(num_cycles));
622         }
623 }
624
625 void jtag_add_reset(int req_tlr_or_trst, int req_srst)
626 {
627         int trst_with_tlr = 0;
628         int new_srst = 0;
629         int new_trst = 0;
630
631         /* Without SRST, we must use target-specific JTAG operations
632          * on each target; callers should not be requesting SRST when
633          * that signal doesn't exist.
634          *
635          * RESET_SRST_PULLS_TRST is a board or chip level quirk, which
636          * can kick in even if the JTAG adapter can't drive TRST.
637          */
638         if (req_srst) {
639                 if (!(jtag_reset_config & RESET_HAS_SRST)) {
640                         LOG_ERROR("BUG: can't assert SRST");
641                         jtag_set_error(ERROR_FAIL);
642                         return;
643                 }
644                 if ((jtag_reset_config & RESET_SRST_PULLS_TRST) != 0
645                                 && !req_tlr_or_trst) {
646                         LOG_ERROR("BUG: can't assert only SRST");
647                         jtag_set_error(ERROR_FAIL);
648                         return;
649                 }
650                 new_srst = 1;
651         }
652
653         /* JTAG reset (entry to TAP_RESET state) can always be achieved
654          * using TCK and TMS; that may go through a TAP_{IR,DR}UPDATE
655          * state first.  TRST accelerates it, and bypasses those states.
656          *
657          * RESET_TRST_PULLS_SRST is a board or chip level quirk, which
658          * can kick in even if the JTAG adapter can't drive SRST.
659          */
660         if (req_tlr_or_trst) {
661                 if (!(jtag_reset_config & RESET_HAS_TRST))
662                         trst_with_tlr = 1;
663                 else if ((jtag_reset_config & RESET_TRST_PULLS_SRST) != 0
664                                 && !req_srst)
665                         trst_with_tlr = 1;
666                 else
667                         new_trst = 1;
668         }
669
670         /* Maybe change TRST and/or SRST signal state */
671         if (jtag_srst != new_srst || jtag_trst != new_trst) {
672                 int retval;
673
674                 retval = interface_jtag_add_reset(new_trst, new_srst);
675                 if (retval != ERROR_OK)
676                         jtag_set_error(retval);
677                 else
678                         retval = jtag_execute_queue();
679
680                 if (retval != ERROR_OK) {
681                         LOG_ERROR("TRST/SRST error");
682                         return;
683                 }
684         }
685
686         /* SRST resets everything hooked up to that signal */
687         if (jtag_srst != new_srst) {
688                 jtag_srst = new_srst;
689                 if (jtag_srst)
690                 {
691                         LOG_DEBUG("SRST line asserted");
692                         if (adapter_nsrst_assert_width)
693                                 jtag_add_sleep(adapter_nsrst_assert_width * 1000);
694                 }
695                 else {
696                         LOG_DEBUG("SRST line released");
697                         if (adapter_nsrst_delay)
698                                 jtag_add_sleep(adapter_nsrst_delay * 1000);
699                 }
700         }
701
702         /* Maybe enter the JTAG TAP_RESET state ...
703          *  - using only TMS, TCK, and the JTAG state machine
704          *  - or else more directly, using TRST
705          *
706          * TAP_RESET should be invisible to non-debug parts of the system.
707          */
708         if (trst_with_tlr) {
709                 LOG_DEBUG("JTAG reset with TLR instead of TRST");
710                 jtag_add_tlr();
711
712         } else if (jtag_trst != new_trst) {
713                 jtag_trst = new_trst;
714                 if (jtag_trst) {
715                         LOG_DEBUG("TRST line asserted");
716                         tap_set_state(TAP_RESET);
717                         if (jtag_ntrst_assert_width)
718                                 jtag_add_sleep(jtag_ntrst_assert_width * 1000);
719                 } else {
720                         LOG_DEBUG("TRST line released");
721                         if (jtag_ntrst_delay)
722                                 jtag_add_sleep(jtag_ntrst_delay * 1000);
723
724                         /* We just asserted nTRST, so we're now in TAP_RESET.
725                          * Inform possible listeners about this, now that
726                          * JTAG instructions and data can be shifted.  This
727                          * sequence must match jtag_add_tlr().
728                          */
729                         jtag_call_event_callbacks(JTAG_TRST_ASSERTED);
730                         jtag_notify_event(JTAG_TRST_ASSERTED);
731                 }
732         }
733 }
734
735 void jtag_add_sleep(uint32_t us)
736 {
737         /// @todo Here, keep_alive() appears to be a layering violation!!!
738         keep_alive();
739         jtag_set_error(interface_jtag_add_sleep(us));
740 }
741
742 static int jtag_check_value_inner(uint8_t *captured, uint8_t *in_check_value,
743                 uint8_t *in_check_mask, int num_bits)
744 {
745         int retval = ERROR_OK;
746         int compare_failed;
747
748         if (in_check_mask)
749                 compare_failed = buf_cmp_mask(captured, in_check_value, in_check_mask, num_bits);
750         else
751                 compare_failed = buf_cmp(captured, in_check_value, num_bits);
752
753         if (compare_failed) {
754                 char *captured_str, *in_check_value_str;
755                 int bits = (num_bits > DEBUG_JTAG_IOZ)
756                                 ? DEBUG_JTAG_IOZ
757                                 : num_bits;
758
759                 /* NOTE:  we've lost diagnostic context here -- 'which tap' */
760
761                 captured_str = buf_to_str(captured, bits, 16);
762                 in_check_value_str = buf_to_str(in_check_value, bits, 16);
763
764                 LOG_WARNING("Bad value '%s' captured during DR or IR scan:",
765                                 captured_str);
766                 LOG_WARNING(" check_value: 0x%s", in_check_value_str);
767
768                 free(captured_str);
769                 free(in_check_value_str);
770
771                 if (in_check_mask) {
772                         char *in_check_mask_str;
773
774                         in_check_mask_str = buf_to_str(in_check_mask, bits, 16);
775                         LOG_WARNING(" check_mask: 0x%s", in_check_mask_str);
776                         free(in_check_mask_str);
777                 }
778
779                 retval = ERROR_JTAG_QUEUE_FAILED;
780         }
781         return retval;
782 }
783
784 void jtag_check_value_mask(struct scan_field *field, uint8_t *value, uint8_t *mask)
785 {
786         assert(field->in_value != NULL);
787
788         if (value == NULL)
789         {
790                 /* no checking to do */
791                 return;
792         }
793
794         jtag_execute_queue_noclear();
795
796         int retval = jtag_check_value_inner(field->in_value, value, mask, field->num_bits);
797         jtag_set_error(retval);
798 }
799
800
801
802 int default_interface_jtag_execute_queue(void)
803 {
804         if (NULL == jtag)
805         {
806                 LOG_ERROR("No JTAG interface configured yet.  "
807                         "Issue 'init' command in startup scripts "
808                         "before communicating with targets.");
809                 return ERROR_FAIL;
810         }
811
812         return jtag->execute_queue();
813 }
814
815 void jtag_execute_queue_noclear(void)
816 {
817         jtag_flush_queue_count++;
818         jtag_set_error(interface_jtag_execute_queue());
819
820         if (jtag_flush_queue_sleep > 0)
821         {
822                 /* For debug purposes it can be useful to test performance
823                  * or behavior when delaying after flushing the queue,
824                  * e.g. to simulate long roundtrip times.
825                  */
826                 usleep(jtag_flush_queue_sleep * 1000);
827         }
828 }
829
830 int jtag_get_flush_queue_count(void)
831 {
832         return jtag_flush_queue_count;
833 }
834
835 int jtag_execute_queue(void)
836 {
837         jtag_execute_queue_noclear();
838         return jtag_error_clear();
839 }
840
841 static int jtag_reset_callback(enum jtag_event event, void *priv)
842 {
843         struct jtag_tap *tap = priv;
844
845         if (event == JTAG_TRST_ASSERTED)
846         {
847                 tap->enabled = !tap->disabled_after_reset;
848
849                 /* current instruction is either BYPASS or IDCODE */
850                 buf_set_ones(tap->cur_instr, tap->ir_length);
851                 tap->bypass = 1;
852         }
853
854         return ERROR_OK;
855 }
856
857 /* sleep at least us microseconds. When we sleep more than 1000ms we
858  * do an alive sleep, i.e. keep GDB alive. Note that we could starve
859  * GDB if we slept for <1000ms many times.
860  */
861 void jtag_sleep(uint32_t us)
862 {
863         if (us < 1000)
864                 usleep(us);
865         else
866                 alive_sleep((us+999)/1000);
867 }
868
869 /* Maximum number of enabled JTAG devices we expect in the scan chain,
870  * plus one (to detect garbage at the end).  Devices that don't support
871  * IDCODE take up fewer bits, possibly allowing a few more devices.
872  */
873 #define JTAG_MAX_CHAIN_SIZE 20
874
875 #define EXTRACT_MFG(X)  (((X) & 0xffe) >> 1)
876 #define EXTRACT_PART(X) (((X) & 0xffff000) >> 12)
877 #define EXTRACT_VER(X)  (((X) & 0xf0000000) >> 28)
878
879 /* A reserved manufacturer ID is used in END_OF_CHAIN_FLAG, so we
880  * know that no valid TAP will have it as an IDCODE value.
881  */
882 #define END_OF_CHAIN_FLAG       0x000000ff
883
884 /* a larger IR length than we ever expect to autoprobe */
885 #define JTAG_IRLEN_MAX          60
886
887 static int jtag_examine_chain_execute(uint8_t *idcode_buffer, unsigned num_idcode)
888 {
889         struct scan_field field = {
890                         .num_bits = num_idcode * 32,
891                         .out_value = idcode_buffer,
892                         .in_value = idcode_buffer,
893                 };
894
895         // initialize to the end of chain ID value
896         for (unsigned i = 0; i < JTAG_MAX_CHAIN_SIZE; i++)
897                 buf_set_u32(idcode_buffer, i * 32, 32, END_OF_CHAIN_FLAG);
898
899         jtag_add_plain_dr_scan(field.num_bits, field.out_value, field.in_value, TAP_DRPAUSE);
900         jtag_add_tlr();
901         return jtag_execute_queue();
902 }
903
904 static bool jtag_examine_chain_check(uint8_t *idcodes, unsigned count)
905 {
906         uint8_t zero_check = 0x0;
907         uint8_t one_check = 0xff;
908
909         for (unsigned i = 0; i < count * 4; i++)
910         {
911                 zero_check |= idcodes[i];
912                 one_check &= idcodes[i];
913         }
914
915         /* if there wasn't a single non-zero bit or if all bits were one,
916          * the scan is not valid.  We wrote a mix of both values; either
917          *
918          *  - There's a hardware issue (almost certainly):
919          *     + all-zeroes can mean a target stuck in JTAG reset
920          *     + all-ones tends to mean no target
921          *  - The scan chain is WAY longer than we can handle, *AND* either
922          *     + there are several hundreds of TAPs in bypass, or
923          *     + at least a few dozen TAPs all have an all-ones IDCODE
924          */
925         if (zero_check == 0x00 || one_check == 0xff)
926         {
927                 LOG_ERROR("JTAG scan chain interrogation failed: all %s",
928                                 (zero_check == 0x00) ? "zeroes" : "ones");
929                 LOG_ERROR("Check JTAG interface, timings, target power, etc.");
930                 return false;
931         }
932         return true;
933 }
934
935 static void jtag_examine_chain_display(enum log_levels level, const char *msg,
936                 const char *name, uint32_t idcode)
937 {
938         log_printf_lf(level, __FILE__, __LINE__, __FUNCTION__,
939                                   "JTAG tap: %s %16.16s: 0x%08x "
940                                   "(mfg: 0x%3.3x, part: 0x%4.4x, ver: 0x%1.1x)",
941                                   name, msg,
942                                   (unsigned int)idcode,
943                                   (unsigned int)EXTRACT_MFG(idcode),
944                                   (unsigned int)EXTRACT_PART(idcode),
945                                   (unsigned int)EXTRACT_VER(idcode));
946 }
947
948 static bool jtag_idcode_is_final(uint32_t idcode)
949 {
950         /*
951          * Some devices, such as AVR8, will output all 1's instead
952          * of TDI input value at end of chain.  Allow those values
953          * instead of failing.
954          */
955         return idcode == END_OF_CHAIN_FLAG || idcode == 0xFFFFFFFF;
956 }
957
958 /**
959  * This helper checks that remaining bits in the examined chain data are
960  * all as expected, but a single JTAG device requires only 64 bits to be
961  * read back correctly.  This can help identify and diagnose problems
962  * with the JTAG chain earlier, gives more helpful/explicit error messages.
963  * Returns TRUE iff garbage was found.
964  */
965 static bool jtag_examine_chain_end(uint8_t *idcodes, unsigned count, unsigned max)
966 {
967         bool triggered = false;
968         for (; count < max - 31; count += 32)
969         {
970                 uint32_t idcode = buf_get_u32(idcodes, count, 32);
971
972                 /* do not trigger the warning if the data looks good */
973                 if (jtag_idcode_is_final(idcode))
974                         continue;
975                 LOG_WARNING("Unexpected idcode after end of chain: %d 0x%08x",
976                                         count, (unsigned int)idcode);
977                 triggered = true;
978         }
979         return triggered;
980 }
981
982 static bool jtag_examine_chain_match_tap(const struct jtag_tap *tap)
983 {
984         uint32_t idcode = tap->idcode;
985
986         /* ignore expected BYPASS codes; warn otherwise */
987         if (0 == tap->expected_ids_cnt && !idcode)
988                 return true;
989
990         /* optionally ignore the JTAG version field */
991         uint32_t mask = tap->ignore_version ? ~(0xff << 24) : ~0;
992
993         idcode &= mask;
994
995         /* Loop over the expected identification codes and test for a match */
996         unsigned ii, limit = tap->expected_ids_cnt;
997
998         for (ii = 0; ii < limit; ii++)
999         {
1000                 uint32_t expected = tap->expected_ids[ii] & mask;
1001
1002                 if (idcode == expected)
1003                         return true;
1004
1005                 /* treat "-expected-id 0" as a "don't-warn" wildcard */
1006                 if (0 == tap->expected_ids[ii])
1007                         return true;
1008         }
1009
1010         /* If none of the expected ids matched, warn */
1011         jtag_examine_chain_display(LOG_LVL_WARNING, "UNEXPECTED",
1012                         tap->dotted_name, tap->idcode);
1013         for (ii = 0; ii < limit; ii++)
1014         {
1015                 char msg[32];
1016
1017                 snprintf(msg, sizeof(msg), "expected %u of %u", ii + 1, limit);
1018                 jtag_examine_chain_display(LOG_LVL_ERROR, msg,
1019                                 tap->dotted_name, tap->expected_ids[ii]);
1020         }
1021         return false;
1022 }
1023
1024 /* Try to examine chain layout according to IEEE 1149.1 Â§12
1025  * This is called a "blind interrogation" of the scan chain.
1026  */
1027 static int jtag_examine_chain(void)
1028 {
1029         uint8_t idcode_buffer[JTAG_MAX_CHAIN_SIZE * 4];
1030         unsigned bit_count;
1031         int retval;
1032         int tapcount = 0;
1033         bool autoprobe = false;
1034
1035         /* DR scan to collect BYPASS or IDCODE register contents.
1036          * Then make sure the scan data has both ones and zeroes.
1037          */
1038         LOG_DEBUG("DR scan interrogation for IDCODE/BYPASS");
1039         retval = jtag_examine_chain_execute(idcode_buffer, JTAG_MAX_CHAIN_SIZE);
1040         if (retval != ERROR_OK)
1041                 return retval;
1042         if (!jtag_examine_chain_check(idcode_buffer, JTAG_MAX_CHAIN_SIZE))
1043                 return ERROR_JTAG_INIT_FAILED;
1044
1045         /* point at the 1st tap */
1046         struct jtag_tap *tap = jtag_tap_next_enabled(NULL);
1047
1048         if (!tap)
1049                 autoprobe = true;
1050
1051         for (bit_count = 0;
1052                         tap && bit_count < (JTAG_MAX_CHAIN_SIZE * 32) - 31;
1053                         tap = jtag_tap_next_enabled(tap))
1054         {
1055                 uint32_t idcode = buf_get_u32(idcode_buffer, bit_count, 32);
1056
1057                 if ((idcode & 1) == 0)
1058                 {
1059                         /* Zero for LSB indicates a device in bypass */
1060                         LOG_INFO("TAP %s does not have IDCODE",
1061                                         tap->dotted_name);
1062                         idcode = 0;
1063                         tap->hasidcode = false;
1064
1065                         bit_count += 1;
1066                 }
1067                 else
1068                 {
1069                         /* Friendly devices support IDCODE */
1070                         tap->hasidcode = true;
1071                         jtag_examine_chain_display(LOG_LVL_INFO,
1072                                         "tap/device found",
1073                                         tap->dotted_name, idcode);
1074
1075                         bit_count += 32;
1076                 }
1077                 tap->idcode = idcode;
1078
1079                 /* ensure the TAP ID matches what was expected */
1080                 if (!jtag_examine_chain_match_tap(tap))
1081                         retval = ERROR_JTAG_INIT_SOFT_FAIL;
1082         }
1083
1084         /* Fail if too many TAPs were enabled for us to verify them all. */
1085         if (tap) {
1086                 LOG_ERROR("Too many TAPs enabled; '%s' ignored.",
1087                                 tap->dotted_name);
1088                 return ERROR_JTAG_INIT_FAILED;
1089         }
1090
1091         /* if autoprobing, the tap list is still empty ... populate it! */
1092         while (autoprobe && bit_count < (JTAG_MAX_CHAIN_SIZE * 32) - 31) {
1093                 uint32_t idcode;
1094                 char buf[12];
1095
1096                 /* Is there another TAP? */
1097                 idcode = buf_get_u32(idcode_buffer, bit_count, 32);
1098                 if (jtag_idcode_is_final(idcode))
1099                         break;
1100
1101                 /* Default everything in this TAP except IR length.
1102                  *
1103                  * REVISIT create a jtag_alloc(chip, tap) routine, and
1104                  * share it with jim_newtap_cmd().
1105                  */
1106                 tap = calloc(1, sizeof *tap);
1107                 if (!tap)
1108                         return ERROR_FAIL;
1109
1110                 sprintf(buf, "auto%d", tapcount++);
1111                 tap->chip = strdup(buf);
1112                 tap->tapname = strdup("tap");
1113
1114                 sprintf(buf, "%s.%s", tap->chip, tap->tapname);
1115                 tap->dotted_name = strdup(buf);
1116
1117                 /* tap->ir_length == 0 ... signifying irlen autoprobe */
1118                 tap->ir_capture_mask = 0x03;
1119                 tap->ir_capture_value = 0x01;
1120
1121                 tap->enabled = true;
1122
1123                 if ((idcode & 1) == 0) {
1124                         bit_count += 1;
1125                         tap->hasidcode = false;
1126                 } else {
1127                         bit_count += 32;
1128                         tap->hasidcode = true;
1129                         tap->idcode = idcode;
1130
1131                         tap->expected_ids_cnt = 1;
1132                         tap->expected_ids = malloc(sizeof(uint32_t));
1133                         tap->expected_ids[0] = idcode;
1134                 }
1135
1136                 LOG_WARNING("AUTO %s - use \"jtag newtap "
1137                                 "%s %s -expected-id 0x%8.8" PRIx32 " ...\"",
1138                                 tap->dotted_name, tap->chip, tap->tapname,
1139                                 tap->idcode);
1140
1141                 jtag_tap_init(tap);
1142         }
1143
1144         /* After those IDCODE or BYPASS register values should be
1145          * only the data we fed into the scan chain.
1146          */
1147         if (jtag_examine_chain_end(idcode_buffer, bit_count,
1148                         8 * sizeof(idcode_buffer))) {
1149                 LOG_ERROR("double-check your JTAG setup (interface, "
1150                                 "speed, missing TAPs, ...)");
1151                 return ERROR_JTAG_INIT_FAILED;
1152         }
1153
1154         /* Return success or, for backwards compatibility if only
1155          * some IDCODE values mismatched, a soft/continuable fault.
1156          */
1157         return retval;
1158 }
1159
1160 /*
1161  * Validate the date loaded by entry to the Capture-IR state, to help
1162  * find errors related to scan chain configuration (wrong IR lengths)
1163  * or communication.
1164  *
1165  * Entry state can be anything.  On non-error exit, all TAPs are in
1166  * bypass mode.  On error exits, the scan chain is reset.
1167  */
1168 static int jtag_validate_ircapture(void)
1169 {
1170         struct jtag_tap *tap;
1171         int total_ir_length = 0;
1172         uint8_t *ir_test = NULL;
1173         struct scan_field field;
1174         int val;
1175         int chain_pos = 0;
1176         int retval;
1177
1178         /* when autoprobing, accomodate huge IR lengths */
1179         for (tap = NULL, total_ir_length = 0;
1180                         (tap = jtag_tap_next_enabled(tap)) != NULL;
1181                         total_ir_length += tap->ir_length) {
1182                 if (tap->ir_length == 0)
1183                         total_ir_length += JTAG_IRLEN_MAX;
1184         }
1185
1186         /* increase length to add 2 bit sentinel after scan */
1187         total_ir_length += 2;
1188
1189         ir_test = malloc(DIV_ROUND_UP(total_ir_length, 8));
1190         if (ir_test == NULL)
1191                 return ERROR_FAIL;
1192
1193         /* after this scan, all TAPs will capture BYPASS instructions */
1194         buf_set_ones(ir_test, total_ir_length);
1195
1196         field.num_bits = total_ir_length;
1197         field.out_value = ir_test;
1198         field.in_value = ir_test;
1199
1200         jtag_add_plain_ir_scan(field.num_bits, field.out_value, field.in_value, TAP_IDLE);
1201
1202         LOG_DEBUG("IR capture validation scan");
1203         retval = jtag_execute_queue();
1204         if (retval != ERROR_OK)
1205                 goto done;
1206
1207         tap = NULL;
1208         chain_pos = 0;
1209
1210         for (;;) {
1211                 tap = jtag_tap_next_enabled(tap);
1212                 if (tap == NULL) {
1213                         break;
1214                 }
1215
1216                 /* If we're autoprobing, guess IR lengths.  They must be at
1217                  * least two bits.  Guessing will fail if (a) any TAP does
1218                  * not conform to the JTAG spec; or (b) when the upper bits
1219                  * captured from some conforming TAP are nonzero.  Or if
1220                  * (c) an IR length is longer than 32 bits -- which is only
1221                  * an implementation limit, which could someday be raised.
1222                  *
1223                  * REVISIT optimization:  if there's a *single* TAP we can
1224                  * lift restrictions (a) and (b) by scanning a recognizable
1225                  * pattern before the all-ones BYPASS.  Check for where the
1226                  * pattern starts in the result, instead of an 0...01 value.
1227                  *
1228                  * REVISIT alternative approach: escape to some tcl code
1229                  * which could provide more knowledge, based on IDCODE; and
1230                  * only guess when that has no success.
1231                  */
1232                 if (tap->ir_length == 0) {
1233                         tap->ir_length = 2;
1234                         while ((val = buf_get_u32(ir_test, chain_pos,
1235                                                 tap->ir_length + 1)) == 1
1236                                         && tap->ir_length <= 32) {
1237                                 tap->ir_length++;
1238                         }
1239                         LOG_WARNING("AUTO %s - use \"... -irlen %d\"",
1240                                         jtag_tap_name(tap), tap->ir_length);
1241                 }
1242
1243                 /* Validate the two LSBs, which must be 01 per JTAG spec.
1244                  *
1245                  * Or ... more bits could be provided by TAP declaration.
1246                  * Plus, some taps (notably in i.MX series chips) violate
1247                  * this part of the JTAG spec, so their capture mask/value
1248                  * attributes might disable this test.
1249                  */
1250                 val = buf_get_u32(ir_test, chain_pos, tap->ir_length);
1251                 if ((val & tap->ir_capture_mask) != tap->ir_capture_value) {
1252                         LOG_ERROR("%s: IR capture error; saw 0x%0*x not 0x%0*x",
1253                                         jtag_tap_name(tap),
1254                                         (tap->ir_length + 7) / tap->ir_length,
1255                                         val,
1256                                         (tap->ir_length + 7) / tap->ir_length,
1257                                         (unsigned) tap->ir_capture_value);
1258
1259                         retval = ERROR_JTAG_INIT_FAILED;
1260                         goto done;
1261                 }
1262                 LOG_DEBUG("%s: IR capture 0x%0*x", jtag_tap_name(tap),
1263                                 (tap->ir_length + 7) / tap->ir_length, val);
1264                 chain_pos += tap->ir_length;
1265         }
1266
1267         /* verify the '11' sentinel we wrote is returned at the end */
1268         val = buf_get_u32(ir_test, chain_pos, 2);
1269         if (val != 0x3)
1270         {
1271                 char *cbuf = buf_to_str(ir_test, total_ir_length, 16);
1272
1273                 LOG_ERROR("IR capture error at bit %d, saw 0x%s not 0x...3",
1274                                 chain_pos, cbuf);
1275                 free(cbuf);
1276                 retval = ERROR_JTAG_INIT_FAILED;
1277         }
1278
1279 done:
1280         free(ir_test);
1281         if (retval != ERROR_OK) {
1282                 jtag_add_tlr();
1283                 jtag_execute_queue();
1284         }
1285         return retval;
1286 }
1287
1288
1289 void jtag_tap_init(struct jtag_tap *tap)
1290 {
1291         unsigned ir_len_bits;
1292         unsigned ir_len_bytes;
1293
1294         /* if we're autoprobing, cope with potentially huge ir_length */
1295         ir_len_bits = tap->ir_length ? : JTAG_IRLEN_MAX;
1296         ir_len_bytes = DIV_ROUND_UP(ir_len_bits, 8);
1297
1298         tap->expected = calloc(1, ir_len_bytes);
1299         tap->expected_mask = calloc(1, ir_len_bytes);
1300         tap->cur_instr = malloc(ir_len_bytes);
1301
1302         /// @todo cope better with ir_length bigger than 32 bits
1303         if (ir_len_bits > 32)
1304                 ir_len_bits = 32;
1305
1306         buf_set_u32(tap->expected, 0, ir_len_bits, tap->ir_capture_value);
1307         buf_set_u32(tap->expected_mask, 0, ir_len_bits, tap->ir_capture_mask);
1308
1309         // TAP will be in bypass mode after jtag_validate_ircapture()
1310         tap->bypass = 1;
1311         buf_set_ones(tap->cur_instr, tap->ir_length);
1312
1313         // register the reset callback for the TAP
1314         jtag_register_event_callback(&jtag_reset_callback, tap);
1315
1316         LOG_DEBUG("Created Tap: %s @ abs position %d, "
1317                         "irlen %d, capture: 0x%x mask: 0x%x", tap->dotted_name,
1318                                 tap->abs_chain_position, tap->ir_length,
1319                                 (unsigned) tap->ir_capture_value,
1320                                 (unsigned) tap->ir_capture_mask);
1321         jtag_tap_add(tap);
1322 }
1323
1324 void jtag_tap_free(struct jtag_tap *tap)
1325 {
1326         jtag_unregister_event_callback(&jtag_reset_callback, tap);
1327
1328         /// @todo is anything missing? no memory leaks please
1329         free((void *)tap->expected);
1330         free((void *)tap->expected_ids);
1331         free((void *)tap->chip);
1332         free((void *)tap->tapname);
1333         free((void *)tap->dotted_name);
1334         free(tap);
1335 }
1336
1337 /**
1338  * Do low-level setup like initializing registers, output signals,
1339  * and clocking.
1340  */
1341 int adapter_init(struct command_context *cmd_ctx)
1342 {
1343         if (jtag)
1344                 return ERROR_OK;
1345
1346         if (!jtag_interface)
1347         {
1348                 /* nothing was previously specified by "interface" command */
1349                 LOG_ERROR("Debug Adapter has to be specified, "
1350                         "see \"interface\" command");
1351                 return ERROR_JTAG_INVALID_INTERFACE;
1352         }
1353
1354         int retval;
1355         retval = jtag_interface->init();
1356         if (retval != ERROR_OK)
1357         {
1358                 return retval;
1359         }
1360         jtag = jtag_interface;
1361
1362         /* LEGACY SUPPORT ... adapter drivers  must declare what
1363          * transports they allow.  Until they all do so, assume
1364          * the legacy drivers are JTAG-only
1365          */
1366         if (!transports_are_declared()) {
1367                 LOG_ERROR("Adapter driver '%s' did not declare "
1368                         "which transports it allows; assuming "
1369                         "JTAG-only", jtag->name);
1370                 retval = allow_transports(cmd_ctx, jtag_only);
1371                 if (retval != ERROR_OK)
1372                         return retval;
1373         }
1374
1375         if (CLOCK_MODE_UNSELECTED == clock_mode)
1376         {
1377                 LOG_ERROR("An adapter speed is not selected in the init script."
1378                         " Insert a call to adapter_khz or jtag_rclk to proceed.");
1379                 return ERROR_JTAG_INIT_FAILED;
1380         }
1381
1382         int requested_khz = jtag_get_speed_khz();
1383         int actual_khz = requested_khz;
1384         int jtag_speed_var = 0;
1385         retval = jtag_get_speed(&jtag_speed_var);
1386         if (retval != ERROR_OK)
1387                 return retval;
1388         retval = jtag->speed(jtag_speed_var);
1389         if (retval != ERROR_OK)
1390                 return retval;
1391         retval = jtag_get_speed_readable(&actual_khz);
1392         if (ERROR_OK != retval)
1393                 LOG_INFO("adapter-specific clock speed value %d", jtag_speed_var);
1394         else if (actual_khz)
1395         {
1396                 /* Adaptive clocking -- JTAG-specific */
1397                 if ((CLOCK_MODE_RCLK == clock_mode)
1398                         || ((CLOCK_MODE_KHZ == clock_mode) && !requested_khz))
1399                 {
1400                         LOG_INFO("RCLK (adaptive clock speed) not supported - fallback to %d kHz"
1401                                 , actual_khz);
1402                 }
1403                 else
1404                         LOG_INFO("clock speed %d kHz", actual_khz);
1405         }
1406         else
1407                 LOG_INFO("RCLK (adaptive clock speed)");
1408
1409         return ERROR_OK;
1410 }
1411
1412 int jtag_init_inner(struct command_context *cmd_ctx)
1413 {
1414         struct jtag_tap *tap;
1415         int retval;
1416         bool issue_setup = true;
1417
1418         LOG_DEBUG("Init JTAG chain");
1419
1420         tap = jtag_tap_next_enabled(NULL);
1421         if (tap == NULL) {
1422                 /* Once JTAG itself is properly set up, and the scan chain
1423                  * isn't absurdly large, IDCODE autoprobe should work fine.
1424                  *
1425                  * But ... IRLEN autoprobe can fail even on systems which
1426                  * are fully conformant to JTAG.  Also, JTAG setup can be
1427                  * quite finicky on some systems.
1428                  *
1429                  * REVISIT: if TAP autoprobe works OK, then in many cases
1430                  * we could escape to tcl code and set up targets based on
1431                  * the TAP's IDCODE values.
1432                  */
1433                 LOG_WARNING("There are no enabled taps.  "
1434                                 "AUTO PROBING MIGHT NOT WORK!!");
1435
1436                 /* REVISIT default clock will often be too fast ... */
1437         }
1438
1439         jtag_add_tlr();
1440         if ((retval = jtag_execute_queue()) != ERROR_OK)
1441                 return retval;
1442
1443         /* Examine DR values first.  This discovers problems which will
1444          * prevent communication ... hardware issues like TDO stuck, or
1445          * configuring the wrong number of (enabled) TAPs.
1446          */
1447         retval = jtag_examine_chain();
1448         switch (retval) {
1449         case ERROR_OK:
1450                 /* complete success */
1451                 break;
1452         default:
1453                 /* For backward compatibility reasons, try coping with
1454                  * configuration errors involving only ID mismatches.
1455                  * We might be able to talk to the devices.
1456                  *
1457                  * Also the device might be powered down during startup.
1458                  *
1459                  * After OpenOCD starts, we can try to power on the device
1460                  * and run a reset.
1461                  */
1462                 LOG_ERROR("Trying to use configured scan chain anyway...");
1463                 issue_setup = false;
1464                 break;
1465         }
1466
1467         /* Now look at IR values.  Problems here will prevent real
1468          * communication.  They mostly mean that the IR length is
1469          * wrong ... or that the IR capture value is wrong.  (The
1470          * latter is uncommon, but easily worked around:  provide
1471          * ircapture/irmask values during TAP setup.)
1472          */
1473         retval = jtag_validate_ircapture();
1474         if (retval != ERROR_OK)
1475         {
1476                 /* The target might be powered down. The user
1477                  * can power it up and reset it after firing
1478                  * up OpenOCD.
1479                  */
1480                 issue_setup = false;
1481         }
1482
1483         if (issue_setup)
1484                 jtag_notify_event(JTAG_TAP_EVENT_SETUP);
1485         else
1486                 LOG_WARNING("Bypassing JTAG setup events due to errors");
1487
1488
1489         return ERROR_OK;
1490 }
1491
1492 int adapter_quit(void)
1493 {
1494         if (!jtag || !jtag->quit)
1495                 return ERROR_OK;
1496
1497         // close the JTAG interface
1498         int result = jtag->quit();
1499         if (ERROR_OK != result)
1500                 LOG_ERROR("failed: %d", result);
1501
1502         return ERROR_OK;
1503 }
1504
1505
1506 int jtag_init_reset(struct command_context *cmd_ctx)
1507 {
1508         int retval;
1509
1510         if ((retval = adapter_init(cmd_ctx)) != ERROR_OK)
1511                 return retval;
1512
1513         LOG_DEBUG("Initializing with hard TRST+SRST reset");
1514
1515         /*
1516          * This procedure is used by default when OpenOCD triggers a reset.
1517          * It's now done through an overridable Tcl "init_reset" wrapper.
1518          *
1519          * This started out as a more powerful "get JTAG working" reset than
1520          * jtag_init_inner(), applying TRST because some chips won't activate
1521          * JTAG without a TRST cycle (presumed to be async, though some of
1522          * those chips synchronize JTAG activation using TCK).
1523          *
1524          * But some chips only activate JTAG as part of an SRST cycle; SRST
1525          * got mixed in.  So it became a hard reset routine, which got used
1526          * in more places, and which coped with JTAG reset being forced as
1527          * part of SRST (srst_pulls_trst).
1528          *
1529          * And even more corner cases started to surface:  TRST and/or SRST
1530          * assertion timings matter; some chips need other JTAG operations;
1531          * TRST/SRST sequences can need to be different from these, etc.
1532          *
1533          * Systems should override that wrapper to support system-specific
1534          * requirements that this not-fully-generic code doesn't handle.
1535          *
1536          * REVISIT once Tcl code can read the reset_config modes, this won't
1537          * need to be a C routine at all...
1538          */
1539         jtag_add_reset(1, 0); /* TAP_RESET, using TMS+TCK or TRST */
1540         if (jtag_reset_config & RESET_HAS_SRST)
1541         {
1542                 jtag_add_reset(1, 1);
1543                 if ((jtag_reset_config & RESET_SRST_PULLS_TRST) == 0)
1544                         jtag_add_reset(0, 1);
1545         }
1546         jtag_add_reset(0, 0);
1547         if ((retval = jtag_execute_queue()) != ERROR_OK)
1548                 return retval;
1549
1550         /* Check that we can communication on the JTAG chain + eventually we want to
1551          * be able to perform enumeration only after OpenOCD has started
1552          * telnet and GDB server
1553          *
1554          * That would allow users to more easily perform any magic they need to before
1555          * reset happens.
1556          */
1557         return jtag_init_inner(cmd_ctx);
1558 }
1559
1560 int jtag_init(struct command_context *cmd_ctx)
1561 {
1562         int retval;
1563
1564         if ((retval = adapter_init(cmd_ctx)) != ERROR_OK)
1565                 return retval;
1566
1567         /* guard against oddball hardware: force resets to be inactive */
1568         jtag_add_reset(0, 0);
1569         if ((retval = jtag_execute_queue()) != ERROR_OK)
1570                 return retval;
1571
1572         if (Jim_Eval_Named(cmd_ctx->interp, "jtag_init", __FILE__, __LINE__) != JIM_OK)
1573                 return ERROR_FAIL;
1574
1575         return ERROR_OK;
1576 }
1577
1578 unsigned jtag_get_speed_khz(void)
1579 {
1580         return speed_khz;
1581 }
1582
1583 static int adapter_khz_to_speed(unsigned khz, int* speed)
1584 {
1585         LOG_DEBUG("convert khz to interface specific speed value");
1586         speed_khz = khz;
1587         if (jtag != NULL)
1588         {
1589                 LOG_DEBUG("have interface set up");
1590                 int speed_div1;
1591                 int retval = jtag->khz(jtag_get_speed_khz(), &speed_div1);
1592                 if (ERROR_OK != retval)
1593                 {
1594                         return retval;
1595                 }
1596                 *speed = speed_div1;
1597         }
1598         return ERROR_OK;
1599 }
1600
1601 static int jtag_rclk_to_speed(unsigned fallback_speed_khz, int* speed)
1602 {
1603         int retval = adapter_khz_to_speed(0, speed);
1604         if ((ERROR_OK != retval) && fallback_speed_khz)
1605         {
1606                 LOG_DEBUG("trying fallback speed...");
1607                 retval = adapter_khz_to_speed(fallback_speed_khz, speed);
1608         }
1609         return retval;
1610 }
1611
1612 static int jtag_set_speed(int speed)
1613 {
1614         jtag_speed = speed;
1615         /* this command can be called during CONFIG,
1616          * in which case jtag isn't initialized */
1617         return jtag ? jtag->speed(speed) : ERROR_OK;
1618 }
1619
1620 int jtag_config_khz(unsigned khz)
1621 {
1622         LOG_DEBUG("handle jtag khz");
1623         clock_mode = CLOCK_MODE_KHZ;
1624         int speed = 0;
1625         int retval = adapter_khz_to_speed(khz, &speed);
1626         return (ERROR_OK != retval) ? retval : jtag_set_speed(speed);
1627 }
1628
1629 int jtag_config_rclk(unsigned fallback_speed_khz)
1630 {
1631         LOG_DEBUG("handle jtag rclk");
1632         clock_mode = CLOCK_MODE_RCLK;
1633         rclk_fallback_speed_khz = fallback_speed_khz;
1634         int speed = 0;
1635         int retval = jtag_rclk_to_speed(fallback_speed_khz, &speed);
1636         return (ERROR_OK != retval) ? retval : jtag_set_speed(speed);
1637 }
1638
1639 int jtag_get_speed(int *speed)
1640 {
1641         switch(clock_mode)
1642         {
1643                 case CLOCK_MODE_KHZ:
1644                         adapter_khz_to_speed(jtag_get_speed_khz(), speed);
1645                         break;
1646                 case CLOCK_MODE_RCLK:
1647                         jtag_rclk_to_speed(rclk_fallback_speed_khz, speed);
1648                         break;
1649                 default:
1650                         LOG_ERROR("BUG: unknown jtag clock mode");
1651                         return ERROR_FAIL;
1652         }
1653         return ERROR_OK;
1654 }
1655
1656 int jtag_get_speed_readable(int *khz)
1657 {
1658         int jtag_speed_var = 0;
1659         int retval = jtag_get_speed(&jtag_speed_var);
1660         if (retval != ERROR_OK)
1661                 return retval;
1662         return jtag ? jtag->speed_div(jtag_speed_var, khz) : ERROR_OK;
1663 }
1664
1665 void jtag_set_verify(bool enable)
1666 {
1667         jtag_verify = enable;
1668 }
1669
1670 bool jtag_will_verify()
1671 {
1672         return jtag_verify;
1673 }
1674
1675 void jtag_set_verify_capture_ir(bool enable)
1676 {
1677         jtag_verify_capture_ir = enable;
1678 }
1679
1680 bool jtag_will_verify_capture_ir()
1681 {
1682         return jtag_verify_capture_ir;
1683 }
1684
1685 int jtag_power_dropout(int *dropout)
1686 {
1687         if (jtag == NULL)
1688         {
1689                 /* TODO: as the jtag interface is not valid all
1690                  * we can do at the moment is exit OpenOCD */
1691                 LOG_ERROR("No Valid JTAG Interface Configured.");
1692                 exit(-1);
1693         }
1694         return jtag->power_dropout(dropout);
1695 }
1696
1697 int jtag_srst_asserted(int *srst_asserted)
1698 {
1699         return jtag->srst_asserted(srst_asserted);
1700 }
1701
1702 enum reset_types jtag_get_reset_config(void)
1703 {
1704         return jtag_reset_config;
1705 }
1706 void jtag_set_reset_config(enum reset_types type)
1707 {
1708         jtag_reset_config = type;
1709 }
1710
1711 int jtag_get_trst(void)
1712 {
1713         return jtag_trst;
1714 }
1715 int jtag_get_srst(void)
1716 {
1717         return jtag_srst;
1718 }
1719
1720 void jtag_set_nsrst_delay(unsigned delay)
1721 {
1722         adapter_nsrst_delay = delay;
1723 }
1724 unsigned jtag_get_nsrst_delay(void)
1725 {
1726         return adapter_nsrst_delay;
1727 }
1728 void jtag_set_ntrst_delay(unsigned delay)
1729 {
1730         jtag_ntrst_delay = delay;
1731 }
1732 unsigned jtag_get_ntrst_delay(void)
1733 {
1734         return jtag_ntrst_delay;
1735 }
1736
1737
1738 void jtag_set_nsrst_assert_width(unsigned delay)
1739 {
1740         adapter_nsrst_assert_width = delay;
1741 }
1742 unsigned jtag_get_nsrst_assert_width(void)
1743 {
1744         return adapter_nsrst_assert_width;
1745 }
1746 void jtag_set_ntrst_assert_width(unsigned delay)
1747 {
1748         jtag_ntrst_assert_width = delay;
1749 }
1750 unsigned jtag_get_ntrst_assert_width(void)
1751 {
1752         return jtag_ntrst_assert_width;
1753 }
1754
1755 static int jtag_select(struct command_context *ctx)
1756 {
1757         int retval;
1758
1759         /* NOTE:  interface init must already have been done.
1760          * That works with only C code ... no Tcl glue required.
1761          */
1762
1763         retval = jtag_register_commands(ctx);
1764
1765         if (retval != ERROR_OK)
1766                 return retval;
1767
1768         retval = svf_register_commands(ctx);
1769
1770         if (retval != ERROR_OK)
1771                 return retval;
1772
1773         return xsvf_register_commands(ctx);
1774 }
1775
1776 static struct transport jtag_transport = {
1777         .name = "jtag",
1778         .select = jtag_select,
1779         .init = jtag_init,
1780 };
1781
1782 static void jtag_constructor(void) __attribute__((constructor));
1783 static void jtag_constructor(void)
1784 {
1785         transport_register(&jtag_transport);
1786 }
1787
1788 /** Returns true if the current debug session
1789  * is using JTAG as its transport.
1790  */
1791 bool transport_is_jtag(void)
1792 {
1793         return get_current_transport() == &jtag_transport;
1794 }