]> git.sur5r.net Git - openocd/blob - src/jtag/jtag.h
add jtag_debug_state_machine
[openocd] / src / jtag / jtag.h
1 /***************************************************************************
2 *   Copyright (C) 2005 by Dominic Rath                                    *
3 *   Dominic.Rath@gmx.de                                                   *
4 *                                                                         *
5 *   Copyright (C) 2007,2008 Ã˜yvind Harboe                                 *
6 *   oyvind.harboe@zylin.com                                               *
7 *                                                                         *
8 *   This program is free software; you can redistribute it and/or modify  *
9 *   it under the terms of the GNU General Public License as published by  *
10 *   the Free Software Foundation; either version 2 of the License, or     *
11 *   (at your option) any later version.                                   *
12 *                                                                         *
13 *   This program is distributed in the hope that it will be useful,       *
14 *   but WITHOUT ANY WARRANTY; without even the implied warranty of        *
15 *   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the         *
16 *   GNU General Public License for more details.                          *
17 *                                                                         *
18 *   You should have received a copy of the GNU General Public License     *
19 *   along with this program; if not, write to the                         *
20 *   Free Software Foundation, Inc.,                                       *
21 *   59 Temple Place - Suite 330, Boston, MA  02111-1307, USA.             *
22 ***************************************************************************/
23 #ifndef JTAG_H
24 #define JTAG_H
25
26 #include "types.h"
27 #include "binarybuffer.h"
28 #include "log.h"
29
30 #include "command.h"
31
32
33 #ifdef _DEBUG_JTAG_IO_
34 #define DEBUG_JTAG_IO(expr ...)         LOG_DEBUG(expr)
35 #else
36 #define DEBUG_JTAG_IO(expr ...)
37 #endif
38
39 #ifndef DEBUG_JTAG_IOZ
40 #define DEBUG_JTAG_IOZ 64
41 #endif
42
43
44 /*
45  * Tap states from ARM7TDMI-S Technical reference manual.
46  * Also, validated against several other ARM core technical manuals.
47  *
48  * N.B. tap_get_tms_path() was changed to reflect this corrected
49  * numbering and ordering of the TAP states.
50  *
51  * DANGER!!!! some interfaces care about the actual numbers used
52  * as they are handed off directly to hardware implementations.
53  */
54
55 typedef enum tap_state
56 {
57 #if BUILD_ECOSBOARD
58 /* These are the old numbers. Leave as-is for now... */
59         TAP_RESET    = 0, TAP_IDLE = 8,
60         TAP_DRSELECT = 1, TAP_DRCAPTURE = 2, TAP_DRSHIFT = 3, TAP_DREXIT1 = 4,
61         TAP_DRPAUSE  = 5, TAP_DREXIT2 = 6, TAP_DRUPDATE = 7,
62         TAP_IRSELECT = 9, TAP_IRCAPTURE = 10, TAP_IRSHIFT = 11, TAP_IREXIT1 = 12,
63         TAP_IRPAUSE  = 13, TAP_IREXIT2 = 14, TAP_IRUPDATE = 15,
64
65         TAP_NUM_STATES = 16, TAP_INVALID = -1,
66 #else
67         /* Proper ARM recommended numbers */
68         TAP_DREXIT2 = 0x0,
69         TAP_DREXIT1 = 0x1,
70         TAP_DRSHIFT = 0x2,
71         TAP_DRPAUSE = 0x3,
72         TAP_IRSELECT = 0x4,
73         TAP_DRUPDATE = 0x5,
74         TAP_DRCAPTURE = 0x6,
75         TAP_DRSELECT = 0x7,
76         TAP_IREXIT2 = 0x8,
77         TAP_IREXIT1 = 0x9,
78         TAP_IRSHIFT = 0xa,
79         TAP_IRPAUSE = 0xb,
80         TAP_IDLE = 0xc,
81         TAP_IRUPDATE = 0xd,
82         TAP_IRCAPTURE = 0xe,
83         TAP_RESET = 0x0f,
84
85         TAP_NUM_STATES = 0x10,
86
87         TAP_INVALID = -1,
88 #endif
89 } tap_state_t;
90
91 typedef struct tap_transition_s
92 {
93         tap_state_t high;
94         tap_state_t low;
95 } tap_transition_t;
96
97 //extern tap_transition_t tap_transitions[16];    /* describe the TAP state diagram */
98
99
100 /*-----<Cable Helper API>-------------------------------------------*/
101
102 /* The "Cable Helper API" is what the cable drivers can use to help implement
103  * their "Cable API".  So a Cable Helper API is a set of helper functions used by
104  * cable drivers, and this is different from a Cable API.  A "Cable API" is what
105  * higher level code used to talk to a cable.
106  */
107
108
109 /** implementation of wrapper function tap_set_state() */
110 void tap_set_state_impl(tap_state_t new_state);
111
112 /**
113  * Function tap_set_state
114  * sets the state of a "state follower" which tracks the state of the TAPs connected to the
115  * cable.  The state follower is hopefully always in the same state as the actual
116  * TAPs in the jtag chain, and will be so if there are no bugs in the tracking logic within that
117  * cable driver. All the cable drivers call this function to indicate the state they think
118  * the TAPs attached to their cables are in.  Because this function can also log transitions,
119  * it will be helpful to call this function with every transition that the TAPs being manipulated
120  * are expected to traverse, not just end points of a multi-step state path.
121  * @param new_state is the state we think the TAPs are currently in or are about to enter.
122  */
123 #if defined(_DEBUG_JTAG_IO_)
124 #define tap_set_state(new_state) \
125         do { \
126                 LOG_DEBUG( "tap_set_state(%s)", tap_state_name(new_state) ); \
127                 tap_set_state_impl(new_state); \
128         } while (0)
129 #else
130 static inline void tap_set_state(tap_state_t new_state)
131 {
132         tap_set_state_impl(new_state);
133 }
134
135 #endif
136
137 /**
138  * Function tap_get_state
139  * gets the state of the "state follower" which tracks the state of the TAPs connected to
140  * the cable.
141  * @see tap_set_state
142  * @return tap_state_t - The state the TAPs are in now.
143  */
144 tap_state_t tap_get_state(void);
145
146 /**
147  * Function tap_set_end_state
148  * sets the state of an "end state follower" which tracks the state that any cable driver
149  * thinks will be the end (resultant) state of the current TAP SIR or SDR operation.  At completion
150  * of that TAP operation this value is copied into the state follower via tap_set_state().
151  * @param new_end_state is that state the TAPs should enter at completion of a pending TAP operation.
152  */
153 void        tap_set_end_state(tap_state_t new_end_state);
154
155 /**
156  * Function tap_get_end_state
157  * @see tap_set_end_state
158  * @return tap_state_t - The state the TAPs should be in at completion of the current TAP operation.
159  */
160 tap_state_t tap_get_end_state(void);
161
162 /**
163  * Function tap_get_tms_path
164  * returns a 7 bit long "bit sequence" indicating what has to be done with TMS
165  * during a sequence of seven TAP clock cycles in order to get from
166  * state \a "from" to state \a "to".
167  * @param from is the starting state
168  * @param to is the resultant or final state
169  * @return int - a 7 bit sequence, with the first bit in the sequence at bit 0.
170  */
171 int tap_get_tms_path(tap_state_t from, tap_state_t to);
172
173 /**
174  * Function tap_move_ndx
175  * when given a stable state, returns an index from 0-5.  The index corresponds to a
176  * sequence of stable states which are given in this order: <p>
177  * { TAP_RESET, TAP_IDLE, TAP_DRSHIFT, TAP_DRPAUSE, TAP_IRSHIFT, TAP_IRPAUSE }
178  * <p>
179  * This sequence corresponds to look up tables which are used in some of the
180  * cable drivers.
181  * @param astate is the stable state to find in the sequence.  If a non stable
182  *  state is passed, this may cause the program to output an error message
183  *  and terminate.
184  * @return int - the array (or sequence) index as described above
185  */
186 int tap_move_ndx(tap_state_t astate);
187
188 /**
189  * Function tap_is_state_stable
190  * returns true if the \a astate is stable.
191  */
192 bool tap_is_state_stable(tap_state_t astate);
193
194 /**
195  * Function tap_state_transition
196  * takes a current TAP state and returns the next state according to the tms value.
197  * @param current_state is the state of a TAP currently.
198  * @param tms is either zero or non-zero, just like a real TMS line in a jtag interface.
199  * @return tap_state_t - the next state a TAP would enter.
200  */
201 tap_state_t tap_state_transition(tap_state_t current_state, bool tms);
202
203 /**
204  * Function tap_state_name
205  * Returns a string suitable for display representing the JTAG tap_state
206  */
207 const char* tap_state_name(tap_state_t state);
208
209 #ifdef _DEBUG_JTAG_IO_
210 /**
211  * @brief Prints verbose TAP state transitions for the given TMS/TDI buffers.
212  * @param tms_buf must points to a buffer containing the TMS bitstream.
213  * @param tdi_buf must points to a buffer containing the TDI bitstream.
214  * @param tap_len must specify the length of the TMS/TDI bitstreams.
215  * @param start_tap_state must specify the current TAP state.
216  * @returns the final TAP state; pass as @a start_tap_state in following call.
217  */
218 tap_state_t jtag_debug_state_machine(const void *tms_buf, const void *tdi_buf,
219                 unsigned tap_len, tap_state_t start_tap_state);
220 #else
221 static inline tap_state_t jtag_debug_state_machine(const void *tms_buf,
222                 const void *tdi_buf, unsigned tap_len, tap_state_t start_tap_state)
223 {
224         return start_tap_state;
225 }
226 #endif // _DEBUG_JTAG_IO_
227
228 /*-----</Cable Helper API>------------------------------------------*/
229
230
231 extern tap_state_t cmd_queue_end_state;         /* finish DR scans in dr_end_state */
232 extern tap_state_t cmd_queue_cur_state;         /* current TAP state */
233
234 typedef void* error_handler_t;  /* Later on we can delete error_handler_t, but keep it for now to make patches more readable */
235
236 struct scan_field_s;
237 typedef int (*in_handler_t)(u8* in_value, void* priv, struct scan_field_s* field);
238
239 /// @brief calculates number of bytes required to hold @a n TAP scan bits
240 #define TAP_SCAN_BYTES(n)               (((n) / 8) + !!((n) % 8))
241
242 typedef struct scan_field_s
243 {
244         jtag_tap_t* tap;                /* tap pointer this instruction refers to */
245         int         num_bits;           /* number of bits this field specifies (up to 32) */
246         u8*         out_value;          /* value to be scanned into the device */
247         u8*         out_mask;           /* only masked bits care */
248         u8*         in_value;           /* pointer to a 32-bit memory location to take data scanned out */
249         /* in_check_value/mask, in_handler_error_handler, in_handler_priv can be used by the in handler, otherwise they contain garbage  */
250         u8*          in_check_value;    /* used to validate scan results */
251         u8*          in_check_mask;     /* check specified bits against check_value */
252         in_handler_t in_handler;        /* process received buffer using this handler */
253         void*        in_handler_priv;   /* additional information for the in_handler */
254 } scan_field_t;
255
256 enum scan_type {
257         /* IN: from device to host, OUT: from host to device */
258         SCAN_IN = 1, SCAN_OUT = 2, SCAN_IO = 3
259 };
260
261 typedef struct scan_command_s
262 {
263         int           ir_scan;      /* instruction/not data scan */
264         int           num_fields;   /* number of fields in *fields array */
265         scan_field_t* fields;       /* pointer to an array of data scan fields */
266         tap_state_t   end_state;    /* TAP state in which JTAG commands should finish */
267 } scan_command_t;
268
269 typedef struct statemove_command_s
270 {
271         tap_state_t end_state;   /* TAP state in which JTAG commands should finish */
272 } statemove_command_t;
273
274 typedef struct pathmove_command_s
275 {
276         int          num_states;    /* number of states in *path */
277         tap_state_t* path;          /* states that have to be passed */
278 } pathmove_command_t;
279
280 typedef struct runtest_command_s
281 {
282         int         num_cycles;     /* number of cycles that should be spent in Run-Test/Idle */
283         tap_state_t end_state;      /* TAP state in which JTAG commands should finish */
284 } runtest_command_t;
285
286
287 typedef struct stableclocks_command_s
288 {
289         int num_cycles;             /* number of clock cycles that should be sent */
290 } stableclocks_command_t;
291
292
293 typedef struct reset_command_s
294 {
295         int trst;           /* trst/srst 0: deassert, 1: assert, -1: don't change */
296         int srst;
297 } reset_command_t;
298
299 typedef struct end_state_command_s
300 {
301         tap_state_t end_state;   /* TAP state in which JTAG commands should finish */
302 } end_state_command_t;
303
304 typedef struct sleep_command_s
305 {
306         u32 us;     /* number of microseconds to sleep */
307 } sleep_command_t;
308
309 typedef union jtag_command_container_u
310 {
311         scan_command_t*         scan;
312         statemove_command_t*    statemove;
313         pathmove_command_t*     pathmove;
314         runtest_command_t*      runtest;
315         stableclocks_command_t* stableclocks;
316         reset_command_t*        reset;
317         end_state_command_t*    end_state;
318         sleep_command_t* sleep;
319 } jtag_command_container_t;
320
321 enum jtag_command_type {
322         JTAG_SCAN         = 1,
323         JTAG_STATEMOVE    = 2,
324         JTAG_RUNTEST      = 3,
325         JTAG_RESET        = 4,
326         JTAG_END_STATE    = 5,
327         JTAG_PATHMOVE     = 6,
328         JTAG_SLEEP        = 7,
329         JTAG_STABLECLOCKS = 8
330 };
331
332 typedef struct jtag_command_s
333 {
334         jtag_command_container_t cmd;
335         enum jtag_command_type   type;
336         struct jtag_command_s*   next;
337 } jtag_command_t;
338
339 extern jtag_command_t* jtag_command_queue;
340
341 /* forward declaration */
342 typedef struct jtag_tap_event_action_s jtag_tap_event_action_t;
343
344 /* this is really: typedef jtag_tap_t */
345 /* But - the typedef is done in "types.h" */
346 /* due to "forward decloration reasons" */
347 struct jtag_tap_s
348 {
349         const char* chip;
350         const char* tapname;
351         const char* dotted_name;
352         int         abs_chain_position;
353         int         enabled;
354         int         ir_length;          /* size of instruction register */
355         u32         ir_capture_value;
356         u8*         expected;           /* Capture-IR expected value */
357         u32         ir_capture_mask;
358         u8*         expected_mask;      /* Capture-IR expected mask */
359         u32         idcode;             /* device identification code */
360         u32*        expected_ids;       /* Array of expected identification codes */
361         u8          expected_ids_cnt;   /* Number of expected identification codes */
362         u8*         cur_instr;          /* current instruction */
363         int         bypass;             /* bypass register selected */
364
365         jtag_tap_event_action_t* event_action;
366
367         jtag_tap_t* next_tap;
368 };
369 extern jtag_tap_t* jtag_AllTaps(void);
370 extern jtag_tap_t* jtag_TapByPosition(int n);
371 extern jtag_tap_t* jtag_TapByPosition(int n);
372 extern jtag_tap_t* jtag_TapByString(const char* dotted_name);
373 extern jtag_tap_t* jtag_TapByJimObj(Jim_Interp* interp, Jim_Obj* obj);
374 extern jtag_tap_t* jtag_TapByAbsPosition(int abs_position);
375 extern int         jtag_NumEnabledTaps(void);
376 extern int         jtag_NumTotalTaps(void);
377
378 static __inline__ jtag_tap_t* jtag_NextEnabledTap(jtag_tap_t* p)
379 {
380         if (p == NULL)
381         {
382                 /* start at the head of list */
383                 p = jtag_AllTaps();
384         }
385         else
386         {
387                 /* start *after* this one */
388                 p = p->next_tap;
389         }
390         while (p)
391         {
392                 if (p->enabled)
393                 {
394                         break;
395                 }
396                 else
397                 {
398                         p = p->next_tap;
399                 }
400         }
401
402         return p;
403 }
404
405
406 enum reset_line_mode {
407         LINE_OPEN_DRAIN = 0x0,
408         LINE_PUSH_PULL  = 0x1,
409 };
410
411 typedef struct jtag_interface_s
412 {
413         char* name;
414
415         /* queued command execution
416          */
417         int (*execute_queue)(void);
418
419         /* interface initalization
420          */
421         int (*speed)(int speed);
422         int (*register_commands)(struct command_context_s* cmd_ctx);
423         int (*init)(void);
424         int (*quit)(void);
425
426         /* returns JTAG maxium speed for KHz. 0=RTCK. The function returns
427          *  a failure if it can't support the KHz/RTCK.
428          *
429          *  WARNING!!!! if RTCK is *slow* then think carefully about
430          *  whether you actually want to support this in the driver.
431          *  Many target scripts are written to handle the absence of RTCK
432          *  and use a fallback kHz TCK.
433          */
434         int (*khz)(int khz, int* jtag_speed);
435
436         /* returns the KHz for the provided JTAG speed. 0=RTCK. The function returns
437          *  a failure if it can't support the KHz/RTCK. */
438         int (*speed_div)(int speed, int* khz);
439
440         /* Read and clear the power dropout flag. Note that a power dropout
441          *  can be transitionary, easily much less than a ms.
442          *
443          *  So to find out if the power is *currently* on, you must invoke
444          *  this method twice. Once to clear the power dropout flag and a
445          *  second time to read the current state.
446          *
447          *  Currently the default implementation is never to detect power dropout.
448          */
449         int (*power_dropout)(int* power_dropout);
450
451         /* Read and clear the srst asserted detection flag.
452          *
453          * NB!!!! like power_dropout this does *not* read the current
454          * state. srst assertion is transitionary and *can* be much
455          * less than 1ms.
456          */
457         int (*srst_asserted)(int* srst_asserted);
458 } jtag_interface_t;
459
460 enum jtag_event {
461         JTAG_TRST_ASSERTED
462 };
463
464 extern char* jtag_event_strings[];
465
466 enum jtag_tap_event {
467         JTAG_TAP_EVENT_ENABLE,
468         JTAG_TAP_EVENT_DISABLE
469 };
470
471 extern const Jim_Nvp nvp_jtag_tap_event[];
472
473 struct jtag_tap_event_action_s
474 {
475         enum jtag_tap_event      event;
476         Jim_Obj*                 body;
477         jtag_tap_event_action_t* next;
478 };
479
480 extern int jtag_trst;
481 extern int jtag_srst;
482
483 typedef struct jtag_event_callback_s
484 {
485         int (*callback)(enum jtag_event event, void* priv);
486         void*                         priv;
487         struct jtag_event_callback_s* next;
488 } jtag_event_callback_t;
489
490 extern jtag_event_callback_t* jtag_event_callbacks;
491
492 extern jtag_interface_t*      jtag; /* global pointer to configured JTAG interface */
493
494 extern int jtag_speed;
495 extern int jtag_speed_post_reset;
496
497 enum reset_types {
498         RESET_NONE            = 0x0,
499         RESET_HAS_TRST        = 0x1,
500         RESET_HAS_SRST        = 0x2,
501         RESET_TRST_AND_SRST   = 0x3,
502         RESET_SRST_PULLS_TRST = 0x4,
503         RESET_TRST_PULLS_SRST = 0x8,
504         RESET_TRST_OPEN_DRAIN = 0x10,
505         RESET_SRST_PUSH_PULL  = 0x20,
506 };
507
508 extern enum reset_types jtag_reset_config;
509
510 /* initialize interface upon startup. A successful no-op
511  * upon subsequent invocations
512  */
513 extern int  jtag_interface_init(struct command_context_s* cmd_ctx);
514
515 /* initialize JTAG chain using only a RESET reset. If init fails,
516  * try reset + init.
517  */
518 extern int  jtag_init(struct command_context_s* cmd_ctx);
519
520 /* reset, then initialize JTAG chain */
521 extern int  jtag_init_reset(struct command_context_s* cmd_ctx);
522 extern int  jtag_register_commands(struct command_context_s* cmd_ctx);
523
524 /* JTAG interface, can be implemented with a software or hardware fifo
525  *
526  * TAP_DRSHIFT and TAP_IRSHIFT are illegal end states. TAP_DRSHIFT/IRSHIFT as end states
527  * can be emulated by using a larger scan.
528  *
529  * Code that is relatively insensitive to the path(as long
530  * as it is JTAG compliant) taken through state machine can use
531  * endstate for jtag_add_xxx_scan(). Otherwise the pause state must be
532  * specified as end state and a subsequent jtag_add_pathmove() must
533  * be issued.
534  *
535  */
536 extern void jtag_add_ir_scan(int num_fields, scan_field_t* fields, tap_state_t endstate);
537 extern int  interface_jtag_add_ir_scan(int num_fields, scan_field_t* fields, tap_state_t endstate);
538 extern void jtag_add_dr_scan(int num_fields, scan_field_t* fields, tap_state_t endstate);
539 extern int  interface_jtag_add_dr_scan(int num_fields, scan_field_t* fields, tap_state_t endstate);
540 extern void jtag_add_plain_ir_scan(int num_fields, scan_field_t* fields, tap_state_t endstate);
541 extern int  interface_jtag_add_plain_ir_scan(int num_fields, scan_field_t* fields, tap_state_t endstate);
542 extern void jtag_add_plain_dr_scan(int num_fields, scan_field_t* fields, tap_state_t endstate);
543 extern int  interface_jtag_add_plain_dr_scan(int num_fields, scan_field_t* fields, tap_state_t endstate);
544
545 /* run a TAP_RESET reset. End state is TAP_RESET, regardless
546  * of start state.
547  */
548 extern void jtag_add_tlr(void);
549 extern int  interface_jtag_add_tlr(void);
550
551 /* Do not use jtag_add_pathmove() unless you need to, but do use it
552  * if you have to.
553  *
554  * DANGER! If the target is dependent upon a particular sequence
555  * of transitions for things to work correctly(e.g. as a workaround
556  * for an errata that contradicts the JTAG standard), then pathmove
557  * must be used, even if some jtag interfaces happen to use the
558  * desired path. Worse, the jtag interface used for testing a
559  * particular implementation, could happen to use the "desired"
560  * path when transitioning to/from end
561  * state.
562  *
563  * A list of unambigious single clock state transitions, not
564  * all drivers can support this, but it is required for e.g.
565  * XScale and Xilinx support
566  *
567  * Note! TAP_RESET must not be used in the path!
568  *
569  * Note that the first on the list must be reachable
570  * via a single transition from the current state.
571  *
572  * All drivers are required to implement jtag_add_pathmove().
573  * However, if the pathmove sequence can not be precisely
574  * executed, an interface_jtag_add_pathmove() or jtag_execute_queue()
575  * must return an error. It is legal, but not recommended, that
576  * a driver returns an error in all cases for a pathmove if it
577  * can only implement a few transitions and therefore
578  * a partial implementation of pathmove would have little practical
579  * application.
580  */
581 extern void jtag_add_pathmove(int num_states, tap_state_t* path);
582 extern int  interface_jtag_add_pathmove(int num_states, tap_state_t* path);
583
584 /* go to TAP_IDLE, if we're not already there and cycle
585  * precisely num_cycles in the TAP_IDLE after which move
586  * to the end state, if it is != TAP_IDLE
587  *
588  * nb! num_cycles can be 0, in which case the fn will navigate
589  * to endstate via TAP_IDLE
590  */
591 extern void jtag_add_runtest(int num_cycles, tap_state_t endstate);
592 extern int  interface_jtag_add_runtest(int num_cycles, tap_state_t endstate);
593
594 /* A reset of the TAP state machine can be requested.
595  *
596  * Whether tms or trst reset is used depends on the capabilities of
597  * the target and jtag interface(reset_config  command configures this).
598  *
599  * srst can driver a reset of the TAP state machine and vice
600  * versa
601  *
602  * Application code may need to examine value of jtag_reset_config
603  * to determine the proper codepath
604  *
605  * DANGER! Even though srst drives trst, trst might not be connected to
606  * the interface, and it might actually be *harmful* to assert trst in this case.
607  *
608  * This is why combinations such as "reset_config srst_only srst_pulls_trst"
609  * are supported.
610  *
611  * only req_tlr_or_trst and srst can have a transition for a
612  * call as the effects of transitioning both at the "same time"
613  * are undefined, but when srst_pulls_trst or vice versa,
614  * then trst & srst *must* be asserted together.
615  */
616 extern void jtag_add_reset(int req_tlr_or_trst, int srst);
617
618 /* this drives the actual srst and trst pins. srst will always be 0
619  * if jtag_reset_config & RESET_SRST_PULLS_TRST != 0 and ditto for
620  * trst.
621  *
622  * the higher level jtag_add_reset will invoke jtag_add_tlr() if
623  * approperiate
624  */
625 extern int  interface_jtag_add_reset(int trst, int srst);
626 extern void jtag_add_end_state(tap_state_t endstate);
627 extern int  interface_jtag_add_end_state(tap_state_t endstate);
628 extern void jtag_add_sleep(u32 us);
629 extern int  interface_jtag_add_sleep(u32 us);
630
631
632 /**
633  * Function jtag_add_stable_clocks
634  * first checks that the state in which the clocks are to be issued is
635  * stable, then queues up clock_count clocks for transmission.
636  */
637 void jtag_add_clocks(int num_cycles);
638 int  interface_jtag_add_clocks(int num_cycles);
639
640
641 /*
642  * For software FIFO implementations, the queued commands can be executed
643  * during this call or earlier. A sw queue might decide to push out
644  * some of the jtag_add_xxx() operations once the queue is "big enough".
645  *
646  * This fn will return an error code if any of the prior jtag_add_xxx()
647  * calls caused a failure, e.g. check failure. Note that it does not
648  * matter if the operation was executed *before* jtag_execute_queue(),
649  * jtag_execute_queue() will still return an error code.
650  *
651  * All jtag_add_xxx() calls that have in_handler!=NULL will have been
652  * executed when this fn returns, but if what has been queued only
653  * clocks data out, without reading anything back, then JTAG could
654  * be running *after* jtag_execute_queue() returns. The API does
655  * not define a way to flush a hw FIFO that runs *after*
656  * jtag_execute_queue() returns.
657  *
658  * jtag_add_xxx() commands can either be executed immediately or
659  * at some time between the jtag_add_xxx() fn call and jtag_execute_queue().
660  */
661 extern int            jtag_execute_queue(void);
662
663 /* can be implemented by hw+sw */
664 extern int            interface_jtag_execute_queue(void);
665 extern int            jtag_power_dropout(int* dropout);
666 extern int            jtag_srst_asserted(int* srst_asserted);
667
668 /* JTAG support functions */
669 extern void           jtag_set_check_value(scan_field_t* field, u8* value, u8* mask, error_handler_t* in_error_handler);
670 extern enum scan_type jtag_scan_type(scan_command_t* cmd);
671 extern int            jtag_scan_size(scan_command_t* cmd);
672 extern int            jtag_read_buffer(u8* buffer, scan_command_t* cmd);
673 extern int            jtag_build_buffer(scan_command_t* cmd, u8** buffer);
674
675 extern void           jtag_sleep(u32 us);
676 extern int            jtag_call_event_callbacks(enum jtag_event event);
677 extern int            jtag_register_event_callback(int (* callback)(enum jtag_event event, void* priv), void* priv);
678
679 extern int jtag_verify_capture_ir;
680
681 void jtag_tap_handle_event(jtag_tap_t* tap, enum jtag_tap_event e);
682
683 /* error codes
684  * JTAG subsystem uses codes between -100 and -199 */
685
686 #define ERROR_JTAG_INIT_FAILED       (-100)
687 #define ERROR_JTAG_INVALID_INTERFACE (-101)
688 #define ERROR_JTAG_NOT_IMPLEMENTED   (-102)
689 #define ERROR_JTAG_TRST_ASSERTED     (-103)
690 #define ERROR_JTAG_QUEUE_FAILED      (-104)
691 #define ERROR_JTAG_NOT_STABLE_STATE  (-105)
692 #define ERROR_JTAG_DEVICE_ERROR      (-107)
693
694
695 /* this allows JTAG devices to implement the entire jtag_xxx() layer in hw/sw */
696 #ifdef HAVE_JTAG_MINIDRIVER_H
697 /* Here a #define MINIDRIVER() and an inline version of hw fifo interface_jtag_add_dr_out can be defined */
698 #include "jtag_minidriver.h"
699 #define MINIDRIVER(a) notused ## a
700 #else
701 #define MINIDRIVER(a) a
702
703 /* jtag_add_dr_out() is a faster version of jtag_add_dr_scan()
704  *
705  * Current or end_state can not be TAP_RESET. end_state can be TAP_INVALID
706  *
707  * num_bits[i] is the number of bits to clock out from value[i] LSB first.
708  *
709  * If the device is in bypass, then that is an error condition in
710  * the caller code that is not detected by this fn, whereas jtag_add_dr_scan()
711  * does detect it. Similarly if the device is not in bypass, data must
712  * be passed to it.
713  *
714  * If anything fails, then jtag_error will be set and jtag_execute() will
715  * return an error. There is no way to determine if there was a failure
716  * during this function call.
717  *
718  * Note that this jtag_add_dr_out can be defined as an inline function.
719  */
720 extern void interface_jtag_add_dr_out(jtag_tap_t* tap, int num_fields, const int* num_bits, const u32* value,
721                 tap_state_t end_state);
722
723 #endif
724
725 static __inline__ void jtag_add_dr_out(jtag_tap_t* tap, int num_fields, const int* num_bits, const u32* value,
726                 tap_state_t end_state)
727 {
728         if (end_state != TAP_INVALID)
729                 cmd_queue_end_state = end_state;
730         cmd_queue_cur_state = cmd_queue_end_state;
731         interface_jtag_add_dr_out(tap, num_fields, num_bits, value, cmd_queue_end_state);
732 }
733
734
735 #endif /* JTAG_H */