]> git.sur5r.net Git - freertos/blob - FreeRTOS/Demo/CORTEX_A9_Zynq_ZC702/ZC702_hw_platform/ps7_init.tcl
Beginnings of a project to build the GCC Cortex-A port targeting a Zynq.
[freertos] / FreeRTOS / Demo / CORTEX_A9_Zynq_ZC702 / ZC702_hw_platform / ps7_init.tcl
1 proc ps7_pll_init_data_3_0 {} {
2     mask_write 0XF8000008 0x0000FFFF 0x0000DF0D
3     mask_write 0XF8000110 0x003FFFF0 0x000FA220
4     mask_write 0XF8000100 0x0007F000 0x00028000
5     mask_write 0XF8000100 0x00000010 0x00000010
6     mask_write 0XF8000100 0x00000001 0x00000001
7     mask_write 0XF8000100 0x00000001 0x00000000
8     mask_poll 0XF800010C 0x00000001
9     mask_write 0XF8000100 0x00000010 0x00000000
10     mask_write 0XF8000120 0x1F003F30 0x1F000200
11     mask_write 0XF8000114 0x003FFFF0 0x0012C220
12     mask_write 0XF8000104 0x0007F000 0x00020000
13     mask_write 0XF8000104 0x00000010 0x00000010
14     mask_write 0XF8000104 0x00000001 0x00000001
15     mask_write 0XF8000104 0x00000001 0x00000000
16     mask_poll 0XF800010C 0x00000002
17     mask_write 0XF8000104 0x00000010 0x00000000
18     mask_write 0XF8000124 0xFFF00003 0x0C200003
19     mask_write 0XF8000118 0x003FFFF0 0x001452C0
20     mask_write 0XF8000108 0x0007F000 0x0001E000
21     mask_write 0XF8000108 0x00000010 0x00000010
22     mask_write 0XF8000108 0x00000001 0x00000001
23     mask_write 0XF8000108 0x00000001 0x00000000
24     mask_poll 0XF800010C 0x00000004
25     mask_write 0XF8000108 0x00000010 0x00000000
26     mask_write 0XF8000004 0x0000FFFF 0x0000767B
27 }
28 proc ps7_clock_init_data_3_0 {} {
29     mask_write 0XF8000008 0x0000FFFF 0x0000DF0D
30     mask_write 0XF8000128 0x03F03F01 0x00302301
31     mask_write 0XF8000138 0x00000011 0x00000001
32     mask_write 0XF8000140 0x03F03F71 0x00500801
33     mask_write 0XF800014C 0x00003F31 0x00000501
34     mask_write 0XF8000150 0x00003F33 0x00001401
35     mask_write 0XF8000154 0x00003F33 0x00001402
36     mask_write 0XF800015C 0x03F03F33 0x00300E01
37     mask_write 0XF8000160 0x007F007F 0x00000000
38     mask_write 0XF8000168 0x00003F31 0x00000501
39     mask_write 0XF8000170 0x03F03F30 0x00101400
40     mask_write 0XF8000180 0x03F03F30 0x00101400
41     mask_write 0XF8000190 0x03F03F30 0x00101400
42     mask_write 0XF80001A0 0x03F03F30 0x00101400
43     mask_write 0XF80001C4 0x00000001 0x00000001
44     mask_write 0XF800012C 0x01FFCCCD 0x01ED044D
45     mask_write 0XF8000004 0x0000FFFF 0x0000767B
46 }
47 proc ps7_ddr_init_data_3_0 {} {
48     mask_write 0XF8006000 0x0001FFFF 0x00000080
49     mask_write 0XF8006004 0x0007FFFF 0x00001081
50     mask_write 0XF8006008 0x03FFFFFF 0x03C0780F
51     mask_write 0XF800600C 0x03FFFFFF 0x02001001
52     mask_write 0XF8006010 0x03FFFFFF 0x00014001
53     mask_write 0XF8006014 0x001FFFFF 0x0004159B
54     mask_write 0XF8006018 0xF7FFFFFF 0x452440D2
55     mask_write 0XF800601C 0xFFFFFFFF 0x720238E5
56     mask_write 0XF8006020 0x7FDFFFFC 0x27087290
57     mask_write 0XF8006024 0x0FFFFFC3 0x00000000
58     mask_write 0XF8006028 0x00003FFF 0x00002007
59     mask_write 0XF800602C 0xFFFFFFFF 0x00000008
60     mask_write 0XF8006030 0xFFFFFFFF 0x00040930
61     mask_write 0XF8006034 0x13FF3FFF 0x00011054
62     mask_write 0XF8006038 0x00000003 0x00000000
63     mask_write 0XF800603C 0x000FFFFF 0x00000777
64     mask_write 0XF8006040 0xFFFFFFFF 0xFFF00000
65     mask_write 0XF8006044 0x0FFFFFFF 0x0F666666
66     mask_write 0XF8006048 0x0003F000 0x0003C000
67     mask_write 0XF8006050 0xFF0F8FFF 0x77010800
68     mask_write 0XF8006058 0x00010000 0x00000000
69     mask_write 0XF800605C 0x0000FFFF 0x00005003
70     mask_write 0XF8006060 0x000017FF 0x0000003E
71     mask_write 0XF8006064 0x00021FE0 0x00020000
72     mask_write 0XF8006068 0x03FFFFFF 0x00284141
73     mask_write 0XF800606C 0x0000FFFF 0x00001610
74     mask_write 0XF8006078 0x03FFFFFF 0x00466111
75     mask_write 0XF800607C 0x000FFFFF 0x00032222
76     mask_write 0XF80060A4 0xFFFFFFFF 0x10200802
77     mask_write 0XF80060A8 0x0FFFFFFF 0x0690CB73
78     mask_write 0XF80060AC 0x000001FF 0x000001FE
79     mask_write 0XF80060B0 0x1FFFFFFF 0x1CFFFFFF
80     mask_write 0XF80060B4 0x00000200 0x00000200
81     mask_write 0XF80060B8 0x01FFFFFF 0x00200066
82     mask_write 0XF80060C4 0x00000003 0x00000003
83     mask_write 0XF80060C4 0x00000003 0x00000000
84     mask_write 0XF80060C8 0x000000FF 0x00000000
85     mask_write 0XF80060DC 0x00000001 0x00000000
86     mask_write 0XF80060F0 0x0000FFFF 0x00000000
87     mask_write 0XF80060F4 0x0000000F 0x00000008
88     mask_write 0XF8006114 0x000000FF 0x00000000
89     mask_write 0XF8006118 0x7FFFFFCF 0x40000001
90     mask_write 0XF800611C 0x7FFFFFCF 0x40000001
91     mask_write 0XF8006120 0x7FFFFFCF 0x40000001
92     mask_write 0XF8006124 0x7FFFFFCF 0x40000001
93     mask_write 0XF800612C 0x000FFFFF 0x0003C81D
94     mask_write 0XF8006130 0x000FFFFF 0x00036012
95     mask_write 0XF8006134 0x000FFFFF 0x0003780C
96     mask_write 0XF8006138 0x000FFFFF 0x0003B821
97     mask_write 0XF8006140 0x000FFFFF 0x00000035
98     mask_write 0XF8006144 0x000FFFFF 0x00000035
99     mask_write 0XF8006148 0x000FFFFF 0x00000035
100     mask_write 0XF800614C 0x000FFFFF 0x00000035
101     mask_write 0XF8006154 0x000FFFFF 0x0000009D
102     mask_write 0XF8006158 0x000FFFFF 0x00000092
103     mask_write 0XF800615C 0x000FFFFF 0x0000008C
104     mask_write 0XF8006160 0x000FFFFF 0x000000A1
105     mask_write 0XF8006168 0x001FFFFF 0x00000147
106     mask_write 0XF800616C 0x001FFFFF 0x0000012D
107     mask_write 0XF8006170 0x001FFFFF 0x00000133
108     mask_write 0XF8006174 0x001FFFFF 0x00000143
109     mask_write 0XF800617C 0x000FFFFF 0x000000DD
110     mask_write 0XF8006180 0x000FFFFF 0x000000D2
111     mask_write 0XF8006184 0x000FFFFF 0x000000CC
112     mask_write 0XF8006188 0x000FFFFF 0x000000E1
113     mask_write 0XF8006190 0x6FFFFEFE 0x00040080
114     mask_write 0XF8006194 0x000FFFFF 0x0001FC82
115     mask_write 0XF8006204 0xFFFFFFFF 0x00000000
116     mask_write 0XF8006208 0x000703FF 0x000003FF
117     mask_write 0XF800620C 0x000703FF 0x000003FF
118     mask_write 0XF8006210 0x000703FF 0x000003FF
119     mask_write 0XF8006214 0x000703FF 0x000003FF
120     mask_write 0XF8006218 0x000F03FF 0x000003FF
121     mask_write 0XF800621C 0x000F03FF 0x000003FF
122     mask_write 0XF8006220 0x000F03FF 0x000003FF
123     mask_write 0XF8006224 0x000F03FF 0x000003FF
124     mask_write 0XF80062A8 0x00000FF5 0x00000000
125     mask_write 0XF80062AC 0xFFFFFFFF 0x00000000
126     mask_write 0XF80062B0 0x003FFFFF 0x00005125
127     mask_write 0XF80062B4 0x0003FFFF 0x000012A8
128     mask_poll 0XF8000B74 0x00002000
129     mask_write 0XF8006000 0x0001FFFF 0x00000081
130     mask_poll 0XF8006054 0x00000007
131 }
132 proc ps7_mio_init_data_3_0 {} {
133     mask_write 0XF8000008 0x0000FFFF 0x0000DF0D
134     mask_write 0XF8000B00 0x00000071 0x00000001
135     mask_write 0XF8000B40 0x00000FFF 0x00000600
136     mask_write 0XF8000B44 0x00000FFF 0x00000600
137     mask_write 0XF8000B48 0x00000FFF 0x00000672
138     mask_write 0XF8000B4C 0x00000FFF 0x00000672
139     mask_write 0XF8000B50 0x00000FFF 0x00000674
140     mask_write 0XF8000B54 0x00000FFF 0x00000674
141     mask_write 0XF8000B58 0x00000FFF 0x00000600
142     mask_write 0XF8000B5C 0xFFFFFFFF 0x0018C61C
143     mask_write 0XF8000B60 0xFFFFFFFF 0x00F9861C
144     mask_write 0XF8000B64 0xFFFFFFFF 0x00F9861C
145     mask_write 0XF8000B68 0xFFFFFFFF 0x00F9861C
146     mask_write 0XF8000B6C 0x00007FFF 0x00000E09
147     mask_write 0XF8000B70 0x00000001 0x00000001
148     mask_write 0XF8000B70 0x00000021 0x00000020
149     mask_write 0XF8000B70 0x07FEFFFF 0x00000823
150     mask_write 0XF8000700 0x00003F01 0x00001201
151     mask_write 0XF8000704 0x00003FFF 0x00001202
152     mask_write 0XF8000708 0x00003FFF 0x00000202
153     mask_write 0XF800070C 0x00003FFF 0x00000202
154     mask_write 0XF8000710 0x00003FFF 0x00000202
155     mask_write 0XF8000714 0x00003FFF 0x00000202
156     mask_write 0XF8000718 0x00003FFF 0x00000202
157     mask_write 0XF800071C 0x00003FFF 0x00000200
158     mask_write 0XF8000720 0x00003FFF 0x00000202
159     mask_write 0XF8000724 0x00003FFF 0x00001200
160     mask_write 0XF8000728 0x00003FFF 0x00001200
161     mask_write 0XF800072C 0x00003FFF 0x00001200
162     mask_write 0XF8000730 0x00003FFF 0x00001200
163     mask_write 0XF8000734 0x00003FFF 0x00001200
164     mask_write 0XF8000738 0x00003FFF 0x00001200
165     mask_write 0XF800073C 0x00003F01 0x00001201
166     mask_write 0XF8000740 0x00003FFF 0x00002802
167     mask_write 0XF8000744 0x00003FFF 0x00002802
168     mask_write 0XF8000748 0x00003FFF 0x00002802
169     mask_write 0XF800074C 0x00003FFF 0x00002802
170     mask_write 0XF8000750 0x00003FFF 0x00002802
171     mask_write 0XF8000754 0x00003FFF 0x00002802
172     mask_write 0XF8000758 0x00003FFF 0x00000803
173     mask_write 0XF800075C 0x00003FFF 0x00000803
174     mask_write 0XF8000760 0x00003FFF 0x00000803
175     mask_write 0XF8000764 0x00003FFF 0x00000803
176     mask_write 0XF8000768 0x00003FFF 0x00000803
177     mask_write 0XF800076C 0x00003FFF 0x00000803
178     mask_write 0XF8000770 0x00003FFF 0x00000204
179     mask_write 0XF8000774 0x00003FFF 0x00000205
180     mask_write 0XF8000778 0x00003FFF 0x00000204
181     mask_write 0XF800077C 0x00003FFF 0x00000205
182     mask_write 0XF8000780 0x00003FFF 0x00000204
183     mask_write 0XF8000784 0x00003FFF 0x00000204
184     mask_write 0XF8000788 0x00003FFF 0x00000204
185     mask_write 0XF800078C 0x00003FFF 0x00000204
186     mask_write 0XF8000790 0x00003FFF 0x00000205
187     mask_write 0XF8000794 0x00003FFF 0x00000204
188     mask_write 0XF8000798 0x00003FFF 0x00000204
189     mask_write 0XF800079C 0x00003FFF 0x00000204
190     mask_write 0XF80007A0 0x00003FFF 0x00000280
191     mask_write 0XF80007A4 0x00003FFF 0x00000280
192     mask_write 0XF80007A8 0x00003FFF 0x00000280
193     mask_write 0XF80007AC 0x00003FFF 0x00000280
194     mask_write 0XF80007B0 0x00003FFF 0x00000280
195     mask_write 0XF80007B4 0x00003FFF 0x00000280
196     mask_write 0XF80007B8 0x00003FFF 0x00001221
197     mask_write 0XF80007BC 0x00003FFF 0x00001220
198     mask_write 0XF80007C0 0x00003FFF 0x000002E0
199     mask_write 0XF80007C4 0x00003FFF 0x000002E1
200     mask_write 0XF80007C8 0x00003FFF 0x00001240
201     mask_write 0XF80007CC 0x00003FFF 0x00001240
202     mask_write 0XF80007D0 0x00003FFF 0x00000280
203     mask_write 0XF80007D4 0x00003FFF 0x00000280
204     mask_write 0XF8000830 0x003F003F 0x0000000F
205     mask_write 0XF8000004 0x0000FFFF 0x0000767B
206 }
207 proc ps7_peripherals_init_data_3_0 {} {
208     mask_write 0XF8000008 0x0000FFFF 0x0000DF0D
209     mask_write 0XF8000B48 0x00000180 0x00000180
210     mask_write 0XF8000B4C 0x00000180 0x00000180
211     mask_write 0XF8000B50 0x00000180 0x00000180
212     mask_write 0XF8000B54 0x00000180 0x00000180
213     mask_write 0XF8000004 0x0000FFFF 0x0000767B
214     mask_write 0XE0001034 0x000000FF 0x00000006
215     mask_write 0XE0001018 0x0000FFFF 0x0000003E
216     mask_write 0XE0001000 0x000001FF 0x00000017
217     mask_write 0XE0001004 0x000003FF 0x00000020
218     mask_write 0XE000D000 0x00080000 0x00080000
219     mask_write 0XF8007000 0x20000000 0x00000000
220     mask_write 0XE000A204 0xFFFFFFFF 0x00002880
221     mask_write 0XE000A000 0xFFFFFFFF 0xFF7F0080
222     mask_write 0XE000A208 0xFFFFFFFF 0x00002880
223     mask_write 0XE000A000 0xFFFFFFFF 0xFF7F0000
224     mask_write 0XE000A000 0xFFFFFFFF 0xFF7F0080
225     mask_write 0XE000A204 0xFFFFFFFF 0x00002880
226     mask_write 0XE000A000 0xFFFFFFFF 0xF7FF0800
227     mask_write 0XE000A208 0xFFFFFFFF 0x00002880
228     mask_write 0XE000A000 0xFFFFFFFF 0xF7FF0000
229     mask_write 0XE000A000 0xFFFFFFFF 0xF7FF0800
230     mask_write 0XE000A204 0xFFFFFFFF 0x00002880
231     mask_write 0XE000A000 0xFFFFFFFF 0xDFFF2000
232     mask_write 0XE000A208 0xFFFFFFFF 0x00002880
233     mask_write 0XE000A000 0xFFFFFFFF 0xDFFF0000
234     mask_write 0XE000A000 0xFFFFFFFF 0xDFFF2000
235 }
236 proc ps7_post_config_3_0 {} {
237     mask_write 0XF8000008 0x0000FFFF 0x0000DF0D
238     mask_write 0XF8000900 0x0000000F 0x0000000F
239     mask_write 0XF8000240 0xFFFFFFFF 0x00000000
240     mask_write 0XF8000004 0x0000FFFF 0x0000767B
241 }
242 proc ps7_pll_init_data_2_0 {} {
243     mask_write 0XF8000008 0x0000FFFF 0x0000DF0D
244     mask_write 0XF8000110 0x003FFFF0 0x000FA220
245     mask_write 0XF8000100 0x0007F000 0x00028000
246     mask_write 0XF8000100 0x00000010 0x00000010
247     mask_write 0XF8000100 0x00000001 0x00000001
248     mask_write 0XF8000100 0x00000001 0x00000000
249     mask_poll 0XF800010C 0x00000001
250     mask_write 0XF8000100 0x00000010 0x00000000
251     mask_write 0XF8000120 0x1F003F30 0x1F000200
252     mask_write 0XF8000114 0x003FFFF0 0x0012C220
253     mask_write 0XF8000104 0x0007F000 0x00020000
254     mask_write 0XF8000104 0x00000010 0x00000010
255     mask_write 0XF8000104 0x00000001 0x00000001
256     mask_write 0XF8000104 0x00000001 0x00000000
257     mask_poll 0XF800010C 0x00000002
258     mask_write 0XF8000104 0x00000010 0x00000000
259     mask_write 0XF8000124 0xFFF00003 0x0C200003
260     mask_write 0XF8000118 0x003FFFF0 0x001452C0
261     mask_write 0XF8000108 0x0007F000 0x0001E000
262     mask_write 0XF8000108 0x00000010 0x00000010
263     mask_write 0XF8000108 0x00000001 0x00000001
264     mask_write 0XF8000108 0x00000001 0x00000000
265     mask_poll 0XF800010C 0x00000004
266     mask_write 0XF8000108 0x00000010 0x00000000
267     mask_write 0XF8000004 0x0000FFFF 0x0000767B
268 }
269 proc ps7_clock_init_data_2_0 {} {
270     mask_write 0XF8000008 0x0000FFFF 0x0000DF0D
271     mask_write 0XF8000128 0x03F03F01 0x00302301
272     mask_write 0XF8000138 0x00000011 0x00000001
273     mask_write 0XF8000140 0x03F03F71 0x00500801
274     mask_write 0XF800014C 0x00003F31 0x00000501
275     mask_write 0XF8000150 0x00003F33 0x00001401
276     mask_write 0XF8000154 0x00003F33 0x00001402
277     mask_write 0XF800015C 0x03F03F33 0x00300E01
278     mask_write 0XF8000160 0x007F007F 0x00000000
279     mask_write 0XF8000168 0x00003F31 0x00000501
280     mask_write 0XF8000170 0x03F03F30 0x00101400
281     mask_write 0XF8000180 0x03F03F30 0x00101400
282     mask_write 0XF8000190 0x03F03F30 0x00101400
283     mask_write 0XF80001A0 0x03F03F30 0x00101400
284     mask_write 0XF80001C4 0x00000001 0x00000001
285     mask_write 0XF800012C 0x01FFCCCD 0x01ED044D
286     mask_write 0XF8000004 0x0000FFFF 0x0000767B
287 }
288 proc ps7_ddr_init_data_2_0 {} {
289     mask_write 0XF8006000 0x0001FFFF 0x00000080
290     mask_write 0XF8006004 0x1FFFFFFF 0x00081081
291     mask_write 0XF8006008 0x03FFFFFF 0x03C0780F
292     mask_write 0XF800600C 0x03FFFFFF 0x02001001
293     mask_write 0XF8006010 0x03FFFFFF 0x00014001
294     mask_write 0XF8006014 0x001FFFFF 0x0004159B
295     mask_write 0XF8006018 0xF7FFFFFF 0x452440D2
296     mask_write 0XF800601C 0xFFFFFFFF 0x720238E5
297     mask_write 0XF8006020 0xFFFFFFFC 0x27287290
298     mask_write 0XF8006024 0x0FFFFFFF 0x0000003C
299     mask_write 0XF8006028 0x00003FFF 0x00002007
300     mask_write 0XF800602C 0xFFFFFFFF 0x00000008
301     mask_write 0XF8006030 0xFFFFFFFF 0x00040930
302     mask_write 0XF8006034 0x13FF3FFF 0x00011054
303     mask_write 0XF8006038 0x00001FC3 0x00000000
304     mask_write 0XF800603C 0x000FFFFF 0x00000777
305     mask_write 0XF8006040 0xFFFFFFFF 0xFFF00000
306     mask_write 0XF8006044 0x0FFFFFFF 0x0F666666
307     mask_write 0XF8006048 0x3FFFFFFF 0x0003C248
308     mask_write 0XF8006050 0xFF0F8FFF 0x77010800
309     mask_write 0XF8006058 0x0001FFFF 0x00000101
310     mask_write 0XF800605C 0x0000FFFF 0x00005003
311     mask_write 0XF8006060 0x000017FF 0x0000003E
312     mask_write 0XF8006064 0x00021FE0 0x00020000
313     mask_write 0XF8006068 0x03FFFFFF 0x00284141
314     mask_write 0XF800606C 0x0000FFFF 0x00001610
315     mask_write 0XF8006078 0x03FFFFFF 0x00466111
316     mask_write 0XF800607C 0x000FFFFF 0x00032222
317     mask_write 0XF80060A0 0x00FFFFFF 0x00008000
318     mask_write 0XF80060A4 0xFFFFFFFF 0x10200802
319     mask_write 0XF80060A8 0x0FFFFFFF 0x0690CB73
320     mask_write 0XF80060AC 0x000001FF 0x000001FE
321     mask_write 0XF80060B0 0x1FFFFFFF 0x1CFFFFFF
322     mask_write 0XF80060B4 0x000007FF 0x00000200
323     mask_write 0XF80060B8 0x01FFFFFF 0x00200066
324     mask_write 0XF80060C4 0x00000003 0x00000003
325     mask_write 0XF80060C4 0x00000003 0x00000000
326     mask_write 0XF80060C8 0x000000FF 0x00000000
327     mask_write 0XF80060DC 0x00000001 0x00000000
328     mask_write 0XF80060F0 0x0000FFFF 0x00000000
329     mask_write 0XF80060F4 0x0000000F 0x00000008
330     mask_write 0XF8006114 0x000000FF 0x00000000
331     mask_write 0XF8006118 0x7FFFFFFF 0x40000001
332     mask_write 0XF800611C 0x7FFFFFFF 0x40000001
333     mask_write 0XF8006120 0x7FFFFFFF 0x40000001
334     mask_write 0XF8006124 0x7FFFFFFF 0x40000001
335     mask_write 0XF800612C 0x000FFFFF 0x0003C81D
336     mask_write 0XF8006130 0x000FFFFF 0x00036012
337     mask_write 0XF8006134 0x000FFFFF 0x0003780C
338     mask_write 0XF8006138 0x000FFFFF 0x0003B821
339     mask_write 0XF8006140 0x000FFFFF 0x00000035
340     mask_write 0XF8006144 0x000FFFFF 0x00000035
341     mask_write 0XF8006148 0x000FFFFF 0x00000035
342     mask_write 0XF800614C 0x000FFFFF 0x00000035
343     mask_write 0XF8006154 0x000FFFFF 0x0000009D
344     mask_write 0XF8006158 0x000FFFFF 0x00000092
345     mask_write 0XF800615C 0x000FFFFF 0x0000008C
346     mask_write 0XF8006160 0x000FFFFF 0x000000A1
347     mask_write 0XF8006168 0x001FFFFF 0x00000147
348     mask_write 0XF800616C 0x001FFFFF 0x0000012D
349     mask_write 0XF8006170 0x001FFFFF 0x00000133
350     mask_write 0XF8006174 0x001FFFFF 0x00000143
351     mask_write 0XF800617C 0x000FFFFF 0x000000DD
352     mask_write 0XF8006180 0x000FFFFF 0x000000D2
353     mask_write 0XF8006184 0x000FFFFF 0x000000CC
354     mask_write 0XF8006188 0x000FFFFF 0x000000E1
355     mask_write 0XF8006190 0xFFFFFFFF 0x10040080
356     mask_write 0XF8006194 0x000FFFFF 0x0001FC82
357     mask_write 0XF8006204 0xFFFFFFFF 0x00000000
358     mask_write 0XF8006208 0x000F03FF 0x000803FF
359     mask_write 0XF800620C 0x000F03FF 0x000803FF
360     mask_write 0XF8006210 0x000F03FF 0x000803FF
361     mask_write 0XF8006214 0x000F03FF 0x000803FF
362     mask_write 0XF8006218 0x000F03FF 0x000003FF
363     mask_write 0XF800621C 0x000F03FF 0x000003FF
364     mask_write 0XF8006220 0x000F03FF 0x000003FF
365     mask_write 0XF8006224 0x000F03FF 0x000003FF
366     mask_write 0XF80062A8 0x00000FF7 0x00000000
367     mask_write 0XF80062AC 0xFFFFFFFF 0x00000000
368     mask_write 0XF80062B0 0x003FFFFF 0x00005125
369     mask_write 0XF80062B4 0x0003FFFF 0x000012A8
370     mask_poll 0XF8000B74 0x00002000
371     mask_write 0XF8006000 0x0001FFFF 0x00000081
372     mask_poll 0XF8006054 0x00000007
373 }
374 proc ps7_mio_init_data_2_0 {} {
375     mask_write 0XF8000008 0x0000FFFF 0x0000DF0D
376     mask_write 0XF8000B00 0x00000303 0x00000001
377     mask_write 0XF8000B40 0x00000FFF 0x00000600
378     mask_write 0XF8000B44 0x00000FFF 0x00000600
379     mask_write 0XF8000B48 0x00000FFF 0x00000672
380     mask_write 0XF8000B4C 0x00000FFF 0x00000672
381     mask_write 0XF8000B50 0x00000FFF 0x00000674
382     mask_write 0XF8000B54 0x00000FFF 0x00000674
383     mask_write 0XF8000B58 0x00000FFF 0x00000600
384     mask_write 0XF8000B5C 0xFFFFFFFF 0x0018C61C
385     mask_write 0XF8000B60 0xFFFFFFFF 0x00F9861C
386     mask_write 0XF8000B64 0xFFFFFFFF 0x00F9861C
387     mask_write 0XF8000B68 0xFFFFFFFF 0x00F9861C
388     mask_write 0XF8000B6C 0x00007FFF 0x00000E09
389     mask_write 0XF8000B70 0x00000021 0x00000021
390     mask_write 0XF8000B70 0x00000021 0x00000020
391     mask_write 0XF8000B70 0x07FFFFFF 0x00000823
392     mask_write 0XF8000700 0x00003F01 0x00001201
393     mask_write 0XF8000704 0x00003FFF 0x00001202
394     mask_write 0XF8000708 0x00003FFF 0x00000202
395     mask_write 0XF800070C 0x00003FFF 0x00000202
396     mask_write 0XF8000710 0x00003FFF 0x00000202
397     mask_write 0XF8000714 0x00003FFF 0x00000202
398     mask_write 0XF8000718 0x00003FFF 0x00000202
399     mask_write 0XF800071C 0x00003FFF 0x00000200
400     mask_write 0XF8000720 0x00003FFF 0x00000202
401     mask_write 0XF8000724 0x00003FFF 0x00001200
402     mask_write 0XF8000728 0x00003FFF 0x00001200
403     mask_write 0XF800072C 0x00003FFF 0x00001200
404     mask_write 0XF8000730 0x00003FFF 0x00001200
405     mask_write 0XF8000734 0x00003FFF 0x00001200
406     mask_write 0XF8000738 0x00003FFF 0x00001200
407     mask_write 0XF800073C 0x00003F01 0x00001201
408     mask_write 0XF8000740 0x00003FFF 0x00002802
409     mask_write 0XF8000744 0x00003FFF 0x00002802
410     mask_write 0XF8000748 0x00003FFF 0x00002802
411     mask_write 0XF800074C 0x00003FFF 0x00002802
412     mask_write 0XF8000750 0x00003FFF 0x00002802
413     mask_write 0XF8000754 0x00003FFF 0x00002802
414     mask_write 0XF8000758 0x00003FFF 0x00000803
415     mask_write 0XF800075C 0x00003FFF 0x00000803
416     mask_write 0XF8000760 0x00003FFF 0x00000803
417     mask_write 0XF8000764 0x00003FFF 0x00000803
418     mask_write 0XF8000768 0x00003FFF 0x00000803
419     mask_write 0XF800076C 0x00003FFF 0x00000803
420     mask_write 0XF8000770 0x00003FFF 0x00000204
421     mask_write 0XF8000774 0x00003FFF 0x00000205
422     mask_write 0XF8000778 0x00003FFF 0x00000204
423     mask_write 0XF800077C 0x00003FFF 0x00000205
424     mask_write 0XF8000780 0x00003FFF 0x00000204
425     mask_write 0XF8000784 0x00003FFF 0x00000204
426     mask_write 0XF8000788 0x00003FFF 0x00000204
427     mask_write 0XF800078C 0x00003FFF 0x00000204
428     mask_write 0XF8000790 0x00003FFF 0x00000205
429     mask_write 0XF8000794 0x00003FFF 0x00000204
430     mask_write 0XF8000798 0x00003FFF 0x00000204
431     mask_write 0XF800079C 0x00003FFF 0x00000204
432     mask_write 0XF80007A0 0x00003FFF 0x00000280
433     mask_write 0XF80007A4 0x00003FFF 0x00000280
434     mask_write 0XF80007A8 0x00003FFF 0x00000280
435     mask_write 0XF80007AC 0x00003FFF 0x00000280
436     mask_write 0XF80007B0 0x00003FFF 0x00000280
437     mask_write 0XF80007B4 0x00003FFF 0x00000280
438     mask_write 0XF80007B8 0x00003FFF 0x00001221
439     mask_write 0XF80007BC 0x00003FFF 0x00001220
440     mask_write 0XF80007C0 0x00003FFF 0x000002E0
441     mask_write 0XF80007C4 0x00003FFF 0x000002E1
442     mask_write 0XF80007C8 0x00003FFF 0x00001240
443     mask_write 0XF80007CC 0x00003FFF 0x00001240
444     mask_write 0XF80007D0 0x00003FFF 0x00000280
445     mask_write 0XF80007D4 0x00003FFF 0x00000280
446     mask_write 0XF8000830 0x003F003F 0x0000000F
447     mask_write 0XF8000004 0x0000FFFF 0x0000767B
448 }
449 proc ps7_peripherals_init_data_2_0 {} {
450     mask_write 0XF8000008 0x0000FFFF 0x0000DF0D
451     mask_write 0XF8000B48 0x00000180 0x00000180
452     mask_write 0XF8000B4C 0x00000180 0x00000180
453     mask_write 0XF8000B50 0x00000180 0x00000180
454     mask_write 0XF8000B54 0x00000180 0x00000180
455     mask_write 0XF8000004 0x0000FFFF 0x0000767B
456     mask_write 0XE0001034 0x000000FF 0x00000006
457     mask_write 0XE0001018 0x0000FFFF 0x0000003E
458     mask_write 0XE0001000 0x000001FF 0x00000017
459     mask_write 0XE0001004 0x00000FFF 0x00000020
460     mask_write 0XE000D000 0x00080000 0x00080000
461     mask_write 0XF8007000 0x20000000 0x00000000
462     mask_write 0XE000A204 0xFFFFFFFF 0x00002880
463     mask_write 0XE000A000 0xFFFFFFFF 0xFF7F0080
464     mask_write 0XE000A208 0xFFFFFFFF 0x00002880
465     mask_write 0XE000A000 0xFFFFFFFF 0xFF7F0000
466     mask_write 0XE000A000 0xFFFFFFFF 0xFF7F0080
467     mask_write 0XE000A204 0xFFFFFFFF 0x00002880
468     mask_write 0XE000A000 0xFFFFFFFF 0xF7FF0800
469     mask_write 0XE000A208 0xFFFFFFFF 0x00002880
470     mask_write 0XE000A000 0xFFFFFFFF 0xF7FF0000
471     mask_write 0XE000A000 0xFFFFFFFF 0xF7FF0800
472     mask_write 0XE000A204 0xFFFFFFFF 0x00002880
473     mask_write 0XE000A000 0xFFFFFFFF 0xDFFF2000
474     mask_write 0XE000A208 0xFFFFFFFF 0x00002880
475     mask_write 0XE000A000 0xFFFFFFFF 0xDFFF0000
476     mask_write 0XE000A000 0xFFFFFFFF 0xDFFF2000
477 }
478 proc ps7_post_config_2_0 {} {
479     mask_write 0XF8000008 0x0000FFFF 0x0000DF0D
480     mask_write 0XF8000900 0x0000000F 0x0000000F
481     mask_write 0XF8000240 0xFFFFFFFF 0x00000000
482     mask_write 0XF8000004 0x0000FFFF 0x0000767B
483 }
484 proc ps7_pll_init_data_1_0 {} {
485     mask_write 0XF8000008 0x0000FFFF 0x0000DF0D
486     mask_write 0XF8000110 0x003FFFF0 0x000FA220
487     mask_write 0XF8000100 0x0007F000 0x00028000
488     mask_write 0XF8000100 0x00000010 0x00000010
489     mask_write 0XF8000100 0x00000001 0x00000001
490     mask_write 0XF8000100 0x00000001 0x00000000
491     mask_poll 0XF800010C 0x00000001
492     mask_write 0XF8000100 0x00000010 0x00000000
493     mask_write 0XF8000120 0x1F003F30 0x1F000200
494     mask_write 0XF8000114 0x003FFFF0 0x0012C220
495     mask_write 0XF8000104 0x0007F000 0x00020000
496     mask_write 0XF8000104 0x00000010 0x00000010
497     mask_write 0XF8000104 0x00000001 0x00000001
498     mask_write 0XF8000104 0x00000001 0x00000000
499     mask_poll 0XF800010C 0x00000002
500     mask_write 0XF8000104 0x00000010 0x00000000
501     mask_write 0XF8000124 0xFFF00003 0x0C200003
502     mask_write 0XF8000118 0x003FFFF0 0x001452C0
503     mask_write 0XF8000108 0x0007F000 0x0001E000
504     mask_write 0XF8000108 0x00000010 0x00000010
505     mask_write 0XF8000108 0x00000001 0x00000001
506     mask_write 0XF8000108 0x00000001 0x00000000
507     mask_poll 0XF800010C 0x00000004
508     mask_write 0XF8000108 0x00000010 0x00000000
509     mask_write 0XF8000004 0x0000FFFF 0x0000767B
510 }
511 proc ps7_clock_init_data_1_0 {} {
512     mask_write 0XF8000008 0x0000FFFF 0x0000DF0D
513     mask_write 0XF8000128 0x03F03F01 0x00302301
514     mask_write 0XF8000138 0x00000011 0x00000001
515     mask_write 0XF8000140 0x03F03F71 0x00500801
516     mask_write 0XF800014C 0x00003F31 0x00000501
517     mask_write 0XF8000150 0x00003F33 0x00001401
518     mask_write 0XF8000154 0x00003F33 0x00001402
519     mask_write 0XF800015C 0x03F03F33 0x00300E01
520     mask_write 0XF8000160 0x007F007F 0x00000000
521     mask_write 0XF8000168 0x00003F31 0x00000501
522     mask_write 0XF8000170 0x03F03F30 0x00101400
523     mask_write 0XF8000180 0x03F03F30 0x00101400
524     mask_write 0XF8000190 0x03F03F30 0x00101400
525     mask_write 0XF80001A0 0x03F03F30 0x00101400
526     mask_write 0XF80001C4 0x00000001 0x00000001
527     mask_write 0XF800012C 0x01FFCCCD 0x01ED044D
528     mask_write 0XF8000004 0x0000FFFF 0x0000767B
529 }
530 proc ps7_ddr_init_data_1_0 {} {
531     mask_write 0XF8006000 0x0001FFFF 0x00000080
532     mask_write 0XF8006004 0x1FFFFFFF 0x00081081
533     mask_write 0XF8006008 0x03FFFFFF 0x03C0780F
534     mask_write 0XF800600C 0x03FFFFFF 0x02001001
535     mask_write 0XF8006010 0x03FFFFFF 0x00014001
536     mask_write 0XF8006014 0x001FFFFF 0x0004159B
537     mask_write 0XF8006018 0xF7FFFFFF 0x452440D2
538     mask_write 0XF800601C 0xFFFFFFFF 0x720238E5
539     mask_write 0XF8006020 0xFFFFFFFC 0x27287290
540     mask_write 0XF8006024 0x0FFFFFFF 0x0000003C
541     mask_write 0XF8006028 0x00003FFF 0x00002007
542     mask_write 0XF800602C 0xFFFFFFFF 0x00000008
543     mask_write 0XF8006030 0xFFFFFFFF 0x00040930
544     mask_write 0XF8006034 0x13FF3FFF 0x00011054
545     mask_write 0XF8006038 0x00001FC3 0x00000000
546     mask_write 0XF800603C 0x000FFFFF 0x00000777
547     mask_write 0XF8006040 0xFFFFFFFF 0xFFF00000
548     mask_write 0XF8006044 0x0FFFFFFF 0x0F666666
549     mask_write 0XF8006048 0x3FFFFFFF 0x0003C248
550     mask_write 0XF8006050 0xFF0F8FFF 0x77010800
551     mask_write 0XF8006058 0x0001FFFF 0x00000101
552     mask_write 0XF800605C 0x0000FFFF 0x00005003
553     mask_write 0XF8006060 0x000017FF 0x0000003E
554     mask_write 0XF8006064 0x00021FE0 0x00020000
555     mask_write 0XF8006068 0x03FFFFFF 0x00284141
556     mask_write 0XF800606C 0x0000FFFF 0x00001610
557     mask_write 0XF80060A0 0x00FFFFFF 0x00008000
558     mask_write 0XF80060A4 0xFFFFFFFF 0x10200802
559     mask_write 0XF80060A8 0x0FFFFFFF 0x0690CB73
560     mask_write 0XF80060AC 0x000001FF 0x000001FE
561     mask_write 0XF80060B0 0x1FFFFFFF 0x1CFFFFFF
562     mask_write 0XF80060B4 0x000007FF 0x00000200
563     mask_write 0XF80060B8 0x01FFFFFF 0x00200066
564     mask_write 0XF80060C4 0x00000003 0x00000003
565     mask_write 0XF80060C4 0x00000003 0x00000000
566     mask_write 0XF80060C8 0x000000FF 0x00000000
567     mask_write 0XF80060DC 0x00000001 0x00000000
568     mask_write 0XF80060F0 0x0000FFFF 0x00000000
569     mask_write 0XF80060F4 0x0000000F 0x00000008
570     mask_write 0XF8006114 0x000000FF 0x00000000
571     mask_write 0XF8006118 0x7FFFFFFF 0x40000001
572     mask_write 0XF800611C 0x7FFFFFFF 0x40000001
573     mask_write 0XF8006120 0x7FFFFFFF 0x40000001
574     mask_write 0XF8006124 0x7FFFFFFF 0x40000001
575     mask_write 0XF800612C 0x000FFFFF 0x0003C81D
576     mask_write 0XF8006130 0x000FFFFF 0x00036012
577     mask_write 0XF8006134 0x000FFFFF 0x0003780C
578     mask_write 0XF8006138 0x000FFFFF 0x0003B821
579     mask_write 0XF8006140 0x000FFFFF 0x00000035
580     mask_write 0XF8006144 0x000FFFFF 0x00000035
581     mask_write 0XF8006148 0x000FFFFF 0x00000035
582     mask_write 0XF800614C 0x000FFFFF 0x00000035
583     mask_write 0XF8006154 0x000FFFFF 0x0000009D
584     mask_write 0XF8006158 0x000FFFFF 0x00000092
585     mask_write 0XF800615C 0x000FFFFF 0x0000008C
586     mask_write 0XF8006160 0x000FFFFF 0x000000A1
587     mask_write 0XF8006168 0x001FFFFF 0x00000147
588     mask_write 0XF800616C 0x001FFFFF 0x0000012D
589     mask_write 0XF8006170 0x001FFFFF 0x00000133
590     mask_write 0XF8006174 0x001FFFFF 0x00000143
591     mask_write 0XF800617C 0x000FFFFF 0x000000DD
592     mask_write 0XF8006180 0x000FFFFF 0x000000D2
593     mask_write 0XF8006184 0x000FFFFF 0x000000CC
594     mask_write 0XF8006188 0x000FFFFF 0x000000E1
595     mask_write 0XF8006190 0xFFFFFFFF 0x10040080
596     mask_write 0XF8006194 0x000FFFFF 0x0001FC82
597     mask_write 0XF8006204 0xFFFFFFFF 0x00000000
598     mask_write 0XF8006208 0x000F03FF 0x000803FF
599     mask_write 0XF800620C 0x000F03FF 0x000803FF
600     mask_write 0XF8006210 0x000F03FF 0x000803FF
601     mask_write 0XF8006214 0x000F03FF 0x000803FF
602     mask_write 0XF8006218 0x000F03FF 0x000003FF
603     mask_write 0XF800621C 0x000F03FF 0x000003FF
604     mask_write 0XF8006220 0x000F03FF 0x000003FF
605     mask_write 0XF8006224 0x000F03FF 0x000003FF
606     mask_write 0XF80062A8 0x00000FF7 0x00000000
607     mask_write 0XF80062AC 0xFFFFFFFF 0x00000000
608     mask_write 0XF80062B0 0x003FFFFF 0x00005125
609     mask_write 0XF80062B4 0x0003FFFF 0x000012A8
610     mask_poll 0XF8000B74 0x00002000
611     mask_write 0XF8006000 0x0001FFFF 0x00000081
612     mask_poll 0XF8006054 0x00000007
613 }
614 proc ps7_mio_init_data_1_0 {} {
615     mask_write 0XF8000008 0x0000FFFF 0x0000DF0D
616     mask_write 0XF8000B00 0x00000303 0x00000001
617     mask_write 0XF8000B40 0x00000FFF 0x00000600
618     mask_write 0XF8000B44 0x00000FFF 0x00000600
619     mask_write 0XF8000B48 0x00000FFF 0x00000672
620     mask_write 0XF8000B4C 0x00000FFF 0x00000672
621     mask_write 0XF8000B50 0x00000FFF 0x00000674
622     mask_write 0XF8000B54 0x00000FFF 0x00000674
623     mask_write 0XF8000B58 0x00000FFF 0x00000600
624     mask_write 0XF8000B5C 0xFFFFFFFF 0x0018C61C
625     mask_write 0XF8000B60 0xFFFFFFFF 0x00F9861C
626     mask_write 0XF8000B64 0xFFFFFFFF 0x00F9861C
627     mask_write 0XF8000B68 0xFFFFFFFF 0x00F9861C
628     mask_write 0XF8000B6C 0x000073FF 0x00000209
629     mask_write 0XF8000B70 0x00000021 0x00000021
630     mask_write 0XF8000B70 0x00000021 0x00000020
631     mask_write 0XF8000B70 0x07FFFFFF 0x00000823
632     mask_write 0XF8000700 0x00003F01 0x00001201
633     mask_write 0XF8000704 0x00003FFF 0x00001202
634     mask_write 0XF8000708 0x00003FFF 0x00000202
635     mask_write 0XF800070C 0x00003FFF 0x00000202
636     mask_write 0XF8000710 0x00003FFF 0x00000202
637     mask_write 0XF8000714 0x00003FFF 0x00000202
638     mask_write 0XF8000718 0x00003FFF 0x00000202
639     mask_write 0XF800071C 0x00003FFF 0x00000200
640     mask_write 0XF8000720 0x00003FFF 0x00000202
641     mask_write 0XF8000724 0x00003FFF 0x00001200
642     mask_write 0XF8000728 0x00003FFF 0x00001200
643     mask_write 0XF800072C 0x00003FFF 0x00001200
644     mask_write 0XF8000730 0x00003FFF 0x00001200
645     mask_write 0XF8000734 0x00003FFF 0x00001200
646     mask_write 0XF8000738 0x00003FFF 0x00001200
647     mask_write 0XF800073C 0x00003F01 0x00001201
648     mask_write 0XF8000740 0x00003FFF 0x00002802
649     mask_write 0XF8000744 0x00003FFF 0x00002802
650     mask_write 0XF8000748 0x00003FFF 0x00002802
651     mask_write 0XF800074C 0x00003FFF 0x00002802
652     mask_write 0XF8000750 0x00003FFF 0x00002802
653     mask_write 0XF8000754 0x00003FFF 0x00002802
654     mask_write 0XF8000758 0x00003FFF 0x00000803
655     mask_write 0XF800075C 0x00003FFF 0x00000803
656     mask_write 0XF8000760 0x00003FFF 0x00000803
657     mask_write 0XF8000764 0x00003FFF 0x00000803
658     mask_write 0XF8000768 0x00003FFF 0x00000803
659     mask_write 0XF800076C 0x00003FFF 0x00000803
660     mask_write 0XF8000770 0x00003FFF 0x00000204
661     mask_write 0XF8000774 0x00003FFF 0x00000205
662     mask_write 0XF8000778 0x00003FFF 0x00000204
663     mask_write 0XF800077C 0x00003FFF 0x00000205
664     mask_write 0XF8000780 0x00003FFF 0x00000204
665     mask_write 0XF8000784 0x00003FFF 0x00000204
666     mask_write 0XF8000788 0x00003FFF 0x00000204
667     mask_write 0XF800078C 0x00003FFF 0x00000204
668     mask_write 0XF8000790 0x00003FFF 0x00000205
669     mask_write 0XF8000794 0x00003FFF 0x00000204
670     mask_write 0XF8000798 0x00003FFF 0x00000204
671     mask_write 0XF800079C 0x00003FFF 0x00000204
672     mask_write 0XF80007A0 0x00003FFF 0x00000280
673     mask_write 0XF80007A4 0x00003FFF 0x00000280
674     mask_write 0XF80007A8 0x00003FFF 0x00000280
675     mask_write 0XF80007AC 0x00003FFF 0x00000280
676     mask_write 0XF80007B0 0x00003FFF 0x00000280
677     mask_write 0XF80007B4 0x00003FFF 0x00000280
678     mask_write 0XF80007B8 0x00003FFF 0x00001221
679     mask_write 0XF80007BC 0x00003FFF 0x00001220
680     mask_write 0XF80007C0 0x00003FFF 0x000002E0
681     mask_write 0XF80007C4 0x00003FFF 0x000002E1
682     mask_write 0XF80007C8 0x00003FFF 0x00001240
683     mask_write 0XF80007CC 0x00003FFF 0x00001240
684     mask_write 0XF80007D0 0x00003FFF 0x00000280
685     mask_write 0XF80007D4 0x00003FFF 0x00000280
686     mask_write 0XF8000830 0x003F003F 0x0000000F
687     mask_write 0XF8000004 0x0000FFFF 0x0000767B
688 }
689 proc ps7_peripherals_init_data_1_0 {} {
690     mask_write 0XF8000008 0x0000FFFF 0x0000DF0D
691     mask_write 0XF8000B48 0x00000180 0x00000180
692     mask_write 0XF8000B4C 0x00000180 0x00000180
693     mask_write 0XF8000B50 0x00000180 0x00000180
694     mask_write 0XF8000B54 0x00000180 0x00000180
695     mask_write 0XF8000004 0x0000FFFF 0x0000767B
696     mask_write 0XE0001034 0x000000FF 0x00000006
697     mask_write 0XE0001018 0x0000FFFF 0x0000003E
698     mask_write 0XE0001000 0x000001FF 0x00000017
699     mask_write 0XE0001004 0x00000FFF 0x00000020
700     mask_write 0XE000D000 0x00080000 0x00080000
701     mask_write 0XF8007000 0x20000000 0x00000000
702     mask_write 0XE000A204 0xFFFFFFFF 0x00002880
703     mask_write 0XE000A000 0xFFFFFFFF 0xFF7F0080
704     mask_write 0XE000A208 0xFFFFFFFF 0x00002880
705     mask_write 0XE000A000 0xFFFFFFFF 0xFF7F0000
706     mask_write 0XE000A000 0xFFFFFFFF 0xFF7F0080
707     mask_write 0XE000A204 0xFFFFFFFF 0x00002880
708     mask_write 0XE000A000 0xFFFFFFFF 0xF7FF0800
709     mask_write 0XE000A208 0xFFFFFFFF 0x00002880
710     mask_write 0XE000A000 0xFFFFFFFF 0xF7FF0000
711     mask_write 0XE000A000 0xFFFFFFFF 0xF7FF0800
712     mask_write 0XE000A204 0xFFFFFFFF 0x00002880
713     mask_write 0XE000A000 0xFFFFFFFF 0xDFFF2000
714     mask_write 0XE000A208 0xFFFFFFFF 0x00002880
715     mask_write 0XE000A000 0xFFFFFFFF 0xDFFF0000
716     mask_write 0XE000A000 0xFFFFFFFF 0xDFFF2000
717 }
718 proc ps7_post_config_1_0 {} {
719     mask_write 0XF8000008 0x0000FFFF 0x0000DF0D
720     mask_write 0XF8000900 0x0000000F 0x0000000F
721     mask_write 0XF8000240 0xFFFFFFFF 0x00000000
722     mask_write 0XF8000004 0x0000FFFF 0x0000767B
723 }
724 set PCW_SILICON_VER_1_0 "0x0"
725 set PCW_SILICON_VER_2_0 "0x1"
726 set PCW_SILICON_VER_3_0 "0x2"
727
728
729
730 proc mask_poll { addr mask } {
731     set count 1
732     set curval "0x[string range [mrd $addr] end-8 end]"
733     set maskedval [expr {$curval & $mask}]
734     while { $maskedval == 0 } {
735         set curval "0x[string range [mrd $addr] end-8 end]"
736         set maskedval [expr {$curval & $mask}]
737         set count [ expr { $count + 1 } ]
738         if { $count == 100000000 } {
739           puts "Timeout Reached. Mask poll failed at ADDRESS: $addr MASK: $mask"
740           break
741         }
742     }
743 }
744
745 proc ps_version { } {
746     set si_ver "0x[string range [mrd 0xF8007080] end-8 end]"
747     set mask_sil_ver "0x[expr {$si_ver >> 28}]"
748     return $mask_sil_ver;
749 }
750
751 proc ps7_post_config {} {
752     variable PCW_SILICON_VER_1_0
753     variable PCW_SILICON_VER_2_0
754     variable PCW_SILICON_VER_3_0
755     set sil_ver [ps_version]
756
757     if { $sil_ver == $PCW_SILICON_VER_1_0} {
758         ps7_post_config_1_0   
759     } elseif { $sil_ver == $PCW_SILICON_VER_2_0 } {
760         ps7_post_config_2_0   
761     } else {
762         ps7_post_config_3_0   
763     }
764 }
765
766 proc ps7_init {} {
767     variable PCW_SILICON_VER_1_0
768     variable PCW_SILICON_VER_2_0
769     variable PCW_SILICON_VER_3_0
770     set sil_ver [ps_version]
771
772     if { $sil_ver == $PCW_SILICON_VER_1_0} {
773             ps7_mio_init_data_1_0
774             ps7_pll_init_data_1_0
775             ps7_clock_init_data_1_0
776             ps7_ddr_init_data_1_0
777             ps7_peripherals_init_data_1_0
778             #puts "PCW Silicon Version : 1.0"
779     } elseif { $sil_ver == $PCW_SILICON_VER_2_0 } {
780             ps7_mio_init_data_2_0
781             ps7_pll_init_data_2_0
782             ps7_clock_init_data_2_0
783             ps7_ddr_init_data_2_0
784             ps7_peripherals_init_data_2_0
785             #puts "PCW Silicon Version : 2.0"
786     } else {
787             ps7_mio_init_data_3_0
788             ps7_pll_init_data_3_0
789             ps7_clock_init_data_3_0
790             ps7_ddr_init_data_3_0
791             ps7_peripherals_init_data_3_0
792             #puts "PCW Silicon Version : 3.0"
793     }
794 }