]> git.sur5r.net Git - freertos/blob - FreeRTOS/Demo/CORTEX_LPC1768_GCC_RedSuite/src/main.c
2e3b5210d223fd2dcdf0dde5a582bfc095a8ac66
[freertos] / FreeRTOS / Demo / CORTEX_LPC1768_GCC_RedSuite / src / main.c
1 /*\r
2     FreeRTOS V7.1.1 - Copyright (C) 2012 Real Time Engineers Ltd.\r
3         \r
4 \r
5     ***************************************************************************\r
6      *                                                                       *\r
7      *    FreeRTOS tutorial books are available in pdf and paperback.        *\r
8      *    Complete, revised, and edited pdf reference manuals are also       *\r
9      *    available.                                                         *\r
10      *                                                                       *\r
11      *    Purchasing FreeRTOS documentation will not only help you, by       *\r
12      *    ensuring you get running as quickly as possible and with an        *\r
13      *    in-depth knowledge of how to use FreeRTOS, it will also help       *\r
14      *    the FreeRTOS project to continue with its mission of providing     *\r
15      *    professional grade, cross platform, de facto standard solutions    *\r
16      *    for microcontrollers - completely free of charge!                  *\r
17      *                                                                       *\r
18      *    >>> See http://www.FreeRTOS.org/Documentation for details. <<<     *\r
19      *                                                                       *\r
20      *    Thank you for using FreeRTOS, and thank you for your support!      *\r
21      *                                                                       *\r
22     ***************************************************************************\r
23 \r
24 \r
25     This file is part of the FreeRTOS distribution.\r
26 \r
27     FreeRTOS is free software; you can redistribute it and/or modify it under\r
28     the terms of the GNU General Public License (version 2) as published by the\r
29     Free Software Foundation AND MODIFIED BY the FreeRTOS exception.\r
30     >>>NOTE<<< The modification to the GPL is included to allow you to\r
31     distribute a combined work that includes FreeRTOS without being obliged to\r
32     provide the source code for proprietary components outside of the FreeRTOS\r
33     kernel.  FreeRTOS is distributed in the hope that it will be useful, but\r
34     WITHOUT ANY WARRANTY; without even the implied warranty of MERCHANTABILITY\r
35     or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License for\r
36     more details. You should have received a copy of the GNU General Public\r
37     License and the FreeRTOS license exception along with FreeRTOS; if not it\r
38     can be viewed here: http://www.freertos.org/a00114.html and also obtained\r
39     by writing to Richard Barry, contact details for whom are available on the\r
40     FreeRTOS WEB site.\r
41 \r
42     1 tab == 4 spaces!\r
43     \r
44     ***************************************************************************\r
45      *                                                                       *\r
46      *    Having a problem?  Start by reading the FAQ "My application does   *\r
47      *    not run, what could be wrong?                                      *\r
48      *                                                                       *\r
49      *    http://www.FreeRTOS.org/FAQHelp.html                               *\r
50      *                                                                       *\r
51     ***************************************************************************\r
52 \r
53     \r
54     http://www.FreeRTOS.org - Documentation, training, latest information, \r
55     license and contact details.\r
56     \r
57     http://www.FreeRTOS.org/plus - A selection of FreeRTOS ecosystem products,\r
58     including FreeRTOS+Trace - an indispensable productivity tool.\r
59 \r
60     Real Time Engineers ltd license FreeRTOS to High Integrity Systems, who sell \r
61     the code with commercial support, indemnification, and middleware, under \r
62     the OpenRTOS brand: http://www.OpenRTOS.com.  High Integrity Systems also\r
63     provide a safety engineered and independently SIL3 certified version under \r
64     the SafeRTOS brand: http://www.SafeRTOS.com.\r
65 */\r
66 \r
67 \r
68 #error The batch file Demo\CORTEX_LPC1768_GCC_RedSuite\CreateProjectDirectoryStructure.bat must be executed before the first build.  After executing the batch file hit F5 to refrech the Eclipse project, then delete this line.\r
69 \r
70 \r
71 \r
72 /*\r
73  * Creates all the demo application tasks, then starts the scheduler.  The WEB\r
74  * documentation provides more details of the standard demo application tasks\r
75  * (which just exist to test the kernel port and provide an example of how to use\r
76  * each FreeRTOS API function).\r
77  *\r
78  * In addition to the standard demo tasks, the following tasks and tests are\r
79  * defined and/or created within this file:\r
80  *\r
81  * "Check" hook -  This only executes fully every five seconds from the tick\r
82  * hook.  Its main function is to check that all the standard demo tasks are\r
83  * still operational.  The status can be viewed using on the Task Stats page\r
84  * served by the WEB server.\r
85  *\r
86  * "uIP" task -  This is the task that handles the uIP stack.  All TCP/IP\r
87  * processing is performed in this task.\r
88  * \r
89  * "USB" task - Enumerates the USB device as a CDC class, then echoes back all\r
90  * received characters with a configurable offset (for example, if the offset\r
91  * is 1 and 'A' is received then 'B' will be sent back).  A dumb terminal such\r
92  * as Hyperterminal can be used to talk to the USB task.\r
93  */\r
94 \r
95 /* Standard includes. */\r
96 #include "stdio.h"\r
97 \r
98 /* Scheduler includes. */\r
99 #include "FreeRTOS.h"\r
100 #include "task.h"\r
101 \r
102 /* Demo app includes. */\r
103 #include "BlockQ.h"\r
104 #include "integer.h"\r
105 #include "blocktim.h"\r
106 #include "flash.h"\r
107 #include "partest.h"\r
108 #include "semtest.h"\r
109 #include "PollQ.h"\r
110 #include "GenQTest.h"\r
111 #include "QPeek.h"\r
112 #include "recmutex.h"\r
113 \r
114 /* Red Suite includes. */\r
115 #include "lcd_driver.h"\r
116 #include "lcd.h"\r
117 \r
118 /*-----------------------------------------------------------*/\r
119 \r
120 /* The time between cycles of the 'check' functionality (defined within the\r
121 tick hook. */\r
122 #define mainCHECK_DELAY                                         ( ( portTickType ) 5000 / portTICK_RATE_MS )\r
123 \r
124 /* Task priorities. */\r
125 #define mainQUEUE_POLL_PRIORITY                         ( tskIDLE_PRIORITY + 2 )\r
126 #define mainSEM_TEST_PRIORITY                           ( tskIDLE_PRIORITY + 1 )\r
127 #define mainBLOCK_Q_PRIORITY                            ( tskIDLE_PRIORITY + 2 )\r
128 #define mainUIP_TASK_PRIORITY                           ( tskIDLE_PRIORITY + 3 )\r
129 #define mainINTEGER_TASK_PRIORITY           ( tskIDLE_PRIORITY )\r
130 #define mainGEN_QUEUE_TASK_PRIORITY                     ( tskIDLE_PRIORITY )\r
131 #define mainFLASH_TASK_PRIORITY                         ( tskIDLE_PRIORITY + 2 )\r
132 \r
133 /* The WEB server has a larger stack as it utilises stack hungry string\r
134 handling library calls. */\r
135 #define mainBASIC_WEB_STACK_SIZE            ( configMINIMAL_STACK_SIZE * 4 )\r
136 \r
137 /* The message displayed by the WEB server when all tasks are executing\r
138 without an error being reported. */\r
139 #define mainPASS_STATUS_MESSAGE                         "All tasks are executing without error."\r
140 \r
141 /* Bit definitions. */\r
142 #define PCONP_PCGPIO    0x00008000\r
143 #define PLLFEED_FEED1   0x000000AA\r
144 #define PLLFEED_FEED2   0x00000055\r
145 /*-----------------------------------------------------------*/\r
146 \r
147 /*\r
148  * Configure the hardware for the demo.\r
149  */\r
150 static void prvSetupHardware( void );\r
151 \r
152 /*\r
153  * The task that handles the uIP stack.  All TCP/IP processing is performed in\r
154  * this task.\r
155  */\r
156 extern void vuIP_Task( void *pvParameters );\r
157 \r
158 /*\r
159  * The task that handles the USB stack.\r
160  */\r
161 extern void vUSBTask( void *pvParameters );\r
162 \r
163 /*\r
164  * Simply returns the current status message for display on served WEB pages.\r
165  */\r
166 char *pcGetTaskStatusMessage( void );\r
167 \r
168 /*-----------------------------------------------------------*/\r
169 \r
170 /* Holds the status message displayed by the WEB server. */\r
171 static char *pcStatusMessage = mainPASS_STATUS_MESSAGE;\r
172 \r
173 /*-----------------------------------------------------------*/\r
174 \r
175 int main( void )\r
176 {\r
177 char cIPAddress[ 16 ]; /* Enough space for "xxx.xxx.xxx.xxx\0". */\r
178 \r
179         /* Configure the hardware for use by this demo. */\r
180         prvSetupHardware();\r
181 \r
182         /* Start the standard demo tasks.  These are just here to exercise the\r
183         kernel port and provide examples of how the FreeRTOS API can be used. */\r
184         vStartBlockingQueueTasks( mainBLOCK_Q_PRIORITY );\r
185     vCreateBlockTimeTasks();\r
186     vStartSemaphoreTasks( mainSEM_TEST_PRIORITY );\r
187     vStartPolledQueueTasks( mainQUEUE_POLL_PRIORITY );\r
188     vStartIntegerMathTasks( mainINTEGER_TASK_PRIORITY );\r
189     vStartGenericQueueTasks( mainGEN_QUEUE_TASK_PRIORITY );\r
190     vStartQueuePeekTasks();\r
191     vStartRecursiveMutexTasks();\r
192         vStartLEDFlashTasks( mainFLASH_TASK_PRIORITY );\r
193 \r
194     /* Create the USB task. */\r
195     xTaskCreate( vUSBTask, ( signed char * ) "USB", configMINIMAL_STACK_SIZE, ( void * ) NULL, tskIDLE_PRIORITY, NULL );\r
196         \r
197         /* Display the IP address, then create the uIP task.  The WEB server runs \r
198         in this task. */\r
199         LCDdriver_initialisation();\r
200         LCD_PrintString( 5, 10, "FreeRTOS.org", 14, COLOR_GREEN);\r
201         sprintf( cIPAddress, "%d.%d.%d.%d", configIP_ADDR0, configIP_ADDR1, configIP_ADDR2, configIP_ADDR3 );\r
202         LCD_PrintString( 5, 30, cIPAddress, 14, COLOR_RED);\r
203     xTaskCreate( vuIP_Task, ( signed char * ) "uIP", mainBASIC_WEB_STACK_SIZE, ( void * ) NULL, mainUIP_TASK_PRIORITY, NULL );\r
204 \r
205     /* Start the scheduler. */\r
206         vTaskStartScheduler();\r
207 \r
208     /* Will only get here if there was insufficient memory to create the idle\r
209     task.  The idle task is created within vTaskStartScheduler(). */\r
210         for( ;; );\r
211 }\r
212 /*-----------------------------------------------------------*/\r
213 \r
214 void vApplicationTickHook( void )\r
215 {\r
216 static unsigned long ulTicksSinceLastDisplay = 0;\r
217 \r
218         /* Called from every tick interrupt as described in the comments at the top\r
219         of this file.\r
220 \r
221         Have enough ticks passed to make it     time to perform our health status\r
222         check again? */\r
223         ulTicksSinceLastDisplay++;\r
224         if( ulTicksSinceLastDisplay >= mainCHECK_DELAY )\r
225         {\r
226                 /* Reset the counter so these checks run again in mainCHECK_DELAY\r
227                 ticks time. */\r
228                 ulTicksSinceLastDisplay = 0;\r
229 \r
230                 /* Has an error been found in any task? */\r
231                 if( xAreGenericQueueTasksStillRunning() != pdTRUE )\r
232                 {\r
233                         pcStatusMessage = "An error has been detected in the Generic Queue test/demo.";\r
234                 }\r
235                 else if( xAreQueuePeekTasksStillRunning() != pdTRUE )\r
236                 {\r
237                         pcStatusMessage = "An error has been detected in the Peek Queue test/demo.";\r
238                 }\r
239                 else if( xAreBlockingQueuesStillRunning() != pdTRUE )\r
240                 {\r
241                         pcStatusMessage = "An error has been detected in the Block Queue test/demo.";\r
242                 }\r
243                 else if( xAreBlockTimeTestTasksStillRunning() != pdTRUE )\r
244                 {\r
245                         pcStatusMessage = "An error has been detected in the Block Time test/demo.";\r
246                 }\r
247             else if( xAreSemaphoreTasksStillRunning() != pdTRUE )\r
248             {\r
249                 pcStatusMessage = "An error has been detected in the Semaphore test/demo.";\r
250             }\r
251             else if( xArePollingQueuesStillRunning() != pdTRUE )\r
252             {\r
253                 pcStatusMessage = "An error has been detected in the Poll Queue test/demo.";\r
254             }\r
255             else if( xAreIntegerMathsTaskStillRunning() != pdTRUE )\r
256             {\r
257                 pcStatusMessage = "An error has been detected in the Int Math test/demo.";\r
258             }\r
259             else if( xAreRecursiveMutexTasksStillRunning() != pdTRUE )\r
260             {\r
261                 pcStatusMessage = "An error has been detected in the Mutex test/demo.";\r
262             }\r
263         }\r
264 }\r
265 /*-----------------------------------------------------------*/\r
266 \r
267 char *pcGetTaskStatusMessage( void )\r
268 {\r
269         /* Not bothered about a critical section here. */\r
270         return pcStatusMessage;\r
271 }\r
272 /*-----------------------------------------------------------*/\r
273 \r
274 void prvSetupHardware( void )\r
275 {\r
276         /* Disable peripherals power. */\r
277         LPC_SC->PCONP = 0;\r
278 \r
279         /* Enable GPIO power. */\r
280         LPC_SC->PCONP = PCONP_PCGPIO;\r
281 \r
282         /* Disable TPIU. */\r
283         LPC_PINCON->PINSEL10 = 0;\r
284 \r
285         if ( LPC_SC->PLL0STAT & ( 1 << 25 ) )\r
286         {\r
287                 /* Enable PLL, disconnected. */\r
288                 LPC_SC->PLL0CON = 1;\r
289                 LPC_SC->PLL0FEED = PLLFEED_FEED1;\r
290                 LPC_SC->PLL0FEED = PLLFEED_FEED2;\r
291         }\r
292         \r
293         /* Disable PLL, disconnected. */\r
294         LPC_SC->PLL0CON = 0;\r
295         LPC_SC->PLL0FEED = PLLFEED_FEED1;\r
296         LPC_SC->PLL0FEED = PLLFEED_FEED2;\r
297             \r
298         /* Enable main OSC. */\r
299         LPC_SC->SCS |= 0x20;\r
300         while( !( LPC_SC->SCS & 0x40 ) );\r
301         \r
302         /* select main OSC, 12MHz, as the PLL clock source. */\r
303         LPC_SC->CLKSRCSEL = 0x1;\r
304         \r
305         LPC_SC->PLL0CFG = 0x20031;\r
306         LPC_SC->PLL0FEED = PLLFEED_FEED1;\r
307         LPC_SC->PLL0FEED = PLLFEED_FEED2;\r
308               \r
309         /* Enable PLL, disconnected. */\r
310         LPC_SC->PLL0CON = 1;\r
311         LPC_SC->PLL0FEED = PLLFEED_FEED1;\r
312         LPC_SC->PLL0FEED = PLLFEED_FEED2;\r
313         \r
314         /* Set clock divider. */\r
315         LPC_SC->CCLKCFG = 0x03;\r
316         \r
317         /* Configure flash accelerator. */\r
318         LPC_SC->FLASHCFG = 0x403a;\r
319         \r
320         /* Check lock bit status. */\r
321         while( ( ( LPC_SC->PLL0STAT & ( 1 << 26 ) ) == 0 ) );\r
322             \r
323         /* Enable and connect. */\r
324         LPC_SC->PLL0CON = 3;\r
325         LPC_SC->PLL0FEED = PLLFEED_FEED1;\r
326         LPC_SC->PLL0FEED = PLLFEED_FEED2;\r
327         while( ( ( LPC_SC->PLL0STAT & ( 1 << 25 ) ) == 0 ) );\r
328 \r
329         \r
330         \r
331         \r
332         /* Configure the clock for the USB. */\r
333           \r
334         if( LPC_SC->PLL1STAT & ( 1 << 9 ) )\r
335         {\r
336                 /* Enable PLL, disconnected. */\r
337                 LPC_SC->PLL1CON = 1;\r
338                 LPC_SC->PLL1FEED = PLLFEED_FEED1;\r
339                 LPC_SC->PLL1FEED = PLLFEED_FEED2;\r
340         }\r
341         \r
342         /* Disable PLL, disconnected. */\r
343         LPC_SC->PLL1CON = 0;\r
344         LPC_SC->PLL1FEED = PLLFEED_FEED1;\r
345         LPC_SC->PLL1FEED = PLLFEED_FEED2;\r
346         \r
347         LPC_SC->PLL1CFG = 0x23;\r
348         LPC_SC->PLL1FEED = PLLFEED_FEED1;\r
349         LPC_SC->PLL1FEED = PLLFEED_FEED2;\r
350               \r
351         /* Enable PLL, disconnected. */\r
352         LPC_SC->PLL1CON = 1;\r
353         LPC_SC->PLL1FEED = PLLFEED_FEED1;\r
354         LPC_SC->PLL1FEED = PLLFEED_FEED2;\r
355         while( ( ( LPC_SC->PLL1STAT & ( 1 << 10 ) ) == 0 ) );\r
356         \r
357         /* Enable and connect. */\r
358         LPC_SC->PLL1CON = 3;\r
359         LPC_SC->PLL1FEED = PLLFEED_FEED1;\r
360         LPC_SC->PLL1FEED = PLLFEED_FEED2;\r
361         while( ( ( LPC_SC->PLL1STAT & ( 1 << 9 ) ) == 0 ) );\r
362 \r
363         /*  Setup the peripheral bus to be the same as the PLL output (64 MHz). */\r
364         LPC_SC->PCLKSEL0 = 0x05555555;\r
365 \r
366         /* Configure the LEDs. */\r
367         vParTestInitialise();\r
368 }\r
369 /*-----------------------------------------------------------*/\r
370 \r
371 void vApplicationStackOverflowHook( xTaskHandle pxTask, signed char *pcTaskName )\r
372 {\r
373         /* This function will get called if a task overflows its stack. */\r
374 \r
375         ( void ) pxTask;\r
376         ( void ) pcTaskName;\r
377 \r
378         for( ;; );\r
379 }\r
380 /*-----------------------------------------------------------*/\r
381 \r
382 void vConfigureTimerForRunTimeStats( void )\r
383 {\r
384 const unsigned long TCR_COUNT_RESET = 2, CTCR_CTM_TIMER = 0x00, TCR_COUNT_ENABLE = 0x01;\r
385 \r
386         /* This function configures a timer that is used as the time base when\r
387         collecting run time statistical information - basically the percentage\r
388         of CPU time that each task is utilising.  It is called automatically when\r
389         the scheduler is started (assuming configGENERATE_RUN_TIME_STATS is set\r
390         to 1). */\r
391 \r
392         /* Power up and feed the timer. */\r
393         LPC_SC->PCONP |= 0x02UL;\r
394         LPC_SC->PCLKSEL0 = (LPC_SC->PCLKSEL0 & (~(0x3<<2))) | (0x01 << 2);\r
395 \r
396         /* Reset Timer 0 */\r
397         LPC_TIM0->TCR = TCR_COUNT_RESET;\r
398 \r
399         /* Just count up. */\r
400         LPC_TIM0->CTCR = CTCR_CTM_TIMER;\r
401 \r
402         /* Prescale to a frequency that is good enough to get a decent resolution,\r
403         but not too fast so as to overflow all the time. */\r
404         LPC_TIM0->PR =  ( configCPU_CLOCK_HZ / 10000UL ) - 1UL;\r
405 \r
406         /* Start the counter. */\r
407         LPC_TIM0->TCR = TCR_COUNT_ENABLE;\r
408 }\r
409 /*-----------------------------------------------------------*/\r
410 \r