]> git.sur5r.net Git - freertos/blob - FreeRTOS/Demo/HCS12_CodeWarrior_banked/bin/P&E_ICD.map
Update version number in readiness for V10.3.0 release. Sync SVN with reviewed releas...
[freertos] / FreeRTOS / Demo / HCS12_CodeWarrior_banked / bin / P&E_ICD.map
1 \r
2 PROGRAM "E:\Dev\FreeRTOS\Demo\HCS12_CodeWarrior_banked\bin\P&E_ICD.abs"\r
3 \r
4 *********************************************************************************************\r
5 TARGET SECTION\r
6 ---------------------------------------------------------------------------------------------\r
7 Processor   : Motorola HC12\r
8 Memory Model: BANKED\r
9 File Format : ELF\Dwarf 2.0\r
10 Linker      : SmartLinker V-5.0.22 Build 4047, Feb 17 2004\r
11 \r
12 *********************************************************************************************\r
13 FILE SECTION\r
14 ---------------------------------------------------------------------------------------------\r
15 Start12.c.o                             Model: BANKED,        Lang: ANSI-C\r
16 STRING.C.o (ansibi.lib)                 Model: BANKED,        Lang: ANSI-C\r
17 rtshc12.c.o (ansibi.lib)                Model: BANKED,        Lang: ANSI-C\r
18 Cpu.C.o                                 Model: BANKED,        Lang: ANSI-C\r
19 IO_Map.C.o                              Model: BANKED,        Lang: ANSI-C\r
20 Vectors.c.o                             Model: BANKED,        Lang: ANSI-C\r
21 RTOSDemo.C.o                            Model: BANKED,        Lang: ANSI-C\r
22 main.c.o                                Model: BANKED,        Lang: ANSI-C\r
23 ParTest.c.o                             Model: BANKED,        Lang: ANSI-C\r
24 serial.c.o                              Model: BANKED,        Lang: ANSI-C\r
25 tasks.c.o                               Model: BANKED,        Lang: ANSI-C\r
26 queue.c.o                               Model: BANKED,        Lang: ANSI-C\r
27 list.c.o                                Model: BANKED,        Lang: ANSI-C\r
28 heap_2.c.o                              Model: BANKED,        Lang: ANSI-C\r
29 flash.c.o                               Model: BANKED,        Lang: ANSI-C\r
30 dynamic.c.o                             Model: BANKED,        Lang: ANSI-C\r
31 PollQ.c.o                               Model: BANKED,        Lang: ANSI-C\r
32 TickTimer.C.o                           Model: BANKED,        Lang: ANSI-C\r
33 Byte1.C.o                               Model: BANKED,        Lang: ANSI-C\r
34 PE_Timer.C.o                            Model: BANKED,        Lang: ANSI-C\r
35 comtest.c.o                             Model: BANKED,        Lang: ANSI-C\r
36 COM0.C.o                                Model: BANKED,        Lang: ANSI-C\r
37 port.c.o                                Model: BANKED,        Lang: ANSI-C\r
38 integer.c.o                             Model: BANKED,        Lang: ANSI-C\r
39 BlockQ.c.o                              Model: BANKED,        Lang: ANSI-C\r
40 death.c.o                               Model: BANKED,        Lang: ANSI-C\r
41 \r
42 *********************************************************************************************\r
43 STARTUP SECTION\r
44 ---------------------------------------------------------------------------------------------\r
45 Entry point: 0xC000 (_EntryPoint)\r
46 _startupData is allocated at 0xC07C and uses 24 Bytes\r
47 extern struct _tagStartup {\r
48   unsigned flags          0\r
49   _PFunc   main           0x30809A    (main)\r
50   long     stackOffset    0x3988\r
51   unsigned nofZeroOut     1\r
52   _Range   pZeroOut       0x1000     10505\r
53   _Copy    *toCopyDownBeg 0xC3B5\r
54   int      nofLibInits    0\r
55   _LibInit *libInits      0xC098\r
56   int      nofInitBodies  0\r
57   _Cpp     *initBodies    0xC09A\r
58   int      nofFiniBodies  0\r
59   _Cpp     *finiBodies    0xC09A\r
60 } _startupData;\r
61 \r
62 *********************************************************************************************\r
63 SECTION-ALLOCATION SECTION\r
64 Section Name                    Size  Type     From       To       Segment\r
65 ---------------------------------------------------------------------------------------------\r
66 .data                              1   R/W     0x1000     0x1000   RAM\r
67 .text                            587     R   0x308000   0x30824A   ROM_PAGE30\r
68 .init                            124     R     0xC000     0xC07B   ROM_C000\r
69 .startData                        30     R     0xC07C     0xC099   ROM_C000\r
70 .rodata1                         183     R     0xC09A     0xC150   ROM_C000\r
71 NON_BANKED                       612     R     0xC151     0xC3B4   ROM_C000\r
72 .copy                             27     R     0xC3B5     0xC3CF   ROM_C000\r
73 .abs_section_3f                    1   N/I       0x3F       0x3F   .absSeg0\r
74 .abs_section_8d                    1   N/I       0x8D       0x8D   .absSeg1\r
75 .abs_section_86                    1   N/I       0x86       0x86   .absSeg2\r
76 .abs_section_8b                    1   N/I       0x8B       0x8B   .absSeg3\r
77 .abs_section_12d                   1   N/I      0x12D      0x12D   .absSeg4\r
78 .abs_section_126                   1   N/I      0x126      0x126   .absSeg5\r
79 .abs_section_12b                   1   N/I      0x12B      0x12B   .absSeg6\r
80 .abs_section_ff06                  1   N/I     0xFF06     0xFF06   .absSeg7\r
81 .abs_section_ff07                  1   N/I     0xFF07     0xFF07   .absSeg8\r
82 .abs_section_ff01                  1   N/I     0xFF01     0xFF01   .absSeg9\r
83 .abs_section_2b                    1   N/I       0x2B       0x2B   .absSeg10\r
84 .abs_section_2c                    1   N/I       0x2C       0x2C   .absSeg11\r
85 .abs_section_2a                    1   N/I       0x2A       0x2A   .absSeg12\r
86 .abs_section_2e                    1   N/I       0x2E       0x2E   .absSeg13\r
87 .abs_section_2f                    1   N/I       0x2F       0x2F   .absSeg14\r
88 .abs_section_2d                    1   N/I       0x2D       0x2D   .absSeg15\r
89 .abs_section_28                    1   N/I       0x28       0x28   .absSeg16\r
90 .abs_section_29                    1   N/I       0x29       0x29   .absSeg17\r
91 .abs_section_142                   1   N/I      0x142      0x142   .absSeg18\r
92 .abs_section_143                   1   N/I      0x143      0x143   .absSeg19\r
93 .abs_section_140                   1   N/I      0x140      0x140   .absSeg20\r
94 .abs_section_141                   1   N/I      0x141      0x141   .absSeg21\r
95 .abs_section_14b                   1   N/I      0x14B      0x14B   .absSeg22\r
96 .abs_section_150                   1   N/I      0x150      0x150   .absSeg23\r
97 .abs_section_151                   1   N/I      0x151      0x151   .absSeg24\r
98 .abs_section_152                   1   N/I      0x152      0x152   .absSeg25\r
99 .abs_section_153                   1   N/I      0x153      0x153   .absSeg26\r
100 .abs_section_158                   1   N/I      0x158      0x158   .absSeg27\r
101 .abs_section_159                   1   N/I      0x159      0x159   .absSeg28\r
102 .abs_section_15a                   1   N/I      0x15A      0x15A   .absSeg29\r
103 .abs_section_15b                   1   N/I      0x15B      0x15B   .absSeg30\r
104 .abs_section_154                   1   N/I      0x154      0x154   .absSeg31\r
105 .abs_section_155                   1   N/I      0x155      0x155   .absSeg32\r
106 .abs_section_156                   1   N/I      0x156      0x156   .absSeg33\r
107 .abs_section_157                   1   N/I      0x157      0x157   .absSeg34\r
108 .abs_section_15c                   1   N/I      0x15C      0x15C   .absSeg35\r
109 .abs_section_15d                   1   N/I      0x15D      0x15D   .absSeg36\r
110 .abs_section_15e                   1   N/I      0x15E      0x15E   .absSeg37\r
111 .abs_section_15f                   1   N/I      0x15F      0x15F   .absSeg38\r
112 .abs_section_144                   1   N/I      0x144      0x144   .absSeg39\r
113 .abs_section_145                   1   N/I      0x145      0x145   .absSeg40\r
114 .abs_section_16c                   1   N/I      0x16C      0x16C   .absSeg41\r
115 .abs_section_164                   1   N/I      0x164      0x164   .absSeg42\r
116 .abs_section_165                   1   N/I      0x165      0x165   .absSeg43\r
117 .abs_section_166                   1   N/I      0x166      0x166   .absSeg44\r
118 .abs_section_167                   1   N/I      0x167      0x167   .absSeg45\r
119 .abs_section_168                   1   N/I      0x168      0x168   .absSeg46\r
120 .abs_section_169                   1   N/I      0x169      0x169   .absSeg47\r
121 .abs_section_16a                   1   N/I      0x16A      0x16A   .absSeg48\r
122 .abs_section_16b                   1   N/I      0x16B      0x16B   .absSeg49\r
123 .abs_section_14e                   1   N/I      0x14E      0x14E   .absSeg50\r
124 .abs_section_160                   1   N/I      0x160      0x160   .absSeg51\r
125 .abs_section_161                   1   N/I      0x161      0x161   .absSeg52\r
126 .abs_section_162                   1   N/I      0x162      0x162   .absSeg53\r
127 .abs_section_163                   1   N/I      0x163      0x163   .absSeg54\r
128 .abs_section_149                   1   N/I      0x149      0x149   .absSeg55\r
129 .abs_section_148                   1   N/I      0x148      0x148   .absSeg56\r
130 .abs_section_14a                   1   N/I      0x14A      0x14A   .absSeg57\r
131 .abs_section_146                   1   N/I      0x146      0x146   .absSeg58\r
132 .abs_section_147                   1   N/I      0x147      0x147   .absSeg59\r
133 .abs_section_17c                   1   N/I      0x17C      0x17C   .absSeg60\r
134 .abs_section_174                   1   N/I      0x174      0x174   .absSeg61\r
135 .abs_section_175                   1   N/I      0x175      0x175   .absSeg62\r
136 .abs_section_176                   1   N/I      0x176      0x176   .absSeg63\r
137 .abs_section_177                   1   N/I      0x177      0x177   .absSeg64\r
138 .abs_section_178                   1   N/I      0x178      0x178   .absSeg65\r
139 .abs_section_179                   1   N/I      0x179      0x179   .absSeg66\r
140 .abs_section_17a                   1   N/I      0x17A      0x17A   .absSeg67\r
141 .abs_section_17b                   1   N/I      0x17B      0x17B   .absSeg68\r
142 .abs_section_14f                   1   N/I      0x14F      0x14F   .absSeg69\r
143 .abs_section_170                   1   N/I      0x170      0x170   .absSeg70\r
144 .abs_section_171                   1   N/I      0x171      0x171   .absSeg71\r
145 .abs_section_172                   1   N/I      0x172      0x172   .absSeg72\r
146 .abs_section_173                   1   N/I      0x173      0x173   .absSeg73\r
147 .abs_section_17f                   1   N/I      0x17F      0x17F   .absSeg74\r
148 .abs_section_182                   1   N/I      0x182      0x182   .absSeg75\r
149 .abs_section_183                   1   N/I      0x183      0x183   .absSeg76\r
150 .abs_section_180                   1   N/I      0x180      0x180   .absSeg77\r
151 .abs_section_181                   1   N/I      0x181      0x181   .absSeg78\r
152 .abs_section_18b                   1   N/I      0x18B      0x18B   .absSeg79\r
153 .abs_section_190                   1   N/I      0x190      0x190   .absSeg80\r
154 .abs_section_191                   1   N/I      0x191      0x191   .absSeg81\r
155 .abs_section_192                   1   N/I      0x192      0x192   .absSeg82\r
156 .abs_section_193                   1   N/I      0x193      0x193   .absSeg83\r
157 .abs_section_198                   1   N/I      0x198      0x198   .absSeg84\r
158 .abs_section_199                   1   N/I      0x199      0x199   .absSeg85\r
159 .abs_section_19a                   1   N/I      0x19A      0x19A   .absSeg86\r
160 .abs_section_19b                   1   N/I      0x19B      0x19B   .absSeg87\r
161 .abs_section_194                   1   N/I      0x194      0x194   .absSeg88\r
162 .abs_section_195                   1   N/I      0x195      0x195   .absSeg89\r
163 .abs_section_196                   1   N/I      0x196      0x196   .absSeg90\r
164 .abs_section_197                   1   N/I      0x197      0x197   .absSeg91\r
165 .abs_section_19c                   1   N/I      0x19C      0x19C   .absSeg92\r
166 .abs_section_19d                   1   N/I      0x19D      0x19D   .absSeg93\r
167 .abs_section_19e                   1   N/I      0x19E      0x19E   .absSeg94\r
168 .abs_section_19f                   1   N/I      0x19F      0x19F   .absSeg95\r
169 .abs_section_184                   1   N/I      0x184      0x184   .absSeg96\r
170 .abs_section_185                   1   N/I      0x185      0x185   .absSeg97\r
171 .abs_section_1ac                   1   N/I      0x1AC      0x1AC   .absSeg98\r
172 .abs_section_1a4                   1   N/I      0x1A4      0x1A4   .absSeg99\r
173 .abs_section_1a5                   1   N/I      0x1A5      0x1A5   .absSeg100\r
174 .abs_section_1a6                   1   N/I      0x1A6      0x1A6   .absSeg101\r
175 .abs_section_1a7                   1   N/I      0x1A7      0x1A7   .absSeg102\r
176 .abs_section_1a8                   1   N/I      0x1A8      0x1A8   .absSeg103\r
177 .abs_section_1a9                   1   N/I      0x1A9      0x1A9   .absSeg104\r
178 .abs_section_1aa                   1   N/I      0x1AA      0x1AA   .absSeg105\r
179 .abs_section_1ab                   1   N/I      0x1AB      0x1AB   .absSeg106\r
180 .abs_section_18e                   1   N/I      0x18E      0x18E   .absSeg107\r
181 .abs_section_1a0                   1   N/I      0x1A0      0x1A0   .absSeg108\r
182 .abs_section_1a1                   1   N/I      0x1A1      0x1A1   .absSeg109\r
183 .abs_section_1a2                   1   N/I      0x1A2      0x1A2   .absSeg110\r
184 .abs_section_1a3                   1   N/I      0x1A3      0x1A3   .absSeg111\r
185 .abs_section_189                   1   N/I      0x189      0x189   .absSeg112\r
186 .abs_section_188                   1   N/I      0x188      0x188   .absSeg113\r
187 .abs_section_18a                   1   N/I      0x18A      0x18A   .absSeg114\r
188 .abs_section_186                   1   N/I      0x186      0x186   .absSeg115\r
189 .abs_section_187                   1   N/I      0x187      0x187   .absSeg116\r
190 .abs_section_1bc                   1   N/I      0x1BC      0x1BC   .absSeg117\r
191 .abs_section_1b4                   1   N/I      0x1B4      0x1B4   .absSeg118\r
192 .abs_section_1b5                   1   N/I      0x1B5      0x1B5   .absSeg119\r
193 .abs_section_1b6                   1   N/I      0x1B6      0x1B6   .absSeg120\r
194 .abs_section_1b7                   1   N/I      0x1B7      0x1B7   .absSeg121\r
195 .abs_section_1b8                   1   N/I      0x1B8      0x1B8   .absSeg122\r
196 .abs_section_1b9                   1   N/I      0x1B9      0x1B9   .absSeg123\r
197 .abs_section_1ba                   1   N/I      0x1BA      0x1BA   .absSeg124\r
198 .abs_section_1bb                   1   N/I      0x1BB      0x1BB   .absSeg125\r
199 .abs_section_18f                   1   N/I      0x18F      0x18F   .absSeg126\r
200 .abs_section_1b0                   1   N/I      0x1B0      0x1B0   .absSeg127\r
201 .abs_section_1b1                   1   N/I      0x1B1      0x1B1   .absSeg128\r
202 .abs_section_1b2                   1   N/I      0x1B2      0x1B2   .absSeg129\r
203 .abs_section_1b3                   1   N/I      0x1B3      0x1B3   .absSeg130\r
204 .abs_section_1bf                   1   N/I      0x1BF      0x1BF   .absSeg131\r
205 .abs_section_1c2                   1   N/I      0x1C2      0x1C2   .absSeg132\r
206 .abs_section_1c3                   1   N/I      0x1C3      0x1C3   .absSeg133\r
207 .abs_section_1c0                   1   N/I      0x1C0      0x1C0   .absSeg134\r
208 .abs_section_1c1                   1   N/I      0x1C1      0x1C1   .absSeg135\r
209 .abs_section_1cb                   1   N/I      0x1CB      0x1CB   .absSeg136\r
210 .abs_section_1d0                   1   N/I      0x1D0      0x1D0   .absSeg137\r
211 .abs_section_1d1                   1   N/I      0x1D1      0x1D1   .absSeg138\r
212 .abs_section_1d2                   1   N/I      0x1D2      0x1D2   .absSeg139\r
213 .abs_section_1d3                   1   N/I      0x1D3      0x1D3   .absSeg140\r
214 .abs_section_1d8                   1   N/I      0x1D8      0x1D8   .absSeg141\r
215 .abs_section_1d9                   1   N/I      0x1D9      0x1D9   .absSeg142\r
216 .abs_section_1da                   1   N/I      0x1DA      0x1DA   .absSeg143\r
217 .abs_section_1db                   1   N/I      0x1DB      0x1DB   .absSeg144\r
218 .abs_section_1d4                   1   N/I      0x1D4      0x1D4   .absSeg145\r
219 .abs_section_1d5                   1   N/I      0x1D5      0x1D5   .absSeg146\r
220 .abs_section_1d6                   1   N/I      0x1D6      0x1D6   .absSeg147\r
221 .abs_section_1d7                   1   N/I      0x1D7      0x1D7   .absSeg148\r
222 .abs_section_1dc                   1   N/I      0x1DC      0x1DC   .absSeg149\r
223 .abs_section_1dd                   1   N/I      0x1DD      0x1DD   .absSeg150\r
224 .abs_section_1de                   1   N/I      0x1DE      0x1DE   .absSeg151\r
225 .abs_section_1df                   1   N/I      0x1DF      0x1DF   .absSeg152\r
226 .abs_section_1c4                   1   N/I      0x1C4      0x1C4   .absSeg153\r
227 .abs_section_1c5                   1   N/I      0x1C5      0x1C5   .absSeg154\r
228 .abs_section_1ec                   1   N/I      0x1EC      0x1EC   .absSeg155\r
229 .abs_section_1e4                   1   N/I      0x1E4      0x1E4   .absSeg156\r
230 .abs_section_1e5                   1   N/I      0x1E5      0x1E5   .absSeg157\r
231 .abs_section_1e6                   1   N/I      0x1E6      0x1E6   .absSeg158\r
232 .abs_section_1e7                   1   N/I      0x1E7      0x1E7   .absSeg159\r
233 .abs_section_1e8                   1   N/I      0x1E8      0x1E8   .absSeg160\r
234 .abs_section_1e9                   1   N/I      0x1E9      0x1E9   .absSeg161\r
235 .abs_section_1ea                   1   N/I      0x1EA      0x1EA   .absSeg162\r
236 .abs_section_1eb                   1   N/I      0x1EB      0x1EB   .absSeg163\r
237 .abs_section_1ce                   1   N/I      0x1CE      0x1CE   .absSeg164\r
238 .abs_section_1e0                   1   N/I      0x1E0      0x1E0   .absSeg165\r
239 .abs_section_1e1                   1   N/I      0x1E1      0x1E1   .absSeg166\r
240 .abs_section_1e2                   1   N/I      0x1E2      0x1E2   .absSeg167\r
241 .abs_section_1e3                   1   N/I      0x1E3      0x1E3   .absSeg168\r
242 .abs_section_1c9                   1   N/I      0x1C9      0x1C9   .absSeg169\r
243 .abs_section_1c8                   1   N/I      0x1C8      0x1C8   .absSeg170\r
244 .abs_section_1ca                   1   N/I      0x1CA      0x1CA   .absSeg171\r
245 .abs_section_1c6                   1   N/I      0x1C6      0x1C6   .absSeg172\r
246 .abs_section_1c7                   1   N/I      0x1C7      0x1C7   .absSeg173\r
247 .abs_section_1fc                   1   N/I      0x1FC      0x1FC   .absSeg174\r
248 .abs_section_1f4                   1   N/I      0x1F4      0x1F4   .absSeg175\r
249 .abs_section_1f5                   1   N/I      0x1F5      0x1F5   .absSeg176\r
250 .abs_section_1f6                   1   N/I      0x1F6      0x1F6   .absSeg177\r
251 .abs_section_1f7                   1   N/I      0x1F7      0x1F7   .absSeg178\r
252 .abs_section_1f8                   1   N/I      0x1F8      0x1F8   .absSeg179\r
253 .abs_section_1f9                   1   N/I      0x1F9      0x1F9   .absSeg180\r
254 .abs_section_1fa                   1   N/I      0x1FA      0x1FA   .absSeg181\r
255 .abs_section_1fb                   1   N/I      0x1FB      0x1FB   .absSeg182\r
256 .abs_section_1cf                   1   N/I      0x1CF      0x1CF   .absSeg183\r
257 .abs_section_1f0                   1   N/I      0x1F0      0x1F0   .absSeg184\r
258 .abs_section_1f1                   1   N/I      0x1F1      0x1F1   .absSeg185\r
259 .abs_section_1f2                   1   N/I      0x1F2      0x1F2   .absSeg186\r
260 .abs_section_1f3                   1   N/I      0x1F3      0x1F3   .absSeg187\r
261 .abs_section_1ff                   1   N/I      0x1FF      0x1FF   .absSeg188\r
262 .abs_section_202                   1   N/I      0x202      0x202   .absSeg189\r
263 .abs_section_203                   1   N/I      0x203      0x203   .absSeg190\r
264 .abs_section_200                   1   N/I      0x200      0x200   .absSeg191\r
265 .abs_section_201                   1   N/I      0x201      0x201   .absSeg192\r
266 .abs_section_20b                   1   N/I      0x20B      0x20B   .absSeg193\r
267 .abs_section_210                   1   N/I      0x210      0x210   .absSeg194\r
268 .abs_section_211                   1   N/I      0x211      0x211   .absSeg195\r
269 .abs_section_212                   1   N/I      0x212      0x212   .absSeg196\r
270 .abs_section_213                   1   N/I      0x213      0x213   .absSeg197\r
271 .abs_section_218                   1   N/I      0x218      0x218   .absSeg198\r
272 .abs_section_219                   1   N/I      0x219      0x219   .absSeg199\r
273 .abs_section_21a                   1   N/I      0x21A      0x21A   .absSeg200\r
274 .abs_section_21b                   1   N/I      0x21B      0x21B   .absSeg201\r
275 .abs_section_214                   1   N/I      0x214      0x214   .absSeg202\r
276 .abs_section_215                   1   N/I      0x215      0x215   .absSeg203\r
277 .abs_section_216                   1   N/I      0x216      0x216   .absSeg204\r
278 .abs_section_217                   1   N/I      0x217      0x217   .absSeg205\r
279 .abs_section_21c                   1   N/I      0x21C      0x21C   .absSeg206\r
280 .abs_section_21d                   1   N/I      0x21D      0x21D   .absSeg207\r
281 .abs_section_21e                   1   N/I      0x21E      0x21E   .absSeg208\r
282 .abs_section_21f                   1   N/I      0x21F      0x21F   .absSeg209\r
283 .abs_section_204                   1   N/I      0x204      0x204   .absSeg210\r
284 .abs_section_205                   1   N/I      0x205      0x205   .absSeg211\r
285 .abs_section_22c                   1   N/I      0x22C      0x22C   .absSeg212\r
286 .abs_section_224                   1   N/I      0x224      0x224   .absSeg213\r
287 .abs_section_225                   1   N/I      0x225      0x225   .absSeg214\r
288 .abs_section_226                   1   N/I      0x226      0x226   .absSeg215\r
289 .abs_section_227                   1   N/I      0x227      0x227   .absSeg216\r
290 .abs_section_228                   1   N/I      0x228      0x228   .absSeg217\r
291 .abs_section_229                   1   N/I      0x229      0x229   .absSeg218\r
292 .abs_section_22a                   1   N/I      0x22A      0x22A   .absSeg219\r
293 .abs_section_22b                   1   N/I      0x22B      0x22B   .absSeg220\r
294 .abs_section_20e                   1   N/I      0x20E      0x20E   .absSeg221\r
295 .abs_section_220                   1   N/I      0x220      0x220   .absSeg222\r
296 .abs_section_221                   1   N/I      0x221      0x221   .absSeg223\r
297 .abs_section_222                   1   N/I      0x222      0x222   .absSeg224\r
298 .abs_section_223                   1   N/I      0x223      0x223   .absSeg225\r
299 .abs_section_209                   1   N/I      0x209      0x209   .absSeg226\r
300 .abs_section_208                   1   N/I      0x208      0x208   .absSeg227\r
301 .abs_section_20a                   1   N/I      0x20A      0x20A   .absSeg228\r
302 .abs_section_206                   1   N/I      0x206      0x206   .absSeg229\r
303 .abs_section_207                   1   N/I      0x207      0x207   .absSeg230\r
304 .abs_section_23c                   1   N/I      0x23C      0x23C   .absSeg231\r
305 .abs_section_234                   1   N/I      0x234      0x234   .absSeg232\r
306 .abs_section_235                   1   N/I      0x235      0x235   .absSeg233\r
307 .abs_section_236                   1   N/I      0x236      0x236   .absSeg234\r
308 .abs_section_237                   1   N/I      0x237      0x237   .absSeg235\r
309 .abs_section_238                   1   N/I      0x238      0x238   .absSeg236\r
310 .abs_section_239                   1   N/I      0x239      0x239   .absSeg237\r
311 .abs_section_23a                   1   N/I      0x23A      0x23A   .absSeg238\r
312 .abs_section_23b                   1   N/I      0x23B      0x23B   .absSeg239\r
313 .abs_section_20f                   1   N/I      0x20F      0x20F   .absSeg240\r
314 .abs_section_230                   1   N/I      0x230      0x230   .absSeg241\r
315 .abs_section_231                   1   N/I      0x231      0x231   .absSeg242\r
316 .abs_section_232                   1   N/I      0x232      0x232   .absSeg243\r
317 .abs_section_233                   1   N/I      0x233      0x233   .absSeg244\r
318 .abs_section_23f                   1   N/I      0x23F      0x23F   .absSeg245\r
319 .abs_section_282                   1   N/I      0x282      0x282   .absSeg246\r
320 .abs_section_283                   1   N/I      0x283      0x283   .absSeg247\r
321 .abs_section_280                   1   N/I      0x280      0x280   .absSeg248\r
322 .abs_section_281                   1   N/I      0x281      0x281   .absSeg249\r
323 .abs_section_28b                   1   N/I      0x28B      0x28B   .absSeg250\r
324 .abs_section_290                   1   N/I      0x290      0x290   .absSeg251\r
325 .abs_section_291                   1   N/I      0x291      0x291   .absSeg252\r
326 .abs_section_292                   1   N/I      0x292      0x292   .absSeg253\r
327 .abs_section_293                   1   N/I      0x293      0x293   .absSeg254\r
328 .abs_section_298                   1   N/I      0x298      0x298   .absSeg255\r
329 .abs_section_299                   1   N/I      0x299      0x299   .absSeg256\r
330 .abs_section_29a                   1   N/I      0x29A      0x29A   .absSeg257\r
331 .abs_section_29b                   1   N/I      0x29B      0x29B   .absSeg258\r
332 .abs_section_294                   1   N/I      0x294      0x294   .absSeg259\r
333 .abs_section_295                   1   N/I      0x295      0x295   .absSeg260\r
334 .abs_section_296                   1   N/I      0x296      0x296   .absSeg261\r
335 .abs_section_297                   1   N/I      0x297      0x297   .absSeg262\r
336 .abs_section_29c                   1   N/I      0x29C      0x29C   .absSeg263\r
337 .abs_section_29d                   1   N/I      0x29D      0x29D   .absSeg264\r
338 .abs_section_29e                   1   N/I      0x29E      0x29E   .absSeg265\r
339 .abs_section_29f                   1   N/I      0x29F      0x29F   .absSeg266\r
340 .abs_section_284                   1   N/I      0x284      0x284   .absSeg267\r
341 .abs_section_285                   1   N/I      0x285      0x285   .absSeg268\r
342 .abs_section_2ac                   1   N/I      0x2AC      0x2AC   .absSeg269\r
343 .abs_section_2a4                   1   N/I      0x2A4      0x2A4   .absSeg270\r
344 .abs_section_2a5                   1   N/I      0x2A5      0x2A5   .absSeg271\r
345 .abs_section_2a6                   1   N/I      0x2A6      0x2A6   .absSeg272\r
346 .abs_section_2a7                   1   N/I      0x2A7      0x2A7   .absSeg273\r
347 .abs_section_2a8                   1   N/I      0x2A8      0x2A8   .absSeg274\r
348 .abs_section_2a9                   1   N/I      0x2A9      0x2A9   .absSeg275\r
349 .abs_section_2aa                   1   N/I      0x2AA      0x2AA   .absSeg276\r
350 .abs_section_2ab                   1   N/I      0x2AB      0x2AB   .absSeg277\r
351 .abs_section_28e                   1   N/I      0x28E      0x28E   .absSeg278\r
352 .abs_section_2a0                   1   N/I      0x2A0      0x2A0   .absSeg279\r
353 .abs_section_2a1                   1   N/I      0x2A1      0x2A1   .absSeg280\r
354 .abs_section_2a2                   1   N/I      0x2A2      0x2A2   .absSeg281\r
355 .abs_section_2a3                   1   N/I      0x2A3      0x2A3   .absSeg282\r
356 .abs_section_289                   1   N/I      0x289      0x289   .absSeg283\r
357 .abs_section_288                   1   N/I      0x288      0x288   .absSeg284\r
358 .abs_section_28a                   1   N/I      0x28A      0x28A   .absSeg285\r
359 .abs_section_286                   1   N/I      0x286      0x286   .absSeg286\r
360 .abs_section_287                   1   N/I      0x287      0x287   .absSeg287\r
361 .abs_section_2bc                   1   N/I      0x2BC      0x2BC   .absSeg288\r
362 .abs_section_2b4                   1   N/I      0x2B4      0x2B4   .absSeg289\r
363 .abs_section_2b5                   1   N/I      0x2B5      0x2B5   .absSeg290\r
364 .abs_section_2b6                   1   N/I      0x2B6      0x2B6   .absSeg291\r
365 .abs_section_2b7                   1   N/I      0x2B7      0x2B7   .absSeg292\r
366 .abs_section_2b8                   1   N/I      0x2B8      0x2B8   .absSeg293\r
367 .abs_section_2b9                   1   N/I      0x2B9      0x2B9   .absSeg294\r
368 .abs_section_2ba                   1   N/I      0x2BA      0x2BA   .absSeg295\r
369 .abs_section_2bb                   1   N/I      0x2BB      0x2BB   .absSeg296\r
370 .abs_section_28f                   1   N/I      0x28F      0x28F   .absSeg297\r
371 .abs_section_2b0                   1   N/I      0x2B0      0x2B0   .absSeg298\r
372 .abs_section_2b1                   1   N/I      0x2B1      0x2B1   .absSeg299\r
373 .abs_section_2b2                   1   N/I      0x2B2      0x2B2   .absSeg300\r
374 .abs_section_2b3                   1   N/I      0x2B3      0x2B3   .absSeg301\r
375 .abs_section_2bf                   1   N/I      0x2BF      0x2BF   .absSeg302\r
376 .abs_section_41                    1   N/I       0x41       0x41   .absSeg303\r
377 .abs_section_39                    1   N/I       0x39       0x39   .absSeg304\r
378 .abs_section_3c                    1   N/I       0x3C       0x3C   .absSeg305\r
379 .abs_section_37                    1   N/I       0x37       0x37   .absSeg306\r
380 .abs_section_38                    1   N/I       0x38       0x38   .absSeg307\r
381 .abs_section_3e                    1   N/I       0x3E       0x3E   .absSeg308\r
382 .abs_section_36                    1   N/I       0x36       0x36   .absSeg309\r
383 .abs_section_9                     1   N/I        0x9        0x9   .absSeg310\r
384 .abs_section_262                   1   N/I      0x262      0x262   .absSeg311\r
385 .abs_section_26a                   1   N/I      0x26A      0x26A   .absSeg312\r
386 .abs_section_33                    1   N/I       0x33       0x33   .absSeg313\r
387 .abs_section_252                   1   N/I      0x252      0x252   .absSeg314\r
388 .abs_section_25a                   1   N/I      0x25A      0x25A   .absSeg315\r
389 .abs_section_24a                   1   N/I      0x24A      0x24A   .absSeg316\r
390 .abs_section_242                   1   N/I      0x242      0x242   .absSeg317\r
391 .abs_section_ec                    1   N/I       0xEC       0xEC   .absSeg318\r
392 .abs_section_e8                    1   N/I       0xE8       0xE8   .absSeg319\r
393 .abs_section_ea                    1   N/I       0xEA       0xEA   .absSeg320\r
394 .abs_section_eb                    1   N/I       0xEB       0xEB   .absSeg321\r
395 .abs_section_ed                    1   N/I       0xED       0xED   .absSeg322\r
396 .abs_section_e9                    1   N/I       0xE9       0xE9   .absSeg323\r
397 .abs_section_ee                    1   N/I       0xEE       0xEE   .absSeg324\r
398 .abs_section_69                    1   N/I       0x69       0x69   .absSeg325\r
399 .abs_section_e                     1   N/I        0xE        0xE   .absSeg326\r
400 .abs_section_110                   1   N/I      0x110      0x110   .absSeg327\r
401 .abs_section_116                   1   N/I      0x116      0x116   .absSeg328\r
402 .abs_section_113                   1   N/I      0x113      0x113   .absSeg329\r
403 .abs_section_114                   1   N/I      0x114      0x114   .absSeg330\r
404 .abs_section_115                   1   N/I      0x115      0x115   .absSeg331\r
405 .abs_section_100                   1   N/I      0x100      0x100   .absSeg332\r
406 .abs_section_106                   1   N/I      0x106      0x106   .absSeg333\r
407 .abs_section_103                   1   N/I      0x103      0x103   .absSeg334\r
408 .abs_section_3d                    1   N/I       0x3D       0x3D   .absSeg335\r
409 .abs_section_104                   1   N/I      0x104      0x104   .absSeg336\r
410 .abs_section_101                   1   N/I      0x101      0x101   .absSeg337\r
411 .abs_section_105                   1   N/I      0x105      0x105   .absSeg338\r
412 .abs_section_1f                    1   N/I       0x1F       0x1F   .absSeg339\r
413 .abs_section_e0                    1   N/I       0xE0       0xE0   .absSeg340\r
414 .abs_section_e2                    1   N/I       0xE2       0xE2   .absSeg341\r
415 .abs_section_e4                    1   N/I       0xE4       0xE4   .absSeg342\r
416 .abs_section_e1                    1   N/I       0xE1       0xE1   .absSeg343\r
417 .abs_section_e3                    1   N/I       0xE3       0xE3   .absSeg344\r
418 .abs_section_6a                    1   N/I       0x6A       0x6A   .absSeg345\r
419 .abs_section_68                    1   N/I       0x68       0x68   .absSeg346\r
420 .abs_section_6b                    1   N/I       0x6B       0x6B   .absSeg347\r
421 .abs_section_12                    1   N/I       0x12       0x12   .absSeg348\r
422 .abs_section_11                    1   N/I       0x11       0x11   .absSeg349\r
423 .abs_section_10                    1   N/I       0x10       0x10   .absSeg350\r
424 .abs_section_1e                    1   N/I       0x1E       0x1E   .absSeg351\r
425 .abs_section_15                    1   N/I       0x15       0x15   .absSeg352\r
426 .abs_section_16                    1   N/I       0x16       0x16   .absSeg353\r
427 .abs_section_66                    1   N/I       0x66       0x66   .absSeg354\r
428 .abs_section_67                    1   N/I       0x67       0x67   .absSeg355\r
429 .abs_section_1c                    1   N/I       0x1C       0x1C   .absSeg356\r
430 .abs_section_1d                    1   N/I       0x1D       0x1D   .absSeg357\r
431 .abs_section_13                    1   N/I       0x13       0x13   .absSeg358\r
432 .abs_section_b                     1   N/I        0xB        0xB   .absSeg359\r
433 .abs_section_257                   1   N/I      0x257      0x257   .absSeg360\r
434 .abs_section_14                    1   N/I       0x14       0x14   .absSeg361\r
435 .abs_section_17                    1   N/I       0x17       0x17   .absSeg362\r
436 .abs_section_43                    1   N/I       0x43       0x43   .absSeg363\r
437 .abs_section_42                    1   N/I       0x42       0x42   .absSeg364\r
438 .abs_section_60                    1   N/I       0x60       0x60   .absSeg365\r
439 .abs_section_61                    1   N/I       0x61       0x61   .absSeg366\r
440 .abs_section_1a                    1   N/I       0x1A       0x1A   .absSeg367\r
441 .abs_section_1b                    1   N/I       0x1B       0x1B   .absSeg368\r
442 .abs_section_70                    1   N/I       0x70       0x70   .absSeg369\r
443 .abs_section_71                    1   N/I       0x71       0x71   .absSeg370\r
444 .abs_section_a                     1   N/I        0xA        0xA   .absSeg371\r
445 .abs_section_264                   1   N/I      0x264      0x264   .absSeg372\r
446 .abs_section_26c                   1   N/I      0x26C      0x26C   .absSeg373\r
447 .abs_section_254                   1   N/I      0x254      0x254   .absSeg374\r
448 .abs_section_25c                   1   N/I      0x25C      0x25C   .absSeg375\r
449 .abs_section_24c                   1   N/I      0x24C      0x24C   .absSeg376\r
450 .abs_section_244                   1   N/I      0x244      0x244   .absSeg377\r
451 .abs_section_266                   1   N/I      0x266      0x266   .absSeg378\r
452 .abs_section_26e                   1   N/I      0x26E      0x26E   .absSeg379\r
453 .abs_section_25e                   1   N/I      0x25E      0x25E   .absSeg380\r
454 .abs_section_267                   1   N/I      0x267      0x267   .absSeg381\r
455 .abs_section_26f                   1   N/I      0x26F      0x26F   .absSeg382\r
456 .abs_section_25f                   1   N/I      0x25F      0x25F   .absSeg383\r
457 .abs_section_3a                    1   N/I       0x3A       0x3A   .absSeg384\r
458 .abs_section_8f                    1   N/I       0x8F       0x8F   .absSeg385\r
459 .abs_section_12f                   1   N/I      0x12F      0x12F   .absSeg386\r
460 .abs_section_8                     1   N/I        0x8        0x8   .absSeg387\r
461 .abs_section_32                    1   N/I       0x32       0x32   .absSeg388\r
462 .abs_section_30                    1   N/I       0x30       0x30   .absSeg389\r
463 .abs_section_265                   1   N/I      0x265      0x265   .absSeg390\r
464 .abs_section_26d                   1   N/I      0x26D      0x26D   .absSeg391\r
465 .abs_section_255                   1   N/I      0x255      0x255   .absSeg392\r
466 .abs_section_25d                   1   N/I      0x25D      0x25D   .absSeg393\r
467 .abs_section_24d                   1   N/I      0x24D      0x24D   .absSeg394\r
468 .abs_section_245                   1   N/I      0x245      0x245   .absSeg395\r
469 .abs_section_260                   1   N/I      0x260      0x260   .absSeg396\r
470 .abs_section_261                   1   N/I      0x261      0x261   .absSeg397\r
471 .abs_section_269                   1   N/I      0x269      0x269   .absSeg398\r
472 .abs_section_251                   1   N/I      0x251      0x251   .absSeg399\r
473 .abs_section_259                   1   N/I      0x259      0x259   .absSeg400\r
474 .abs_section_249                   1   N/I      0x249      0x249   .absSeg401\r
475 .abs_section_241                   1   N/I      0x241      0x241   .absSeg402\r
476 .abs_section_268                   1   N/I      0x268      0x268   .absSeg403\r
477 .abs_section_250                   1   N/I      0x250      0x250   .absSeg404\r
478 .abs_section_258                   1   N/I      0x258      0x258   .absSeg405\r
479 .abs_section_248                   1   N/I      0x248      0x248   .absSeg406\r
480 .abs_section_240                   1   N/I      0x240      0x240   .absSeg407\r
481 .abs_section_c                     1   N/I        0xC        0xC   .absSeg408\r
482 .abs_section_a4                    1   N/I       0xA4       0xA4   .absSeg409\r
483 .abs_section_a2                    1   N/I       0xA2       0xA2   .absSeg410\r
484 .abs_section_a5                    1   N/I       0xA5       0xA5   .absSeg411\r
485 .abs_section_a0                    1   N/I       0xA0       0xA0   .absSeg412\r
486 .abs_section_a1                    1   N/I       0xA1       0xA1   .absSeg413\r
487 .abs_section_a3                    1   N/I       0xA3       0xA3   .absSeg414\r
488 .abs_section_a8                    1   N/I       0xA8       0xA8   .absSeg415\r
489 .abs_section_a9                    1   N/I       0xA9       0xA9   .absSeg416\r
490 .abs_section_c4                    1   N/I       0xC4       0xC4   .absSeg417\r
491 .abs_section_263                   1   N/I      0x263      0x263   .absSeg418\r
492 .abs_section_d                     1   N/I        0xD        0xD   .absSeg419\r
493 .abs_section_26b                   1   N/I      0x26B      0x26B   .absSeg420\r
494 .abs_section_253                   1   N/I      0x253      0x253   .absSeg421\r
495 .abs_section_25b                   1   N/I      0x25B      0x25B   .absSeg422\r
496 .abs_section_24b                   1   N/I      0x24B      0x24B   .absSeg423\r
497 .abs_section_243                   1   N/I      0x243      0x243   .absSeg424\r
498 .abs_section_35                    1   N/I       0x35       0x35   .absSeg425\r
499 .abs_section_3b                    1   N/I       0x3B       0x3B   .absSeg426\r
500 .abs_section_ca                    1   N/I       0xCA       0xCA   .absSeg427\r
501 .abs_section_cb                    1   N/I       0xCB       0xCB   .absSeg428\r
502 .abs_section_ce                    1   N/I       0xCE       0xCE   .absSeg429\r
503 .abs_section_cf                    1   N/I       0xCF       0xCF   .absSeg430\r
504 .abs_section_cc                    1   N/I       0xCC       0xCC   .absSeg431\r
505 .abs_section_cd                    1   N/I       0xCD       0xCD   .absSeg432\r
506 .abs_section_d2                    1   N/I       0xD2       0xD2   .absSeg433\r
507 .abs_section_d3                    1   N/I       0xD3       0xD3   .absSeg434\r
508 .abs_section_d6                    1   N/I       0xD6       0xD6   .absSeg435\r
509 .abs_section_d7                    1   N/I       0xD7       0xD7   .absSeg436\r
510 .abs_section_d4                    1   N/I       0xD4       0xD4   .absSeg437\r
511 .abs_section_d5                    1   N/I       0xD5       0xD5   .absSeg438\r
512 .abs_section_da                    1   N/I       0xDA       0xDA   .absSeg439\r
513 .abs_section_d8                    1   N/I       0xD8       0xD8   .absSeg440\r
514 .abs_section_d9                    1   N/I       0xD9       0xD9   .absSeg441\r
515 .abs_section_dd                    1   N/I       0xDD       0xDD   .absSeg442\r
516 .abs_section_db                    1   N/I       0xDB       0xDB   .absSeg443\r
517 .abs_section_f2                    1   N/I       0xF2       0xF2   .absSeg444\r
518 .abs_section_f0                    1   N/I       0xF0       0xF0   .absSeg445\r
519 .abs_section_f1                    1   N/I       0xF1       0xF1   .absSeg446\r
520 .abs_section_f5                    1   N/I       0xF5       0xF5   .absSeg447\r
521 .abs_section_f3                    1   N/I       0xF3       0xF3   .absSeg448\r
522 .abs_section_fa                    1   N/I       0xFA       0xFA   .absSeg449\r
523 .abs_section_f8                    1   N/I       0xF8       0xF8   .absSeg450\r
524 .abs_section_f9                    1   N/I       0xF9       0xF9   .absSeg451\r
525 .abs_section_fd                    1   N/I       0xFD       0xFD   .absSeg452\r
526 .abs_section_fb                    1   N/I       0xFB       0xFB   .absSeg453\r
527 .abs_section_34                    1   N/I       0x34       0x34   .absSeg454\r
528 .abs_section_48                    1   N/I       0x48       0x48   .absSeg455\r
529 .abs_section_49                    1   N/I       0x49       0x49   .absSeg456\r
530 .abs_section_4a                    1   N/I       0x4A       0x4A   .absSeg457\r
531 .abs_section_4b                    1   N/I       0x4B       0x4B   .absSeg458\r
532 .abs_section_4e                    1   N/I       0x4E       0x4E   .absSeg459\r
533 .abs_section_4f                    1   N/I       0x4F       0x4F   .absSeg460\r
534 .abs_section_4c                    1   N/I       0x4C       0x4C   .absSeg461\r
535 .abs_section_6d                    1   N/I       0x6D       0x6D   .absSeg462\r
536 .abs_section_40                    1   N/I       0x40       0x40   .absSeg463\r
537 .abs_section_46                    1   N/I       0x46       0x46   .absSeg464\r
538 .abs_section_4d                    1   N/I       0x4D       0x4D   .absSeg465\r
539 .abs_section_47                    1   N/I       0x47       0x47   .absSeg466\r
540 .abs_section_256                   1   N/I      0x256      0x256   .absSeg467\r
541 .abs_section_24e                   1   N/I      0x24E      0x24E   .absSeg468\r
542 .abs_section_82                    2   N/I       0x82       0x83   .absSeg469\r
543 .abs_section_84                    2   N/I       0x84       0x85   .absSeg470\r
544 .abs_section_90                    2   N/I       0x90       0x91   .absSeg471\r
545 .abs_section_92                    2   N/I       0x92       0x93   .absSeg472\r
546 .abs_section_94                    2   N/I       0x94       0x95   .absSeg473\r
547 .abs_section_96                    2   N/I       0x96       0x97   .absSeg474\r
548 .abs_section_98                    2   N/I       0x98       0x99   .absSeg475\r
549 .abs_section_9a                    2   N/I       0x9A       0x9B   .absSeg476\r
550 .abs_section_9c                    2   N/I       0x9C       0x9D   .absSeg477\r
551 .abs_section_9e                    2   N/I       0x9E       0x9F   .absSeg478\r
552 .abs_section_122                   2   N/I      0x122      0x123   .absSeg479\r
553 .abs_section_124                   2   N/I      0x124      0x125   .absSeg480\r
554 .abs_section_130                   2   N/I      0x130      0x131   .absSeg481\r
555 .abs_section_132                   2   N/I      0x132      0x133   .absSeg482\r
556 .abs_section_134                   2   N/I      0x134      0x135   .absSeg483\r
557 .abs_section_136                   2   N/I      0x136      0x137   .absSeg484\r
558 .abs_section_138                   2   N/I      0x138      0x139   .absSeg485\r
559 .abs_section_13a                   2   N/I      0x13A      0x13B   .absSeg486\r
560 .abs_section_13c                   2   N/I      0x13C      0x13D   .absSeg487\r
561 .abs_section_13e                   2   N/I      0x13E      0x13F   .absSeg488\r
562 .abs_section_2                     2   N/I        0x2        0x3   .absSeg489\r
563 .abs_section_76                    2   N/I       0x76       0x77   .absSeg490\r
564 .abs_section_74                    2   N/I       0x74       0x75   .absSeg491\r
565 .abs_section_72                    2   N/I       0x72       0x73   .absSeg492\r
566 .abs_section_64                    2   N/I       0x64       0x65   .absSeg493\r
567 .abs_section_62                    2   N/I       0x62       0x63   .absSeg494\r
568 .abs_section_0                     2   N/I        0x0        0x1   .absSeg495\r
569 .abs_section_ac                    2   N/I       0xAC       0xAD   .absSeg496\r
570 .abs_section_ae                    2   N/I       0xAE       0xAF   .absSeg497\r
571 .abs_section_b0                    2   N/I       0xB0       0xB1   .absSeg498\r
572 .abs_section_b2                    2   N/I       0xB2       0xB3   .absSeg499\r
573 .abs_section_bc                    2   N/I       0xBC       0xBD   .absSeg500\r
574 .abs_section_be                    2   N/I       0xBE       0xBF   .absSeg501\r
575 .abs_section_c0                    2   N/I       0xC0       0xC1   .absSeg502\r
576 .abs_section_c2                    2   N/I       0xC2       0xC3   .absSeg503\r
577 .abs_section_b4                    2   N/I       0xB4       0xB5   .absSeg504\r
578 .abs_section_b6                    2   N/I       0xB6       0xB7   .absSeg505\r
579 .abs_section_b8                    2   N/I       0xB8       0xB9   .absSeg506\r
580 .abs_section_ba                    2   N/I       0xBA       0xBB   .absSeg507\r
581 .abs_section_c8                    2   N/I       0xC8       0xC9   .absSeg508\r
582 .abs_section_d0                    2   N/I       0xD0       0xD1   .absSeg509\r
583 .abs_section_50                    2   N/I       0x50       0x51   .absSeg510\r
584 .abs_section_78                    2   N/I       0x78       0x79   .absSeg511\r
585 .abs_section_52                    2   N/I       0x52       0x53   .absSeg512\r
586 .abs_section_7a                    2   N/I       0x7A       0x7B   .absSeg513\r
587 .abs_section_54                    2   N/I       0x54       0x55   .absSeg514\r
588 .abs_section_7c                    2   N/I       0x7C       0x7D   .absSeg515\r
589 .abs_section_56                    2   N/I       0x56       0x57   .absSeg516\r
590 .abs_section_7e                    2   N/I       0x7E       0x7F   .absSeg517\r
591 .abs_section_58                    2   N/I       0x58       0x59   .absSeg518\r
592 .abs_section_5a                    2   N/I       0x5A       0x5B   .absSeg519\r
593 .abs_section_5c                    2   N/I       0x5C       0x5D   .absSeg520\r
594 .abs_section_5e                    2   N/I       0x5E       0x5F   .absSeg521\r
595 .abs_section_44                    2   N/I       0x44       0x45   .absSeg522\r
596 .abs_section_ff80                128     R     0xFF80     0xFFFF   .absSeg523\r
597 .bss                           10475   R/W     0x1001     0x38EB   RAM\r
598 .common                            6   R/W     0x38EC     0x38F1   RAM\r
599 TickTimer_CODE                   123     R   0x3B8124   0x3B819E   ROM_PAGE3B\r
600 Byte1_CODE                        53     R   0x3B819F   0x3B81D3   ROM_PAGE3B\r
601 COM0_CODE                         62     R   0x3B81D4   0x3B8211   ROM_PAGE3B\r
602 TickTimer_DATA                     2   R/W     0x38F2     0x38F3   RAM\r
603 Byte1_DATA                         8   R/W     0x38F4     0x38FB   RAM\r
604 COM0_DATA                         13   R/W     0x38FC     0x3908   RAM\r
605 .stack                           128   R/W     0x3909     0x3988   RAM\r
606 ROM_PAGE31_524                   553     R   0x318000   0x318228   ROM_PAGE31\r
607 ROM_PAGE32_525                   541     R   0x328000   0x32821C   ROM_PAGE32\r
608 ROM_PAGE33_526                   548     R   0x338000   0x338223   ROM_PAGE33\r
609 ROM_PAGE34_527                   587     R   0x348000   0x34824A   ROM_PAGE34\r
610 ROM_PAGE35_528                   591     R   0x358000   0x35824E   ROM_PAGE35\r
611 ROM_PAGE36_529                   509     R   0x368000   0x3681FC   ROM_PAGE36\r
612 ROM_PAGE37_530                   593     R   0x378000   0x378250   ROM_PAGE37\r
613 ROM_PAGE38_531                   498     R   0x388000   0x3881F1   ROM_PAGE38\r
614 ROM_PAGE39_532                   407     R   0x398000   0x398196   ROM_PAGE39\r
615 ROM_PAGE3A_533                   588     R   0x3A8000   0x3A824B   ROM_PAGE3A\r
616 ROM_PAGE3B_534                   292     R   0x3B8000   0x3B8123   ROM_PAGE3B\r
617 \r
618 Summary of section sizes per section type:\r
619 READ_ONLY (R):        1DD4 (dec:     7636)\r
620 READ_WRITE (R/W):     2989 (dec:    10633)\r
621 NO_INIT (N/I):         241 (dec:      577)\r
622 \r
623 *********************************************************************************************\r
624 VECTOR-ALLOCATION SECTION\r
625     Address     InitValue   InitFunction\r
626 ---------------------------------------------------------------------------------------------\r
627 \r
628 *********************************************************************************************\r
629 OBJECT-ALLOCATION SECTION\r
630      Name               Module                 Addr   hSize   dSize     Ref    Section   RLIB\r
631 ---------------------------------------------------------------------------------------------\r
632 MODULE:                 -- Start12.c.o --\r
633 - PROCEDURES:\r
634      Init                                    308000      29      41       2   .text       \r
635      _Startup                                  C151      12      18       1   NON_BANKED  \r
636 - VARIABLES:\r
637      _startupData                              C07C      18      24       6   .startData  \r
638 MODULE:                 -- STRING.C.o (ansibi.lib) --\r
639 - PROCEDURES:\r
640      memcpy                                  308029      26      38       8   .text       \r
641      memset                                  30804F      1E      30       2   .text       \r
642      strncpy                                 30806D      2D      45       2   .text       \r
643 - VARIABLES:\r
644 MODULE:                 -- rtshc12.c.o (ansibi.lib) --\r
645 - PROCEDURES:\r
646      _LCMP                                     C163      19      25       2   NON_BANKED  \r
647      _LCMP_P                                   C17C      15      21       3   NON_BANKED  \r
648      _LNEG                                     C191       D      13       2   NON_BANKED  \r
649      _LINC                                     C19E       5       5       4   NON_BANKED  \r
650      _LMUL                                     C1A3      27      39       1   NON_BANKED  \r
651      _lDivMod                                  C1CA      E3     227       3   NON_BANKED  \r
652      _LDIVU                                    C2AD       E      14       1   NON_BANKED  \r
653      _NEG_P                                    C2BB       F      15       4   NON_BANKED  \r
654      _LDIVS                                    C2CA      35      53       2   NON_BANKED  \r
655 - VARIABLES:\r
656 MODULE:                 -- Cpu.C.o --\r
657 - PROCEDURES:\r
658      _EntryPoint                               C000      2E      46       1   .init       \r
659      PE_low_level_init                         C02E      4E      78       2   .init       \r
660      Cpu_Interrupt                             C2FF       1       1      60   NON_BANKED  \r
661 - VARIABLES:\r
662 MODULE:                 -- IO_Map.C.o --\r
663 - PROCEDURES:\r
664 - VARIABLES:\r
665      _ARMCOP                                     3F       1       1       0   .abs_section_3f\r
666      _ATD0DIEN                                   8D       1       1       0   .abs_section_8d\r
667      _ATD0STAT0                                  86       1       1       0   .abs_section_86\r
668      _ATD0STAT1                                  8B       1       1       0   .abs_section_8b\r
669      _ATD1DIEN                                  12D       1       1       0   .abs_section_12d\r
670      _ATD1STAT0                                 126       1       1       0   .abs_section_126\r
671      _ATD1STAT1                                 12B       1       1       0   .abs_section_12b\r
672      _BDMCCR                                   FF06       1       1       0   .abs_section_ff06\r
673      _BDMINR                                   FF07       1       1       0   .abs_section_ff07\r
674      _BDMSTS                                   FF01       1       1       0   .abs_section_ff01\r
675      _BKP0H                                      2B       1       1       0   .abs_section_2b\r
676      _BKP0L                                      2C       1       1       0   .abs_section_2c\r
677      _BKP0X                                      2A       1       1       0   .abs_section_2a\r
678      _BKP1H                                      2E       1       1       0   .abs_section_2e\r
679      _BKP1L                                      2F       1       1       0   .abs_section_2f\r
680      _BKP1X                                      2D       1       1       0   .abs_section_2d\r
681      _BKPCT0                                     28       1       1       0   .abs_section_28\r
682      _BKPCT1                                     29       1       1       0   .abs_section_29\r
683      _CAN0BTR0                                  142       1       1       0   .abs_section_142\r
684      _CAN0BTR1                                  143       1       1       0   .abs_section_143\r
685      _CAN0CTL0                                  140       1       1       0   .abs_section_140\r
686      _CAN0CTL1                                  141       1       1       0   .abs_section_141\r
687      _CAN0IDAC                                  14B       1       1       0   .abs_section_14b\r
688      _CAN0IDAR0                                 150       1       1       0   .abs_section_150\r
689      _CAN0IDAR1                                 151       1       1       0   .abs_section_151\r
690      _CAN0IDAR2                                 152       1       1       0   .abs_section_152\r
691      _CAN0IDAR3                                 153       1       1       0   .abs_section_153\r
692      _CAN0IDAR4                                 158       1       1       0   .abs_section_158\r
693      _CAN0IDAR5                                 159       1       1       0   .abs_section_159\r
694      _CAN0IDAR6                                 15A       1       1       0   .abs_section_15a\r
695      _CAN0IDAR7                                 15B       1       1       0   .abs_section_15b\r
696      _CAN0IDMR0                                 154       1       1       0   .abs_section_154\r
697      _CAN0IDMR1                                 155       1       1       0   .abs_section_155\r
698      _CAN0IDMR2                                 156       1       1       0   .abs_section_156\r
699      _CAN0IDMR3                                 157       1       1       0   .abs_section_157\r
700      _CAN0IDMR4                                 15C       1       1       0   .abs_section_15c\r
701      _CAN0IDMR5                                 15D       1       1       0   .abs_section_15d\r
702      _CAN0IDMR6                                 15E       1       1       0   .abs_section_15e\r
703      _CAN0IDMR7                                 15F       1       1       0   .abs_section_15f\r
704      _CAN0RFLG                                  144       1       1       0   .abs_section_144\r
705      _CAN0RIER                                  145       1       1       0   .abs_section_145\r
706      _CAN0RXDLR                                 16C       1       1       0   .abs_section_16c\r
707      _CAN0RXDSR0                                164       1       1       0   .abs_section_164\r
708      _CAN0RXDSR1                                165       1       1       0   .abs_section_165\r
709      _CAN0RXDSR2                                166       1       1       0   .abs_section_166\r
710      _CAN0RXDSR3                                167       1       1       0   .abs_section_167\r
711      _CAN0RXDSR4                                168       1       1       0   .abs_section_168\r
712      _CAN0RXDSR5                                169       1       1       0   .abs_section_169\r
713      _CAN0RXDSR6                                16A       1       1       0   .abs_section_16a\r
714      _CAN0RXDSR7                                16B       1       1       0   .abs_section_16b\r
715      _CAN0RXERR                                 14E       1       1       0   .abs_section_14e\r
716      _CAN0RXIDR0                                160       1       1       0   .abs_section_160\r
717      _CAN0RXIDR1                                161       1       1       0   .abs_section_161\r
718      _CAN0RXIDR2                                162       1       1       0   .abs_section_162\r
719      _CAN0RXIDR3                                163       1       1       0   .abs_section_163\r
720      _CAN0TAAK                                  149       1       1       0   .abs_section_149\r
721      _CAN0TARQ                                  148       1       1       0   .abs_section_148\r
722      _CAN0TBSEL                                 14A       1       1       0   .abs_section_14a\r
723      _CAN0TFLG                                  146       1       1       0   .abs_section_146\r
724      _CAN0TIER                                  147       1       1       0   .abs_section_147\r
725      _CAN0TXDLR                                 17C       1       1       0   .abs_section_17c\r
726      _CAN0TXDSR0                                174       1       1       0   .abs_section_174\r
727      _CAN0TXDSR1                                175       1       1       0   .abs_section_175\r
728      _CAN0TXDSR2                                176       1       1       0   .abs_section_176\r
729      _CAN0TXDSR3                                177       1       1       0   .abs_section_177\r
730      _CAN0TXDSR4                                178       1       1       0   .abs_section_178\r
731      _CAN0TXDSR5                                179       1       1       0   .abs_section_179\r
732      _CAN0TXDSR6                                17A       1       1       0   .abs_section_17a\r
733      _CAN0TXDSR7                                17B       1       1       0   .abs_section_17b\r
734      _CAN0TXERR                                 14F       1       1       0   .abs_section_14f\r
735      _CAN0TXIDR0                                170       1       1       0   .abs_section_170\r
736      _CAN0TXIDR1                                171       1       1       0   .abs_section_171\r
737      _CAN0TXIDR2                                172       1       1       0   .abs_section_172\r
738      _CAN0TXIDR3                                173       1       1       0   .abs_section_173\r
739      _CAN0TXTBPR                                17F       1       1       0   .abs_section_17f\r
740      _CAN1BTR0                                  182       1       1       0   .abs_section_182\r
741      _CAN1BTR1                                  183       1       1       0   .abs_section_183\r
742      _CAN1CTL0                                  180       1       1       0   .abs_section_180\r
743      _CAN1CTL1                                  181       1       1       0   .abs_section_181\r
744      _CAN1IDAC                                  18B       1       1       0   .abs_section_18b\r
745      _CAN1IDAR0                                 190       1       1       0   .abs_section_190\r
746      _CAN1IDAR1                                 191       1       1       0   .abs_section_191\r
747      _CAN1IDAR2                                 192       1       1       0   .abs_section_192\r
748      _CAN1IDAR3                                 193       1       1       0   .abs_section_193\r
749      _CAN1IDAR4                                 198       1       1       0   .abs_section_198\r
750      _CAN1IDAR5                                 199       1       1       0   .abs_section_199\r
751      _CAN1IDAR6                                 19A       1       1       0   .abs_section_19a\r
752      _CAN1IDAR7                                 19B       1       1       0   .abs_section_19b\r
753      _CAN1IDMR0                                 194       1       1       0   .abs_section_194\r
754      _CAN1IDMR1                                 195       1       1       0   .abs_section_195\r
755      _CAN1IDMR2                                 196       1       1       0   .abs_section_196\r
756      _CAN1IDMR3                                 197       1       1       0   .abs_section_197\r
757      _CAN1IDMR4                                 19C       1       1       0   .abs_section_19c\r
758      _CAN1IDMR5                                 19D       1       1       0   .abs_section_19d\r
759      _CAN1IDMR6                                 19E       1       1       0   .abs_section_19e\r
760      _CAN1IDMR7                                 19F       1       1       0   .abs_section_19f\r
761      _CAN1RFLG                                  184       1       1       0   .abs_section_184\r
762      _CAN1RIER                                  185       1       1       0   .abs_section_185\r
763      _CAN1RXDLR                                 1AC       1       1       0   .abs_section_1ac\r
764      _CAN1RXDSR0                                1A4       1       1       0   .abs_section_1a4\r
765      _CAN1RXDSR1                                1A5       1       1       0   .abs_section_1a5\r
766      _CAN1RXDSR2                                1A6       1       1       0   .abs_section_1a6\r
767      _CAN1RXDSR3                                1A7       1       1       0   .abs_section_1a7\r
768      _CAN1RXDSR4                                1A8       1       1       0   .abs_section_1a8\r
769      _CAN1RXDSR5                                1A9       1       1       0   .abs_section_1a9\r
770      _CAN1RXDSR6                                1AA       1       1       0   .abs_section_1aa\r
771      _CAN1RXDSR7                                1AB       1       1       0   .abs_section_1ab\r
772      _CAN1RXERR                                 18E       1       1       0   .abs_section_18e\r
773      _CAN1RXIDR0                                1A0       1       1       0   .abs_section_1a0\r
774      _CAN1RXIDR1                                1A1       1       1       0   .abs_section_1a1\r
775      _CAN1RXIDR2                                1A2       1       1       0   .abs_section_1a2\r
776      _CAN1RXIDR3                                1A3       1       1       0   .abs_section_1a3\r
777      _CAN1TAAK                                  189       1       1       0   .abs_section_189\r
778      _CAN1TARQ                                  188       1       1       0   .abs_section_188\r
779      _CAN1TBSEL                                 18A       1       1       0   .abs_section_18a\r
780      _CAN1TFLG                                  186       1       1       0   .abs_section_186\r
781      _CAN1TIER                                  187       1       1       0   .abs_section_187\r
782      _CAN1TXDLR                                 1BC       1       1       0   .abs_section_1bc\r
783      _CAN1TXDSR0                                1B4       1       1       0   .abs_section_1b4\r
784      _CAN1TXDSR1                                1B5       1       1       0   .abs_section_1b5\r
785      _CAN1TXDSR2                                1B6       1       1       0   .abs_section_1b6\r
786      _CAN1TXDSR3                                1B7       1       1       0   .abs_section_1b7\r
787      _CAN1TXDSR4                                1B8       1       1       0   .abs_section_1b8\r
788      _CAN1TXDSR5                                1B9       1       1       0   .abs_section_1b9\r
789      _CAN1TXDSR6                                1BA       1       1       0   .abs_section_1ba\r
790      _CAN1TXDSR7                                1BB       1       1       0   .abs_section_1bb\r
791      _CAN1TXERR                                 18F       1       1       0   .abs_section_18f\r
792      _CAN1TXIDR0                                1B0       1       1       0   .abs_section_1b0\r
793      _CAN1TXIDR1                                1B1       1       1       0   .abs_section_1b1\r
794      _CAN1TXIDR2                                1B2       1       1       0   .abs_section_1b2\r
795      _CAN1TXIDR3                                1B3       1       1       0   .abs_section_1b3\r
796      _CAN1TXTBPR                                1BF       1       1       0   .abs_section_1bf\r
797      _CAN2BTR0                                  1C2       1       1       0   .abs_section_1c2\r
798      _CAN2BTR1                                  1C3       1       1       0   .abs_section_1c3\r
799      _CAN2CTL0                                  1C0       1       1       0   .abs_section_1c0\r
800      _CAN2CTL1                                  1C1       1       1       0   .abs_section_1c1\r
801      _CAN2IDAC                                  1CB       1       1       0   .abs_section_1cb\r
802      _CAN2IDAR0                                 1D0       1       1       0   .abs_section_1d0\r
803      _CAN2IDAR1                                 1D1       1       1       0   .abs_section_1d1\r
804      _CAN2IDAR2                                 1D2       1       1       0   .abs_section_1d2\r
805      _CAN2IDAR3                                 1D3       1       1       0   .abs_section_1d3\r
806      _CAN2IDAR4                                 1D8       1       1       0   .abs_section_1d8\r
807      _CAN2IDAR5                                 1D9       1       1       0   .abs_section_1d9\r
808      _CAN2IDAR6                                 1DA       1       1       0   .abs_section_1da\r
809      _CAN2IDAR7                                 1DB       1       1       0   .abs_section_1db\r
810      _CAN2IDMR0                                 1D4       1       1       0   .abs_section_1d4\r
811      _CAN2IDMR1                                 1D5       1       1       0   .abs_section_1d5\r
812      _CAN2IDMR2                                 1D6       1       1       0   .abs_section_1d6\r
813      _CAN2IDMR3                                 1D7       1       1       0   .abs_section_1d7\r
814      _CAN2IDMR4                                 1DC       1       1       0   .abs_section_1dc\r
815      _CAN2IDMR5                                 1DD       1       1       0   .abs_section_1dd\r
816      _CAN2IDMR6                                 1DE       1       1       0   .abs_section_1de\r
817      _CAN2IDMR7                                 1DF       1       1       0   .abs_section_1df\r
818      _CAN2RFLG                                  1C4       1       1       0   .abs_section_1c4\r
819      _CAN2RIER                                  1C5       1       1       0   .abs_section_1c5\r
820      _CAN2RXDLR                                 1EC       1       1       0   .abs_section_1ec\r
821      _CAN2RXDSR0                                1E4       1       1       0   .abs_section_1e4\r
822      _CAN2RXDSR1                                1E5       1       1       0   .abs_section_1e5\r
823      _CAN2RXDSR2                                1E6       1       1       0   .abs_section_1e6\r
824      _CAN2RXDSR3                                1E7       1       1       0   .abs_section_1e7\r
825      _CAN2RXDSR4                                1E8       1       1       0   .abs_section_1e8\r
826      _CAN2RXDSR5                                1E9       1       1       0   .abs_section_1e9\r
827      _CAN2RXDSR6                                1EA       1       1       0   .abs_section_1ea\r
828      _CAN2RXDSR7                                1EB       1       1       0   .abs_section_1eb\r
829      _CAN2RXERR                                 1CE       1       1       0   .abs_section_1ce\r
830      _CAN2RXIDR0                                1E0       1       1       0   .abs_section_1e0\r
831      _CAN2RXIDR1                                1E1       1       1       0   .abs_section_1e1\r
832      _CAN2RXIDR2                                1E2       1       1       0   .abs_section_1e2\r
833      _CAN2RXIDR3                                1E3       1       1       0   .abs_section_1e3\r
834      _CAN2TAAK                                  1C9       1       1       0   .abs_section_1c9\r
835      _CAN2TARQ                                  1C8       1       1       0   .abs_section_1c8\r
836      _CAN2TBSEL                                 1CA       1       1       0   .abs_section_1ca\r
837      _CAN2TFLG                                  1C6       1       1       0   .abs_section_1c6\r
838      _CAN2TIER                                  1C7       1       1       0   .abs_section_1c7\r
839      _CAN2TXDLR                                 1FC       1       1       0   .abs_section_1fc\r
840      _CAN2TXDSR0                                1F4       1       1       0   .abs_section_1f4\r
841      _CAN2TXDSR1                                1F5       1       1       0   .abs_section_1f5\r
842      _CAN2TXDSR2                                1F6       1       1       0   .abs_section_1f6\r
843      _CAN2TXDSR3                                1F7       1       1       0   .abs_section_1f7\r
844      _CAN2TXDSR4                                1F8       1       1       0   .abs_section_1f8\r
845      _CAN2TXDSR5                                1F9       1       1       0   .abs_section_1f9\r
846      _CAN2TXDSR6                                1FA       1       1       0   .abs_section_1fa\r
847      _CAN2TXDSR7                                1FB       1       1       0   .abs_section_1fb\r
848      _CAN2TXERR                                 1CF       1       1       0   .abs_section_1cf\r
849      _CAN2TXIDR0                                1F0       1       1       0   .abs_section_1f0\r
850      _CAN2TXIDR1                                1F1       1       1       0   .abs_section_1f1\r
851      _CAN2TXIDR2                                1F2       1       1       0   .abs_section_1f2\r
852      _CAN2TXIDR3                                1F3       1       1       0   .abs_section_1f3\r
853      _CAN2TXTBPR                                1FF       1       1       0   .abs_section_1ff\r
854      _CAN3BTR0                                  202       1       1       0   .abs_section_202\r
855      _CAN3BTR1                                  203       1       1       0   .abs_section_203\r
856      _CAN3CTL0                                  200       1       1       0   .abs_section_200\r
857      _CAN3CTL1                                  201       1       1       0   .abs_section_201\r
858      _CAN3IDAC                                  20B       1       1       0   .abs_section_20b\r
859      _CAN3IDAR0                                 210       1       1       0   .abs_section_210\r
860      _CAN3IDAR1                                 211       1       1       0   .abs_section_211\r
861      _CAN3IDAR2                                 212       1       1       0   .abs_section_212\r
862      _CAN3IDAR3                                 213       1       1       0   .abs_section_213\r
863      _CAN3IDAR4                                 218       1       1       0   .abs_section_218\r
864      _CAN3IDAR5                                 219       1       1       0   .abs_section_219\r
865      _CAN3IDAR6                                 21A       1       1       0   .abs_section_21a\r
866      _CAN3IDAR7                                 21B       1       1       0   .abs_section_21b\r
867      _CAN3IDMR0                                 214       1       1       0   .abs_section_214\r
868      _CAN3IDMR1                                 215       1       1       0   .abs_section_215\r
869      _CAN3IDMR2                                 216       1       1       0   .abs_section_216\r
870      _CAN3IDMR3                                 217       1       1       0   .abs_section_217\r
871      _CAN3IDMR4                                 21C       1       1       0   .abs_section_21c\r
872      _CAN3IDMR5                                 21D       1       1       0   .abs_section_21d\r
873      _CAN3IDMR6                                 21E       1       1       0   .abs_section_21e\r
874      _CAN3IDMR7                                 21F       1       1       0   .abs_section_21f\r
875      _CAN3RFLG                                  204       1       1       0   .abs_section_204\r
876      _CAN3RIER                                  205       1       1       0   .abs_section_205\r
877      _CAN3RXDLR                                 22C       1       1       0   .abs_section_22c\r
878      _CAN3RXDSR0                                224       1       1       0   .abs_section_224\r
879      _CAN3RXDSR1                                225       1       1       0   .abs_section_225\r
880      _CAN3RXDSR2                                226       1       1       0   .abs_section_226\r
881      _CAN3RXDSR3                                227       1       1       0   .abs_section_227\r
882      _CAN3RXDSR4                                228       1       1       0   .abs_section_228\r
883      _CAN3RXDSR5                                229       1       1       0   .abs_section_229\r
884      _CAN3RXDSR6                                22A       1       1       0   .abs_section_22a\r
885      _CAN3RXDSR7                                22B       1       1       0   .abs_section_22b\r
886      _CAN3RXERR                                 20E       1       1       0   .abs_section_20e\r
887      _CAN3RXIDR0                                220       1       1       0   .abs_section_220\r
888      _CAN3RXIDR1                                221       1       1       0   .abs_section_221\r
889      _CAN3RXIDR2                                222       1       1       0   .abs_section_222\r
890      _CAN3RXIDR3                                223       1       1       0   .abs_section_223\r
891      _CAN3TAAK                                  209       1       1       0   .abs_section_209\r
892      _CAN3TARQ                                  208       1       1       0   .abs_section_208\r
893      _CAN3TBSEL                                 20A       1       1       0   .abs_section_20a\r
894      _CAN3TFLG                                  206       1       1       0   .abs_section_206\r
895      _CAN3TIER                                  207       1       1       0   .abs_section_207\r
896      _CAN3TXDLR                                 23C       1       1       0   .abs_section_23c\r
897      _CAN3TXDSR0                                234       1       1       0   .abs_section_234\r
898      _CAN3TXDSR1                                235       1       1       0   .abs_section_235\r
899      _CAN3TXDSR2                                236       1       1       0   .abs_section_236\r
900      _CAN3TXDSR3                                237       1       1       0   .abs_section_237\r
901      _CAN3TXDSR4                                238       1       1       0   .abs_section_238\r
902      _CAN3TXDSR5                                239       1       1       0   .abs_section_239\r
903      _CAN3TXDSR6                                23A       1       1       0   .abs_section_23a\r
904      _CAN3TXDSR7                                23B       1       1       0   .abs_section_23b\r
905      _CAN3TXERR                                 20F       1       1       0   .abs_section_20f\r
906      _CAN3TXIDR0                                230       1       1       0   .abs_section_230\r
907      _CAN3TXIDR1                                231       1       1       0   .abs_section_231\r
908      _CAN3TXIDR2                                232       1       1       0   .abs_section_232\r
909      _CAN3TXIDR3                                233       1       1       0   .abs_section_233\r
910      _CAN3TXTBPR                                23F       1       1       0   .abs_section_23f\r
911      _CAN4BTR0                                  282       1       1       0   .abs_section_282\r
912      _CAN4BTR1                                  283       1       1       0   .abs_section_283\r
913      _CAN4CTL0                                  280       1       1       0   .abs_section_280\r
914      _CAN4CTL1                                  281       1       1       0   .abs_section_281\r
915      _CAN4IDAC                                  28B       1       1       0   .abs_section_28b\r
916      _CAN4IDAR0                                 290       1       1       0   .abs_section_290\r
917      _CAN4IDAR1                                 291       1       1       0   .abs_section_291\r
918      _CAN4IDAR2                                 292       1       1       0   .abs_section_292\r
919      _CAN4IDAR3                                 293       1       1       0   .abs_section_293\r
920      _CAN4IDAR4                                 298       1       1       0   .abs_section_298\r
921      _CAN4IDAR5                                 299       1       1       0   .abs_section_299\r
922      _CAN4IDAR6                                 29A       1       1       0   .abs_section_29a\r
923      _CAN4IDAR7                                 29B       1       1       0   .abs_section_29b\r
924      _CAN4IDMR0                                 294       1       1       0   .abs_section_294\r
925      _CAN4IDMR1                                 295       1       1       0   .abs_section_295\r
926      _CAN4IDMR2                                 296       1       1       0   .abs_section_296\r
927      _CAN4IDMR3                                 297       1       1       0   .abs_section_297\r
928      _CAN4IDMR4                                 29C       1       1       0   .abs_section_29c\r
929      _CAN4IDMR5                                 29D       1       1       0   .abs_section_29d\r
930      _CAN4IDMR6                                 29E       1       1       0   .abs_section_29e\r
931      _CAN4IDMR7                                 29F       1       1       0   .abs_section_29f\r
932      _CAN4RFLG                                  284       1       1       0   .abs_section_284\r
933      _CAN4RIER                                  285       1       1       0   .abs_section_285\r
934      _CAN4RXDLR                                 2AC       1       1       0   .abs_section_2ac\r
935      _CAN4RXDSR0                                2A4       1       1       0   .abs_section_2a4\r
936      _CAN4RXDSR1                                2A5       1       1       0   .abs_section_2a5\r
937      _CAN4RXDSR2                                2A6       1       1       0   .abs_section_2a6\r
938      _CAN4RXDSR3                                2A7       1       1       0   .abs_section_2a7\r
939      _CAN4RXDSR4                                2A8       1       1       0   .abs_section_2a8\r
940      _CAN4RXDSR5                                2A9       1       1       0   .abs_section_2a9\r
941      _CAN4RXDSR6                                2AA       1       1       0   .abs_section_2aa\r
942      _CAN4RXDSR7                                2AB       1       1       0   .abs_section_2ab\r
943      _CAN4RXERR                                 28E       1       1       0   .abs_section_28e\r
944      _CAN4RXIDR0                                2A0       1       1       0   .abs_section_2a0\r
945      _CAN4RXIDR1                                2A1       1       1       0   .abs_section_2a1\r
946      _CAN4RXIDR2                                2A2       1       1       0   .abs_section_2a2\r
947      _CAN4RXIDR3                                2A3       1       1       0   .abs_section_2a3\r
948      _CAN4TAAK                                  289       1       1       0   .abs_section_289\r
949      _CAN4TARQ                                  288       1       1       0   .abs_section_288\r
950      _CAN4TBSEL                                 28A       1       1       0   .abs_section_28a\r
951      _CAN4TFLG                                  286       1       1       0   .abs_section_286\r
952      _CAN4TIER                                  287       1       1       0   .abs_section_287\r
953      _CAN4TXDLR                                 2BC       1       1       0   .abs_section_2bc\r
954      _CAN4TXDSR0                                2B4       1       1       0   .abs_section_2b4\r
955      _CAN4TXDSR1                                2B5       1       1       0   .abs_section_2b5\r
956      _CAN4TXDSR2                                2B6       1       1       0   .abs_section_2b6\r
957      _CAN4TXDSR3                                2B7       1       1       0   .abs_section_2b7\r
958      _CAN4TXDSR4                                2B8       1       1       0   .abs_section_2b8\r
959      _CAN4TXDSR5                                2B9       1       1       0   .abs_section_2b9\r
960      _CAN4TXDSR6                                2BA       1       1       0   .abs_section_2ba\r
961      _CAN4TXDSR7                                2BB       1       1       0   .abs_section_2bb\r
962      _CAN4TXERR                                 28F       1       1       0   .abs_section_28f\r
963      _CAN4TXIDR0                                2B0       1       1       0   .abs_section_2b0\r
964      _CAN4TXIDR1                                2B1       1       1       0   .abs_section_2b1\r
965      _CAN4TXIDR2                                2B2       1       1       0   .abs_section_2b2\r
966      _CAN4TXIDR3                                2B3       1       1       0   .abs_section_2b3\r
967      _CAN4TXTBPR                                2BF       1       1       0   .abs_section_2bf\r
968      _CFORC                                      41       1       1       0   .abs_section_41\r
969      _CLKSEL                                     39       1       1       3   .abs_section_39\r
970      _COPCTL                                     3C       1       1       0   .abs_section_3c\r
971      _CRGFLG                                     37       1       1       1   .abs_section_37\r
972      _CRGINT                                     38       1       1       0   .abs_section_38\r
973      _CTCTL                                      3E       1       1       0   .abs_section_3e\r
974      _CTFLG                                      36       1       1       0   .abs_section_36\r
975      _DDRE                                        9       1       1       0   .abs_section_9\r
976      _DDRH                                      262       1       1       0   .abs_section_262\r
977      _DDRJ                                      26A       1       1       0   .abs_section_26a\r
978      _DDRK                                       33       1       1       0   .abs_section_33\r
979      _DDRM                                      252       1       1       0   .abs_section_252\r
980      _DDRP                                      25A       1       1       0   .abs_section_25a\r
981      _DDRS                                      24A       1       1       2   .abs_section_24a\r
982      _DDRT                                      242       1       1       0   .abs_section_242\r
983      _DLCBARD                                    EC       1       1       0   .abs_section_ec\r
984      _DLCBCR1                                    E8       1       1       0   .abs_section_e8\r
985      _DLCBCR2                                    EA       1       1       0   .abs_section_ea\r
986      _DLCBDR                                     EB       1       1       0   .abs_section_eb\r
987      _DLCBRSR                                    ED       1       1       0   .abs_section_ed\r
988      _DLCBSVR                                    E9       1       1       0   .abs_section_e9\r
989      _DLCSCR                                     EE       1       1       0   .abs_section_ee\r
990      _DLYCT                                      69       1       1       0   .abs_section_69\r
991      _EBICTL                                      E       1       1       0   .abs_section_e\r
992      _ECLKDIV                                   110       1       1       0   .abs_section_110\r
993      _ECMD                                      116       1       1       0   .abs_section_116\r
994      _ECNFG                                     113       1       1       0   .abs_section_113\r
995      _EPROT                                     114       1       1       0   .abs_section_114\r
996      _ESTAT                                     115       1       1       0   .abs_section_115\r
997      _FCLKDIV                                   100       1       1       0   .abs_section_100\r
998      _FCMD                                      106       1       1       0   .abs_section_106\r
999      _FCNFG                                     103       1       1       0   .abs_section_103\r
1000      _FORBYP                                     3D       1       1       0   .abs_section_3d\r
1001      _FPROT                                     104       1       1       0   .abs_section_104\r
1002      _FSEC                                      101       1       1       0   .abs_section_101\r
1003      _FSTAT                                     105       1       1       0   .abs_section_105\r
1004      _HPRIO                                      1F       1       1       0   .abs_section_1f\r
1005      _IBAD                                       E0       1       1       0   .abs_section_e0\r
1006      _IBCR                                       E2       1       1       0   .abs_section_e2\r
1007      _IBDR                                       E4       1       1       0   .abs_section_e4\r
1008      _IBFD                                       E1       1       1       0   .abs_section_e1\r
1009      _IBSR                                       E3       1       1       0   .abs_section_e3\r
1010      _ICOVW                                      6A       1       1       0   .abs_section_6a\r
1011      _ICPAR                                      68       1       1       0   .abs_section_68\r
1012      _ICSYS                                      6B       1       1       1   .abs_section_6b\r
1013      _INITEE                                     12       1       1       1   .abs_section_12\r
1014      _INITRG                                     11       1       1       0   .abs_section_11\r
1015      _INITRM                                     10       1       1       1   .abs_section_10\r
1016      _INTCR                                      1E       1       1       1   .abs_section_1e\r
1017      _ITCR                                       15       1       1       0   .abs_section_15\r
1018      _ITEST                                      16       1       1       0   .abs_section_16\r
1019      _MCCTL                                      66       1       1       1   .abs_section_66\r
1020      _MCFLG                                      67       1       1       0   .abs_section_67\r
1021      _MEMSIZ0                                    1C       1       1       0   .abs_section_1c\r
1022      _MEMSIZ1                                    1D       1       1       0   .abs_section_1d\r
1023      _MISC                                       13       1       1       1   .abs_section_13\r
1024      _MODE                                        B       1       1       0   .abs_section_b\r
1025      _MODRR                                     257       1       1       0   .abs_section_257\r
1026      _MTST0                                      14       1       1       0   .abs_section_14\r
1027      _MTST1                                      17       1       1       0   .abs_section_17\r
1028      _OC7D                                       43       1       1       0   .abs_section_43\r
1029      _OC7M                                       42       1       1       0   .abs_section_42\r
1030      _PACTL                                      60       1       1       0   .abs_section_60\r
1031      _PAFLG                                      61       1       1       0   .abs_section_61\r
1032      _PARTIDH                                    1A       1       1       0   .abs_section_1a\r
1033      _PARTIDL                                    1B       1       1       0   .abs_section_1b\r
1034      _PBCTL                                      70       1       1       0   .abs_section_70\r
1035      _PBFLG                                      71       1       1       0   .abs_section_71\r
1036      _PEAR                                        A       1       1       0   .abs_section_a\r
1037      _PERH                                      264       1       1       0   .abs_section_264\r
1038      _PERJ                                      26C       1       1       0   .abs_section_26c\r
1039      _PERM                                      254       1       1       0   .abs_section_254\r
1040      _PERP                                      25C       1       1       0   .abs_section_25c\r
1041      _PERS                                      24C       1       1       0   .abs_section_24c\r
1042      _PERT                                      244       1       1       0   .abs_section_244\r
1043      _PIEH                                      266       1       1       0   .abs_section_266\r
1044      _PIEJ                                      26E       1       1       0   .abs_section_26e\r
1045      _PIEP                                      25E       1       1       0   .abs_section_25e\r
1046      _PIFH                                      267       1       1       0   .abs_section_267\r
1047      _PIFJ                                      26F       1       1       0   .abs_section_26f\r
1048      _PIFP                                      25F       1       1       0   .abs_section_25f\r
1049      _PLLCTL                                     3A       1       1       3   .abs_section_3a\r
1050      _PORTAD0                                    8F       1       1       0   .abs_section_8f\r
1051      _PORTAD1                                   12F       1       1       0   .abs_section_12f\r
1052      _PORTE                                       8       1       1       0   .abs_section_8\r
1053      _PORTK                                      32       1       1       0   .abs_section_32\r
1054      _PPAGE                                      30       1       1       0   .abs_section_30\r
1055      _PPSH                                      265       1       1       0   .abs_section_265\r
1056      _PPSJ                                      26D       1       1       0   .abs_section_26d\r
1057      _PPSM                                      255       1       1       0   .abs_section_255\r
1058      _PPSP                                      25D       1       1       0   .abs_section_25d\r
1059      _PPSS                                      24D       1       1       0   .abs_section_24d\r
1060      _PPST                                      245       1       1       0   .abs_section_245\r
1061      _PTH                                       260       1       1       0   .abs_section_260\r
1062      _PTIH                                      261       1       1       0   .abs_section_261\r
1063      _PTIJ                                      269       1       1       0   .abs_section_269\r
1064      _PTIM                                      251       1       1       0   .abs_section_251\r
1065      _PTIP                                      259       1       1       0   .abs_section_259\r
1066      _PTIS                                      249       1       1       0   .abs_section_249\r
1067      _PTIT                                      241       1       1       0   .abs_section_241\r
1068      _PTJ                                       268       1       1       0   .abs_section_268\r
1069      _PTM                                       250       1       1       0   .abs_section_250\r
1070      _PTP                                       258       1       1       0   .abs_section_258\r
1071      _PTS                                       248       1       1       1   .abs_section_248\r
1072      _PTT                                       240       1       1       0   .abs_section_240\r
1073      _PUCR                                        C       1       1       0   .abs_section_c\r
1074      _PWMCAE                                     A4       1       1       0   .abs_section_a4\r
1075      _PWMCLK                                     A2       1       1       0   .abs_section_a2\r
1076      _PWMCTL                                     A5       1       1       1   .abs_section_a5\r
1077      _PWME                                       A0       1       1       0   .abs_section_a0\r
1078      _PWMPOL                                     A1       1       1       0   .abs_section_a1\r
1079      _PWMPRCLK                                   A3       1       1       0   .abs_section_a3\r
1080      _PWMSCLA                                    A8       1       1       0   .abs_section_a8\r
1081      _PWMSCLB                                    A9       1       1       0   .abs_section_a9\r
1082      _PWMSDN                                     C4       1       1       1   .abs_section_c4\r
1083      _RDRH                                      263       1       1       0   .abs_section_263\r
1084      _RDRIV                                       D       1       1       0   .abs_section_d\r
1085      _RDRJ                                      26B       1       1       0   .abs_section_26b\r
1086      _RDRM                                      253       1       1       0   .abs_section_253\r
1087      _RDRP                                      25B       1       1       0   .abs_section_25b\r
1088      _RDRS                                      24B       1       1       0   .abs_section_24b\r
1089      _RDRT                                      243       1       1       0   .abs_section_243\r
1090      _REFDV                                      35       1       1       1   .abs_section_35\r
1091      _RTICTL                                     3B       1       1       0   .abs_section_3b\r
1092      _SCI0CR1                                    CA       1       1       1   .abs_section_ca\r
1093      _SCI0CR2                                    CB       1       1       7   .abs_section_cb\r
1094      _SCI0DRH                                    CE       1       1       0   .abs_section_ce\r
1095      _SCI0DRL                                    CF       1       1       3   .abs_section_cf\r
1096      _SCI0SR1                                    CC       1       1       2   .abs_section_cc\r
1097      _SCI0SR2                                    CD       1       1       1   .abs_section_cd\r
1098      _SCI1CR1                                    D2       1       1       0   .abs_section_d2\r
1099      _SCI1CR2                                    D3       1       1       0   .abs_section_d3\r
1100      _SCI1DRH                                    D6       1       1       0   .abs_section_d6\r
1101      _SCI1DRL                                    D7       1       1       0   .abs_section_d7\r
1102      _SCI1SR1                                    D4       1       1       0   .abs_section_d4\r
1103      _SCI1SR2                                    D5       1       1       0   .abs_section_d5\r
1104      _SPI0BR                                     DA       1       1       0   .abs_section_da\r
1105      _SPI0CR1                                    D8       1       1       0   .abs_section_d8\r
1106      _SPI0CR2                                    D9       1       1       0   .abs_section_d9\r
1107      _SPI0DR                                     DD       1       1       0   .abs_section_dd\r
1108      _SPI0SR                                     DB       1       1       0   .abs_section_db\r
1109      _SPI1BR                                     F2       1       1       0   .abs_section_f2\r
1110      _SPI1CR1                                    F0       1       1       0   .abs_section_f0\r
1111      _SPI1CR2                                    F1       1       1       0   .abs_section_f1\r
1112      _SPI1DR                                     F5       1       1       0   .abs_section_f5\r
1113      _SPI1SR                                     F3       1       1       0   .abs_section_f3\r
1114      _SPI2BR                                     FA       1       1       0   .abs_section_fa\r
1115      _SPI2CR1                                    F8       1       1       0   .abs_section_f8\r
1116      _SPI2CR2                                    F9       1       1       0   .abs_section_f9\r
1117      _SPI2DR                                     FD       1       1       0   .abs_section_fd\r
1118      _SPI2SR                                     FB       1       1       0   .abs_section_fb\r
1119      _SYNR                                       34       1       1       1   .abs_section_34\r
1120      _TCTL1                                      48       1       1       1   .abs_section_48\r
1121      _TCTL2                                      49       1       1       1   .abs_section_49\r
1122      _TCTL3                                      4A       1       1       0   .abs_section_4a\r
1123      _TCTL4                                      4B       1       1       0   .abs_section_4b\r
1124      _TFLG1                                      4E       1       1       3   .abs_section_4e\r
1125      _TFLG2                                      4F       1       1       0   .abs_section_4f\r
1126      _TIE                                        4C       1       1       3   .abs_section_4c\r
1127      _TIMTST                                     6D       1       1       0   .abs_section_6d\r
1128      _TIOS                                       40       1       1       1   .abs_section_40\r
1129      _TSCR1                                      46       1       1       3   .abs_section_46\r
1130      _TSCR2                                      4D       1       1       5   .abs_section_4d\r
1131      _TTOV                                       47       1       1       1   .abs_section_47\r
1132      _WOMM                                      256       1       1       0   .abs_section_256\r
1133      _WOMS                                      24E       1       1       0   .abs_section_24e\r
1134      _ATD0CTL23                                  82       2       2       0   .abs_section_82\r
1135      _ATD0CTL45                                  84       2       2       0   .abs_section_84\r
1136      _ATD0DR0                                    90       2       2       0   .abs_section_90\r
1137      _ATD0DR1                                    92       2       2       0   .abs_section_92\r
1138      _ATD0DR2                                    94       2       2       0   .abs_section_94\r
1139      _ATD0DR3                                    96       2       2       0   .abs_section_96\r
1140      _ATD0DR4                                    98       2       2       0   .abs_section_98\r
1141      _ATD0DR5                                    9A       2       2       0   .abs_section_9a\r
1142      _ATD0DR6                                    9C       2       2       0   .abs_section_9c\r
1143      _ATD0DR7                                    9E       2       2       0   .abs_section_9e\r
1144      _ATD1CTL23                                 122       2       2       0   .abs_section_122\r
1145      _ATD1CTL45                                 124       2       2       0   .abs_section_124\r
1146      _ATD1DR0                                   130       2       2       0   .abs_section_130\r
1147      _ATD1DR1                                   132       2       2       0   .abs_section_132\r
1148      _ATD1DR2                                   134       2       2       0   .abs_section_134\r
1149      _ATD1DR3                                   136       2       2       0   .abs_section_136\r
1150      _ATD1DR4                                   138       2       2       0   .abs_section_138\r
1151      _ATD1DR5                                   13A       2       2       0   .abs_section_13a\r
1152      _ATD1DR6                                   13C       2       2       0   .abs_section_13c\r
1153      _ATD1DR7                                   13E       2       2       0   .abs_section_13e\r
1154      _DDRAB                                       2       2       2       1   .abs_section_2\r
1155      _MCCNT                                      76       2       2       0   .abs_section_76\r
1156      _PA10H                                      74       2       2       0   .abs_section_74\r
1157      _PA32H                                      72       2       2       0   .abs_section_72\r
1158      _PACN10                                     64       2       2       0   .abs_section_64\r
1159      _PACN32                                     62       2       2       0   .abs_section_62\r
1160      _PORTAB                                      0       2       2       6   .abs_section_0\r
1161      _PWMCNT01                                   AC       2       2       0   .abs_section_ac\r
1162      _PWMCNT23                                   AE       2       2       0   .abs_section_ae\r
1163      _PWMCNT45                                   B0       2       2       0   .abs_section_b0\r
1164      _PWMCNT67                                   B2       2       2       0   .abs_section_b2\r
1165      _PWMDTY01                                   BC       2       2       0   .abs_section_bc\r
1166      _PWMDTY23                                   BE       2       2       0   .abs_section_be\r
1167      _PWMDTY45                                   C0       2       2       0   .abs_section_c0\r
1168      _PWMDTY67                                   C2       2       2       0   .abs_section_c2\r
1169      _PWMPER01                                   B4       2       2       0   .abs_section_b4\r
1170      _PWMPER23                                   B6       2       2       0   .abs_section_b6\r
1171      _PWMPER45                                   B8       2       2       0   .abs_section_b8\r
1172      _PWMPER67                                   BA       2       2       0   .abs_section_ba\r
1173      _SCI0BD                                     C8       2       2       2   .abs_section_c8\r
1174      _SCI1BD                                     D0       2       2       0   .abs_section_d0\r
1175      _TC0                                        50       2       2       2   .abs_section_50\r
1176      _TC0H                                       78       2       2       0   .abs_section_78\r
1177      _TC1                                        52       2       2       0   .abs_section_52\r
1178      _TC1H                                       7A       2       2       0   .abs_section_7a\r
1179      _TC2                                        54       2       2       0   .abs_section_54\r
1180      _TC2H                                       7C       2       2       0   .abs_section_7c\r
1181      _TC3                                        56       2       2       0   .abs_section_56\r
1182      _TC3H                                       7E       2       2       0   .abs_section_7e\r
1183      _TC4                                        58       2       2       0   .abs_section_58\r
1184      _TC5                                        5A       2       2       0   .abs_section_5a\r
1185      _TC6                                        5C       2       2       0   .abs_section_5c\r
1186      _TC7                                        5E       2       2       2   .abs_section_5e\r
1187      _TCNT                                       44       2       2       0   .abs_section_44\r
1188 MODULE:                 -- Vectors.c.o --\r
1189 - PROCEDURES:\r
1190 - VARIABLES:\r
1191      _vect                                     FF80      80     128       0   .abs_section_ff80\r
1192 MODULE:                 -- RTOSDemo.C.o --\r
1193 - PROCEDURES:\r
1194      main                                    30809A       9       9       0   .text       \r
1195 - VARIABLES:\r
1196 MODULE:                 -- main.c.o --\r
1197 - PROCEDURES:\r
1198      vMain                                   3080A3      52      82       1   .text       \r
1199      vErrorChecks                            3080F5      39      57       3   .text       \r
1200      prvCheckOtherTasksAreStillRunning       30812E      50      80       2   .text       \r
1201      vApplicationIdleHook                    30817E      70     112       2   .text       \r
1202 - VARIABLES:\r
1203      STRING.Check.1                            C09A       6       6       1   .rodata1    \r
1204      xLocalError                               1001       1       1       2   .bss        \r
1205 MODULE:                 -- ParTest.c.o --\r
1206 - PROCEDURES:\r
1207      vParTestSetLED                          3081EE      23      35       4   .text       \r
1208      vParTestToggleLED                       308211      14      20      10   .text       \r
1209 - VARIABLES:\r
1210 MODULE:                 -- serial.c.o --\r
1211 - PROCEDURES:\r
1212      xSerialPortInitMinimal                  308225      26      38       2   .text       \r
1213      xSerialGetChar                          318000      13      19       4   ROM_PAGE31_524\r
1214      xSerialPutChar                          318013      18      24       2   ROM_PAGE31_524\r
1215      vCOM0_ISR                                 C300      59      89       1   NON_BANKED  \r
1216 - VARIABLES:\r
1217      xRxedChars                                1002       2       2       3   .bss        \r
1218      xCharsForTx                               1004       2       2       3   .bss        \r
1219 MODULE:                 -- tasks.c.o --\r
1220 - PROCEDURES:\r
1221      xTaskCreate                             31802B      D5     213      48   ROM_PAGE31_524\r
1222      vTaskDelete                             318100      4A      74       4   ROM_PAGE31_524\r
1223      vTaskDelayUntil                         31814A      77     119       6   ROM_PAGE31_524\r
1224      vTaskDelay                              3181C1      46      70      16   ROM_PAGE31_524\r
1225      uxTaskPriorityGet                       318207      22      34       2   ROM_PAGE31_524\r
1226      vTaskPrioritySet                        328000      69     105       4   ROM_PAGE32_525\r
1227      vTaskSuspend                            328069      47      71       6   ROM_PAGE32_525\r
1228      vTaskResume                             3280B0      5C      92       6   ROM_PAGE32_525\r
1229      vTaskStartScheduler                     32810C      31      49       2   ROM_PAGE32_525\r
1230      vTaskSuspendAll                         32813D      13      19      26   ROM_PAGE32_525\r
1231      xTaskResumeAll                          328150      9F     159      30   ROM_PAGE32_525\r
1232      xTaskGetTickCount                       3281EF      17      23       6   ROM_PAGE32_525\r
1233      uxTaskGetNumberOfTasks                  328206      17      23       4   ROM_PAGE32_525\r
1234      vTaskIncrementTick                      338000      84     132       4   ROM_PAGE33_526\r
1235      vTaskSwitchContext                      338084      5B      91       4   ROM_PAGE33_526\r
1236      vTaskPlaceOnEventList                   3380DF      41      65       4   ROM_PAGE33_526\r
1237      xTaskRemoveFromEventList                338120      69     105       8   ROM_PAGE33_526\r
1238      prvIdleTask                             338189      12      18       3   ROM_PAGE33_526\r
1239      prvInitialiseTCBVariables               33819B      4D      77       2   ROM_PAGE33_526\r
1240      prvInitialiseTaskLists                  3381E8      3C      60       2   ROM_PAGE33_526\r
1241      prvCheckTasksWaitingTermination         348000      53      83       2   ROM_PAGE34_527\r
1242      prvAllocateTCBAndStack                  348053      3D      61       2   ROM_PAGE34_527\r
1243      prvDeleteTCB                            348090       F      15       2   ROM_PAGE34_527\r
1244 - VARIABLES:\r
1245      STRING.IDLE.2                             C0A0       5       5       1   .rodata1    \r
1246      pxCurrentTCB                              1006       2       2      28   .bss        \r
1247      uxTasksDeleted                            1008       1       1       3   .bss        \r
1248      uxCurrentNumberOfTasks                    1009       1       1       5   .bss        \r
1249      xTickCount                                100A       2       2      14   .bss        \r
1250      uxTopUsedPriority                         100C       1       1       2   .bss        \r
1251      uxTopReadyPriority                        100D       1       1      15   .bss        \r
1252      xSchedulerRunning                         100E       1       1       3   .bss        \r
1253      uxSchedulerSuspended                      100F       1       1       6   .bss        \r
1254      uxMissedTicks                             1010       1       1       4   .bss        \r
1255      uxTaskNumber.1                            1011       1       1       2   .bss        \r
1256      pxReadyTasksLists                         1012      3C      60      11   .bss        \r
1257      xDelayedTaskList1                         104E       F      15       2   .bss        \r
1258      xDelayedTaskList2                         105D       F      15       2   .bss        \r
1259      pxDelayedTaskList                         106C       2       2       8   .bss        \r
1260      pxOverflowDelayedTaskList                 106E       2       2       6   .bss        \r
1261      xPendingReadyList                         1070       F      15       4   .bss        \r
1262      xTasksWaitingTermination                  107F       F      15       5   .bss        \r
1263      xSuspendedTaskList                        108E       F      15       2   .bss        \r
1264 MODULE:                 -- queue.c.o --\r
1265 - PROCEDURES:\r
1266      xQueueCreate                            34809F      7B     123      14   ROM_PAGE34_527\r
1267      xQueueSend                              34811A      D4     212       9   ROM_PAGE34_527\r
1268      xQueueSendFromISR                       3481EE      5D      93       2   ROM_PAGE34_527\r
1269      xQueueReceive                           358000      CF     207       9   ROM_PAGE35_528\r
1270      xQueueReceiveFromISR                    3580CF      61      97       2   ROM_PAGE35_528\r
1271      uxQueueMessagesWaiting                  358130      1B      27       2   ROM_PAGE35_528\r
1272      prvUnlockQueue                          35814B      71     113       8   ROM_PAGE35_528\r
1273      prvIsQueueEmpty                         3581BC      22      34       2   ROM_PAGE35_528\r
1274      prvIsQueueFull                          3581DE      25      37       2   ROM_PAGE35_528\r
1275 - VARIABLES:\r
1276 MODULE:                 -- list.c.o --\r
1277 - PROCEDURES:\r
1278      vListInitialise                         358203      20      32       6   ROM_PAGE35_528\r
1279      vListInitialiseItem                     358223       7       7       6   ROM_PAGE35_528\r
1280      vListInsertEnd                          35822A      25      37      16   ROM_PAGE35_528\r
1281      vListInsert                             368000      55      85       8   ROM_PAGE36_529\r
1282      vListRemove                             368055      23      35      32   ROM_PAGE36_529\r
1283 - VARIABLES:\r
1284 MODULE:                 -- heap_2.c.o --\r
1285 - PROCEDURES:\r
1286      pvPortMalloc                            368078      C1     193      14   ROM_PAGE36_529\r
1287      vPortFree                               368139      3B      59      10   ROM_PAGE36_529\r
1288 - VARIABLES:\r
1289      xHeapHasBeenInitialised.1                 109D       1       1       2   .bss        \r
1290      xHeap                                     109E    2804   10244       2   .bss        \r
1291      xStart                                    38A2       4       4       6   .bss        \r
1292      xEnd                                      38A6       4       4       4   .bss        \r
1293 MODULE:                 -- flash.c.o --\r
1294 - PROCEDURES:\r
1295      vStartLEDFlashTasks                     368174      32      50       2   ROM_PAGE36_529\r
1296      vLEDFlashTask                           3681A6      57      87       3   ROM_PAGE36_529\r
1297 - VARIABLES:\r
1298      STRING.LEDx.1                             C0A5       5       5       1   .rodata1    \r
1299      uxFlashTaskNumber                         38AA       1       1       2   .bss        \r
1300 MODULE:                 -- dynamic.c.o --\r
1301 - PROCEDURES:\r
1302      vStartDynamicPriorityTasks              378000      9B     155       2   ROM_PAGE37_530\r
1303      vLimitedIncrementTask                   37809B      27      39       3   ROM_PAGE37_530\r
1304      vContinuousIncrementTask                3780C2      38      56       3   ROM_PAGE37_530\r
1305      vCounterControlTask                     3780FA      A0     160       5   ROM_PAGE37_530\r
1306      vQueueSendWhenSuspendedTask             37819A      38      56       3   ROM_PAGE37_530\r
1307      vQueueReceiveWhenSuspendedTask          3781D2      54      84       3   ROM_PAGE37_530\r
1308      xAreDynamicPriorityTasksStillRunning     378226      2B      43       2   ROM_PAGE37_530\r
1309 - VARIABLES:\r
1310      STRING.CNT_INC.1                          C0AA       8       8       1   .rodata1    \r
1311      STRING.LIM_INC.2                          C0B2       8       8       1   .rodata1    \r
1312      STRING.C_CTRL.3                           C0BA       7       7       1   .rodata1    \r
1313      STRING.SUSP_TX.4                          C0C1       8       8       1   .rodata1    \r
1314      STRING.SUSP_RX.5                          C0C9       8       8       1   .rodata1    \r
1315      usCheckVariable                           38AB       2       2       3   .bss        \r
1316      xSuspendedQueueSendError                  38AD       1       1       2   .bss        \r
1317      xSuspendedQueueReceiveError               38AE       1       1       3   .bss        \r
1318      ulValueToSend.6                           38AF       4       4       5   .bss        \r
1319      ulExpectedValue.7                         38B3       4       4       6   .bss        \r
1320      usLastTaskCheck.9                         38B7       2       2       2   .bss        \r
1321      xContinousIncrementHandle                 38B9       2       2       5   .bss        \r
1322      xLimitedIncrementHandle                   38BB       2       2       2   .bss        \r
1323      ulCounter                                 38BD       4       4      10   .bss        \r
1324      ulReceivedValue.8                         38C1       4       4       3   .bss        \r
1325      xSuspendedTestQueue                       38EC       2       2       3   .common     \r
1326 MODULE:                 -- PollQ.c.o --\r
1327 - PROCEDURES:\r
1328      vStartPolledQueueTasks                  388000      4B      75       2   ROM_PAGE38_531\r
1329      vPolledQueueProducer                    38804B      4F      79       3   ROM_PAGE38_531\r
1330      vPolledQueueConsumer                    38809A      5C      92       3   ROM_PAGE38_531\r
1331      xArePollingQueuesStillRunning           3880F6      16      22       2   ROM_PAGE38_531\r
1332 - VARIABLES:\r
1333      STRING.QConsNB.2                          C0D1       8       8       1   .rodata1    \r
1334      STRING.QProdNB.3                          C0D9       8       8       1   .rodata1    \r
1335      xPollingConsumerCount                     38C5       1       1       3   .bss        \r
1336      xPollingProducerCount                     38C6       1       1       3   .bss        \r
1337      xPolledQueue.1                            38C7       2       2       3   .bss        \r
1338 MODULE:                 -- TickTimer.C.o --\r
1339 - PROCEDURES:\r
1340      TickTimer_Enable                        3B8124       9       9       2   TickTimer_CODE\r
1341      TickTimer_SetFreqHz                     3B812D      56      86       2   TickTimer_CODE\r
1342      TickTimer_Init                          3B8183      1C      28       2   TickTimer_CODE\r
1343 - VARIABLES:\r
1344      CmpHighVal                                38F2       2       2       2   TickTimer_DATA\r
1345 MODULE:                 -- Byte1.C.o --\r
1346 - PROCEDURES:\r
1347      Byte1_PutBit                            3B819F      21      33       2   Byte1_CODE  \r
1348      Byte1_NegBit                            3B81C0      14      20       2   Byte1_CODE  \r
1349 - VARIABLES:\r
1350      Byte1_Table                               38F4       8       8       2   Byte1_DATA  \r
1351 MODULE:                 -- PE_Timer.C.o --\r
1352 - PROCEDURES:\r
1353      PE_Timer_LngHi1                         38810C      4A      74       2   ROM_PAGE38_531\r
1354 - VARIABLES:\r
1355 MODULE:                 -- comtest.c.o --\r
1356 - PROCEDURES:\r
1357      vAltStartComTestTasks                   388156      4D      77       2   ROM_PAGE38_531\r
1358      vComTxTask                              3881A3      4F      79       3   ROM_PAGE38_531\r
1359      vComRxTask                              398000      7B     123       3   ROM_PAGE39_532\r
1360      xAreComTestTasksStillRunning            39807B       D      13       2   ROM_PAGE39_532\r
1361 - VARIABLES:\r
1362      STRING.COMTx.1                            C0E1       6       6       1   .rodata1    \r
1363      STRING.COMRx.2                            C0E7       6       6       1   .rodata1    \r
1364      xPort                                     38C9       2       2       3   .bss        \r
1365      uxBaseLED                                 38CB       1       1       5   .bss        \r
1366      uxRxLoops                                 38CC       1       1       3   .bss        \r
1367 MODULE:                 -- COM0.C.o --\r
1368 - PROCEDURES:\r
1369      COM0_SetBaudRateMode                    3B81D4      19      25       2   COM0_CODE   \r
1370      COM0_Init                               3B81ED      25      37       2   COM0_CODE   \r
1371 - VARIABLES:\r
1372      COM0_PrescHigh.1                          38FC       8       8       1   COM0_DATA   \r
1373      SerFlag                                   3904       2       2       1   COM0_DATA   \r
1374      PrescHigh                                 3906       2       2       2   COM0_DATA   \r
1375      NumMode                                   3908       1       1       2   COM0_DATA   \r
1376 MODULE:                 -- port.c.o --\r
1377 - PROCEDURES:\r
1378      pxPortInitialiseStack                   398088      31      49       2   ROM_PAGE39_532\r
1379      xPortStartScheduler                     3980B9       4       4       2   ROM_PAGE39_532\r
1380      xBankedStartScheduler                     C359      1A      26       1   NON_BANKED  \r
1381      vPortYield                                C373      1D      29       1   NON_BANKED  \r
1382      vPortTickInterrupt                        C390      25      37       1   NON_BANKED  \r
1383 - VARIABLES:\r
1384      uxCriticalNesting                         1000       1       1     101   .data       \r
1385 MODULE:                 -- integer.c.o --\r
1386 - PROCEDURES:\r
1387      vStartIntegerMathTasks                  3980BD      33      51       2   ROM_PAGE39_532\r
1388      vCompeteingIntMathTask                  3980F0      87     135       3   ROM_PAGE39_532\r
1389      xAreIntegerMathsTaskStillRunning        398177      20      32       2   ROM_PAGE39_532\r
1390 - VARIABLES:\r
1391      STRING.IntMath.1                          C0ED       8       8       1   .rodata1    \r
1392      xTaskCheck                                38CD       1       1       3   .bss        \r
1393 MODULE:                 -- BlockQ.c.o --\r
1394 - PROCEDURES:\r
1395      vStartBlockingQueueTasks                3A8000     143     323       7   ROM_PAGE3A_533\r
1396      vBlockingQueueProducer                  3A8143      3F      63       9   ROM_PAGE3A_533\r
1397      vBlockingQueueConsumer                  3A8182      47      71       9   ROM_PAGE3A_533\r
1398      xAreBlockingQueuesStillRunning          3A81C9      52      82       2   ROM_PAGE3A_533\r
1399 - VARIABLES:\r
1400      STRING.QConsB1.1                          C0F5       8       8       1   .rodata1    \r
1401      STRING.QProdB2.2                          C0FD       8       8       1   .rodata1    \r
1402      STRING.QProdB3.3                          C105       8       8       1   .rodata1    \r
1403      STRING.QConsB4.4                          C10D       8       8       1   .rodata1    \r
1404      STRING.QProdB5.5                          C115       8       8       1   .rodata1    \r
1405      STRING.QConsB6.6                          C11D       8       8       1   .rodata1    \r
1406      sBlockingConsumerCount                    38CE       6       6       4   .bss        \r
1407      sBlockingProducerCount                    38D4       6       6       5   .bss        \r
1408      sLastBlockingConsumerCount.7              38DA       6       6       2   .bss        \r
1409      sLastBlockingProducerCount.8              38E0       6       6       2   .bss        \r
1410 MODULE:                 -- death.c.o --\r
1411 - PROCEDURES:\r
1412      vCreateSuicidalTasks                    3A821B      31      49       2   ROM_PAGE3A_533\r
1413      vSuicidalTask                           3B8000      58      88      12   ROM_PAGE3B_534\r
1414      vCreateTasks                            3B8058      92     146       4   ROM_PAGE3B_534\r
1415      xIsCreateTaskStillRunning               3B80EA      3A      58       2   ROM_PAGE3B_534\r
1416 - VARIABLES:\r
1417      STRING.CREATOR.1                          C125       8       8       1   .rodata1    \r
1418      STRING.SUICIDE1.2                         C12D       9       9       1   .rodata1    \r
1419      STRING.SUICIDE2.3                         C136       9       9       1   .rodata1    \r
1420      STRING.SUICIDE1.4                         C13F       9       9       1   .rodata1    \r
1421      STRING.SUICIDE2.5                         C148       9       9       1   .rodata1    \r
1422      usCreationCount                           38E6       2       2       4   .bss        \r
1423      uxTasksRunningAtStart                     38E8       1       1       4   .bss        \r
1424      usLastCreationCount.6                     38E9       2       2       2   .bss        \r
1425      uxTasksRunningNow.7                       38EB       1       1       1   .bss        \r
1426      xCreatedTask1                             38EE       2       2       2   .common     \r
1427      xCreatedTask2                             38F0       2       2       2   .common     \r
1428 \r
1429 *********************************************************************************************\r
1430 MODULE STATISTIC\r
1431   Name                                      Data   Code  Const\r
1432 ---------------------------------------------------------------------------------------------\r
1433   Start12.c.o                                  0     59      0\r
1434   STRING.C.o (ansibi.lib)                      0    113      0\r
1435   rtshc12.c.o (ansibi.lib)                     0    412      0\r
1436   Cpu.C.o                                      0    125      0\r
1437   IO_Map.C.o                                 577      0      0\r
1438   Vectors.c.o                                  0      0    128\r
1439   RTOSDemo.C.o                                 0      9      0\r
1440   main.c.o                                     1    331      6\r
1441   ParTest.c.o                                  0     55      0\r
1442   serial.c.o                                   4    170      0\r
1443   tasks.c.o                                  151   1758      5\r
1444   queue.c.o                                    0    943      0\r
1445   list.c.o                                     0    196      0\r
1446   heap_2.c.o                               10253    252      0\r
1447   flash.c.o                                    1    137      5\r
1448   dynamic.c.o                                 28    593     39\r
1449   PollQ.c.o                                    4    268     16\r
1450   TickTimer.C.o                                2    123      0\r
1451   Byte1.C.o                                    8     53      0\r
1452   PE_Timer.C.o                                 0     74      0\r
1453   comtest.c.o                                  4    292     12\r
1454   COM0.C.o                                    13     62      0\r
1455   port.c.o                                     1    145      0\r
1456   integer.c.o                                  1    218      8\r
1457   BlockQ.c.o                                  24    539     48\r
1458   death.c.o                                   10    341     44\r
1459   other                                      128     30     27\r
1460 \r
1461 *********************************************************************************************\r
1462 SECTION USE IN OBJECT-ALLOCATION SECTION\r
1463 ---------------------------------------------------------------------------------------------\r
1464 SECTION: ".text"\r
1465   Init memcpy memset strncpy main vMain vErrorChecks \r
1466   prvCheckOtherTasksAreStillRunning vApplicationIdleHook vParTestSetLED \r
1467   vParTestToggleLED xSerialPortInitMinimal \r
1468 SECTION: ".data"\r
1469   uxCriticalNesting \r
1470 SECTION: ".bss"\r
1471   xLocalError xRxedChars xCharsForTx pxCurrentTCB uxTasksDeleted \r
1472   uxCurrentNumberOfTasks xTickCount uxTopUsedPriority uxTopReadyPriority \r
1473   xSchedulerRunning uxSchedulerSuspended uxMissedTicks uxTaskNumber.1 \r
1474   pxReadyTasksLists xDelayedTaskList1 xDelayedTaskList2 pxDelayedTaskList \r
1475   pxOverflowDelayedTaskList xPendingReadyList xTasksWaitingTermination \r
1476   xSuspendedTaskList xHeapHasBeenInitialised.1 xHeap xStart xEnd \r
1477   uxFlashTaskNumber usCheckVariable xSuspendedQueueSendError \r
1478   xSuspendedQueueReceiveError ulValueToSend.6 ulExpectedValue.7 \r
1479   usLastTaskCheck.9 xContinousIncrementHandle xLimitedIncrementHandle ulCounter \r
1480   ulReceivedValue.8 xPollingConsumerCount xPollingProducerCount xPolledQueue.1 \r
1481   xPort uxBaseLED uxRxLoops xTaskCheck sBlockingConsumerCount \r
1482   sBlockingProducerCount sLastBlockingConsumerCount.7 \r
1483   sLastBlockingProducerCount.8 usCreationCount uxTasksRunningAtStart \r
1484   usLastCreationCount.6 uxTasksRunningNow.7 \r
1485 SECTION: ".init"\r
1486   _EntryPoint PE_low_level_init \r
1487 SECTION: ".rodata1"\r
1488   STRING.Check.1 STRING.IDLE.2 STRING.LEDx.1 STRING.CNT_INC.1 STRING.LIM_INC.2 \r
1489   STRING.C_CTRL.3 STRING.SUSP_TX.4 STRING.SUSP_RX.5 STRING.QConsNB.2 \r
1490   STRING.QProdNB.3 STRING.COMTx.1 STRING.COMRx.2 STRING.IntMath.1 \r
1491   STRING.QConsB1.1 STRING.QProdB2.2 STRING.QProdB3.3 STRING.QConsB4.4 \r
1492   STRING.QProdB5.5 STRING.QConsB6.6 STRING.CREATOR.1 STRING.SUICIDE1.2 \r
1493   STRING.SUICIDE2.3 STRING.SUICIDE1.4 STRING.SUICIDE2.5 \r
1494 SECTION: "NON_BANKED"\r
1495   _Startup _LCMP _LCMP_P _LNEG _LINC _LMUL _lDivMod _LDIVU _NEG_P _LDIVS \r
1496   Cpu_Interrupt vCOM0_ISR xBankedStartScheduler vPortYield vPortTickInterrupt \r
1497 SECTION: ".common"\r
1498   xSuspendedTestQueue xCreatedTask1 xCreatedTask2 \r
1499 SECTION: "TickTimer_CODE"\r
1500   TickTimer_Enable TickTimer_SetFreqHz TickTimer_Init \r
1501 SECTION: "Byte1_CODE"\r
1502   Byte1_PutBit Byte1_NegBit \r
1503 SECTION: "COM0_CODE"\r
1504   COM0_SetBaudRateMode COM0_Init \r
1505 SECTION: ".abs_section_3f"\r
1506   _ARMCOP \r
1507 SECTION: ".abs_section_8d"\r
1508   _ATD0DIEN \r
1509 SECTION: ".abs_section_86"\r
1510   _ATD0STAT0 \r
1511 SECTION: ".abs_section_8b"\r
1512   _ATD0STAT1 \r
1513 SECTION: ".abs_section_12d"\r
1514   _ATD1DIEN \r
1515 SECTION: ".abs_section_126"\r
1516   _ATD1STAT0 \r
1517 SECTION: ".abs_section_12b"\r
1518   _ATD1STAT1 \r
1519 SECTION: ".abs_section_ff06"\r
1520   _BDMCCR \r
1521 SECTION: ".abs_section_ff07"\r
1522   _BDMINR \r
1523 SECTION: ".abs_section_ff01"\r
1524   _BDMSTS \r
1525 SECTION: ".abs_section_2b"\r
1526   _BKP0H \r
1527 SECTION: ".abs_section_2c"\r
1528   _BKP0L \r
1529 SECTION: ".abs_section_2a"\r
1530   _BKP0X \r
1531 SECTION: ".abs_section_2e"\r
1532   _BKP1H \r
1533 SECTION: ".abs_section_2f"\r
1534   _BKP1L \r
1535 SECTION: ".abs_section_2d"\r
1536   _BKP1X \r
1537 SECTION: ".abs_section_28"\r
1538   _BKPCT0 \r
1539 SECTION: ".abs_section_29"\r
1540   _BKPCT1 \r
1541 SECTION: ".abs_section_142"\r
1542   _CAN0BTR0 \r
1543 SECTION: ".abs_section_143"\r
1544   _CAN0BTR1 \r
1545 SECTION: ".abs_section_140"\r
1546   _CAN0CTL0 \r
1547 SECTION: ".abs_section_141"\r
1548   _CAN0CTL1 \r
1549 SECTION: ".abs_section_14b"\r
1550   _CAN0IDAC \r
1551 SECTION: ".abs_section_150"\r
1552   _CAN0IDAR0 \r
1553 SECTION: ".abs_section_151"\r
1554   _CAN0IDAR1 \r
1555 SECTION: ".abs_section_152"\r
1556   _CAN0IDAR2 \r
1557 SECTION: ".abs_section_153"\r
1558   _CAN0IDAR3 \r
1559 SECTION: ".abs_section_158"\r
1560   _CAN0IDAR4 \r
1561 SECTION: ".abs_section_159"\r
1562   _CAN0IDAR5 \r
1563 SECTION: ".abs_section_15a"\r
1564   _CAN0IDAR6 \r
1565 SECTION: ".abs_section_15b"\r
1566   _CAN0IDAR7 \r
1567 SECTION: ".abs_section_154"\r
1568   _CAN0IDMR0 \r
1569 SECTION: ".abs_section_155"\r
1570   _CAN0IDMR1 \r
1571 SECTION: ".abs_section_156"\r
1572   _CAN0IDMR2 \r
1573 SECTION: ".abs_section_157"\r
1574   _CAN0IDMR3 \r
1575 SECTION: ".abs_section_15c"\r
1576   _CAN0IDMR4 \r
1577 SECTION: ".abs_section_15d"\r
1578   _CAN0IDMR5 \r
1579 SECTION: ".abs_section_15e"\r
1580   _CAN0IDMR6 \r
1581 SECTION: ".abs_section_15f"\r
1582   _CAN0IDMR7 \r
1583 SECTION: ".abs_section_144"\r
1584   _CAN0RFLG \r
1585 SECTION: ".abs_section_145"\r
1586   _CAN0RIER \r
1587 SECTION: ".abs_section_16c"\r
1588   _CAN0RXDLR \r
1589 SECTION: ".abs_section_164"\r
1590   _CAN0RXDSR0 \r
1591 SECTION: ".abs_section_165"\r
1592   _CAN0RXDSR1 \r
1593 SECTION: ".abs_section_166"\r
1594   _CAN0RXDSR2 \r
1595 SECTION: ".abs_section_167"\r
1596   _CAN0RXDSR3 \r
1597 SECTION: ".abs_section_168"\r
1598   _CAN0RXDSR4 \r
1599 SECTION: ".abs_section_169"\r
1600   _CAN0RXDSR5 \r
1601 SECTION: ".abs_section_16a"\r
1602   _CAN0RXDSR6 \r
1603 SECTION: ".abs_section_16b"\r
1604   _CAN0RXDSR7 \r
1605 SECTION: ".abs_section_14e"\r
1606   _CAN0RXERR \r
1607 SECTION: ".abs_section_160"\r
1608   _CAN0RXIDR0 \r
1609 SECTION: ".abs_section_161"\r
1610   _CAN0RXIDR1 \r
1611 SECTION: ".abs_section_162"\r
1612   _CAN0RXIDR2 \r
1613 SECTION: ".abs_section_163"\r
1614   _CAN0RXIDR3 \r
1615 SECTION: ".abs_section_149"\r
1616   _CAN0TAAK \r
1617 SECTION: ".abs_section_148"\r
1618   _CAN0TARQ \r
1619 SECTION: ".abs_section_14a"\r
1620   _CAN0TBSEL \r
1621 SECTION: ".abs_section_146"\r
1622   _CAN0TFLG \r
1623 SECTION: ".abs_section_147"\r
1624   _CAN0TIER \r
1625 SECTION: ".abs_section_17c"\r
1626   _CAN0TXDLR \r
1627 SECTION: ".abs_section_174"\r
1628   _CAN0TXDSR0 \r
1629 SECTION: ".abs_section_175"\r
1630   _CAN0TXDSR1 \r
1631 SECTION: ".abs_section_176"\r
1632   _CAN0TXDSR2 \r
1633 SECTION: ".abs_section_177"\r
1634   _CAN0TXDSR3 \r
1635 SECTION: ".abs_section_178"\r
1636   _CAN0TXDSR4 \r
1637 SECTION: ".abs_section_179"\r
1638   _CAN0TXDSR5 \r
1639 SECTION: ".abs_section_17a"\r
1640   _CAN0TXDSR6 \r
1641 SECTION: ".abs_section_17b"\r
1642   _CAN0TXDSR7 \r
1643 SECTION: ".abs_section_14f"\r
1644   _CAN0TXERR \r
1645 SECTION: ".abs_section_170"\r
1646   _CAN0TXIDR0 \r
1647 SECTION: ".abs_section_171"\r
1648   _CAN0TXIDR1 \r
1649 SECTION: ".abs_section_172"\r
1650   _CAN0TXIDR2 \r
1651 SECTION: ".abs_section_173"\r
1652   _CAN0TXIDR3 \r
1653 SECTION: ".abs_section_17f"\r
1654   _CAN0TXTBPR \r
1655 SECTION: ".abs_section_182"\r
1656   _CAN1BTR0 \r
1657 SECTION: ".abs_section_183"\r
1658   _CAN1BTR1 \r
1659 SECTION: ".abs_section_180"\r
1660   _CAN1CTL0 \r
1661 SECTION: ".abs_section_181"\r
1662   _CAN1CTL1 \r
1663 SECTION: ".abs_section_18b"\r
1664   _CAN1IDAC \r
1665 SECTION: ".abs_section_190"\r
1666   _CAN1IDAR0 \r
1667 SECTION: ".abs_section_191"\r
1668   _CAN1IDAR1 \r
1669 SECTION: ".abs_section_192"\r
1670   _CAN1IDAR2 \r
1671 SECTION: ".abs_section_193"\r
1672   _CAN1IDAR3 \r
1673 SECTION: ".abs_section_198"\r
1674   _CAN1IDAR4 \r
1675 SECTION: ".abs_section_199"\r
1676   _CAN1IDAR5 \r
1677 SECTION: ".abs_section_19a"\r
1678   _CAN1IDAR6 \r
1679 SECTION: ".abs_section_19b"\r
1680   _CAN1IDAR7 \r
1681 SECTION: ".abs_section_194"\r
1682   _CAN1IDMR0 \r
1683 SECTION: ".abs_section_195"\r
1684   _CAN1IDMR1 \r
1685 SECTION: ".abs_section_196"\r
1686   _CAN1IDMR2 \r
1687 SECTION: ".abs_section_197"\r
1688   _CAN1IDMR3 \r
1689 SECTION: ".abs_section_19c"\r
1690   _CAN1IDMR4 \r
1691 SECTION: ".abs_section_19d"\r
1692   _CAN1IDMR5 \r
1693 SECTION: ".abs_section_19e"\r
1694   _CAN1IDMR6 \r
1695 SECTION: ".abs_section_19f"\r
1696   _CAN1IDMR7 \r
1697 SECTION: ".abs_section_184"\r
1698   _CAN1RFLG \r
1699 SECTION: ".abs_section_185"\r
1700   _CAN1RIER \r
1701 SECTION: ".abs_section_1ac"\r
1702   _CAN1RXDLR \r
1703 SECTION: ".abs_section_1a4"\r
1704   _CAN1RXDSR0 \r
1705 SECTION: ".abs_section_1a5"\r
1706   _CAN1RXDSR1 \r
1707 SECTION: ".abs_section_1a6"\r
1708   _CAN1RXDSR2 \r
1709 SECTION: ".abs_section_1a7"\r
1710   _CAN1RXDSR3 \r
1711 SECTION: ".abs_section_1a8"\r
1712   _CAN1RXDSR4 \r
1713 SECTION: ".abs_section_1a9"\r
1714   _CAN1RXDSR5 \r
1715 SECTION: ".abs_section_1aa"\r
1716   _CAN1RXDSR6 \r
1717 SECTION: ".abs_section_1ab"\r
1718   _CAN1RXDSR7 \r
1719 SECTION: ".abs_section_18e"\r
1720   _CAN1RXERR \r
1721 SECTION: ".abs_section_1a0"\r
1722   _CAN1RXIDR0 \r
1723 SECTION: ".abs_section_1a1"\r
1724   _CAN1RXIDR1 \r
1725 SECTION: ".abs_section_1a2"\r
1726   _CAN1RXIDR2 \r
1727 SECTION: ".abs_section_1a3"\r
1728   _CAN1RXIDR3 \r
1729 SECTION: ".abs_section_189"\r
1730   _CAN1TAAK \r
1731 SECTION: ".abs_section_188"\r
1732   _CAN1TARQ \r
1733 SECTION: ".abs_section_18a"\r
1734   _CAN1TBSEL \r
1735 SECTION: ".abs_section_186"\r
1736   _CAN1TFLG \r
1737 SECTION: ".abs_section_187"\r
1738   _CAN1TIER \r
1739 SECTION: ".abs_section_1bc"\r
1740   _CAN1TXDLR \r
1741 SECTION: ".abs_section_1b4"\r
1742   _CAN1TXDSR0 \r
1743 SECTION: ".abs_section_1b5"\r
1744   _CAN1TXDSR1 \r
1745 SECTION: ".abs_section_1b6"\r
1746   _CAN1TXDSR2 \r
1747 SECTION: ".abs_section_1b7"\r
1748   _CAN1TXDSR3 \r
1749 SECTION: ".abs_section_1b8"\r
1750   _CAN1TXDSR4 \r
1751 SECTION: ".abs_section_1b9"\r
1752   _CAN1TXDSR5 \r
1753 SECTION: ".abs_section_1ba"\r
1754   _CAN1TXDSR6 \r
1755 SECTION: ".abs_section_1bb"\r
1756   _CAN1TXDSR7 \r
1757 SECTION: ".abs_section_18f"\r
1758   _CAN1TXERR \r
1759 SECTION: ".abs_section_1b0"\r
1760   _CAN1TXIDR0 \r
1761 SECTION: ".abs_section_1b1"\r
1762   _CAN1TXIDR1 \r
1763 SECTION: ".abs_section_1b2"\r
1764   _CAN1TXIDR2 \r
1765 SECTION: ".abs_section_1b3"\r
1766   _CAN1TXIDR3 \r
1767 SECTION: ".abs_section_1bf"\r
1768   _CAN1TXTBPR \r
1769 SECTION: ".abs_section_1c2"\r
1770   _CAN2BTR0 \r
1771 SECTION: ".abs_section_1c3"\r
1772   _CAN2BTR1 \r
1773 SECTION: ".abs_section_1c0"\r
1774   _CAN2CTL0 \r
1775 SECTION: ".abs_section_1c1"\r
1776   _CAN2CTL1 \r
1777 SECTION: ".abs_section_1cb"\r
1778   _CAN2IDAC \r
1779 SECTION: ".abs_section_1d0"\r
1780   _CAN2IDAR0 \r
1781 SECTION: ".abs_section_1d1"\r
1782   _CAN2IDAR1 \r
1783 SECTION: ".abs_section_1d2"\r
1784   _CAN2IDAR2 \r
1785 SECTION: ".abs_section_1d3"\r
1786   _CAN2IDAR3 \r
1787 SECTION: ".abs_section_1d8"\r
1788   _CAN2IDAR4 \r
1789 SECTION: ".abs_section_1d9"\r
1790   _CAN2IDAR5 \r
1791 SECTION: ".abs_section_1da"\r
1792   _CAN2IDAR6 \r
1793 SECTION: ".abs_section_1db"\r
1794   _CAN2IDAR7 \r
1795 SECTION: ".abs_section_1d4"\r
1796   _CAN2IDMR0 \r
1797 SECTION: ".abs_section_1d5"\r
1798   _CAN2IDMR1 \r
1799 SECTION: ".abs_section_1d6"\r
1800   _CAN2IDMR2 \r
1801 SECTION: ".abs_section_1d7"\r
1802   _CAN2IDMR3 \r
1803 SECTION: ".abs_section_1dc"\r
1804   _CAN2IDMR4 \r
1805 SECTION: ".abs_section_1dd"\r
1806   _CAN2IDMR5 \r
1807 SECTION: ".abs_section_1de"\r
1808   _CAN2IDMR6 \r
1809 SECTION: ".abs_section_1df"\r
1810   _CAN2IDMR7 \r
1811 SECTION: ".abs_section_1c4"\r
1812   _CAN2RFLG \r
1813 SECTION: ".abs_section_1c5"\r
1814   _CAN2RIER \r
1815 SECTION: ".abs_section_1ec"\r
1816   _CAN2RXDLR \r
1817 SECTION: ".abs_section_1e4"\r
1818   _CAN2RXDSR0 \r
1819 SECTION: ".abs_section_1e5"\r
1820   _CAN2RXDSR1 \r
1821 SECTION: ".abs_section_1e6"\r
1822   _CAN2RXDSR2 \r
1823 SECTION: ".abs_section_1e7"\r
1824   _CAN2RXDSR3 \r
1825 SECTION: ".abs_section_1e8"\r
1826   _CAN2RXDSR4 \r
1827 SECTION: ".abs_section_1e9"\r
1828   _CAN2RXDSR5 \r
1829 SECTION: ".abs_section_1ea"\r
1830   _CAN2RXDSR6 \r
1831 SECTION: ".abs_section_1eb"\r
1832   _CAN2RXDSR7 \r
1833 SECTION: ".abs_section_1ce"\r
1834   _CAN2RXERR \r
1835 SECTION: ".abs_section_1e0"\r
1836   _CAN2RXIDR0 \r
1837 SECTION: ".abs_section_1e1"\r
1838   _CAN2RXIDR1 \r
1839 SECTION: ".abs_section_1e2"\r
1840   _CAN2RXIDR2 \r
1841 SECTION: ".abs_section_1e3"\r
1842   _CAN2RXIDR3 \r
1843 SECTION: ".abs_section_1c9"\r
1844   _CAN2TAAK \r
1845 SECTION: ".abs_section_1c8"\r
1846   _CAN2TARQ \r
1847 SECTION: ".abs_section_1ca"\r
1848   _CAN2TBSEL \r
1849 SECTION: ".abs_section_1c6"\r
1850   _CAN2TFLG \r
1851 SECTION: ".abs_section_1c7"\r
1852   _CAN2TIER \r
1853 SECTION: ".abs_section_1fc"\r
1854   _CAN2TXDLR \r
1855 SECTION: ".abs_section_1f4"\r
1856   _CAN2TXDSR0 \r
1857 SECTION: ".abs_section_1f5"\r
1858   _CAN2TXDSR1 \r
1859 SECTION: ".abs_section_1f6"\r
1860   _CAN2TXDSR2 \r
1861 SECTION: ".abs_section_1f7"\r
1862   _CAN2TXDSR3 \r
1863 SECTION: ".abs_section_1f8"\r
1864   _CAN2TXDSR4 \r
1865 SECTION: ".abs_section_1f9"\r
1866   _CAN2TXDSR5 \r
1867 SECTION: ".abs_section_1fa"\r
1868   _CAN2TXDSR6 \r
1869 SECTION: ".abs_section_1fb"\r
1870   _CAN2TXDSR7 \r
1871 SECTION: ".abs_section_1cf"\r
1872   _CAN2TXERR \r
1873 SECTION: ".abs_section_1f0"\r
1874   _CAN2TXIDR0 \r
1875 SECTION: ".abs_section_1f1"\r
1876   _CAN2TXIDR1 \r
1877 SECTION: ".abs_section_1f2"\r
1878   _CAN2TXIDR2 \r
1879 SECTION: ".abs_section_1f3"\r
1880   _CAN2TXIDR3 \r
1881 SECTION: ".abs_section_1ff"\r
1882   _CAN2TXTBPR \r
1883 SECTION: ".abs_section_202"\r
1884   _CAN3BTR0 \r
1885 SECTION: ".abs_section_203"\r
1886   _CAN3BTR1 \r
1887 SECTION: ".abs_section_200"\r
1888   _CAN3CTL0 \r
1889 SECTION: ".abs_section_201"\r
1890   _CAN3CTL1 \r
1891 SECTION: ".abs_section_20b"\r
1892   _CAN3IDAC \r
1893 SECTION: ".abs_section_210"\r
1894   _CAN3IDAR0 \r
1895 SECTION: ".abs_section_211"\r
1896   _CAN3IDAR1 \r
1897 SECTION: ".abs_section_212"\r
1898   _CAN3IDAR2 \r
1899 SECTION: ".abs_section_213"\r
1900   _CAN3IDAR3 \r
1901 SECTION: ".abs_section_218"\r
1902   _CAN3IDAR4 \r
1903 SECTION: ".abs_section_219"\r
1904   _CAN3IDAR5 \r
1905 SECTION: ".abs_section_21a"\r
1906   _CAN3IDAR6 \r
1907 SECTION: ".abs_section_21b"\r
1908   _CAN3IDAR7 \r
1909 SECTION: ".abs_section_214"\r
1910   _CAN3IDMR0 \r
1911 SECTION: ".abs_section_215"\r
1912   _CAN3IDMR1 \r
1913 SECTION: ".abs_section_216"\r
1914   _CAN3IDMR2 \r
1915 SECTION: ".abs_section_217"\r
1916   _CAN3IDMR3 \r
1917 SECTION: ".abs_section_21c"\r
1918   _CAN3IDMR4 \r
1919 SECTION: ".abs_section_21d"\r
1920   _CAN3IDMR5 \r
1921 SECTION: ".abs_section_21e"\r
1922   _CAN3IDMR6 \r
1923 SECTION: ".abs_section_21f"\r
1924   _CAN3IDMR7 \r
1925 SECTION: ".abs_section_204"\r
1926   _CAN3RFLG \r
1927 SECTION: ".abs_section_205"\r
1928   _CAN3RIER \r
1929 SECTION: ".abs_section_22c"\r
1930   _CAN3RXDLR \r
1931 SECTION: ".abs_section_224"\r
1932   _CAN3RXDSR0 \r
1933 SECTION: ".abs_section_225"\r
1934   _CAN3RXDSR1 \r
1935 SECTION: ".abs_section_226"\r
1936   _CAN3RXDSR2 \r
1937 SECTION: ".abs_section_227"\r
1938   _CAN3RXDSR3 \r
1939 SECTION: ".abs_section_228"\r
1940   _CAN3RXDSR4 \r
1941 SECTION: ".abs_section_229"\r
1942   _CAN3RXDSR5 \r
1943 SECTION: ".abs_section_22a"\r
1944   _CAN3RXDSR6 \r
1945 SECTION: ".abs_section_22b"\r
1946   _CAN3RXDSR7 \r
1947 SECTION: ".abs_section_20e"\r
1948   _CAN3RXERR \r
1949 SECTION: ".abs_section_220"\r
1950   _CAN3RXIDR0 \r
1951 SECTION: ".abs_section_221"\r
1952   _CAN3RXIDR1 \r
1953 SECTION: ".abs_section_222"\r
1954   _CAN3RXIDR2 \r
1955 SECTION: ".abs_section_223"\r
1956   _CAN3RXIDR3 \r
1957 SECTION: ".abs_section_209"\r
1958   _CAN3TAAK \r
1959 SECTION: ".abs_section_208"\r
1960   _CAN3TARQ \r
1961 SECTION: ".abs_section_20a"\r
1962   _CAN3TBSEL \r
1963 SECTION: ".abs_section_206"\r
1964   _CAN3TFLG \r
1965 SECTION: ".abs_section_207"\r
1966   _CAN3TIER \r
1967 SECTION: ".abs_section_23c"\r
1968   _CAN3TXDLR \r
1969 SECTION: ".abs_section_234"\r
1970   _CAN3TXDSR0 \r
1971 SECTION: ".abs_section_235"\r
1972   _CAN3TXDSR1 \r
1973 SECTION: ".abs_section_236"\r
1974   _CAN3TXDSR2 \r
1975 SECTION: ".abs_section_237"\r
1976   _CAN3TXDSR3 \r
1977 SECTION: ".abs_section_238"\r
1978   _CAN3TXDSR4 \r
1979 SECTION: ".abs_section_239"\r
1980   _CAN3TXDSR5 \r
1981 SECTION: ".abs_section_23a"\r
1982   _CAN3TXDSR6 \r
1983 SECTION: ".abs_section_23b"\r
1984   _CAN3TXDSR7 \r
1985 SECTION: ".abs_section_20f"\r
1986   _CAN3TXERR \r
1987 SECTION: ".abs_section_230"\r
1988   _CAN3TXIDR0 \r
1989 SECTION: ".abs_section_231"\r
1990   _CAN3TXIDR1 \r
1991 SECTION: ".abs_section_232"\r
1992   _CAN3TXIDR2 \r
1993 SECTION: ".abs_section_233"\r
1994   _CAN3TXIDR3 \r
1995 SECTION: ".abs_section_23f"\r
1996   _CAN3TXTBPR \r
1997 SECTION: ".abs_section_282"\r
1998   _CAN4BTR0 \r
1999 SECTION: ".abs_section_283"\r
2000   _CAN4BTR1 \r
2001 SECTION: ".abs_section_280"\r
2002   _CAN4CTL0 \r
2003 SECTION: ".abs_section_281"\r
2004   _CAN4CTL1 \r
2005 SECTION: ".abs_section_28b"\r
2006   _CAN4IDAC \r
2007 SECTION: ".abs_section_290"\r
2008   _CAN4IDAR0 \r
2009 SECTION: ".abs_section_291"\r
2010   _CAN4IDAR1 \r
2011 SECTION: ".abs_section_292"\r
2012   _CAN4IDAR2 \r
2013 SECTION: ".abs_section_293"\r
2014   _CAN4IDAR3 \r
2015 SECTION: ".abs_section_298"\r
2016   _CAN4IDAR4 \r
2017 SECTION: ".abs_section_299"\r
2018   _CAN4IDAR5 \r
2019 SECTION: ".abs_section_29a"\r
2020   _CAN4IDAR6 \r
2021 SECTION: ".abs_section_29b"\r
2022   _CAN4IDAR7 \r
2023 SECTION: ".abs_section_294"\r
2024   _CAN4IDMR0 \r
2025 SECTION: ".abs_section_295"\r
2026   _CAN4IDMR1 \r
2027 SECTION: ".abs_section_296"\r
2028   _CAN4IDMR2 \r
2029 SECTION: ".abs_section_297"\r
2030   _CAN4IDMR3 \r
2031 SECTION: ".abs_section_29c"\r
2032   _CAN4IDMR4 \r
2033 SECTION: ".abs_section_29d"\r
2034   _CAN4IDMR5 \r
2035 SECTION: ".abs_section_29e"\r
2036   _CAN4IDMR6 \r
2037 SECTION: ".abs_section_29f"\r
2038   _CAN4IDMR7 \r
2039 SECTION: ".abs_section_284"\r
2040   _CAN4RFLG \r
2041 SECTION: ".abs_section_285"\r
2042   _CAN4RIER \r
2043 SECTION: ".abs_section_2ac"\r
2044   _CAN4RXDLR \r
2045 SECTION: ".abs_section_2a4"\r
2046   _CAN4RXDSR0 \r
2047 SECTION: ".abs_section_2a5"\r
2048   _CAN4RXDSR1 \r
2049 SECTION: ".abs_section_2a6"\r
2050   _CAN4RXDSR2 \r
2051 SECTION: ".abs_section_2a7"\r
2052   _CAN4RXDSR3 \r
2053 SECTION: ".abs_section_2a8"\r
2054   _CAN4RXDSR4 \r
2055 SECTION: ".abs_section_2a9"\r
2056   _CAN4RXDSR5 \r
2057 SECTION: ".abs_section_2aa"\r
2058   _CAN4RXDSR6 \r
2059 SECTION: ".abs_section_2ab"\r
2060   _CAN4RXDSR7 \r
2061 SECTION: ".abs_section_28e"\r
2062   _CAN4RXERR \r
2063 SECTION: ".abs_section_2a0"\r
2064   _CAN4RXIDR0 \r
2065 SECTION: ".abs_section_2a1"\r
2066   _CAN4RXIDR1 \r
2067 SECTION: ".abs_section_2a2"\r
2068   _CAN4RXIDR2 \r
2069 SECTION: ".abs_section_2a3"\r
2070   _CAN4RXIDR3 \r
2071 SECTION: ".abs_section_289"\r
2072   _CAN4TAAK \r
2073 SECTION: ".abs_section_288"\r
2074   _CAN4TARQ \r
2075 SECTION: ".abs_section_28a"\r
2076   _CAN4TBSEL \r
2077 SECTION: ".abs_section_286"\r
2078   _CAN4TFLG \r
2079 SECTION: ".abs_section_287"\r
2080   _CAN4TIER \r
2081 SECTION: ".abs_section_2bc"\r
2082   _CAN4TXDLR \r
2083 SECTION: ".abs_section_2b4"\r
2084   _CAN4TXDSR0 \r
2085 SECTION: ".abs_section_2b5"\r
2086   _CAN4TXDSR1 \r
2087 SECTION: ".abs_section_2b6"\r
2088   _CAN4TXDSR2 \r
2089 SECTION: ".abs_section_2b7"\r
2090   _CAN4TXDSR3 \r
2091 SECTION: ".abs_section_2b8"\r
2092   _CAN4TXDSR4 \r
2093 SECTION: ".abs_section_2b9"\r
2094   _CAN4TXDSR5 \r
2095 SECTION: ".abs_section_2ba"\r
2096   _CAN4TXDSR6 \r
2097 SECTION: ".abs_section_2bb"\r
2098   _CAN4TXDSR7 \r
2099 SECTION: ".abs_section_28f"\r
2100   _CAN4TXERR \r
2101 SECTION: ".abs_section_2b0"\r
2102   _CAN4TXIDR0 \r
2103 SECTION: ".abs_section_2b1"\r
2104   _CAN4TXIDR1 \r
2105 SECTION: ".abs_section_2b2"\r
2106   _CAN4TXIDR2 \r
2107 SECTION: ".abs_section_2b3"\r
2108   _CAN4TXIDR3 \r
2109 SECTION: ".abs_section_2bf"\r
2110   _CAN4TXTBPR \r
2111 SECTION: ".abs_section_41"\r
2112   _CFORC \r
2113 SECTION: ".abs_section_39"\r
2114   _CLKSEL \r
2115 SECTION: ".abs_section_3c"\r
2116   _COPCTL \r
2117 SECTION: ".abs_section_37"\r
2118   _CRGFLG \r
2119 SECTION: ".abs_section_38"\r
2120   _CRGINT \r
2121 SECTION: ".abs_section_3e"\r
2122   _CTCTL \r
2123 SECTION: ".abs_section_36"\r
2124   _CTFLG \r
2125 SECTION: ".abs_section_9"\r
2126   _DDRE \r
2127 SECTION: ".abs_section_262"\r
2128   _DDRH \r
2129 SECTION: ".abs_section_26a"\r
2130   _DDRJ \r
2131 SECTION: ".abs_section_33"\r
2132   _DDRK \r
2133 SECTION: ".abs_section_252"\r
2134   _DDRM \r
2135 SECTION: ".abs_section_25a"\r
2136   _DDRP \r
2137 SECTION: ".abs_section_24a"\r
2138   _DDRS \r
2139 SECTION: ".abs_section_242"\r
2140   _DDRT \r
2141 SECTION: ".abs_section_ec"\r
2142   _DLCBARD \r
2143 SECTION: ".abs_section_e8"\r
2144   _DLCBCR1 \r
2145 SECTION: ".abs_section_ea"\r
2146   _DLCBCR2 \r
2147 SECTION: ".abs_section_eb"\r
2148   _DLCBDR \r
2149 SECTION: ".abs_section_ed"\r
2150   _DLCBRSR \r
2151 SECTION: ".abs_section_e9"\r
2152   _DLCBSVR \r
2153 SECTION: ".abs_section_ee"\r
2154   _DLCSCR \r
2155 SECTION: ".abs_section_69"\r
2156   _DLYCT \r
2157 SECTION: ".abs_section_e"\r
2158   _EBICTL \r
2159 SECTION: ".abs_section_110"\r
2160   _ECLKDIV \r
2161 SECTION: ".abs_section_116"\r
2162   _ECMD \r
2163 SECTION: ".abs_section_113"\r
2164   _ECNFG \r
2165 SECTION: ".abs_section_114"\r
2166   _EPROT \r
2167 SECTION: ".abs_section_115"\r
2168   _ESTAT \r
2169 SECTION: ".abs_section_100"\r
2170   _FCLKDIV \r
2171 SECTION: ".abs_section_106"\r
2172   _FCMD \r
2173 SECTION: ".abs_section_103"\r
2174   _FCNFG \r
2175 SECTION: ".abs_section_3d"\r
2176   _FORBYP \r
2177 SECTION: ".abs_section_104"\r
2178   _FPROT \r
2179 SECTION: ".abs_section_101"\r
2180   _FSEC \r
2181 SECTION: ".abs_section_105"\r
2182   _FSTAT \r
2183 SECTION: ".abs_section_1f"\r
2184   _HPRIO \r
2185 SECTION: ".abs_section_e0"\r
2186   _IBAD \r
2187 SECTION: ".abs_section_e2"\r
2188   _IBCR \r
2189 SECTION: ".abs_section_e4"\r
2190   _IBDR \r
2191 SECTION: ".abs_section_e1"\r
2192   _IBFD \r
2193 SECTION: ".abs_section_e3"\r
2194   _IBSR \r
2195 SECTION: ".abs_section_6a"\r
2196   _ICOVW \r
2197 SECTION: ".abs_section_68"\r
2198   _ICPAR \r
2199 SECTION: ".abs_section_6b"\r
2200   _ICSYS \r
2201 SECTION: ".abs_section_12"\r
2202   _INITEE \r
2203 SECTION: ".abs_section_11"\r
2204   _INITRG \r
2205 SECTION: ".abs_section_10"\r
2206   _INITRM \r
2207 SECTION: ".abs_section_1e"\r
2208   _INTCR \r
2209 SECTION: ".abs_section_15"\r
2210   _ITCR \r
2211 SECTION: ".abs_section_16"\r
2212   _ITEST \r
2213 SECTION: ".abs_section_66"\r
2214   _MCCTL \r
2215 SECTION: ".abs_section_67"\r
2216   _MCFLG \r
2217 SECTION: ".abs_section_1c"\r
2218   _MEMSIZ0 \r
2219 SECTION: ".abs_section_1d"\r
2220   _MEMSIZ1 \r
2221 SECTION: ".abs_section_13"\r
2222   _MISC \r
2223 SECTION: ".abs_section_b"\r
2224   _MODE \r
2225 SECTION: ".abs_section_257"\r
2226   _MODRR \r
2227 SECTION: ".abs_section_14"\r
2228   _MTST0 \r
2229 SECTION: ".abs_section_17"\r
2230   _MTST1 \r
2231 SECTION: ".abs_section_43"\r
2232   _OC7D \r
2233 SECTION: ".abs_section_42"\r
2234   _OC7M \r
2235 SECTION: ".abs_section_60"\r
2236   _PACTL \r
2237 SECTION: ".abs_section_61"\r
2238   _PAFLG \r
2239 SECTION: ".abs_section_1a"\r
2240   _PARTIDH \r
2241 SECTION: ".abs_section_1b"\r
2242   _PARTIDL \r
2243 SECTION: ".abs_section_70"\r
2244   _PBCTL \r
2245 SECTION: ".abs_section_71"\r
2246   _PBFLG \r
2247 SECTION: ".abs_section_a"\r
2248   _PEAR \r
2249 SECTION: ".abs_section_264"\r
2250   _PERH \r
2251 SECTION: ".abs_section_26c"\r
2252   _PERJ \r
2253 SECTION: ".abs_section_254"\r
2254   _PERM \r
2255 SECTION: ".abs_section_25c"\r
2256   _PERP \r
2257 SECTION: ".abs_section_24c"\r
2258   _PERS \r
2259 SECTION: ".abs_section_244"\r
2260   _PERT \r
2261 SECTION: ".abs_section_266"\r
2262   _PIEH \r
2263 SECTION: ".abs_section_26e"\r
2264   _PIEJ \r
2265 SECTION: ".abs_section_25e"\r
2266   _PIEP \r
2267 SECTION: ".abs_section_267"\r
2268   _PIFH \r
2269 SECTION: ".abs_section_26f"\r
2270   _PIFJ \r
2271 SECTION: ".abs_section_25f"\r
2272   _PIFP \r
2273 SECTION: ".abs_section_3a"\r
2274   _PLLCTL \r
2275 SECTION: ".abs_section_8f"\r
2276   _PORTAD0 \r
2277 SECTION: ".abs_section_12f"\r
2278   _PORTAD1 \r
2279 SECTION: ".abs_section_8"\r
2280   _PORTE \r
2281 SECTION: ".abs_section_32"\r
2282   _PORTK \r
2283 SECTION: ".abs_section_30"\r
2284   _PPAGE \r
2285 SECTION: ".abs_section_265"\r
2286   _PPSH \r
2287 SECTION: ".abs_section_26d"\r
2288   _PPSJ \r
2289 SECTION: ".abs_section_255"\r
2290   _PPSM \r
2291 SECTION: ".abs_section_25d"\r
2292   _PPSP \r
2293 SECTION: ".abs_section_24d"\r
2294   _PPSS \r
2295 SECTION: ".abs_section_245"\r
2296   _PPST \r
2297 SECTION: ".abs_section_260"\r
2298   _PTH \r
2299 SECTION: ".abs_section_261"\r
2300   _PTIH \r
2301 SECTION: ".abs_section_269"\r
2302   _PTIJ \r
2303 SECTION: ".abs_section_251"\r
2304   _PTIM \r
2305 SECTION: ".abs_section_259"\r
2306   _PTIP \r
2307 SECTION: ".abs_section_249"\r
2308   _PTIS \r
2309 SECTION: ".abs_section_241"\r
2310   _PTIT \r
2311 SECTION: ".abs_section_268"\r
2312   _PTJ \r
2313 SECTION: ".abs_section_250"\r
2314   _PTM \r
2315 SECTION: ".abs_section_258"\r
2316   _PTP \r
2317 SECTION: ".abs_section_248"\r
2318   _PTS \r
2319 SECTION: ".abs_section_240"\r
2320   _PTT \r
2321 SECTION: ".abs_section_c"\r
2322   _PUCR \r
2323 SECTION: ".abs_section_a4"\r
2324   _PWMCAE \r
2325 SECTION: ".abs_section_a2"\r
2326   _PWMCLK \r
2327 SECTION: ".abs_section_a5"\r
2328   _PWMCTL \r
2329 SECTION: ".abs_section_a0"\r
2330   _PWME \r
2331 SECTION: ".abs_section_a1"\r
2332   _PWMPOL \r
2333 SECTION: ".abs_section_a3"\r
2334   _PWMPRCLK \r
2335 SECTION: ".abs_section_a8"\r
2336   _PWMSCLA \r
2337 SECTION: ".abs_section_a9"\r
2338   _PWMSCLB \r
2339 SECTION: ".abs_section_c4"\r
2340   _PWMSDN \r
2341 SECTION: ".abs_section_263"\r
2342   _RDRH \r
2343 SECTION: ".abs_section_d"\r
2344   _RDRIV \r
2345 SECTION: ".abs_section_26b"\r
2346   _RDRJ \r
2347 SECTION: ".abs_section_253"\r
2348   _RDRM \r
2349 SECTION: ".abs_section_25b"\r
2350   _RDRP \r
2351 SECTION: ".abs_section_24b"\r
2352   _RDRS \r
2353 SECTION: ".abs_section_243"\r
2354   _RDRT \r
2355 SECTION: ".abs_section_35"\r
2356   _REFDV \r
2357 SECTION: ".abs_section_3b"\r
2358   _RTICTL \r
2359 SECTION: ".abs_section_ca"\r
2360   _SCI0CR1 \r
2361 SECTION: ".abs_section_cb"\r
2362   _SCI0CR2 \r
2363 SECTION: ".abs_section_ce"\r
2364   _SCI0DRH \r
2365 SECTION: ".abs_section_cf"\r
2366   _SCI0DRL \r
2367 SECTION: ".abs_section_cc"\r
2368   _SCI0SR1 \r
2369 SECTION: ".abs_section_cd"\r
2370   _SCI0SR2 \r
2371 SECTION: ".abs_section_d2"\r
2372   _SCI1CR1 \r
2373 SECTION: ".abs_section_d3"\r
2374   _SCI1CR2 \r
2375 SECTION: ".abs_section_d6"\r
2376   _SCI1DRH \r
2377 SECTION: ".abs_section_d7"\r
2378   _SCI1DRL \r
2379 SECTION: ".abs_section_d4"\r
2380   _SCI1SR1 \r
2381 SECTION: ".abs_section_d5"\r
2382   _SCI1SR2 \r
2383 SECTION: ".abs_section_da"\r
2384   _SPI0BR \r
2385 SECTION: ".abs_section_d8"\r
2386   _SPI0CR1 \r
2387 SECTION: ".abs_section_d9"\r
2388   _SPI0CR2 \r
2389 SECTION: ".abs_section_dd"\r
2390   _SPI0DR \r
2391 SECTION: ".abs_section_db"\r
2392   _SPI0SR \r
2393 SECTION: ".abs_section_f2"\r
2394   _SPI1BR \r
2395 SECTION: ".abs_section_f0"\r
2396   _SPI1CR1 \r
2397 SECTION: ".abs_section_f1"\r
2398   _SPI1CR2 \r
2399 SECTION: ".abs_section_f5"\r
2400   _SPI1DR \r
2401 SECTION: ".abs_section_f3"\r
2402   _SPI1SR \r
2403 SECTION: ".abs_section_fa"\r
2404   _SPI2BR \r
2405 SECTION: ".abs_section_f8"\r
2406   _SPI2CR1 \r
2407 SECTION: ".abs_section_f9"\r
2408   _SPI2CR2 \r
2409 SECTION: ".abs_section_fd"\r
2410   _SPI2DR \r
2411 SECTION: ".abs_section_fb"\r
2412   _SPI2SR \r
2413 SECTION: ".abs_section_34"\r
2414   _SYNR \r
2415 SECTION: ".abs_section_48"\r
2416   _TCTL1 \r
2417 SECTION: ".abs_section_49"\r
2418   _TCTL2 \r
2419 SECTION: ".abs_section_4a"\r
2420   _TCTL3 \r
2421 SECTION: ".abs_section_4b"\r
2422   _TCTL4 \r
2423 SECTION: ".abs_section_4e"\r
2424   _TFLG1 \r
2425 SECTION: ".abs_section_4f"\r
2426   _TFLG2 \r
2427 SECTION: ".abs_section_4c"\r
2428   _TIE \r
2429 SECTION: ".abs_section_6d"\r
2430   _TIMTST \r
2431 SECTION: ".abs_section_40"\r
2432   _TIOS \r
2433 SECTION: ".abs_section_46"\r
2434   _TSCR1 \r
2435 SECTION: ".abs_section_4d"\r
2436   _TSCR2 \r
2437 SECTION: ".abs_section_47"\r
2438   _TTOV \r
2439 SECTION: ".abs_section_256"\r
2440   _WOMM \r
2441 SECTION: ".abs_section_24e"\r
2442   _WOMS \r
2443 SECTION: ".abs_section_82"\r
2444   _ATD0CTL23 \r
2445 SECTION: ".abs_section_84"\r
2446   _ATD0CTL45 \r
2447 SECTION: ".abs_section_90"\r
2448   _ATD0DR0 \r
2449 SECTION: ".abs_section_92"\r
2450   _ATD0DR1 \r
2451 SECTION: ".abs_section_94"\r
2452   _ATD0DR2 \r
2453 SECTION: ".abs_section_96"\r
2454   _ATD0DR3 \r
2455 SECTION: ".abs_section_98"\r
2456   _ATD0DR4 \r
2457 SECTION: ".abs_section_9a"\r
2458   _ATD0DR5 \r
2459 SECTION: ".abs_section_9c"\r
2460   _ATD0DR6 \r
2461 SECTION: ".abs_section_9e"\r
2462   _ATD0DR7 \r
2463 SECTION: ".abs_section_122"\r
2464   _ATD1CTL23 \r
2465 SECTION: ".abs_section_124"\r
2466   _ATD1CTL45 \r
2467 SECTION: ".abs_section_130"\r
2468   _ATD1DR0 \r
2469 SECTION: ".abs_section_132"\r
2470   _ATD1DR1 \r
2471 SECTION: ".abs_section_134"\r
2472   _ATD1DR2 \r
2473 SECTION: ".abs_section_136"\r
2474   _ATD1DR3 \r
2475 SECTION: ".abs_section_138"\r
2476   _ATD1DR4 \r
2477 SECTION: ".abs_section_13a"\r
2478   _ATD1DR5 \r
2479 SECTION: ".abs_section_13c"\r
2480   _ATD1DR6 \r
2481 SECTION: ".abs_section_13e"\r
2482   _ATD1DR7 \r
2483 SECTION: ".abs_section_2"\r
2484   _DDRAB \r
2485 SECTION: ".abs_section_76"\r
2486   _MCCNT \r
2487 SECTION: ".abs_section_74"\r
2488   _PA10H \r
2489 SECTION: ".abs_section_72"\r
2490   _PA32H \r
2491 SECTION: ".abs_section_64"\r
2492   _PACN10 \r
2493 SECTION: ".abs_section_62"\r
2494   _PACN32 \r
2495 SECTION: ".abs_section_0"\r
2496   _PORTAB \r
2497 SECTION: ".abs_section_ac"\r
2498   _PWMCNT01 \r
2499 SECTION: ".abs_section_ae"\r
2500   _PWMCNT23 \r
2501 SECTION: ".abs_section_b0"\r
2502   _PWMCNT45 \r
2503 SECTION: ".abs_section_b2"\r
2504   _PWMCNT67 \r
2505 SECTION: ".abs_section_bc"\r
2506   _PWMDTY01 \r
2507 SECTION: ".abs_section_be"\r
2508   _PWMDTY23 \r
2509 SECTION: ".abs_section_c0"\r
2510   _PWMDTY45 \r
2511 SECTION: ".abs_section_c2"\r
2512   _PWMDTY67 \r
2513 SECTION: ".abs_section_b4"\r
2514   _PWMPER01 \r
2515 SECTION: ".abs_section_b6"\r
2516   _PWMPER23 \r
2517 SECTION: ".abs_section_b8"\r
2518   _PWMPER45 \r
2519 SECTION: ".abs_section_ba"\r
2520   _PWMPER67 \r
2521 SECTION: ".abs_section_c8"\r
2522   _SCI0BD \r
2523 SECTION: ".abs_section_d0"\r
2524   _SCI1BD \r
2525 SECTION: ".abs_section_50"\r
2526   _TC0 \r
2527 SECTION: ".abs_section_78"\r
2528   _TC0H \r
2529 SECTION: ".abs_section_52"\r
2530   _TC1 \r
2531 SECTION: ".abs_section_7a"\r
2532   _TC1H \r
2533 SECTION: ".abs_section_54"\r
2534   _TC2 \r
2535 SECTION: ".abs_section_7c"\r
2536   _TC2H \r
2537 SECTION: ".abs_section_56"\r
2538   _TC3 \r
2539 SECTION: ".abs_section_7e"\r
2540   _TC3H \r
2541 SECTION: ".abs_section_58"\r
2542   _TC4 \r
2543 SECTION: ".abs_section_5a"\r
2544   _TC5 \r
2545 SECTION: ".abs_section_5c"\r
2546   _TC6 \r
2547 SECTION: ".abs_section_5e"\r
2548   _TC7 \r
2549 SECTION: ".abs_section_44"\r
2550   _TCNT \r
2551 SECTION: ".abs_section_ff80"\r
2552   _vect \r
2553 SECTION: "TickTimer_DATA"\r
2554   CmpHighVal \r
2555 SECTION: "Byte1_DATA"\r
2556   Byte1_Table \r
2557 SECTION: "COM0_DATA"\r
2558   COM0_PrescHigh.1 SerFlag PrescHigh NumMode \r
2559 SECTION: "ROM_PAGE31_524"\r
2560   xSerialGetChar xSerialPutChar xTaskCreate vTaskDelete vTaskDelayUntil \r
2561   vTaskDelay uxTaskPriorityGet \r
2562 SECTION: "ROM_PAGE32_525"\r
2563   vTaskPrioritySet vTaskSuspend vTaskResume vTaskStartScheduler \r
2564   vTaskSuspendAll xTaskResumeAll xTaskGetTickCount uxTaskGetNumberOfTasks \r
2565 SECTION: "ROM_PAGE33_526"\r
2566   vTaskIncrementTick vTaskSwitchContext vTaskPlaceOnEventList \r
2567   xTaskRemoveFromEventList prvIdleTask prvInitialiseTCBVariables \r
2568   prvInitialiseTaskLists \r
2569 SECTION: "ROM_PAGE34_527"\r
2570   prvCheckTasksWaitingTermination prvAllocateTCBAndStack prvDeleteTCB \r
2571   xQueueCreate xQueueSend xQueueSendFromISR \r
2572 SECTION: "ROM_PAGE35_528"\r
2573   xQueueReceive xQueueReceiveFromISR uxQueueMessagesWaiting prvUnlockQueue \r
2574   prvIsQueueEmpty prvIsQueueFull vListInitialise vListInitialiseItem \r
2575   vListInsertEnd \r
2576 SECTION: "ROM_PAGE36_529"\r
2577   vListInsert vListRemove pvPortMalloc vPortFree vStartLEDFlashTasks \r
2578   vLEDFlashTask \r
2579 SECTION: "ROM_PAGE37_530"\r
2580   vStartDynamicPriorityTasks vLimitedIncrementTask vContinuousIncrementTask \r
2581   vCounterControlTask vQueueSendWhenSuspendedTask \r
2582   vQueueReceiveWhenSuspendedTask xAreDynamicPriorityTasksStillRunning \r
2583 SECTION: "ROM_PAGE38_531"\r
2584   vStartPolledQueueTasks vPolledQueueProducer vPolledQueueConsumer \r
2585   xArePollingQueuesStillRunning PE_Timer_LngHi1 vAltStartComTestTasks \r
2586   vComTxTask \r
2587 SECTION: "ROM_PAGE39_532"\r
2588   vComRxTask xAreComTestTasksStillRunning pxPortInitialiseStack \r
2589   xPortStartScheduler vStartIntegerMathTasks vCompeteingIntMathTask \r
2590   xAreIntegerMathsTaskStillRunning \r
2591 SECTION: "ROM_PAGE3A_533"\r
2592   vStartBlockingQueueTasks vBlockingQueueProducer vBlockingQueueConsumer \r
2593   xAreBlockingQueuesStillRunning vCreateSuicidalTasks \r
2594 SECTION: "ROM_PAGE3B_534"\r
2595   vSuicidalTask vCreateTasks xIsCreateTaskStillRunning \r
2596 \r
2597 *********************************************************************************************\r
2598 OBJECT LIST SORTED BY ADDRESS\r
2599      Name                                      Addr   hSize   dSize     Ref    Section   RLIB\r
2600 ---------------------------------------------------------------------------------------------\r
2601      _PORTAB                                      0       2       2       6   .abs_section_0\r
2602      _DDRAB                                       2       2       2       1   .abs_section_2\r
2603      _PORTE                                       8       1       1       0   .abs_section_8\r
2604      _DDRE                                        9       1       1       0   .abs_section_9\r
2605      _PEAR                                        A       1       1       0   .abs_section_a\r
2606      _MODE                                        B       1       1       0   .abs_section_b\r
2607      _PUCR                                        C       1       1       0   .abs_section_c\r
2608      _RDRIV                                       D       1       1       0   .abs_section_d\r
2609      _EBICTL                                      E       1       1       0   .abs_section_e\r
2610      _INITRM                                     10       1       1       1   .abs_section_10\r
2611      _INITRG                                     11       1       1       0   .abs_section_11\r
2612      _INITEE                                     12       1       1       1   .abs_section_12\r
2613      _MISC                                       13       1       1       1   .abs_section_13\r
2614      _MTST0                                      14       1       1       0   .abs_section_14\r
2615      _ITCR                                       15       1       1       0   .abs_section_15\r
2616      _ITEST                                      16       1       1       0   .abs_section_16\r
2617      _MTST1                                      17       1       1       0   .abs_section_17\r
2618      _PARTIDH                                    1A       1       1       0   .abs_section_1a\r
2619      _PARTIDL                                    1B       1       1       0   .abs_section_1b\r
2620      _MEMSIZ0                                    1C       1       1       0   .abs_section_1c\r
2621      _MEMSIZ1                                    1D       1       1       0   .abs_section_1d\r
2622      _INTCR                                      1E       1       1       1   .abs_section_1e\r
2623      _HPRIO                                      1F       1       1       0   .abs_section_1f\r
2624      _BKPCT0                                     28       1       1       0   .abs_section_28\r
2625      _BKPCT1                                     29       1       1       0   .abs_section_29\r
2626      _BKP0X                                      2A       1       1       0   .abs_section_2a\r
2627      _BKP0H                                      2B       1       1       0   .abs_section_2b\r
2628      _BKP0L                                      2C       1       1       0   .abs_section_2c\r
2629      _BKP1X                                      2D       1       1       0   .abs_section_2d\r
2630      _BKP1H                                      2E       1       1       0   .abs_section_2e\r
2631      _BKP1L                                      2F       1       1       0   .abs_section_2f\r
2632      _PPAGE                                      30       1       1       0   .abs_section_30\r
2633      _PORTK                                      32       1       1       0   .abs_section_32\r
2634      _DDRK                                       33       1       1       0   .abs_section_33\r
2635      _SYNR                                       34       1       1       1   .abs_section_34\r
2636      _REFDV                                      35       1       1       1   .abs_section_35\r
2637      _CTFLG                                      36       1       1       0   .abs_section_36\r
2638      _CRGFLG                                     37       1       1       1   .abs_section_37\r
2639      _CRGINT                                     38       1       1       0   .abs_section_38\r
2640      _CLKSEL                                     39       1       1       3   .abs_section_39\r
2641      _PLLCTL                                     3A       1       1       3   .abs_section_3a\r
2642      _RTICTL                                     3B       1       1       0   .abs_section_3b\r
2643      _COPCTL                                     3C       1       1       0   .abs_section_3c\r
2644      _FORBYP                                     3D       1       1       0   .abs_section_3d\r
2645      _CTCTL                                      3E       1       1       0   .abs_section_3e\r
2646      _ARMCOP                                     3F       1       1       0   .abs_section_3f\r
2647      _TIOS                                       40       1       1       1   .abs_section_40\r
2648      _CFORC                                      41       1       1       0   .abs_section_41\r
2649      _OC7M                                       42       1       1       0   .abs_section_42\r
2650      _OC7D                                       43       1       1       0   .abs_section_43\r
2651      _TCNT                                       44       2       2       0   .abs_section_44\r
2652      _TSCR1                                      46       1       1       3   .abs_section_46\r
2653      _TTOV                                       47       1       1       1   .abs_section_47\r
2654      _TCTL1                                      48       1       1       1   .abs_section_48\r
2655      _TCTL2                                      49       1       1       1   .abs_section_49\r
2656      _TCTL3                                      4A       1       1       0   .abs_section_4a\r
2657      _TCTL4                                      4B       1       1       0   .abs_section_4b\r
2658      _TIE                                        4C       1       1       3   .abs_section_4c\r
2659      _TSCR2                                      4D       1       1       5   .abs_section_4d\r
2660      _TFLG1                                      4E       1       1       3   .abs_section_4e\r
2661      _TFLG2                                      4F       1       1       0   .abs_section_4f\r
2662      _TC0                                        50       2       2       2   .abs_section_50\r
2663      _TC1                                        52       2       2       0   .abs_section_52\r
2664      _TC2                                        54       2       2       0   .abs_section_54\r
2665      _TC3                                        56       2       2       0   .abs_section_56\r
2666      _TC4                                        58       2       2       0   .abs_section_58\r
2667      _TC5                                        5A       2       2       0   .abs_section_5a\r
2668      _TC6                                        5C       2       2       0   .abs_section_5c\r
2669      _TC7                                        5E       2       2       2   .abs_section_5e\r
2670      _PACTL                                      60       1       1       0   .abs_section_60\r
2671      _PAFLG                                      61       1       1       0   .abs_section_61\r
2672      _PACN32                                     62       2       2       0   .abs_section_62\r
2673      _PACN10                                     64       2       2       0   .abs_section_64\r
2674      _MCCTL                                      66       1       1       1   .abs_section_66\r
2675      _MCFLG                                      67       1       1       0   .abs_section_67\r
2676      _ICPAR                                      68       1       1       0   .abs_section_68\r
2677      _DLYCT                                      69       1       1       0   .abs_section_69\r
2678      _ICOVW                                      6A       1       1       0   .abs_section_6a\r
2679      _ICSYS                                      6B       1       1       1   .abs_section_6b\r
2680      _TIMTST                                     6D       1       1       0   .abs_section_6d\r
2681      _PBCTL                                      70       1       1       0   .abs_section_70\r
2682      _PBFLG                                      71       1       1       0   .abs_section_71\r
2683      _PA32H                                      72       2       2       0   .abs_section_72\r
2684      _PA10H                                      74       2       2       0   .abs_section_74\r
2685      _MCCNT                                      76       2       2       0   .abs_section_76\r
2686      _TC0H                                       78       2       2       0   .abs_section_78\r
2687      _TC1H                                       7A       2       2       0   .abs_section_7a\r
2688      _TC2H                                       7C       2       2       0   .abs_section_7c\r
2689      _TC3H                                       7E       2       2       0   .abs_section_7e\r
2690      _ATD0CTL23                                  82       2       2       0   .abs_section_82\r
2691      _ATD0CTL45                                  84       2       2       0   .abs_section_84\r
2692      _ATD0STAT0                                  86       1       1       0   .abs_section_86\r
2693      _ATD0STAT1                                  8B       1       1       0   .abs_section_8b\r
2694      _ATD0DIEN                                   8D       1       1       0   .abs_section_8d\r
2695      _PORTAD0                                    8F       1       1       0   .abs_section_8f\r
2696      _ATD0DR0                                    90       2       2       0   .abs_section_90\r
2697      _ATD0DR1                                    92       2       2       0   .abs_section_92\r
2698      _ATD0DR2                                    94       2       2       0   .abs_section_94\r
2699      _ATD0DR3                                    96       2       2       0   .abs_section_96\r
2700      _ATD0DR4                                    98       2       2       0   .abs_section_98\r
2701      _ATD0DR5                                    9A       2       2       0   .abs_section_9a\r
2702      _ATD0DR6                                    9C       2       2       0   .abs_section_9c\r
2703      _ATD0DR7                                    9E       2       2       0   .abs_section_9e\r
2704      _PWME                                       A0       1       1       0   .abs_section_a0\r
2705      _PWMPOL                                     A1       1       1       0   .abs_section_a1\r
2706      _PWMCLK                                     A2       1       1       0   .abs_section_a2\r
2707      _PWMPRCLK                                   A3       1       1       0   .abs_section_a3\r
2708      _PWMCAE                                     A4       1       1       0   .abs_section_a4\r
2709      _PWMCTL                                     A5       1       1       1   .abs_section_a5\r
2710      _PWMSCLA                                    A8       1       1       0   .abs_section_a8\r
2711      _PWMSCLB                                    A9       1       1       0   .abs_section_a9\r
2712      _PWMCNT01                                   AC       2       2       0   .abs_section_ac\r
2713      _PWMCNT23                                   AE       2       2       0   .abs_section_ae\r
2714      _PWMCNT45                                   B0       2       2       0   .abs_section_b0\r
2715      _PWMCNT67                                   B2       2       2       0   .abs_section_b2\r
2716      _PWMPER01                                   B4       2       2       0   .abs_section_b4\r
2717      _PWMPER23                                   B6       2       2       0   .abs_section_b6\r
2718      _PWMPER45                                   B8       2       2       0   .abs_section_b8\r
2719      _PWMPER67                                   BA       2       2       0   .abs_section_ba\r
2720      _PWMDTY01                                   BC       2       2       0   .abs_section_bc\r
2721      _PWMDTY23                                   BE       2       2       0   .abs_section_be\r
2722      _PWMDTY45                                   C0       2       2       0   .abs_section_c0\r
2723      _PWMDTY67                                   C2       2       2       0   .abs_section_c2\r
2724      _PWMSDN                                     C4       1       1       1   .abs_section_c4\r
2725      _SCI0BD                                     C8       2       2       2   .abs_section_c8\r
2726      _SCI0CR1                                    CA       1       1       1   .abs_section_ca\r
2727      _SCI0CR2                                    CB       1       1       7   .abs_section_cb\r
2728      _SCI0SR1                                    CC       1       1       2   .abs_section_cc\r
2729      _SCI0SR2                                    CD       1       1       1   .abs_section_cd\r
2730      _SCI0DRH                                    CE       1       1       0   .abs_section_ce\r
2731      _SCI0DRL                                    CF       1       1       3   .abs_section_cf\r
2732      _SCI1BD                                     D0       2       2       0   .abs_section_d0\r
2733      _SCI1CR1                                    D2       1       1       0   .abs_section_d2\r
2734      _SCI1CR2                                    D3       1       1       0   .abs_section_d3\r
2735      _SCI1SR1                                    D4       1       1       0   .abs_section_d4\r
2736      _SCI1SR2                                    D5       1       1       0   .abs_section_d5\r
2737      _SCI1DRH                                    D6       1       1       0   .abs_section_d6\r
2738      _SCI1DRL                                    D7       1       1       0   .abs_section_d7\r
2739      _SPI0CR1                                    D8       1       1       0   .abs_section_d8\r
2740      _SPI0CR2                                    D9       1       1       0   .abs_section_d9\r
2741      _SPI0BR                                     DA       1       1       0   .abs_section_da\r
2742      _SPI0SR                                     DB       1       1       0   .abs_section_db\r
2743      _SPI0DR                                     DD       1       1       0   .abs_section_dd\r
2744      _IBAD                                       E0       1       1       0   .abs_section_e0\r
2745      _IBFD                                       E1       1       1       0   .abs_section_e1\r
2746      _IBCR                                       E2       1       1       0   .abs_section_e2\r
2747      _IBSR                                       E3       1       1       0   .abs_section_e3\r
2748      _IBDR                                       E4       1       1       0   .abs_section_e4\r
2749      _DLCBCR1                                    E8       1       1       0   .abs_section_e8\r
2750      _DLCBSVR                                    E9       1       1       0   .abs_section_e9\r
2751      _DLCBCR2                                    EA       1       1       0   .abs_section_ea\r
2752      _DLCBDR                                     EB       1       1       0   .abs_section_eb\r
2753      _DLCBARD                                    EC       1       1       0   .abs_section_ec\r
2754      _DLCBRSR                                    ED       1       1       0   .abs_section_ed\r
2755      _DLCSCR                                     EE       1       1       0   .abs_section_ee\r
2756      _SPI1CR1                                    F0       1       1       0   .abs_section_f0\r
2757      _SPI1CR2                                    F1       1       1       0   .abs_section_f1\r
2758      _SPI1BR                                     F2       1       1       0   .abs_section_f2\r
2759      _SPI1SR                                     F3       1       1       0   .abs_section_f3\r
2760      _SPI1DR                                     F5       1       1       0   .abs_section_f5\r
2761      _SPI2CR1                                    F8       1       1       0   .abs_section_f8\r
2762      _SPI2CR2                                    F9       1       1       0   .abs_section_f9\r
2763      _SPI2BR                                     FA       1       1       0   .abs_section_fa\r
2764      _SPI2SR                                     FB       1       1       0   .abs_section_fb\r
2765      _SPI2DR                                     FD       1       1       0   .abs_section_fd\r
2766      _FCLKDIV                                   100       1       1       0   .abs_section_100\r
2767      _FSEC                                      101       1       1       0   .abs_section_101\r
2768      _FCNFG                                     103       1       1       0   .abs_section_103\r
2769      _FPROT                                     104       1       1       0   .abs_section_104\r
2770      _FSTAT                                     105       1       1       0   .abs_section_105\r
2771      _FCMD                                      106       1       1       0   .abs_section_106\r
2772      _ECLKDIV                                   110       1       1       0   .abs_section_110\r
2773      _ECNFG                                     113       1       1       0   .abs_section_113\r
2774      _EPROT                                     114       1       1       0   .abs_section_114\r
2775      _ESTAT                                     115       1       1       0   .abs_section_115\r
2776      _ECMD                                      116       1       1       0   .abs_section_116\r
2777      _ATD1CTL23                                 122       2       2       0   .abs_section_122\r
2778      _ATD1CTL45                                 124       2       2       0   .abs_section_124\r
2779      _ATD1STAT0                                 126       1       1       0   .abs_section_126\r
2780      _ATD1STAT1                                 12B       1       1       0   .abs_section_12b\r
2781      _ATD1DIEN                                  12D       1       1       0   .abs_section_12d\r
2782      _PORTAD1                                   12F       1       1       0   .abs_section_12f\r
2783      _ATD1DR0                                   130       2       2       0   .abs_section_130\r
2784      _ATD1DR1                                   132       2       2       0   .abs_section_132\r
2785      _ATD1DR2                                   134       2       2       0   .abs_section_134\r
2786      _ATD1DR3                                   136       2       2       0   .abs_section_136\r
2787      _ATD1DR4                                   138       2       2       0   .abs_section_138\r
2788      _ATD1DR5                                   13A       2       2       0   .abs_section_13a\r
2789      _ATD1DR6                                   13C       2       2       0   .abs_section_13c\r
2790      _ATD1DR7                                   13E       2       2       0   .abs_section_13e\r
2791      _CAN0CTL0                                  140       1       1       0   .abs_section_140\r
2792      _CAN0CTL1                                  141       1       1       0   .abs_section_141\r
2793      _CAN0BTR0                                  142       1       1       0   .abs_section_142\r
2794      _CAN0BTR1                                  143       1       1       0   .abs_section_143\r
2795      _CAN0RFLG                                  144       1       1       0   .abs_section_144\r
2796      _CAN0RIER                                  145       1       1       0   .abs_section_145\r
2797      _CAN0TFLG                                  146       1       1       0   .abs_section_146\r
2798      _CAN0TIER                                  147       1       1       0   .abs_section_147\r
2799      _CAN0TARQ                                  148       1       1       0   .abs_section_148\r
2800      _CAN0TAAK                                  149       1       1       0   .abs_section_149\r
2801      _CAN0TBSEL                                 14A       1       1       0   .abs_section_14a\r
2802      _CAN0IDAC                                  14B       1       1       0   .abs_section_14b\r
2803      _CAN0RXERR                                 14E       1       1       0   .abs_section_14e\r
2804      _CAN0TXERR                                 14F       1       1       0   .abs_section_14f\r
2805      _CAN0IDAR0                                 150       1       1       0   .abs_section_150\r
2806      _CAN0IDAR1                                 151       1       1       0   .abs_section_151\r
2807      _CAN0IDAR2                                 152       1       1       0   .abs_section_152\r
2808      _CAN0IDAR3                                 153       1       1       0   .abs_section_153\r
2809      _CAN0IDMR0                                 154       1       1       0   .abs_section_154\r
2810      _CAN0IDMR1                                 155       1       1       0   .abs_section_155\r
2811      _CAN0IDMR2                                 156       1       1       0   .abs_section_156\r
2812      _CAN0IDMR3                                 157       1       1       0   .abs_section_157\r
2813      _CAN0IDAR4                                 158       1       1       0   .abs_section_158\r
2814      _CAN0IDAR5                                 159       1       1       0   .abs_section_159\r
2815      _CAN0IDAR6                                 15A       1       1       0   .abs_section_15a\r
2816      _CAN0IDAR7                                 15B       1       1       0   .abs_section_15b\r
2817      _CAN0IDMR4                                 15C       1       1       0   .abs_section_15c\r
2818      _CAN0IDMR5                                 15D       1       1       0   .abs_section_15d\r
2819      _CAN0IDMR6                                 15E       1       1       0   .abs_section_15e\r
2820      _CAN0IDMR7                                 15F       1       1       0   .abs_section_15f\r
2821      _CAN0RXIDR0                                160       1       1       0   .abs_section_160\r
2822      _CAN0RXIDR1                                161       1       1       0   .abs_section_161\r
2823      _CAN0RXIDR2                                162       1       1       0   .abs_section_162\r
2824      _CAN0RXIDR3                                163       1       1       0   .abs_section_163\r
2825      _CAN0RXDSR0                                164       1       1       0   .abs_section_164\r
2826      _CAN0RXDSR1                                165       1       1       0   .abs_section_165\r
2827      _CAN0RXDSR2                                166       1       1       0   .abs_section_166\r
2828      _CAN0RXDSR3                                167       1       1       0   .abs_section_167\r
2829      _CAN0RXDSR4                                168       1       1       0   .abs_section_168\r
2830      _CAN0RXDSR5                                169       1       1       0   .abs_section_169\r
2831      _CAN0RXDSR6                                16A       1       1       0   .abs_section_16a\r
2832      _CAN0RXDSR7                                16B       1       1       0   .abs_section_16b\r
2833      _CAN0RXDLR                                 16C       1       1       0   .abs_section_16c\r
2834      _CAN0TXIDR0                                170       1       1       0   .abs_section_170\r
2835      _CAN0TXIDR1                                171       1       1       0   .abs_section_171\r
2836      _CAN0TXIDR2                                172       1       1       0   .abs_section_172\r
2837      _CAN0TXIDR3                                173       1       1       0   .abs_section_173\r
2838      _CAN0TXDSR0                                174       1       1       0   .abs_section_174\r
2839      _CAN0TXDSR1                                175       1       1       0   .abs_section_175\r
2840      _CAN0TXDSR2                                176       1       1       0   .abs_section_176\r
2841      _CAN0TXDSR3                                177       1       1       0   .abs_section_177\r
2842      _CAN0TXDSR4                                178       1       1       0   .abs_section_178\r
2843      _CAN0TXDSR5                                179       1       1       0   .abs_section_179\r
2844      _CAN0TXDSR6                                17A       1       1       0   .abs_section_17a\r
2845      _CAN0TXDSR7                                17B       1       1       0   .abs_section_17b\r
2846      _CAN0TXDLR                                 17C       1       1       0   .abs_section_17c\r
2847      _CAN0TXTBPR                                17F       1       1       0   .abs_section_17f\r
2848      _CAN1CTL0                                  180       1       1       0   .abs_section_180\r
2849      _CAN1CTL1                                  181       1       1       0   .abs_section_181\r
2850      _CAN1BTR0                                  182       1       1       0   .abs_section_182\r
2851      _CAN1BTR1                                  183       1       1       0   .abs_section_183\r
2852      _CAN1RFLG                                  184       1       1       0   .abs_section_184\r
2853      _CAN1RIER                                  185       1       1       0   .abs_section_185\r
2854      _CAN1TFLG                                  186       1       1       0   .abs_section_186\r
2855      _CAN1TIER                                  187       1       1       0   .abs_section_187\r
2856      _CAN1TARQ                                  188       1       1       0   .abs_section_188\r
2857      _CAN1TAAK                                  189       1       1       0   .abs_section_189\r
2858      _CAN1TBSEL                                 18A       1       1       0   .abs_section_18a\r
2859      _CAN1IDAC                                  18B       1       1       0   .abs_section_18b\r
2860      _CAN1RXERR                                 18E       1       1       0   .abs_section_18e\r
2861      _CAN1TXERR                                 18F       1       1       0   .abs_section_18f\r
2862      _CAN1IDAR0                                 190       1       1       0   .abs_section_190\r
2863      _CAN1IDAR1                                 191       1       1       0   .abs_section_191\r
2864      _CAN1IDAR2                                 192       1       1       0   .abs_section_192\r
2865      _CAN1IDAR3                                 193       1       1       0   .abs_section_193\r
2866      _CAN1IDMR0                                 194       1       1       0   .abs_section_194\r
2867      _CAN1IDMR1                                 195       1       1       0   .abs_section_195\r
2868      _CAN1IDMR2                                 196       1       1       0   .abs_section_196\r
2869      _CAN1IDMR3                                 197       1       1       0   .abs_section_197\r
2870      _CAN1IDAR4                                 198       1       1       0   .abs_section_198\r
2871      _CAN1IDAR5                                 199       1       1       0   .abs_section_199\r
2872      _CAN1IDAR6                                 19A       1       1       0   .abs_section_19a\r
2873      _CAN1IDAR7                                 19B       1       1       0   .abs_section_19b\r
2874      _CAN1IDMR4                                 19C       1       1       0   .abs_section_19c\r
2875      _CAN1IDMR5                                 19D       1       1       0   .abs_section_19d\r
2876      _CAN1IDMR6                                 19E       1       1       0   .abs_section_19e\r
2877      _CAN1IDMR7                                 19F       1       1       0   .abs_section_19f\r
2878      _CAN1RXIDR0                                1A0       1       1       0   .abs_section_1a0\r
2879      _CAN1RXIDR1                                1A1       1       1       0   .abs_section_1a1\r
2880      _CAN1RXIDR2                                1A2       1       1       0   .abs_section_1a2\r
2881      _CAN1RXIDR3                                1A3       1       1       0   .abs_section_1a3\r
2882      _CAN1RXDSR0                                1A4       1       1       0   .abs_section_1a4\r
2883      _CAN1RXDSR1                                1A5       1       1       0   .abs_section_1a5\r
2884      _CAN1RXDSR2                                1A6       1       1       0   .abs_section_1a6\r
2885      _CAN1RXDSR3                                1A7       1       1       0   .abs_section_1a7\r
2886      _CAN1RXDSR4                                1A8       1       1       0   .abs_section_1a8\r
2887      _CAN1RXDSR5                                1A9       1       1       0   .abs_section_1a9\r
2888      _CAN1RXDSR6                                1AA       1       1       0   .abs_section_1aa\r
2889      _CAN1RXDSR7                                1AB       1       1       0   .abs_section_1ab\r
2890      _CAN1RXDLR                                 1AC       1       1       0   .abs_section_1ac\r
2891      _CAN1TXIDR0                                1B0       1       1       0   .abs_section_1b0\r
2892      _CAN1TXIDR1                                1B1       1       1       0   .abs_section_1b1\r
2893      _CAN1TXIDR2                                1B2       1       1       0   .abs_section_1b2\r
2894      _CAN1TXIDR3                                1B3       1       1       0   .abs_section_1b3\r
2895      _CAN1TXDSR0                                1B4       1       1       0   .abs_section_1b4\r
2896      _CAN1TXDSR1                                1B5       1       1       0   .abs_section_1b5\r
2897      _CAN1TXDSR2                                1B6       1       1       0   .abs_section_1b6\r
2898      _CAN1TXDSR3                                1B7       1       1       0   .abs_section_1b7\r
2899      _CAN1TXDSR4                                1B8       1       1       0   .abs_section_1b8\r
2900      _CAN1TXDSR5                                1B9       1       1       0   .abs_section_1b9\r
2901      _CAN1TXDSR6                                1BA       1       1       0   .abs_section_1ba\r
2902      _CAN1TXDSR7                                1BB       1       1       0   .abs_section_1bb\r
2903      _CAN1TXDLR                                 1BC       1       1       0   .abs_section_1bc\r
2904      _CAN1TXTBPR                                1BF       1       1       0   .abs_section_1bf\r
2905      _CAN2CTL0                                  1C0       1       1       0   .abs_section_1c0\r
2906      _CAN2CTL1                                  1C1       1       1       0   .abs_section_1c1\r
2907      _CAN2BTR0                                  1C2       1       1       0   .abs_section_1c2\r
2908      _CAN2BTR1                                  1C3       1       1       0   .abs_section_1c3\r
2909      _CAN2RFLG                                  1C4       1       1       0   .abs_section_1c4\r
2910      _CAN2RIER                                  1C5       1       1       0   .abs_section_1c5\r
2911      _CAN2TFLG                                  1C6       1       1       0   .abs_section_1c6\r
2912      _CAN2TIER                                  1C7       1       1       0   .abs_section_1c7\r
2913      _CAN2TARQ                                  1C8       1       1       0   .abs_section_1c8\r
2914      _CAN2TAAK                                  1C9       1       1       0   .abs_section_1c9\r
2915      _CAN2TBSEL                                 1CA       1       1       0   .abs_section_1ca\r
2916      _CAN2IDAC                                  1CB       1       1       0   .abs_section_1cb\r
2917      _CAN2RXERR                                 1CE       1       1       0   .abs_section_1ce\r
2918      _CAN2TXERR                                 1CF       1       1       0   .abs_section_1cf\r
2919      _CAN2IDAR0                                 1D0       1       1       0   .abs_section_1d0\r
2920      _CAN2IDAR1                                 1D1       1       1       0   .abs_section_1d1\r
2921      _CAN2IDAR2                                 1D2       1       1       0   .abs_section_1d2\r
2922      _CAN2IDAR3                                 1D3       1       1       0   .abs_section_1d3\r
2923      _CAN2IDMR0                                 1D4       1       1       0   .abs_section_1d4\r
2924      _CAN2IDMR1                                 1D5       1       1       0   .abs_section_1d5\r
2925      _CAN2IDMR2                                 1D6       1       1       0   .abs_section_1d6\r
2926      _CAN2IDMR3                                 1D7       1       1       0   .abs_section_1d7\r
2927      _CAN2IDAR4                                 1D8       1       1       0   .abs_section_1d8\r
2928      _CAN2IDAR5                                 1D9       1       1       0   .abs_section_1d9\r
2929      _CAN2IDAR6                                 1DA       1       1       0   .abs_section_1da\r
2930      _CAN2IDAR7                                 1DB       1       1       0   .abs_section_1db\r
2931      _CAN2IDMR4                                 1DC       1       1       0   .abs_section_1dc\r
2932      _CAN2IDMR5                                 1DD       1       1       0   .abs_section_1dd\r
2933      _CAN2IDMR6                                 1DE       1       1       0   .abs_section_1de\r
2934      _CAN2IDMR7                                 1DF       1       1       0   .abs_section_1df\r
2935      _CAN2RXIDR0                                1E0       1       1       0   .abs_section_1e0\r
2936      _CAN2RXIDR1                                1E1       1       1       0   .abs_section_1e1\r
2937      _CAN2RXIDR2                                1E2       1       1       0   .abs_section_1e2\r
2938      _CAN2RXIDR3                                1E3       1       1       0   .abs_section_1e3\r
2939      _CAN2RXDSR0                                1E4       1       1       0   .abs_section_1e4\r
2940      _CAN2RXDSR1                                1E5       1       1       0   .abs_section_1e5\r
2941      _CAN2RXDSR2                                1E6       1       1       0   .abs_section_1e6\r
2942      _CAN2RXDSR3                                1E7       1       1       0   .abs_section_1e7\r
2943      _CAN2RXDSR4                                1E8       1       1       0   .abs_section_1e8\r
2944      _CAN2RXDSR5                                1E9       1       1       0   .abs_section_1e9\r
2945      _CAN2RXDSR6                                1EA       1       1       0   .abs_section_1ea\r
2946      _CAN2RXDSR7                                1EB       1       1       0   .abs_section_1eb\r
2947      _CAN2RXDLR                                 1EC       1       1       0   .abs_section_1ec\r
2948      _CAN2TXIDR0                                1F0       1       1       0   .abs_section_1f0\r
2949      _CAN2TXIDR1                                1F1       1       1       0   .abs_section_1f1\r
2950      _CAN2TXIDR2                                1F2       1       1       0   .abs_section_1f2\r
2951      _CAN2TXIDR3                                1F3       1       1       0   .abs_section_1f3\r
2952      _CAN2TXDSR0                                1F4       1       1       0   .abs_section_1f4\r
2953      _CAN2TXDSR1                                1F5       1       1       0   .abs_section_1f5\r
2954      _CAN2TXDSR2                                1F6       1       1       0   .abs_section_1f6\r
2955      _CAN2TXDSR3                                1F7       1       1       0   .abs_section_1f7\r
2956      _CAN2TXDSR4                                1F8       1       1       0   .abs_section_1f8\r
2957      _CAN2TXDSR5                                1F9       1       1       0   .abs_section_1f9\r
2958      _CAN2TXDSR6                                1FA       1       1       0   .abs_section_1fa\r
2959      _CAN2TXDSR7                                1FB       1       1       0   .abs_section_1fb\r
2960      _CAN2TXDLR                                 1FC       1       1       0   .abs_section_1fc\r
2961      _CAN2TXTBPR                                1FF       1       1       0   .abs_section_1ff\r
2962      _CAN3CTL0                                  200       1       1       0   .abs_section_200\r
2963      _CAN3CTL1                                  201       1       1       0   .abs_section_201\r
2964      _CAN3BTR0                                  202       1       1       0   .abs_section_202\r
2965      _CAN3BTR1                                  203       1       1       0   .abs_section_203\r
2966      _CAN3RFLG                                  204       1       1       0   .abs_section_204\r
2967      _CAN3RIER                                  205       1       1       0   .abs_section_205\r
2968      _CAN3TFLG                                  206       1       1       0   .abs_section_206\r
2969      _CAN3TIER                                  207       1       1       0   .abs_section_207\r
2970      _CAN3TARQ                                  208       1       1       0   .abs_section_208\r
2971      _CAN3TAAK                                  209       1       1       0   .abs_section_209\r
2972      _CAN3TBSEL                                 20A       1       1       0   .abs_section_20a\r
2973      _CAN3IDAC                                  20B       1       1       0   .abs_section_20b\r
2974      _CAN3RXERR                                 20E       1       1       0   .abs_section_20e\r
2975      _CAN3TXERR                                 20F       1       1       0   .abs_section_20f\r
2976      _CAN3IDAR0                                 210       1       1       0   .abs_section_210\r
2977      _CAN3IDAR1                                 211       1       1       0   .abs_section_211\r
2978      _CAN3IDAR2                                 212       1       1       0   .abs_section_212\r
2979      _CAN3IDAR3                                 213       1       1       0   .abs_section_213\r
2980      _CAN3IDMR0                                 214       1       1       0   .abs_section_214\r
2981      _CAN3IDMR1                                 215       1       1       0   .abs_section_215\r
2982      _CAN3IDMR2                                 216       1       1       0   .abs_section_216\r
2983      _CAN3IDMR3                                 217       1       1       0   .abs_section_217\r
2984      _CAN3IDAR4                                 218       1       1       0   .abs_section_218\r
2985      _CAN3IDAR5                                 219       1       1       0   .abs_section_219\r
2986      _CAN3IDAR6                                 21A       1       1       0   .abs_section_21a\r
2987      _CAN3IDAR7                                 21B       1       1       0   .abs_section_21b\r
2988      _CAN3IDMR4                                 21C       1       1       0   .abs_section_21c\r
2989      _CAN3IDMR5                                 21D       1       1       0   .abs_section_21d\r
2990      _CAN3IDMR6                                 21E       1       1       0   .abs_section_21e\r
2991      _CAN3IDMR7                                 21F       1       1       0   .abs_section_21f\r
2992      _CAN3RXIDR0                                220       1       1       0   .abs_section_220\r
2993      _CAN3RXIDR1                                221       1       1       0   .abs_section_221\r
2994      _CAN3RXIDR2                                222       1       1       0   .abs_section_222\r
2995      _CAN3RXIDR3                                223       1       1       0   .abs_section_223\r
2996      _CAN3RXDSR0                                224       1       1       0   .abs_section_224\r
2997      _CAN3RXDSR1                                225       1       1       0   .abs_section_225\r
2998      _CAN3RXDSR2                                226       1       1       0   .abs_section_226\r
2999      _CAN3RXDSR3                                227       1       1       0   .abs_section_227\r
3000      _CAN3RXDSR4                                228       1       1       0   .abs_section_228\r
3001      _CAN3RXDSR5                                229       1       1       0   .abs_section_229\r
3002      _CAN3RXDSR6                                22A       1       1       0   .abs_section_22a\r
3003      _CAN3RXDSR7                                22B       1       1       0   .abs_section_22b\r
3004      _CAN3RXDLR                                 22C       1       1       0   .abs_section_22c\r
3005      _CAN3TXIDR0                                230       1       1       0   .abs_section_230\r
3006      _CAN3TXIDR1                                231       1       1       0   .abs_section_231\r
3007      _CAN3TXIDR2                                232       1       1       0   .abs_section_232\r
3008      _CAN3TXIDR3                                233       1       1       0   .abs_section_233\r
3009      _CAN3TXDSR0                                234       1       1       0   .abs_section_234\r
3010      _CAN3TXDSR1                                235       1       1       0   .abs_section_235\r
3011      _CAN3TXDSR2                                236       1       1       0   .abs_section_236\r
3012      _CAN3TXDSR3                                237       1       1       0   .abs_section_237\r
3013      _CAN3TXDSR4                                238       1       1       0   .abs_section_238\r
3014      _CAN3TXDSR5                                239       1       1       0   .abs_section_239\r
3015      _CAN3TXDSR6                                23A       1       1       0   .abs_section_23a\r
3016      _CAN3TXDSR7                                23B       1       1       0   .abs_section_23b\r
3017      _CAN3TXDLR                                 23C       1       1       0   .abs_section_23c\r
3018      _CAN3TXTBPR                                23F       1       1       0   .abs_section_23f\r
3019      _PTT                                       240       1       1       0   .abs_section_240\r
3020      _PTIT                                      241       1       1       0   .abs_section_241\r
3021      _DDRT                                      242       1       1       0   .abs_section_242\r
3022      _RDRT                                      243       1       1       0   .abs_section_243\r
3023      _PERT                                      244       1       1       0   .abs_section_244\r
3024      _PPST                                      245       1       1       0   .abs_section_245\r
3025      _PTS                                       248       1       1       1   .abs_section_248\r
3026      _PTIS                                      249       1       1       0   .abs_section_249\r
3027      _DDRS                                      24A       1       1       2   .abs_section_24a\r
3028      _RDRS                                      24B       1       1       0   .abs_section_24b\r
3029      _PERS                                      24C       1       1       0   .abs_section_24c\r
3030      _PPSS                                      24D       1       1       0   .abs_section_24d\r
3031      _WOMS                                      24E       1       1       0   .abs_section_24e\r
3032      _PTM                                       250       1       1       0   .abs_section_250\r
3033      _PTIM                                      251       1       1       0   .abs_section_251\r
3034      _DDRM                                      252       1       1       0   .abs_section_252\r
3035      _RDRM                                      253       1       1       0   .abs_section_253\r
3036      _PERM                                      254       1       1       0   .abs_section_254\r
3037      _PPSM                                      255       1       1       0   .abs_section_255\r
3038      _WOMM                                      256       1       1       0   .abs_section_256\r
3039      _MODRR                                     257       1       1       0   .abs_section_257\r
3040      _PTP                                       258       1       1       0   .abs_section_258\r
3041      _PTIP                                      259       1       1       0   .abs_section_259\r
3042      _DDRP                                      25A       1       1       0   .abs_section_25a\r
3043      _RDRP                                      25B       1       1       0   .abs_section_25b\r
3044      _PERP                                      25C       1       1       0   .abs_section_25c\r
3045      _PPSP                                      25D       1       1       0   .abs_section_25d\r
3046      _PIEP                                      25E       1       1       0   .abs_section_25e\r
3047      _PIFP                                      25F       1       1       0   .abs_section_25f\r
3048      _PTH                                       260       1       1       0   .abs_section_260\r
3049      _PTIH                                      261       1       1       0   .abs_section_261\r
3050      _DDRH                                      262       1       1       0   .abs_section_262\r
3051      _RDRH                                      263       1       1       0   .abs_section_263\r
3052      _PERH                                      264       1       1       0   .abs_section_264\r
3053      _PPSH                                      265       1       1       0   .abs_section_265\r
3054      _PIEH                                      266       1       1       0   .abs_section_266\r
3055      _PIFH                                      267       1       1       0   .abs_section_267\r
3056      _PTJ                                       268       1       1       0   .abs_section_268\r
3057      _PTIJ                                      269       1       1       0   .abs_section_269\r
3058      _DDRJ                                      26A       1       1       0   .abs_section_26a\r
3059      _RDRJ                                      26B       1       1       0   .abs_section_26b\r
3060      _PERJ                                      26C       1       1       0   .abs_section_26c\r
3061      _PPSJ                                      26D       1       1       0   .abs_section_26d\r
3062      _PIEJ                                      26E       1       1       0   .abs_section_26e\r
3063      _PIFJ                                      26F       1       1       0   .abs_section_26f\r
3064      _CAN4CTL0                                  280       1       1       0   .abs_section_280\r
3065      _CAN4CTL1                                  281       1       1       0   .abs_section_281\r
3066      _CAN4BTR0                                  282       1       1       0   .abs_section_282\r
3067      _CAN4BTR1                                  283       1       1       0   .abs_section_283\r
3068      _CAN4RFLG                                  284       1       1       0   .abs_section_284\r
3069      _CAN4RIER                                  285       1       1       0   .abs_section_285\r
3070      _CAN4TFLG                                  286       1       1       0   .abs_section_286\r
3071      _CAN4TIER                                  287       1       1       0   .abs_section_287\r
3072      _CAN4TARQ                                  288       1       1       0   .abs_section_288\r
3073      _CAN4TAAK                                  289       1       1       0   .abs_section_289\r
3074      _CAN4TBSEL                                 28A       1       1       0   .abs_section_28a\r
3075      _CAN4IDAC                                  28B       1       1       0   .abs_section_28b\r
3076      _CAN4RXERR                                 28E       1       1       0   .abs_section_28e\r
3077      _CAN4TXERR                                 28F       1       1       0   .abs_section_28f\r
3078      _CAN4IDAR0                                 290       1       1       0   .abs_section_290\r
3079      _CAN4IDAR1                                 291       1       1       0   .abs_section_291\r
3080      _CAN4IDAR2                                 292       1       1       0   .abs_section_292\r
3081      _CAN4IDAR3                                 293       1       1       0   .abs_section_293\r
3082      _CAN4IDMR0                                 294       1       1       0   .abs_section_294\r
3083      _CAN4IDMR1                                 295       1       1       0   .abs_section_295\r
3084      _CAN4IDMR2                                 296       1       1       0   .abs_section_296\r
3085      _CAN4IDMR3                                 297       1       1       0   .abs_section_297\r
3086      _CAN4IDAR4                                 298       1       1       0   .abs_section_298\r
3087      _CAN4IDAR5                                 299       1       1       0   .abs_section_299\r
3088      _CAN4IDAR6                                 29A       1       1       0   .abs_section_29a\r
3089      _CAN4IDAR7                                 29B       1       1       0   .abs_section_29b\r
3090      _CAN4IDMR4                                 29C       1       1       0   .abs_section_29c\r
3091      _CAN4IDMR5                                 29D       1       1       0   .abs_section_29d\r
3092      _CAN4IDMR6                                 29E       1       1       0   .abs_section_29e\r
3093      _CAN4IDMR7                                 29F       1       1       0   .abs_section_29f\r
3094      _CAN4RXIDR0                                2A0       1       1       0   .abs_section_2a0\r
3095      _CAN4RXIDR1                                2A1       1       1       0   .abs_section_2a1\r
3096      _CAN4RXIDR2                                2A2       1       1       0   .abs_section_2a2\r
3097      _CAN4RXIDR3                                2A3       1       1       0   .abs_section_2a3\r
3098      _CAN4RXDSR0                                2A4       1       1       0   .abs_section_2a4\r
3099      _CAN4RXDSR1                                2A5       1       1       0   .abs_section_2a5\r
3100      _CAN4RXDSR2                                2A6       1       1       0   .abs_section_2a6\r
3101      _CAN4RXDSR3                                2A7       1       1       0   .abs_section_2a7\r
3102      _CAN4RXDSR4                                2A8       1       1       0   .abs_section_2a8\r
3103      _CAN4RXDSR5                                2A9       1       1       0   .abs_section_2a9\r
3104      _CAN4RXDSR6                                2AA       1       1       0   .abs_section_2aa\r
3105      _CAN4RXDSR7                                2AB       1       1       0   .abs_section_2ab\r
3106      _CAN4RXDLR                                 2AC       1       1       0   .abs_section_2ac\r
3107      _CAN4TXIDR0                                2B0       1       1       0   .abs_section_2b0\r
3108      _CAN4TXIDR1                                2B1       1       1       0   .abs_section_2b1\r
3109      _CAN4TXIDR2                                2B2       1       1       0   .abs_section_2b2\r
3110      _CAN4TXIDR3                                2B3       1       1       0   .abs_section_2b3\r
3111      _CAN4TXDSR0                                2B4       1       1       0   .abs_section_2b4\r
3112      _CAN4TXDSR1                                2B5       1       1       0   .abs_section_2b5\r
3113      _CAN4TXDSR2                                2B6       1       1       0   .abs_section_2b6\r
3114      _CAN4TXDSR3                                2B7       1       1       0   .abs_section_2b7\r
3115      _CAN4TXDSR4                                2B8       1       1       0   .abs_section_2b8\r
3116      _CAN4TXDSR5                                2B9       1       1       0   .abs_section_2b9\r
3117      _CAN4TXDSR6                                2BA       1       1       0   .abs_section_2ba\r
3118      _CAN4TXDSR7                                2BB       1       1       0   .abs_section_2bb\r
3119      _CAN4TXDLR                                 2BC       1       1       0   .abs_section_2bc\r
3120      _CAN4TXTBPR                                2BF       1       1       0   .abs_section_2bf\r
3121      uxCriticalNesting                         1000       1       1     101   .data       \r
3122      xLocalError                               1001       1       1       2   .bss        \r
3123      xRxedChars                                1002       2       2       3   .bss        \r
3124      xCharsForTx                               1004       2       2       3   .bss        \r
3125      pxCurrentTCB                              1006       2       2      28   .bss        \r
3126      uxTasksDeleted                            1008       1       1       3   .bss        \r
3127      uxCurrentNumberOfTasks                    1009       1       1       5   .bss        \r
3128      xTickCount                                100A       2       2      14   .bss        \r
3129      uxTopUsedPriority                         100C       1       1       2   .bss        \r
3130      uxTopReadyPriority                        100D       1       1      15   .bss        \r
3131      xSchedulerRunning                         100E       1       1       3   .bss        \r
3132      uxSchedulerSuspended                      100F       1       1       6   .bss        \r
3133      uxMissedTicks                             1010       1       1       4   .bss        \r
3134      uxTaskNumber.1                            1011       1       1       2   .bss        \r
3135      pxReadyTasksLists                         1012      3C      60      11   .bss        \r
3136      xDelayedTaskList1                         104E       F      15       2   .bss        \r
3137      xDelayedTaskList2                         105D       F      15       2   .bss        \r
3138      pxDelayedTaskList                         106C       2       2       8   .bss        \r
3139      pxOverflowDelayedTaskList                 106E       2       2       6   .bss        \r
3140      xPendingReadyList                         1070       F      15       4   .bss        \r
3141      xTasksWaitingTermination                  107F       F      15       5   .bss        \r
3142      xSuspendedTaskList                        108E       F      15       2   .bss        \r
3143      xHeapHasBeenInitialised.1                 109D       1       1       2   .bss        \r
3144      xHeap                                     109E    2804   10244       2   .bss        \r
3145      xStart                                    38A2       4       4       6   .bss        \r
3146      xEnd                                      38A6       4       4       4   .bss        \r
3147      uxFlashTaskNumber                         38AA       1       1       2   .bss        \r
3148      usCheckVariable                           38AB       2       2       3   .bss        \r
3149      xSuspendedQueueSendError                  38AD       1       1       2   .bss        \r
3150      xSuspendedQueueReceiveError               38AE       1       1       3   .bss        \r
3151      ulValueToSend.6                           38AF       4       4       5   .bss        \r
3152      ulExpectedValue.7                         38B3       4       4       6   .bss        \r
3153      usLastTaskCheck.9                         38B7       2       2       2   .bss        \r
3154      xContinousIncrementHandle                 38B9       2       2       5   .bss        \r
3155      xLimitedIncrementHandle                   38BB       2       2       2   .bss        \r
3156      ulCounter                                 38BD       4       4      10   .bss        \r
3157      ulReceivedValue.8                         38C1       4       4       3   .bss        \r
3158      xPollingConsumerCount                     38C5       1       1       3   .bss        \r
3159      xPollingProducerCount                     38C6       1       1       3   .bss        \r
3160      xPolledQueue.1                            38C7       2       2       3   .bss        \r
3161      xPort                                     38C9       2       2       3   .bss        \r
3162      uxBaseLED                                 38CB       1       1       5   .bss        \r
3163      uxRxLoops                                 38CC       1       1       3   .bss        \r
3164      xTaskCheck                                38CD       1       1       3   .bss        \r
3165      sBlockingConsumerCount                    38CE       6       6       4   .bss        \r
3166      sBlockingProducerCount                    38D4       6       6       5   .bss        \r
3167      sLastBlockingConsumerCount.7              38DA       6       6       2   .bss        \r
3168      sLastBlockingProducerCount.8              38E0       6       6       2   .bss        \r
3169      usCreationCount                           38E6       2       2       4   .bss        \r
3170      uxTasksRunningAtStart                     38E8       1       1       4   .bss        \r
3171      usLastCreationCount.6                     38E9       2       2       2   .bss        \r
3172      uxTasksRunningNow.7                       38EB       1       1       1   .bss        \r
3173      xSuspendedTestQueue                       38EC       2       2       3   .common     \r
3174      xCreatedTask1                             38EE       2       2       2   .common     \r
3175      xCreatedTask2                             38F0       2       2       2   .common     \r
3176      CmpHighVal                                38F2       2       2       2   TickTimer_DATA\r
3177      Byte1_Table                               38F4       8       8       2   Byte1_DATA  \r
3178      COM0_PrescHigh.1                          38FC       8       8       1   COM0_DATA   \r
3179      SerFlag                                   3904       2       2       1   COM0_DATA   \r
3180      PrescHigh                                 3906       2       2       2   COM0_DATA   \r
3181      NumMode                                   3908       1       1       2   COM0_DATA   \r
3182      _EntryPoint                               C000      2E      46       1   .init       \r
3183      PE_low_level_init                         C02E      4E      78       2   .init       \r
3184      STRING.Check.1                            C09A       6       6       1   .rodata1    \r
3185      STRING.IDLE.2                             C0A0       5       5       1   .rodata1    \r
3186      STRING.LEDx.1                             C0A5       5       5       1   .rodata1    \r
3187      STRING.CNT_INC.1                          C0AA       8       8       1   .rodata1    \r
3188      STRING.LIM_INC.2                          C0B2       8       8       1   .rodata1    \r
3189      STRING.C_CTRL.3                           C0BA       7       7       1   .rodata1    \r
3190      STRING.SUSP_TX.4                          C0C1       8       8       1   .rodata1    \r
3191      STRING.SUSP_RX.5                          C0C9       8       8       1   .rodata1    \r
3192      STRING.QConsNB.2                          C0D1       8       8       1   .rodata1    \r
3193      STRING.QProdNB.3                          C0D9       8       8       1   .rodata1    \r
3194      STRING.COMTx.1                            C0E1       6       6       1   .rodata1    \r
3195      STRING.COMRx.2                            C0E7       6       6       1   .rodata1    \r
3196      STRING.IntMath.1                          C0ED       8       8       1   .rodata1    \r
3197      STRING.QConsB1.1                          C0F5       8       8       1   .rodata1    \r
3198      STRING.QProdB2.2                          C0FD       8       8       1   .rodata1    \r
3199      STRING.QProdB3.3                          C105       8       8       1   .rodata1    \r
3200      STRING.QConsB4.4                          C10D       8       8       1   .rodata1    \r
3201      STRING.QProdB5.5                          C115       8       8       1   .rodata1    \r
3202      STRING.QConsB6.6                          C11D       8       8       1   .rodata1    \r
3203      STRING.CREATOR.1                          C125       8       8       1   .rodata1    \r
3204      STRING.SUICIDE1.2                         C12D       9       9       1   .rodata1    \r
3205      STRING.SUICIDE2.3                         C136       9       9       1   .rodata1    \r
3206      STRING.SUICIDE1.4                         C13F       9       9       1   .rodata1    \r
3207      STRING.SUICIDE2.5                         C148       9       9       1   .rodata1    \r
3208      _Startup                                  C151      12      18       1   NON_BANKED  \r
3209      _LCMP                                     C163      19      25       2   NON_BANKED  \r
3210      _LCMP_P                                   C17C      15      21       3   NON_BANKED  \r
3211      _LNEG                                     C191       D      13       2   NON_BANKED  \r
3212      _LINC                                     C19E       5       5       4   NON_BANKED  \r
3213      _LMUL                                     C1A3      27      39       1   NON_BANKED  \r
3214      _lDivMod                                  C1CA      E3     227       3   NON_BANKED  \r
3215      _LDIVU                                    C2AD       E      14       1   NON_BANKED  \r
3216      _NEG_P                                    C2BB       F      15       4   NON_BANKED  \r
3217      _LDIVS                                    C2CA      35      53       2   NON_BANKED  \r
3218      Cpu_Interrupt                             C2FF       1       1      60   NON_BANKED  \r
3219      vCOM0_ISR                                 C300      59      89       1   NON_BANKED  \r
3220      xBankedStartScheduler                     C359      1A      26       1   NON_BANKED  \r
3221      vPortYield                                C373      1D      29       1   NON_BANKED  \r
3222      vPortTickInterrupt                        C390      25      37       1   NON_BANKED  \r
3223      _BDMSTS                                   FF01       1       1       0   .abs_section_ff01\r
3224      _BDMCCR                                   FF06       1       1       0   .abs_section_ff06\r
3225      _BDMINR                                   FF07       1       1       0   .abs_section_ff07\r
3226      _vect                                     FF80      80     128       0   .abs_section_ff80\r
3227      Init                                    308000      29      41       2   .text       \r
3228      memcpy                                  308029      26      38       8   .text       \r
3229      memset                                  30804F      1E      30       2   .text       \r
3230      strncpy                                 30806D      2D      45       2   .text       \r
3231      main                                    30809A       9       9       0   .text       \r
3232      vMain                                   3080A3      52      82       1   .text       \r
3233      vErrorChecks                            3080F5      39      57       3   .text       \r
3234      prvCheckOtherTasksAreStillRunning       30812E      50      80       2   .text       \r
3235      vApplicationIdleHook                    30817E      70     112       2   .text       \r
3236      vParTestSetLED                          3081EE      23      35       4   .text       \r
3237      vParTestToggleLED                       308211      14      20      10   .text       \r
3238      xSerialPortInitMinimal                  308225      26      38       2   .text       \r
3239      xSerialGetChar                          318000      13      19       4   ROM_PAGE31_524\r
3240      xSerialPutChar                          318013      18      24       2   ROM_PAGE31_524\r
3241      xTaskCreate                             31802B      D5     213      48   ROM_PAGE31_524\r
3242      vTaskDelete                             318100      4A      74       4   ROM_PAGE31_524\r
3243      vTaskDelayUntil                         31814A      77     119       6   ROM_PAGE31_524\r
3244      vTaskDelay                              3181C1      46      70      16   ROM_PAGE31_524\r
3245      uxTaskPriorityGet                       318207      22      34       2   ROM_PAGE31_524\r
3246      vTaskPrioritySet                        328000      69     105       4   ROM_PAGE32_525\r
3247      vTaskSuspend                            328069      47      71       6   ROM_PAGE32_525\r
3248      vTaskResume                             3280B0      5C      92       6   ROM_PAGE32_525\r
3249      vTaskStartScheduler                     32810C      31      49       2   ROM_PAGE32_525\r
3250      vTaskSuspendAll                         32813D      13      19      26   ROM_PAGE32_525\r
3251      xTaskResumeAll                          328150      9F     159      30   ROM_PAGE32_525\r
3252      xTaskGetTickCount                       3281EF      17      23       6   ROM_PAGE32_525\r
3253      uxTaskGetNumberOfTasks                  328206      17      23       4   ROM_PAGE32_525\r
3254      vTaskIncrementTick                      338000      84     132       4   ROM_PAGE33_526\r
3255      vTaskSwitchContext                      338084      5B      91       4   ROM_PAGE33_526\r
3256      vTaskPlaceOnEventList                   3380DF      41      65       4   ROM_PAGE33_526\r
3257      xTaskRemoveFromEventList                338120      69     105       8   ROM_PAGE33_526\r
3258      prvIdleTask                             338189      12      18       3   ROM_PAGE33_526\r
3259      prvInitialiseTCBVariables               33819B      4D      77       2   ROM_PAGE33_526\r
3260      prvInitialiseTaskLists                  3381E8      3C      60       2   ROM_PAGE33_526\r
3261      prvCheckTasksWaitingTermination         348000      53      83       2   ROM_PAGE34_527\r
3262      prvAllocateTCBAndStack                  348053      3D      61       2   ROM_PAGE34_527\r
3263      prvDeleteTCB                            348090       F      15       2   ROM_PAGE34_527\r
3264      xQueueCreate                            34809F      7B     123      14   ROM_PAGE34_527\r
3265      xQueueSend                              34811A      D4     212       9   ROM_PAGE34_527\r
3266      xQueueSendFromISR                       3481EE      5D      93       2   ROM_PAGE34_527\r
3267      xQueueReceive                           358000      CF     207       9   ROM_PAGE35_528\r
3268      xQueueReceiveFromISR                    3580CF      61      97       2   ROM_PAGE35_528\r
3269      uxQueueMessagesWaiting                  358130      1B      27       2   ROM_PAGE35_528\r
3270      prvUnlockQueue                          35814B      71     113       8   ROM_PAGE35_528\r
3271      prvIsQueueEmpty                         3581BC      22      34       2   ROM_PAGE35_528\r
3272      prvIsQueueFull                          3581DE      25      37       2   ROM_PAGE35_528\r
3273      vListInitialise                         358203      20      32       6   ROM_PAGE35_528\r
3274      vListInitialiseItem                     358223       7       7       6   ROM_PAGE35_528\r
3275      vListInsertEnd                          35822A      25      37      16   ROM_PAGE35_528\r
3276      vListInsert                             368000      55      85       8   ROM_PAGE36_529\r
3277      vListRemove                             368055      23      35      32   ROM_PAGE36_529\r
3278      pvPortMalloc                            368078      C1     193      14   ROM_PAGE36_529\r
3279      vPortFree                               368139      3B      59      10   ROM_PAGE36_529\r
3280      vStartLEDFlashTasks                     368174      32      50       2   ROM_PAGE36_529\r
3281      vLEDFlashTask                           3681A6      57      87       3   ROM_PAGE36_529\r
3282      vStartDynamicPriorityTasks              378000      9B     155       2   ROM_PAGE37_530\r
3283      vLimitedIncrementTask                   37809B      27      39       3   ROM_PAGE37_530\r
3284      vContinuousIncrementTask                3780C2      38      56       3   ROM_PAGE37_530\r
3285      vCounterControlTask                     3780FA      A0     160       5   ROM_PAGE37_530\r
3286      vQueueSendWhenSuspendedTask             37819A      38      56       3   ROM_PAGE37_530\r
3287      vQueueReceiveWhenSuspendedTask          3781D2      54      84       3   ROM_PAGE37_530\r
3288      xAreDynamicPriorityTasksStillRunning     378226      2B      43       2   ROM_PAGE37_530\r
3289      vStartPolledQueueTasks                  388000      4B      75       2   ROM_PAGE38_531\r
3290      vPolledQueueProducer                    38804B      4F      79       3   ROM_PAGE38_531\r
3291      vPolledQueueConsumer                    38809A      5C      92       3   ROM_PAGE38_531\r
3292      xArePollingQueuesStillRunning           3880F6      16      22       2   ROM_PAGE38_531\r
3293      PE_Timer_LngHi1                         38810C      4A      74       2   ROM_PAGE38_531\r
3294      vAltStartComTestTasks                   388156      4D      77       2   ROM_PAGE38_531\r
3295      vComTxTask                              3881A3      4F      79       3   ROM_PAGE38_531\r
3296      vComRxTask                              398000      7B     123       3   ROM_PAGE39_532\r
3297      xAreComTestTasksStillRunning            39807B       D      13       2   ROM_PAGE39_532\r
3298      pxPortInitialiseStack                   398088      31      49       2   ROM_PAGE39_532\r
3299      xPortStartScheduler                     3980B9       4       4       2   ROM_PAGE39_532\r
3300      vStartIntegerMathTasks                  3980BD      33      51       2   ROM_PAGE39_532\r
3301      vCompeteingIntMathTask                  3980F0      87     135       3   ROM_PAGE39_532\r
3302      xAreIntegerMathsTaskStillRunning        398177      20      32       2   ROM_PAGE39_532\r
3303      vStartBlockingQueueTasks                3A8000     143     323       7   ROM_PAGE3A_533\r
3304      vBlockingQueueProducer                  3A8143      3F      63       9   ROM_PAGE3A_533\r
3305      vBlockingQueueConsumer                  3A8182      47      71       9   ROM_PAGE3A_533\r
3306      xAreBlockingQueuesStillRunning          3A81C9      52      82       2   ROM_PAGE3A_533\r
3307      vCreateSuicidalTasks                    3A821B      31      49       2   ROM_PAGE3A_533\r
3308      vSuicidalTask                           3B8000      58      88      12   ROM_PAGE3B_534\r
3309      vCreateTasks                            3B8058      92     146       4   ROM_PAGE3B_534\r
3310      xIsCreateTaskStillRunning               3B80EA      3A      58       2   ROM_PAGE3B_534\r
3311      TickTimer_Enable                        3B8124       9       9       2   TickTimer_CODE\r
3312      TickTimer_SetFreqHz                     3B812D      56      86       2   TickTimer_CODE\r
3313      TickTimer_Init                          3B8183      1C      28       2   TickTimer_CODE\r
3314      Byte1_PutBit                            3B819F      21      33       2   Byte1_CODE  \r
3315      Byte1_NegBit                            3B81C0      14      20       2   Byte1_CODE  \r
3316      COM0_SetBaudRateMode                    3B81D4      19      25       2   COM0_CODE   \r
3317      COM0_Init                               3B81ED      25      37       2   COM0_CODE   \r
3318 \r
3319 *********************************************************************************************\r
3320 UNUSED-OBJECTS SECTION\r
3321 ---------------------------------------------------------------------------------------------\r
3322 NOT USED PROCEDURES\r
3323 STRING.C.o (ansibi.lib):\r
3324   strerror memchr memcmp memcpy2 _memcpy_8bitCount memmove \r
3325   _memset_clear_8bitCount strlen strset strcat strncat strcpy strcmp strncmp \r
3326   strchr strrchr strspn strcspn strpbrk strstr strtok strcoll strxfrm \r
3327 rtshc12.c.o (ansibi.lib):\r
3328   _BSHL _BSHRS _BSHRU _BDIVMODU _BDIVMODS _ISHL _ISHRU _ISHRS _LSHL _LSHRU \r
3329   _LSHRS _LADD _LSUB _LAND _LOR _LXOR _LCMP_PP _LABS _LCOM _LDEC _LMODU _LMODS \r
3330   _ILSEXT _LTEST _COPY _CASE_DIRECT _CASE_DIRECT_BYTE _CASE_CHECKED \r
3331   _CASE_CHECKED_BYTE _CASE_SEARCH _CASE_SEARCH_BYTE _CASE_SEARCH_8 \r
3332   _CASE_SEARCH_8_BYTE _FCALL _FPCMP \r
3333 serial.c.o:\r
3334   vSerialClose \r
3335 tasks.c.o:\r
3336   vTaskEndScheduler \r
3337 queue.c.o:\r
3338   vQueueDelete \r
3339 TickTimer.C.o:\r
3340   TickTimer_Interrupt SetCV SetPV HWEnDi TickTimer_SetPeriodTicks16 \r
3341   TickTimer_SetPeriodTicks32 TickTimer_SetPeriodUS TickTimer_SetPeriodMS \r
3342 Byte1.C.o:\r
3343   Byte1_GetMsk \r
3344 PE_Timer.C.o:\r
3345   PE_Timer_LngMul PE_Timer_LngHi2 PE_Timer_LngHi3 PE_Timer_LngHi4 \r
3346 COM0.C.o:\r
3347   HWEnDi \r
3348 port.c.o:\r
3349   vPortEndScheduler prvSetupTimerInterrupt \r
3350 NOT USED VARIABLES\r
3351 STRING.C.o (ansibi.lib):\r
3352   STRING..1 next.2 \r
3353 rtshc12.c.o (ansibi.lib):\r
3354   _PowOfTwo_8 _PowOfTwo_16 _PowOfTwo_32 \r
3355 Cpu.C.o:\r
3356   CpuMode CCR_reg \r
3357 heap_2.c.o:\r
3358   heapSTRUCT_SIZE \r
3359 death.c.o:\r
3360   uxMaxNumberOfExtraTasksRunning \r
3361 \r
3362 *********************************************************************************************\r
3363 COPYDOWN SECTION\r
3364 ---------------------------------------------------------------------------------------------\r
3365 ------- ROM-ADDRESS: 0xC3B5 ---- SIZE       4 ---\r
3366 Filling bytes inserted\r
3367  00011000\r
3368 ------- ROM-ADDRESS: 0xC3B9 ---- RAM-ADDRESS: 0x1000 ---- SIZE       1 ---\r
3369 Name of initialized Object : uxCriticalNesting\r
3370  FF\r
3371 ------- ROM-ADDRESS: 0xC3BA ---- SIZE       4 ---\r
3372 Filling bytes inserted\r
3373  001038F4\r
3374 ------- ROM-ADDRESS: 0xC3BE ---- RAM-ADDRESS: 0x38F4 ---- SIZE       8 ---\r
3375 Name of initialized Object : Byte1_Table\r
3376  0102040810 204080\r
3377 ------- ROM-ADDRESS: 0xC3C6 ---- SIZE       1 ---\r
3378 Filling bytes inserted\r
3379  00\r
3380 ------- ROM-ADDRESS: 0xC3C7 ---- RAM-ADDRESS: 0x38FD ---- SIZE       7 ---\r
3381 Name of initialized Object : COM0_PrescHigh.1:1\r
3382  29005100A3 0146\r
3383 ------- ROM-ADDRESS: 0xC3CE ---- SIZE       2 ---\r
3384 Filling bytes inserted\r
3385  0000\r
3386 \r
3387 *********************************************************************************************\r
3388 OBJECT-DEPENDENCIES SECTION\r
3389 ---------------------------------------------------------------------------------------------\r
3390 _EntryPoint               USES _INITRM _INITEE _MISC _CLKSEL _PLLCTL _SYNR \r
3391                                 _REFDV _CRGFLG _Startup \r
3392 PE_low_level_init         USES _TSCR1 _TCTL2 _TCTL1 _TIE _TTOV _TSCR2 _TIOS \r
3393                                 _PWMCTL _PWMSDN _ICSYS _MCCTL TickTimer_Init _PORTAB \r
3394                                 _DDRAB _DDRS _PTS COM0_Init _INTCR \r
3395 _Startup                  USES _startupData Init \r
3396 _LDIVU                    USES _lDivMod \r
3397 _LDIVS                    USES _NEG_P _lDivMod \r
3398 vCOM0_ISR                 USES _SCI0SR1 _SCI0DRL xRxedChars xQueueSendFromISR \r
3399                                 _SCI0CR2 xCharsForTx xQueueReceiveFromISR \r
3400 xBankedStartScheduler     USES TickTimer_SetFreqHz TickTimer_Enable \r
3401                                 pxCurrentTCB uxCriticalNesting \r
3402 vPortYield                USES uxCriticalNesting pxCurrentTCB \r
3403                                 vTaskSwitchContext \r
3404 vPortTickInterrupt        USES uxCriticalNesting pxCurrentTCB \r
3405                                 vTaskIncrementTick vTaskSwitchContext _TFLG1 \r
3406 _vect                     USES Cpu_Interrupt vCOM0_ISR vPortTickInterrupt \r
3407                                 vPortYield _EntryPoint \r
3408 Init                      USES _startupData \r
3409 main                      USES PE_low_level_init vMain \r
3410 vMain                     USES vStartLEDFlashTasks vStartPolledQueueTasks \r
3411                                 vStartDynamicPriorityTasks vAltStartComTestTasks vStartBlockingQueueTasks \r
3412                                 vStartIntegerMathTasks vCreateSuicidalTasks vErrorChecks \r
3413                                 STRING.Check.1 xTaskCreate vTaskStartScheduler \r
3414 vErrorChecks              USES xTaskGetTickCount vTaskDelayUntil \r
3415                                 prvCheckOtherTasksAreStillRunning _LCMP vParTestToggleLED \r
3416 prvCheckOtherTasksAreStillRunning USES xArePollingQueuesStillRunning \r
3417                                 xAreDynamicPriorityTasksStillRunning xAreComTestTasksStillRunning \r
3418                                 xAreIntegerMathsTaskStillRunning xAreBlockingQueuesStillRunning \r
3419                                 xIsCreateTaskStillRunning xLocalError \r
3420 vApplicationIdleHook      USES _LNEG _LDIVS _LCMP_P uxCriticalNesting \r
3421                                 xLocalError \r
3422 vParTestSetLED            USES uxCriticalNesting Byte1_PutBit \r
3423 vParTestToggleLED         USES uxCriticalNesting Byte1_NegBit \r
3424 xSerialPortInitMinimal    USES xQueueCreate xRxedChars xCharsForTx \r
3425                                 COM0_SetBaudRateMode \r
3426 xSerialGetChar            USES xRxedChars xQueueReceive \r
3427 xSerialPutChar            USES xCharsForTx xQueueSend _SCI0CR2 \r
3428 xTaskCreate               USES prvAllocateTCBAndStack \r
3429                                 prvInitialiseTCBVariables pxPortInitialiseStack uxCriticalNesting \r
3430                                 uxCurrentNumberOfTasks pxCurrentTCB prvInitialiseTaskLists \r
3431                                 xSchedulerRunning uxTopUsedPriority uxTaskNumber.1 \r
3432                                 uxTopReadyPriority pxReadyTasksLists vListInsertEnd \r
3433 vTaskDelete               USES uxCriticalNesting pxCurrentTCB vListRemove \r
3434                                 xTasksWaitingTermination vListInsertEnd uxTasksDeleted \r
3435 vTaskDelayUntil           USES vTaskSuspendAll xTickCount pxCurrentTCB \r
3436                                 vListRemove pxOverflowDelayedTaskList pxDelayedTaskList \r
3437                                 vListInsert xTaskResumeAll \r
3438 vTaskDelay                USES vTaskSuspendAll xTickCount pxCurrentTCB \r
3439                                 vListRemove pxOverflowDelayedTaskList pxDelayedTaskList \r
3440                                 vListInsert xTaskResumeAll \r
3441 uxTaskPriorityGet         USES uxCriticalNesting pxCurrentTCB \r
3442 vTaskPrioritySet          USES uxCriticalNesting pxCurrentTCB \r
3443                                 pxReadyTasksLists vListRemove uxTopReadyPriority vListInsertEnd \r
3444 vTaskSuspend              USES uxCriticalNesting pxCurrentTCB vListRemove \r
3445                                 xSuspendedTaskList vListInsertEnd \r
3446 vTaskResume               USES uxCriticalNesting pxCurrentTCB vListRemove \r
3447                                 uxTopReadyPriority pxReadyTasksLists vListInsertEnd \r
3448 vTaskStartScheduler       USES pxCurrentTCB prvIdleTask STRING.IDLE.2 \r
3449                                 xTaskCreate xSchedulerRunning xTickCount \r
3450                                 xPortStartScheduler \r
3451 vTaskSuspendAll           USES uxCriticalNesting uxSchedulerSuspended \r
3452 xTaskResumeAll            USES uxCriticalNesting uxSchedulerSuspended \r
3453                                 uxCurrentNumberOfTasks vListRemove uxTopReadyPriority \r
3454                                 pxReadyTasksLists vListInsertEnd pxCurrentTCB xPendingReadyList \r
3455                                 uxMissedTicks vTaskIncrementTick \r
3456 xTaskGetTickCount         USES uxCriticalNesting xTickCount \r
3457 uxTaskGetNumberOfTasks    USES uxCriticalNesting uxCurrentNumberOfTasks \r
3458 vTaskIncrementTick        USES uxSchedulerSuspended xTickCount \r
3459                                 pxDelayedTaskList pxOverflowDelayedTaskList vListRemove \r
3460                                 uxTopReadyPriority pxReadyTasksLists vListInsertEnd uxMissedTicks \r
3461 vTaskSwitchContext        USES uxSchedulerSuspended uxTopReadyPriority \r
3462                                 pxCurrentTCB pxReadyTasksLists \r
3463 vTaskPlaceOnEventList     USES pxCurrentTCB vListInsert xTickCount vListRemove \r
3464                                 pxOverflowDelayedTaskList pxDelayedTaskList \r
3465 xTaskRemoveFromEventList  USES vListRemove uxSchedulerSuspended \r
3466                                 uxTopReadyPriority pxReadyTasksLists xPendingReadyList \r
3467                                 vListInsertEnd pxCurrentTCB \r
3468 prvIdleTask               USES prvCheckTasksWaitingTermination \r
3469                                 pxReadyTasksLists vApplicationIdleHook \r
3470 prvInitialiseTCBVariables USES strncpy vListInitialiseItem \r
3471 prvInitialiseTaskLists    USES pxReadyTasksLists xDelayedTaskList1 \r
3472                                 xDelayedTaskList2 xPendingReadyList xTasksWaitingTermination \r
3473                                 xSuspendedTaskList pxDelayedTaskList pxOverflowDelayedTaskList \r
3474                                 vListInitialise \r
3475 prvCheckTasksWaitingTermination USES uxTasksDeleted vTaskSuspendAll \r
3476                                 xTasksWaitingTermination xTaskResumeAll uxCriticalNesting vListRemove \r
3477                                 uxCurrentNumberOfTasks prvDeleteTCB \r
3478 prvAllocateTCBAndStack    USES pvPortMalloc vPortFree memset \r
3479 prvDeleteTCB              USES vPortFree \r
3480 xQueueCreate              USES pvPortMalloc vListInitialise vPortFree \r
3481 xQueueSend                USES vTaskSuspendAll uxCriticalNesting xQueueSend \r
3482                                 prvIsQueueFull vTaskPlaceOnEventList prvUnlockQueue \r
3483                                 xTaskResumeAll memcpy \r
3484 xQueueSendFromISR         USES memcpy xTaskRemoveFromEventList \r
3485 xQueueReceive             USES vTaskSuspendAll uxCriticalNesting xQueueReceive \r
3486                                 prvIsQueueEmpty vTaskPlaceOnEventList prvUnlockQueue \r
3487                                 xTaskResumeAll memcpy \r
3488 xQueueReceiveFromISR      USES memcpy xTaskRemoveFromEventList \r
3489 uxQueueMessagesWaiting    USES uxCriticalNesting \r
3490 prvUnlockQueue            USES uxCriticalNesting xTaskRemoveFromEventList \r
3491 prvIsQueueEmpty           USES uxCriticalNesting \r
3492 prvIsQueueFull            USES uxCriticalNesting \r
3493 vListInitialise           USES vListInitialiseItem \r
3494 pvPortMalloc              USES vTaskSuspendAll xHeapHasBeenInitialised.1 xHeap \r
3495                                 xStart xEnd xTaskResumeAll \r
3496 vPortFree                 USES vTaskSuspendAll xStart xTaskResumeAll \r
3497 vStartLEDFlashTasks       USES vLEDFlashTask STRING.LEDx.1 xTaskCreate \r
3498 vLEDFlashTask             USES uxCriticalNesting uxFlashTaskNumber \r
3499                                 xTaskGetTickCount vTaskDelayUntil vParTestToggleLED \r
3500 vStartDynamicPriorityTasks USES xQueueCreate xSuspendedTestQueue \r
3501                                 vContinuousIncrementTask STRING.CNT_INC.1 ulCounter \r
3502                                 xContinousIncrementHandle xTaskCreate vLimitedIncrementTask \r
3503                                 STRING.LIM_INC.2 xLimitedIncrementHandle vCounterControlTask \r
3504                                 STRING.C_CTRL.3 vQueueSendWhenSuspendedTask STRING.SUSP_TX.4 \r
3505                                 vQueueReceiveWhenSuspendedTask STRING.SUSP_RX.5 \r
3506 vLimitedIncrementTask     USES _LINC _LCMP_P vTaskSuspend \r
3507 vContinuousIncrementTask  USES uxTaskPriorityGet vTaskPrioritySet _LINC \r
3508 vCounterControlTask       USES vCounterControlTask xContinousIncrementHandle \r
3509                                 vTaskSuspend ulCounter vTaskResume vTaskDelay \r
3510                                 vTaskSuspendAll xTaskResumeAll xLimitedIncrementHandle \r
3511                                 uxCriticalNesting usCheckVariable \r
3512 vQueueSendWhenSuspendedTask USES vTaskSuspendAll xSuspendedTestQueue \r
3513                                 ulValueToSend.6 xQueueSend xSuspendedQueueSendError \r
3514                                 xTaskResumeAll vTaskDelay _LINC \r
3515 vQueueReceiveWhenSuspendedTask USES vTaskSuspendAll xSuspendedTestQueue \r
3516                                 ulReceivedValue.8 xQueueReceive xTaskResumeAll \r
3517                                 xSuspendedQueueReceiveError ulExpectedValue.7 _LINC \r
3518 xAreDynamicPriorityTasksStillRunning USES usCheckVariable usLastTaskCheck.9 \r
3519                                 xSuspendedQueueSendError xSuspendedQueueReceiveError \r
3520 vStartPolledQueueTasks    USES xQueueCreate xPolledQueue.1 \r
3521                                 vPolledQueueConsumer STRING.QConsNB.2 xTaskCreate \r
3522                                 vPolledQueueProducer STRING.QProdNB.3 \r
3523 vPolledQueueProducer      USES xQueueSend uxCriticalNesting \r
3524                                 xPollingProducerCount vTaskDelay \r
3525 vPolledQueueConsumer      USES xQueueReceive uxCriticalNesting \r
3526                                 xPollingConsumerCount uxQueueMessagesWaiting vTaskDelay \r
3527 xArePollingQueuesStillRunning USES xPollingConsumerCount xPollingProducerCount \r
3528 PE_Timer_LngHi1           USES _LCMP \r
3529 vAltStartComTestTasks     USES uxBaseLED xSerialPortInitMinimal vComTxTask \r
3530                                 STRING.COMTx.1 xTaskCreate vComRxTask STRING.COMRx.2 \r
3531 vComTxTask                USES xPort xSerialPutChar uxBaseLED \r
3532                                 vParTestToggleLED vParTestSetLED xTaskGetTickCount vTaskDelay \r
3533 vComRxTask                USES xPort xSerialGetChar uxBaseLED \r
3534                                 vParTestToggleLED vParTestSetLED uxRxLoops \r
3535 xAreComTestTasksStillRunning USES uxRxLoops \r
3536 xPortStartScheduler       USES xBankedStartScheduler \r
3537 vStartIntegerMathTasks    USES vCompeteingIntMathTask STRING.IntMath.1 \r
3538                                 xTaskCheck xTaskCreate \r
3539 vCompeteingIntMathTask    USES _LNEG _LDIVS _LCMP_P uxCriticalNesting \r
3540 xAreIntegerMathsTaskStillRunning USES xTaskCheck \r
3541 vStartBlockingQueueTasks  USES vStartBlockingQueueTasks xQueueCreate \r
3542                                 sBlockingConsumerCount sBlockingProducerCount vBlockingQueueConsumer \r
3543                                 STRING.QConsB1.1 xTaskCreate vBlockingQueueProducer \r
3544                                 STRING.QProdB2.2 STRING.QProdB3.3 STRING.QConsB4.4 \r
3545                                 STRING.QProdB5.5 STRING.QConsB6.6 pvPortMalloc \r
3546 vBlockingQueueProducer    USES xQueueSend \r
3547 vBlockingQueueConsumer    USES xQueueReceive \r
3548 xAreBlockingQueuesStillRunning USES sLastBlockingConsumerCount.7 \r
3549                                 sBlockingProducerCount sLastBlockingProducerCount.8 \r
3550                                 sBlockingConsumerCount \r
3551 vCreateSuicidalTasks      USES pvPortMalloc vCreateTasks STRING.CREATOR.1 \r
3552                                 xTaskCreate uxTaskGetNumberOfTasks uxTasksRunningAtStart \r
3553 vSuicidalTask             USES _LMUL vTaskDelay vTaskDelete \r
3554 vCreateTasks              USES vPortFree vTaskDelay vSuicidalTask \r
3555                                 STRING.SUICIDE1.2 xCreatedTask1 xTaskCreate STRING.SUICIDE2.3 \r
3556                                 STRING.SUICIDE1.4 xCreatedTask2 STRING.SUICIDE2.5 \r
3557                                 usCreationCount vCreateTasks \r
3558 xIsCreateTaskStillRunning USES usLastCreationCount.6 usCreationCount \r
3559                                 uxTaskGetNumberOfTasks uxTasksRunningNow.7 uxTasksRunningAtStart \r
3560 TickTimer_Enable          USES _TFLG1 _TIE \r
3561 TickTimer_SetFreqHz       USES _LDIVU PE_Timer_LngHi1 CmpHighVal _TC0 _TC7 \r
3562 TickTimer_Init            USES CmpHighVal _TC0 _TC7 _TSCR2 _TFLG1 _TIE \r
3563 Byte1_PutBit              USES Byte1_Table _PORTAB \r
3564 Byte1_NegBit              USES Byte1_Table _PORTAB \r
3565 COM0_SetBaudRateMode      USES NumMode COM0_PrescHigh.1 PrescHigh _SCI0BD \r
3566 COM0_Init                 USES PrescHigh SerFlag NumMode _SCI0CR1 _SCI0SR2 \r
3567                                 _SCI0SR1 _SCI0CR2 _SCI0BD \r
3568 \r
3569 *********************************************************************************************\r
3570 DEPENDENCY TREE\r
3571 *********************************************************************************************\r
3572  main and _Startup Group\r
3573  | \r
3574  +- main                \r
3575  |  | \r
3576  |  +- PE_low_level_init   \r
3577  |  |  | \r
3578  |  |  +- TickTimer_Init      \r
3579  |  |  |    \r
3580  |  |  +- COM0_Init           \r
3581  |  |       \r
3582  |  +- vMain               \r
3583  |     | \r
3584  |     +- vStartLEDFlashTasks \r
3585  |     |  | \r
3586  |     |  +- vLEDFlashTask       \r
3587  |     |  |  | \r
3588  |     |  |  +- xTaskGetTickCount   \r
3589  |     |  |  |    \r
3590  |     |  |  +- vTaskDelayUntil     \r
3591  |     |  |  |  | \r
3592  |     |  |  |  +- vTaskSuspendAll     \r
3593  |     |  |  |  |    \r
3594  |     |  |  |  +- vListRemove         \r
3595  |     |  |  |  |    \r
3596  |     |  |  |  +- vListInsert         \r
3597  |     |  |  |  |    \r
3598  |     |  |  |  +- xTaskResumeAll      \r
3599  |     |  |  |     | \r
3600  |     |  |  |     +- vListRemove          (see above)\r
3601  |     |  |  |     |  \r
3602  |     |  |  |     +- vListInsertEnd      \r
3603  |     |  |  |     |    \r
3604  |     |  |  |     +- vTaskIncrementTick  \r
3605  |     |  |  |        | \r
3606  |     |  |  |        +- vListRemove          (see above)\r
3607  |     |  |  |        |  \r
3608  |     |  |  |        +- vListInsertEnd       (see above)\r
3609  |     |  |  |           \r
3610  |     |  |  +- vParTestToggleLED   \r
3611  |     |  |     | \r
3612  |     |  |     +- Byte1_NegBit        \r
3613  |     |  |          \r
3614  |     |  +- xTaskCreate         \r
3615  |     |     | \r
3616  |     |     +- prvAllocateTCBAndStack\r
3617  |     |     |  | \r
3618  |     |     |  +- pvPortMalloc        \r
3619  |     |     |  |  | \r
3620  |     |     |  |  +- vTaskSuspendAll      (see above)\r
3621  |     |     |  |  |  \r
3622  |     |     |  |  +- xTaskResumeAll       (see above)\r
3623  |     |     |  |     \r
3624  |     |     |  +- vPortFree           \r
3625  |     |     |  |  | \r
3626  |     |     |  |  +- vTaskSuspendAll      (see above)\r
3627  |     |     |  |  |  \r
3628  |     |     |  |  +- xTaskResumeAll       (see above)\r
3629  |     |     |  |     \r
3630  |     |     |  +- memset              \r
3631  |     |     |       \r
3632  |     |     +- prvInitialiseTCBVariables\r
3633  |     |     |  | \r
3634  |     |     |  +- strncpy             \r
3635  |     |     |  |    \r
3636  |     |     |  +- vListInitialiseItem \r
3637  |     |     |       \r
3638  |     |     +- pxPortInitialiseStack\r
3639  |     |     |    \r
3640  |     |     +- prvInitialiseTaskLists\r
3641  |     |     |  | \r
3642  |     |     |  +- vListInitialise     \r
3643  |     |     |     | \r
3644  |     |     |     +- vListInitialiseItem  (see above)\r
3645  |     |     |        \r
3646  |     |     +- vListInsertEnd       (see above)\r
3647  |     |        \r
3648  |     +- vStartPolledQueueTasks\r
3649  |     |  | \r
3650  |     |  +- xQueueCreate        \r
3651  |     |  |  | \r
3652  |     |  |  +- pvPortMalloc         (see above)\r
3653  |     |  |  |  \r
3654  |     |  |  +- vListInitialise      (see above)\r
3655  |     |  |  |  \r
3656  |     |  |  +- vPortFree            (see above)\r
3657  |     |  |     \r
3658  |     |  +- vPolledQueueConsumer\r
3659  |     |  |  | \r
3660  |     |  |  +- xQueueReceive       \r
3661  |     |  |  |  | \r
3662  |     |  |  |  +- vTaskSuspendAll      (see above)\r
3663  |     |  |  |  |  \r
3664  |     |  |  |  +- prvIsQueueEmpty     \r
3665  |     |  |  |  |    \r
3666  |     |  |  |  +- vTaskPlaceOnEventList\r
3667  |     |  |  |  |  | \r
3668  |     |  |  |  |  +- vListInsert          (see above)\r
3669  |     |  |  |  |  |  \r
3670  |     |  |  |  |  +- vListRemove          (see above)\r
3671  |     |  |  |  |     \r
3672  |     |  |  |  +- prvUnlockQueue      \r
3673  |     |  |  |  |  | \r
3674  |     |  |  |  |  +- xTaskRemoveFromEventList\r
3675  |     |  |  |  |     | \r
3676  |     |  |  |  |     +- vListRemove          (see above)\r
3677  |     |  |  |  |     |  \r
3678  |     |  |  |  |     +- vListInsertEnd       (see above)\r
3679  |     |  |  |  |        \r
3680  |     |  |  |  +- xTaskResumeAll       (see above)\r
3681  |     |  |  |  |  \r
3682  |     |  |  |  +- memcpy              \r
3683  |     |  |  |       \r
3684  |     |  |  +- uxQueueMessagesWaiting\r
3685  |     |  |  |    \r
3686  |     |  |  +- vTaskDelay          \r
3687  |     |  |     | \r
3688  |     |  |     +- vTaskSuspendAll      (see above)\r
3689  |     |  |     |  \r
3690  |     |  |     +- vListRemove          (see above)\r
3691  |     |  |     |  \r
3692  |     |  |     +- vListInsert          (see above)\r
3693  |     |  |     |  \r
3694  |     |  |     +- xTaskResumeAll       (see above)\r
3695  |     |  |        \r
3696  |     |  +- xTaskCreate          (see above)\r
3697  |     |  |  \r
3698  |     |  +- vPolledQueueProducer\r
3699  |     |     | \r
3700  |     |     +- xQueueSend          \r
3701  |     |     |  | \r
3702  |     |     |  +- vTaskSuspendAll      (see above)\r
3703  |     |     |  |  \r
3704  |     |     |  +- prvIsQueueFull      \r
3705  |     |     |  |    \r
3706  |     |     |  +- vTaskPlaceOnEventList (see above)\r
3707  |     |     |  |  \r
3708  |     |     |  +- prvUnlockQueue       (see above)\r
3709  |     |     |  |  \r
3710  |     |     |  +- xTaskResumeAll       (see above)\r
3711  |     |     |  |  \r
3712  |     |     |  +- memcpy               (see above)\r
3713  |     |     |     \r
3714  |     |     +- vTaskDelay           (see above)\r
3715  |     |        \r
3716  |     +- vStartDynamicPriorityTasks\r
3717  |     |  | \r
3718  |     |  +- xQueueCreate         (see above)\r
3719  |     |  |  \r
3720  |     |  +- vContinuousIncrementTask\r
3721  |     |  |  | \r
3722  |     |  |  +- uxTaskPriorityGet   \r
3723  |     |  |  |    \r
3724  |     |  |  +- vTaskPrioritySet    \r
3725  |     |  |  |  | \r
3726  |     |  |  |  +- vListRemove          (see above)\r
3727  |     |  |  |  |  \r
3728  |     |  |  |  +- vListInsertEnd       (see above)\r
3729  |     |  |  |     \r
3730  |     |  |  +- _LINC               \r
3731  |     |  |       \r
3732  |     |  +- xTaskCreate          (see above)\r
3733  |     |  |  \r
3734  |     |  +- vLimitedIncrementTask\r
3735  |     |  |  | \r
3736  |     |  |  +- _LINC                (see above)\r
3737  |     |  |  |  \r
3738  |     |  |  +- _LCMP_P             \r
3739  |     |  |  |    \r
3740  |     |  |  +- vTaskSuspend        \r
3741  |     |  |     | \r
3742  |     |  |     +- vListRemove          (see above)\r
3743  |     |  |     |  \r
3744  |     |  |     +- vListInsertEnd       (see above)\r
3745  |     |  |        \r
3746  |     |  +- vCounterControlTask \r
3747  |     |  |  | \r
3748  |     |  |  +- vTaskSuspend         (see above)\r
3749  |     |  |  |  \r
3750  |     |  |  +- vTaskResume         \r
3751  |     |  |  |  | \r
3752  |     |  |  |  +- vListRemove          (see above)\r
3753  |     |  |  |  |  \r
3754  |     |  |  |  +- vListInsertEnd       (see above)\r
3755  |     |  |  |     \r
3756  |     |  |  +- vTaskDelay           (see above)\r
3757  |     |  |  |  \r
3758  |     |  |  +- vTaskSuspendAll      (see above)\r
3759  |     |  |  |  \r
3760  |     |  |  +- xTaskResumeAll       (see above)\r
3761  |     |  |     \r
3762  |     |  +- vQueueSendWhenSuspendedTask\r
3763  |     |  |  | \r
3764  |     |  |  +- vTaskSuspendAll      (see above)\r
3765  |     |  |  |  \r
3766  |     |  |  +- xQueueSend           (see above)\r
3767  |     |  |  |  \r
3768  |     |  |  +- xTaskResumeAll       (see above)\r
3769  |     |  |  |  \r
3770  |     |  |  +- vTaskDelay           (see above)\r
3771  |     |  |  |  \r
3772  |     |  |  +- _LINC                (see above)\r
3773  |     |  |     \r
3774  |     |  +- vQueueReceiveWhenSuspendedTask\r
3775  |     |     | \r
3776  |     |     +- vTaskSuspendAll      (see above)\r
3777  |     |     |  \r
3778  |     |     +- xQueueReceive        (see above)\r
3779  |     |     |  \r
3780  |     |     +- xTaskResumeAll       (see above)\r
3781  |     |     |  \r
3782  |     |     +- _LINC                (see above)\r
3783  |     |        \r
3784  |     +- vAltStartComTestTasks\r
3785  |     |  | \r
3786  |     |  +- xSerialPortInitMinimal\r
3787  |     |  |  | \r
3788  |     |  |  +- xQueueCreate         (see above)\r
3789  |     |  |  |  \r
3790  |     |  |  +- COM0_SetBaudRateMode\r
3791  |     |  |       \r
3792  |     |  +- vComTxTask          \r
3793  |     |  |  | \r
3794  |     |  |  +- xSerialPutChar      \r
3795  |     |  |  |  | \r
3796  |     |  |  |  +- xQueueSend           (see above)\r
3797  |     |  |  |     \r
3798  |     |  |  +- vParTestToggleLED    (see above)\r
3799  |     |  |  |  \r
3800  |     |  |  +- vParTestSetLED      \r
3801  |     |  |  |  | \r
3802  |     |  |  |  +- Byte1_PutBit        \r
3803  |     |  |  |       \r
3804  |     |  |  +- xTaskGetTickCount    (see above)\r
3805  |     |  |  |  \r
3806  |     |  |  +- vTaskDelay           (see above)\r
3807  |     |  |     \r
3808  |     |  +- xTaskCreate          (see above)\r
3809  |     |  |  \r
3810  |     |  +- vComRxTask          \r
3811  |     |     | \r
3812  |     |     +- xSerialGetChar      \r
3813  |     |     |  | \r
3814  |     |     |  +- xQueueReceive        (see above)\r
3815  |     |     |     \r
3816  |     |     +- vParTestToggleLED    (see above)\r
3817  |     |     |  \r
3818  |     |     +- vParTestSetLED       (see above)\r
3819  |     |        \r
3820  |     +- vStartBlockingQueueTasks\r
3821  |     |  | \r
3822  |     |  +- xQueueCreate         (see above)\r
3823  |     |  |  \r
3824  |     |  +- vBlockingQueueConsumer\r
3825  |     |  |  | \r
3826  |     |  |  +- xQueueReceive        (see above)\r
3827  |     |  |     \r
3828  |     |  +- xTaskCreate          (see above)\r
3829  |     |  |  \r
3830  |     |  +- vBlockingQueueProducer\r
3831  |     |  |  | \r
3832  |     |  |  +- xQueueSend           (see above)\r
3833  |     |  |     \r
3834  |     |  +- pvPortMalloc         (see above)\r
3835  |     |     \r
3836  |     +- vStartIntegerMathTasks\r
3837  |     |  | \r
3838  |     |  +- vCompeteingIntMathTask\r
3839  |     |  |  | \r
3840  |     |  |  +- _LNEG               \r
3841  |     |  |  |    \r
3842  |     |  |  +- _LDIVS              \r
3843  |     |  |  |  | \r
3844  |     |  |  |  +- _NEG_P              \r
3845  |     |  |  |  |    \r
3846  |     |  |  |  +- _lDivMod            \r
3847  |     |  |  |       \r
3848  |     |  |  +- _LCMP_P              (see above)\r
3849  |     |  |     \r
3850  |     |  +- xTaskCreate          (see above)\r
3851  |     |     \r
3852  |     +- vCreateSuicidalTasks\r
3853  |     |  | \r
3854  |     |  +- pvPortMalloc         (see above)\r
3855  |     |  |  \r
3856  |     |  +- vCreateTasks        \r
3857  |     |  |  | \r
3858  |     |  |  +- vPortFree            (see above)\r
3859  |     |  |  |  \r
3860  |     |  |  +- vTaskDelay           (see above)\r
3861  |     |  |  |  \r
3862  |     |  |  +- vSuicidalTask       \r
3863  |     |  |  |  | \r
3864  |     |  |  |  +- _LMUL               \r
3865  |     |  |  |  |    \r
3866  |     |  |  |  +- vTaskDelay           (see above)\r
3867  |     |  |  |  |  \r
3868  |     |  |  |  +- vTaskDelete         \r
3869  |     |  |  |     | \r
3870  |     |  |  |     +- vListRemove          (see above)\r
3871  |     |  |  |     |  \r
3872  |     |  |  |     +- vListInsertEnd       (see above)\r
3873  |     |  |  |        \r
3874  |     |  |  +- xTaskCreate          (see above)\r
3875  |     |  |     \r
3876  |     |  +- xTaskCreate          (see above)\r
3877  |     |  |  \r
3878  |     |  +- uxTaskGetNumberOfTasks\r
3879  |     |       \r
3880  |     +- vErrorChecks        \r
3881  |     |  | \r
3882  |     |  +- xTaskGetTickCount    (see above)\r
3883  |     |  |  \r
3884  |     |  +- vTaskDelayUntil      (see above)\r
3885  |     |  |  \r
3886  |     |  +- prvCheckOtherTasksAreStillRunning\r
3887  |     |  |  | \r
3888  |     |  |  +- xArePollingQueuesStillRunning\r
3889  |     |  |  |    \r
3890  |     |  |  +- xAreDynamicPriorityTasksStillRunning\r
3891  |     |  |  |    \r
3892  |     |  |  +- xAreComTestTasksStillRunning\r
3893  |     |  |  |    \r
3894  |     |  |  +- xAreIntegerMathsTaskStillRunning\r
3895  |     |  |  |    \r
3896  |     |  |  +- xAreBlockingQueuesStillRunning\r
3897  |     |  |  |    \r
3898  |     |  |  +- xIsCreateTaskStillRunning\r
3899  |     |  |     | \r
3900  |     |  |     +- uxTaskGetNumberOfTasks (see above)\r
3901  |     |  |        \r
3902  |     |  +- _LCMP               \r
3903  |     |  |    \r
3904  |     |  +- vParTestToggleLED    (see above)\r
3905  |     |     \r
3906  |     +- xTaskCreate          (see above)\r
3907  |     |  \r
3908  |     +- vTaskStartScheduler \r
3909  |        | \r
3910  |        +- prvIdleTask         \r
3911  |        |  | \r
3912  |        |  +- prvCheckTasksWaitingTermination\r
3913  |        |  |  | \r
3914  |        |  |  +- vTaskSuspendAll      (see above)\r
3915  |        |  |  |  \r
3916  |        |  |  +- xTaskResumeAll       (see above)\r
3917  |        |  |  |  \r
3918  |        |  |  +- vListRemove          (see above)\r
3919  |        |  |  |  \r
3920  |        |  |  +- prvDeleteTCB        \r
3921  |        |  |     | \r
3922  |        |  |     +- vPortFree            (see above)\r
3923  |        |  |        \r
3924  |        |  +- vApplicationIdleHook\r
3925  |        |     | \r
3926  |        |     +- _LNEG                (see above)\r
3927  |        |     |  \r
3928  |        |     +- _LDIVS               (see above)\r
3929  |        |     |  \r
3930  |        |     +- _LCMP_P              (see above)\r
3931  |        |        \r
3932  |        +- xTaskCreate          (see above)\r
3933  |        |  \r
3934  |        +- xPortStartScheduler \r
3935  |           | \r
3936  |           +- xBankedStartScheduler\r
3937  |              | \r
3938  |              +- TickTimer_SetFreqHz \r
3939  |              |  | \r
3940  |              |  +- _LDIVU              \r
3941  |              |  |  | \r
3942  |              |  |  +- _lDivMod             (see above)\r
3943  |              |  |     \r
3944  |              |  +- PE_Timer_LngHi1     \r
3945  |              |     | \r
3946  |              |     +- _LCMP                (see above)\r
3947  |              |        \r
3948  |              +- TickTimer_Enable    \r
3949  |                   \r
3950  +- _EntryPoint         \r
3951     | \r
3952     +- _Startup            \r
3953        | \r
3954        +- Init                \r
3955             \r
3956  _vect               \r
3957  | \r
3958  +- Cpu_Interrupt       \r
3959  |    \r
3960  +- vCOM0_ISR           \r
3961  |  | \r
3962  |  +- xQueueSendFromISR   \r
3963  |  |  | \r
3964  |  |  +- memcpy               (see above)\r
3965  |  |  |  \r
3966  |  |  +- xTaskRemoveFromEventList (see above)\r
3967  |  |     \r
3968  |  +- xQueueReceiveFromISR\r
3969  |     | \r
3970  |     +- memcpy               (see above)\r
3971  |     |  \r
3972  |     +- xTaskRemoveFromEventList (see above)\r
3973  |        \r
3974  +- vPortTickInterrupt  \r
3975  |  | \r
3976  |  +- vTaskIncrementTick   (see above)\r
3977  |  |  \r
3978  |  +- vTaskSwitchContext  \r
3979  |       \r
3980  +- vPortYield          \r
3981  |  | \r
3982  |  +- vTaskSwitchContext   (see above)\r
3983  |     \r
3984  +- _EntryPoint          (see above)\r
3985     \r
3986 *********************************************************************************************\r
3987 STATISTIC SECTION\r
3988 ---------------------------------------------------------------------------------------------\r
3989 \r
3990 ExeFile:\r
3991 --------\r
3992 Number of blocks to be downloaded: 18\r
3993 Total size of all blocks to be downloaded: 7636\r
3994 \r