]> git.sur5r.net Git - openocd/blob - src/jtag/core.c
jtag: retire jtag_alloc_in_value32
[openocd] / src / jtag / core.c
1 /***************************************************************************
2  *   Copyright (C) 2009 Zachary T Welch                                    *
3  *   zw@superlucidity.net                                                  *
4  *                                                                         *
5  *   Copyright (C) 2007,2008,2009 Ã˜yvind Harboe                            *
6  *   oyvind.harboe@zylin.com                                               *
7  *                                                                         *
8  *   Copyright (C) 2009 SoftPLC Corporation                                *
9  *       http://softplc.com                                                *
10  *   dick@softplc.com                                                      *
11  *                                                                         *
12  *   Copyright (C) 2005 by Dominic Rath                                    *
13  *   Dominic.Rath@gmx.de                                                   *
14  *                                                                         *
15  *   This program is free software; you can redistribute it and/or modify  *
16  *   it under the terms of the GNU General Public License as published by  *
17  *   the Free Software Foundation; either version 2 of the License, or     *
18  *   (at your option) any later version.                                   *
19  *                                                                         *
20  *   This program is distributed in the hope that it will be useful,       *
21  *   but WITHOUT ANY WARRANTY; without even the implied warranty of        *
22  *   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the         *
23  *   GNU General Public License for more details.                          *
24  *                                                                         *
25  *   You should have received a copy of the GNU General Public License     *
26  *   along with this program; if not, write to the                         *
27  *   Free Software Foundation, Inc.,                                       *
28  *   59 Temple Place - Suite 330, Boston, MA  02111-1307, USA.             *
29  ***************************************************************************/
30 #ifdef HAVE_CONFIG_H
31 #include "config.h"
32 #endif
33
34 #include "jtag.h"
35 #include "interface.h"
36 #include <transport/transport.h>
37
38 #ifdef HAVE_STRINGS_H
39 #include <strings.h>
40 #endif
41
42 /* SVF and XSVF are higher level JTAG command sets (for boundary scan) */
43 #include "svf/svf.h"
44 #include "xsvf/xsvf.h"
45
46 /// The number of JTAG queue flushes (for profiling and debugging purposes).
47 static int jtag_flush_queue_count;
48
49 // Sleep this # of ms after flushing the queue
50 static int jtag_flush_queue_sleep = 0;
51
52 static void jtag_add_scan_check(struct jtag_tap *active,
53                 void (*jtag_add_scan)(struct jtag_tap *active, int in_num_fields, const struct scan_field *in_fields, tap_state_t state),
54                 int in_num_fields, struct scan_field *in_fields, tap_state_t state);
55
56 /**
57  * The jtag_error variable is set when an error occurs while executing
58  * the queue.  Application code may set this using jtag_set_error(),
59  * when an error occurs during processing that should be reported during
60  * jtag_execute_queue().
61  *
62  * The value is set and cleared, but never read by normal application code.
63  *
64  * This value is returned (and cleared) by jtag_execute_queue().
65  */
66 static int jtag_error = ERROR_OK;
67
68 static const char *jtag_event_strings[] =
69 {
70         [JTAG_TRST_ASSERTED] = "TAP reset",
71         [JTAG_TAP_EVENT_SETUP] = "TAP setup",
72         [JTAG_TAP_EVENT_ENABLE] = "TAP enabled",
73         [JTAG_TAP_EVENT_DISABLE] = "TAP disabled",
74 };
75
76 /*
77  * JTAG adapters must initialize with TRST and SRST de-asserted
78  * (they're negative logic, so that means *high*).  But some
79  * hardware doesn't necessarily work that way ... so set things
80  * up so that jtag_init() always forces that state.
81  */
82 static int jtag_trst = -1;
83 static int jtag_srst = -1;
84
85 /**
86  * List all TAPs that have been created.
87  */
88 static struct jtag_tap *__jtag_all_taps = NULL;
89 /**
90  * The number of TAPs in the __jtag_all_taps list, used to track the
91  * assigned chain position to new TAPs
92  */
93 static unsigned jtag_num_taps = 0;
94
95 static enum reset_types jtag_reset_config = RESET_NONE;
96 tap_state_t cmd_queue_cur_state = TAP_RESET;
97
98 static bool jtag_verify_capture_ir = true;
99 static int jtag_verify = 1;
100
101 /* how long the OpenOCD should wait before attempting JTAG communication after reset lines deasserted (in ms) */
102 static int adapter_nsrst_delay = 0; /* default to no nSRST delay */
103 static int jtag_ntrst_delay = 0; /* default to no nTRST delay */
104 static int adapter_nsrst_assert_width = 0; /* width of assertion */
105 static int jtag_ntrst_assert_width = 0; /* width of assertion */
106
107 /**
108  * Contains a single callback along with a pointer that will be passed
109  * when an event occurs.
110  */
111 struct jtag_event_callback {
112         /// a event callback
113         jtag_event_handler_t callback;
114         /// the private data to pass to the callback
115         void* priv;
116         /// the next callback
117         struct jtag_event_callback* next;
118 };
119
120 /* callbacks to inform high-level handlers about JTAG state changes */
121 static struct jtag_event_callback *jtag_event_callbacks;
122
123 /* speed in kHz*/
124 static int speed_khz = 0;
125 /* speed to fallback to when RCLK is requested but not supported */
126 static int rclk_fallback_speed_khz = 0;
127 static enum {CLOCK_MODE_UNSELECTED, CLOCK_MODE_KHZ, CLOCK_MODE_RCLK} clock_mode;
128 static int jtag_speed = 0;
129
130 static struct jtag_interface *jtag = NULL;
131
132
133 const struct swd_driver *swd = NULL;
134
135 /* configuration */
136 struct jtag_interface *jtag_interface = NULL;
137
138 void jtag_set_flush_queue_sleep(int ms)
139 {
140         jtag_flush_queue_sleep = ms;
141 }
142
143 void jtag_set_error(int error)
144 {
145         if ((error == ERROR_OK) || (jtag_error != ERROR_OK))
146                 return;
147         jtag_error = error;
148 }
149
150 int jtag_error_clear(void)
151 {
152         int temp = jtag_error;
153         jtag_error = ERROR_OK;
154         return temp;
155 }
156
157 /************/
158
159 static bool jtag_poll = 1;
160
161 bool is_jtag_poll_safe(void)
162 {
163         /* Polling can be disabled explicitly with set_enabled(false).
164          * It is also implicitly disabled while TRST is active and
165          * while SRST is gating the JTAG clock.
166          */
167         if (!jtag_poll || jtag_trst != 0)
168                 return false;
169         return jtag_srst == 0 || (jtag_reset_config & RESET_SRST_NO_GATING);
170 }
171
172 bool jtag_poll_get_enabled(void)
173 {
174         return jtag_poll;
175 }
176
177 void jtag_poll_set_enabled(bool value)
178 {
179         jtag_poll = value;
180 }
181
182 /************/
183
184 struct jtag_tap *jtag_all_taps(void)
185 {
186         return __jtag_all_taps;
187 };
188
189 unsigned jtag_tap_count(void)
190 {
191         return jtag_num_taps;
192 }
193
194 unsigned jtag_tap_count_enabled(void)
195 {
196         struct jtag_tap *t = jtag_all_taps();
197         unsigned n = 0;
198         while (t)
199         {
200                 if (t->enabled)
201                         n++;
202                 t = t->next_tap;
203         }
204         return n;
205 }
206
207 /// Append a new TAP to the chain of all taps.
208 void jtag_tap_add(struct jtag_tap *t)
209 {
210         t->abs_chain_position = jtag_num_taps++;
211
212         struct jtag_tap **tap = &__jtag_all_taps;
213         while (*tap != NULL)
214                 tap = &(*tap)->next_tap;
215         *tap = t;
216 }
217
218 /* returns a pointer to the n-th device in the scan chain */
219 struct jtag_tap *jtag_tap_by_position(unsigned n)
220 {
221         struct jtag_tap *t = jtag_all_taps();
222
223         while (t && n-- > 0)
224                 t = t->next_tap;
225
226         return t;
227 }
228
229 struct jtag_tap *jtag_tap_by_string(const char *s)
230 {
231         /* try by name first */
232         struct jtag_tap *t = jtag_all_taps();
233
234         while (t)
235         {
236                 if (0 == strcmp(t->dotted_name, s))
237                         return t;
238                 t = t->next_tap;
239         }
240
241         /* no tap found by name, so try to parse the name as a number */
242         unsigned n;
243         if (parse_uint(s, &n) != ERROR_OK)
244                 return NULL;
245
246         /* FIXME remove this numeric fallback code late June 2010, along
247          * with all info in the User's Guide that TAPs have numeric IDs.
248          * Also update "scan_chain" output to not display the numbers.
249          */
250         t = jtag_tap_by_position(n);
251         if (t)
252                 LOG_WARNING("Specify TAP '%s' by name, not number %u",
253                         t->dotted_name, n);
254
255         return t;
256 }
257
258 struct jtag_tap* jtag_tap_next_enabled(struct jtag_tap* p)
259 {
260         p = p ? p->next_tap : jtag_all_taps();
261         while (p)
262         {
263                 if (p->enabled)
264                         return p;
265                 p = p->next_tap;
266         }
267         return NULL;
268 }
269
270 const char *jtag_tap_name(const struct jtag_tap *tap)
271 {
272         return (tap == NULL) ? "(unknown)" : tap->dotted_name;
273 }
274
275
276 int jtag_register_event_callback(jtag_event_handler_t callback, void *priv)
277 {
278         struct jtag_event_callback **callbacks_p = &jtag_event_callbacks;
279
280         if (callback == NULL)
281         {
282                 return ERROR_INVALID_ARGUMENTS;
283         }
284
285         if (*callbacks_p)
286         {
287                 while ((*callbacks_p)->next)
288                         callbacks_p = &((*callbacks_p)->next);
289                 callbacks_p = &((*callbacks_p)->next);
290         }
291
292         (*callbacks_p) = malloc(sizeof(struct jtag_event_callback));
293         (*callbacks_p)->callback = callback;
294         (*callbacks_p)->priv = priv;
295         (*callbacks_p)->next = NULL;
296
297         return ERROR_OK;
298 }
299
300 int jtag_unregister_event_callback(jtag_event_handler_t callback, void *priv)
301 {
302         struct jtag_event_callback **p = &jtag_event_callbacks, *temp;
303
304         if (callback == NULL)
305         {
306                 return ERROR_INVALID_ARGUMENTS;
307         }
308
309         while (*p)
310         {
311                 if (((*p)->priv != priv) || ((*p)->callback != callback))
312                 {
313                         p = &(*p)->next;
314                         continue;
315                 }
316
317                 temp = *p;
318                 *p = (*p)->next;
319                 free(temp);
320         }
321
322         return ERROR_OK;
323 }
324
325 int jtag_call_event_callbacks(enum jtag_event event)
326 {
327         struct jtag_event_callback *callback = jtag_event_callbacks;
328
329         LOG_DEBUG("jtag event: %s", jtag_event_strings[event]);
330
331         while (callback)
332         {
333                 struct jtag_event_callback *next;
334
335                 /* callback may remove itself */
336                 next = callback->next;
337                 callback->callback(event, callback->priv);
338                 callback = next;
339         }
340
341         return ERROR_OK;
342 }
343
344 static void jtag_checks(void)
345 {
346         assert(jtag_trst == 0);
347 }
348
349 static void jtag_prelude(tap_state_t state)
350 {
351         jtag_checks();
352
353         assert(state != TAP_INVALID);
354
355         cmd_queue_cur_state = state;
356 }
357
358 void jtag_add_ir_scan_noverify(struct jtag_tap *active, const struct scan_field *in_fields,
359                 tap_state_t state)
360 {
361         jtag_prelude(state);
362
363         int retval = interface_jtag_add_ir_scan(active, in_fields, state);
364         jtag_set_error(retval);
365 }
366
367 static void jtag_add_ir_scan_noverify_callback(struct jtag_tap *active, int dummy, const struct scan_field *in_fields,
368                 tap_state_t state)
369 {
370         jtag_add_ir_scan_noverify(active, in_fields, state);
371 }
372
373 void jtag_add_ir_scan(struct jtag_tap *active, struct scan_field *in_fields, tap_state_t state)
374 {
375         assert(state != TAP_RESET);
376
377         if (jtag_verify && jtag_verify_capture_ir)
378         {
379                 /* 8 x 32 bit id's is enough for all invocations */
380
381                 /* if we are to run a verification of the ir scan, we need to get the input back.
382                  * We may have to allocate space if the caller didn't ask for the input back.
383                  */
384                 in_fields->check_value = active->expected;
385                 in_fields->check_mask = active->expected_mask;
386                 jtag_add_scan_check(active, jtag_add_ir_scan_noverify_callback, 1, in_fields, state);
387         } else
388         {
389                 jtag_add_ir_scan_noverify(active, in_fields, state);
390         }
391 }
392
393 void jtag_add_plain_ir_scan(int num_bits, const uint8_t *out_bits, uint8_t *in_bits,
394                 tap_state_t state)
395 {
396         assert(out_bits != NULL);
397         assert(state != TAP_RESET);
398
399         jtag_prelude(state);
400
401         int retval = interface_jtag_add_plain_ir_scan(
402                         num_bits, out_bits, in_bits, state);
403         jtag_set_error(retval);
404 }
405
406 static int jtag_check_value_inner(uint8_t *captured, uint8_t *in_check_value,
407                 uint8_t *in_check_mask, int num_bits);
408
409 static int jtag_check_value_mask_callback(jtag_callback_data_t data0, jtag_callback_data_t data1, jtag_callback_data_t data2, jtag_callback_data_t data3)
410 {
411         return jtag_check_value_inner((uint8_t *)data0, (uint8_t *)data1, (uint8_t *)data2, (int)data3);
412 }
413
414 static void jtag_add_scan_check(struct jtag_tap *active, void (*jtag_add_scan)(struct jtag_tap *active, int in_num_fields, const struct scan_field *in_fields, tap_state_t state),
415                 int in_num_fields, struct scan_field *in_fields, tap_state_t state)
416 {
417         for (int i = 0; i < in_num_fields; i++)
418         {
419                 struct scan_field *field = &in_fields[i];
420                 field->allocated = 0;
421                 field->modified = 0;
422                 if (field->check_value || field->in_value)
423                         continue;
424                 interface_jtag_add_scan_check_alloc(field);
425                 field->modified = 1;
426         }
427
428         jtag_add_scan(active, in_num_fields, in_fields, state);
429
430         for (int i = 0; i < in_num_fields; i++)
431         {
432                 if ((in_fields[i].check_value != NULL) && (in_fields[i].in_value != NULL))
433                 {
434                         /* this is synchronous for a minidriver */
435                         jtag_add_callback4(jtag_check_value_mask_callback, (jtag_callback_data_t)in_fields[i].in_value,
436                                 (jtag_callback_data_t)in_fields[i].check_value,
437                                 (jtag_callback_data_t)in_fields[i].check_mask,
438                                 (jtag_callback_data_t)in_fields[i].num_bits);
439                 }
440                 if (in_fields[i].allocated)
441                 {
442                         free(in_fields[i].in_value);
443                 }
444                 if (in_fields[i].modified)
445                 {
446                         in_fields[i].in_value = NULL;
447                 }
448         }
449 }
450
451 void jtag_add_dr_scan_check(struct jtag_tap *active, int in_num_fields, struct scan_field *in_fields, tap_state_t state)
452 {
453         if (jtag_verify)
454         {
455                 jtag_add_scan_check(active, jtag_add_dr_scan, in_num_fields, in_fields, state);
456         } else
457         {
458                 jtag_add_dr_scan(active, in_num_fields, in_fields, state);
459         }
460 }
461
462
463 void jtag_add_dr_scan(struct jtag_tap *active, int in_num_fields, const struct scan_field *in_fields,
464                 tap_state_t state)
465 {
466         assert(state != TAP_RESET);
467
468         jtag_prelude(state);
469
470         int retval;
471         retval = interface_jtag_add_dr_scan(active, in_num_fields, in_fields, state);
472         jtag_set_error(retval);
473 }
474
475 void jtag_add_plain_dr_scan(int num_bits, const uint8_t *out_bits, uint8_t *in_bits,
476                 tap_state_t state)
477 {
478         assert(out_bits != NULL);
479         assert(state != TAP_RESET);
480
481         jtag_prelude(state);
482
483         int retval;
484         retval = interface_jtag_add_plain_dr_scan(num_bits, out_bits, in_bits, state);
485         jtag_set_error(retval);
486 }
487
488 void jtag_add_tlr(void)
489 {
490         jtag_prelude(TAP_RESET);
491         jtag_set_error(interface_jtag_add_tlr());
492
493         /* NOTE: order here matches TRST path in jtag_add_reset() */
494         jtag_call_event_callbacks(JTAG_TRST_ASSERTED);
495         jtag_notify_event(JTAG_TRST_ASSERTED);
496 }
497
498 /**
499  * If supported by the underlying adapter, this clocks a raw bit sequence
500  * onto TMS for switching betwen JTAG and SWD modes.
501  *
502  * DO NOT use this to bypass the integrity checks and logging provided
503  * by the jtag_add_pathmove() and jtag_add_statemove() calls.
504  *
505  * @param nbits How many bits to clock out.
506  * @param seq The bit sequence.  The LSB is bit 0 of seq[0].
507  * @param state The JTAG tap state to record on completion.  Use
508  *      TAP_INVALID to represent being in in SWD mode.
509  *
510  * @todo Update naming conventions to stop assuming everything is JTAG.
511  */
512 int jtag_add_tms_seq(unsigned nbits, const uint8_t *seq, enum tap_state state)
513 {
514         int retval;
515
516         if (!(jtag->supported & DEBUG_CAP_TMS_SEQ))
517                 return ERROR_JTAG_NOT_IMPLEMENTED;
518
519         jtag_checks();
520         cmd_queue_cur_state = state;
521
522         retval = interface_add_tms_seq(nbits, seq, state);
523         jtag_set_error(retval);
524         return retval;
525 }
526
527 void jtag_add_pathmove(int num_states, const tap_state_t *path)
528 {
529         tap_state_t cur_state = cmd_queue_cur_state;
530
531         /* the last state has to be a stable state */
532         if (!tap_is_state_stable(path[num_states - 1]))
533         {
534                 LOG_ERROR("BUG: TAP path doesn't finish in a stable state");
535                 jtag_set_error(ERROR_JTAG_NOT_STABLE_STATE);
536                 return;
537         }
538
539         for (int i = 0; i < num_states; i++)
540         {
541                 if (path[i] == TAP_RESET)
542                 {
543                         LOG_ERROR("BUG: TAP_RESET is not a valid state for pathmove sequences");
544                         jtag_set_error(ERROR_JTAG_STATE_INVALID);
545                         return;
546                 }
547
548                 if (tap_state_transition(cur_state, true)  != path[i]
549                   && tap_state_transition(cur_state, false) != path[i])
550                 {
551                         LOG_ERROR("BUG: %s -> %s isn't a valid TAP transition",
552                                         tap_state_name(cur_state), tap_state_name(path[i]));
553                         jtag_set_error(ERROR_JTAG_TRANSITION_INVALID);
554                         return;
555                 }
556                 cur_state = path[i];
557         }
558
559         jtag_checks();
560
561         jtag_set_error(interface_jtag_add_pathmove(num_states, path));
562         cmd_queue_cur_state = path[num_states - 1];
563 }
564
565 int jtag_add_statemove(tap_state_t goal_state)
566 {
567         tap_state_t cur_state = cmd_queue_cur_state;
568
569         if (goal_state != cur_state)
570         {
571                 LOG_DEBUG("cur_state=%s goal_state=%s",
572                         tap_state_name(cur_state),
573                         tap_state_name(goal_state));
574         }
575
576         /* If goal is RESET, be paranoid and force that that transition
577          * (e.g. five TCK cycles, TMS high).  Else trust "cur_state".
578          */
579         if (goal_state == TAP_RESET)
580                 jtag_add_tlr();
581         else if (goal_state == cur_state)
582                 /* nothing to do */ ;
583
584         else if (tap_is_state_stable(cur_state) && tap_is_state_stable(goal_state))
585         {
586                 unsigned tms_bits  = tap_get_tms_path(cur_state, goal_state);
587                 unsigned tms_count = tap_get_tms_path_len(cur_state, goal_state);
588                 tap_state_t moves[8];
589                 assert(tms_count < ARRAY_SIZE(moves));
590
591                 for (unsigned i = 0; i < tms_count; i++, tms_bits >>= 1)
592                 {
593                         bool bit = tms_bits & 1;
594
595                         cur_state = tap_state_transition(cur_state, bit);
596                         moves[i] = cur_state;
597                 }
598
599                 jtag_add_pathmove(tms_count, moves);
600         }
601         else if (tap_state_transition(cur_state, true)  == goal_state
602                 ||   tap_state_transition(cur_state, false) == goal_state)
603         {
604                 jtag_add_pathmove(1, &goal_state);
605         }
606
607         else
608                 return ERROR_FAIL;
609
610         return ERROR_OK;
611 }
612
613 void jtag_add_runtest(int num_cycles, tap_state_t state)
614 {
615         jtag_prelude(state);
616         jtag_set_error(interface_jtag_add_runtest(num_cycles, state));
617 }
618
619
620 void jtag_add_clocks(int num_cycles)
621 {
622         if (!tap_is_state_stable(cmd_queue_cur_state))
623         {
624                  LOG_ERROR("jtag_add_clocks() called with TAP in unstable state \"%s\"",
625                                  tap_state_name(cmd_queue_cur_state));
626                  jtag_set_error(ERROR_JTAG_NOT_STABLE_STATE);
627                  return;
628         }
629
630         if (num_cycles > 0)
631         {
632                 jtag_checks();
633                 jtag_set_error(interface_jtag_add_clocks(num_cycles));
634         }
635 }
636
637 void jtag_add_reset(int req_tlr_or_trst, int req_srst)
638 {
639         int trst_with_tlr = 0;
640         int new_srst = 0;
641         int new_trst = 0;
642
643         /* Without SRST, we must use target-specific JTAG operations
644          * on each target; callers should not be requesting SRST when
645          * that signal doesn't exist.
646          *
647          * RESET_SRST_PULLS_TRST is a board or chip level quirk, which
648          * can kick in even if the JTAG adapter can't drive TRST.
649          */
650         if (req_srst) {
651                 if (!(jtag_reset_config & RESET_HAS_SRST)) {
652                         LOG_ERROR("BUG: can't assert SRST");
653                         jtag_set_error(ERROR_FAIL);
654                         return;
655                 }
656                 if ((jtag_reset_config & RESET_SRST_PULLS_TRST) != 0
657                                 && !req_tlr_or_trst) {
658                         LOG_ERROR("BUG: can't assert only SRST");
659                         jtag_set_error(ERROR_FAIL);
660                         return;
661                 }
662                 new_srst = 1;
663         }
664
665         /* JTAG reset (entry to TAP_RESET state) can always be achieved
666          * using TCK and TMS; that may go through a TAP_{IR,DR}UPDATE
667          * state first.  TRST accelerates it, and bypasses those states.
668          *
669          * RESET_TRST_PULLS_SRST is a board or chip level quirk, which
670          * can kick in even if the JTAG adapter can't drive SRST.
671          */
672         if (req_tlr_or_trst) {
673                 if (!(jtag_reset_config & RESET_HAS_TRST))
674                         trst_with_tlr = 1;
675                 else if ((jtag_reset_config & RESET_TRST_PULLS_SRST) != 0
676                                 && !req_srst)
677                         trst_with_tlr = 1;
678                 else
679                         new_trst = 1;
680         }
681
682         /* Maybe change TRST and/or SRST signal state */
683         if (jtag_srst != new_srst || jtag_trst != new_trst) {
684                 int retval;
685
686                 retval = interface_jtag_add_reset(new_trst, new_srst);
687                 if (retval != ERROR_OK)
688                         jtag_set_error(retval);
689                 else
690                         retval = jtag_execute_queue();
691
692                 if (retval != ERROR_OK) {
693                         LOG_ERROR("TRST/SRST error");
694                         return;
695                 }
696         }
697
698         /* SRST resets everything hooked up to that signal */
699         if (jtag_srst != new_srst) {
700                 jtag_srst = new_srst;
701                 if (jtag_srst)
702                 {
703                         LOG_DEBUG("SRST line asserted");
704                         if (adapter_nsrst_assert_width)
705                                 jtag_add_sleep(adapter_nsrst_assert_width * 1000);
706                 }
707                 else {
708                         LOG_DEBUG("SRST line released");
709                         if (adapter_nsrst_delay)
710                                 jtag_add_sleep(adapter_nsrst_delay * 1000);
711                 }
712         }
713
714         /* Maybe enter the JTAG TAP_RESET state ...
715          *  - using only TMS, TCK, and the JTAG state machine
716          *  - or else more directly, using TRST
717          *
718          * TAP_RESET should be invisible to non-debug parts of the system.
719          */
720         if (trst_with_tlr) {
721                 LOG_DEBUG("JTAG reset with TLR instead of TRST");
722                 jtag_add_tlr();
723
724         } else if (jtag_trst != new_trst) {
725                 jtag_trst = new_trst;
726                 if (jtag_trst) {
727                         LOG_DEBUG("TRST line asserted");
728                         tap_set_state(TAP_RESET);
729                         if (jtag_ntrst_assert_width)
730                                 jtag_add_sleep(jtag_ntrst_assert_width * 1000);
731                 } else {
732                         LOG_DEBUG("TRST line released");
733                         if (jtag_ntrst_delay)
734                                 jtag_add_sleep(jtag_ntrst_delay * 1000);
735
736                         /* We just asserted nTRST, so we're now in TAP_RESET.
737                          * Inform possible listeners about this, now that
738                          * JTAG instructions and data can be shifted.  This
739                          * sequence must match jtag_add_tlr().
740                          */
741                         jtag_call_event_callbacks(JTAG_TRST_ASSERTED);
742                         jtag_notify_event(JTAG_TRST_ASSERTED);
743                 }
744         }
745 }
746
747 void jtag_add_sleep(uint32_t us)
748 {
749         /// @todo Here, keep_alive() appears to be a layering violation!!!
750         keep_alive();
751         jtag_set_error(interface_jtag_add_sleep(us));
752 }
753
754 static int jtag_check_value_inner(uint8_t *captured, uint8_t *in_check_value,
755                 uint8_t *in_check_mask, int num_bits)
756 {
757         int retval = ERROR_OK;
758         int compare_failed;
759
760         if (in_check_mask)
761                 compare_failed = buf_cmp_mask(captured, in_check_value, in_check_mask, num_bits);
762         else
763                 compare_failed = buf_cmp(captured, in_check_value, num_bits);
764
765         if (compare_failed) {
766                 char *captured_str, *in_check_value_str;
767                 int bits = (num_bits > DEBUG_JTAG_IOZ)
768                                 ? DEBUG_JTAG_IOZ
769                                 : num_bits;
770
771                 /* NOTE:  we've lost diagnostic context here -- 'which tap' */
772
773                 captured_str = buf_to_str(captured, bits, 16);
774                 in_check_value_str = buf_to_str(in_check_value, bits, 16);
775
776                 LOG_WARNING("Bad value '%s' captured during DR or IR scan:",
777                                 captured_str);
778                 LOG_WARNING(" check_value: 0x%s", in_check_value_str);
779
780                 free(captured_str);
781                 free(in_check_value_str);
782
783                 if (in_check_mask) {
784                         char *in_check_mask_str;
785
786                         in_check_mask_str = buf_to_str(in_check_mask, bits, 16);
787                         LOG_WARNING(" check_mask: 0x%s", in_check_mask_str);
788                         free(in_check_mask_str);
789                 }
790
791                 retval = ERROR_JTAG_QUEUE_FAILED;
792         }
793         return retval;
794 }
795
796 void jtag_check_value_mask(struct scan_field *field, uint8_t *value, uint8_t *mask)
797 {
798         assert(field->in_value != NULL);
799
800         if (value == NULL)
801         {
802                 /* no checking to do */
803                 return;
804         }
805
806         jtag_execute_queue_noclear();
807
808         int retval = jtag_check_value_inner(field->in_value, value, mask, field->num_bits);
809         jtag_set_error(retval);
810 }
811
812
813
814 int default_interface_jtag_execute_queue(void)
815 {
816         if (NULL == jtag)
817         {
818                 LOG_ERROR("No JTAG interface configured yet.  "
819                         "Issue 'init' command in startup scripts "
820                         "before communicating with targets.");
821                 return ERROR_FAIL;
822         }
823
824         return jtag->execute_queue();
825 }
826
827 void jtag_execute_queue_noclear(void)
828 {
829         jtag_flush_queue_count++;
830         jtag_set_error(interface_jtag_execute_queue());
831
832         if (jtag_flush_queue_sleep > 0)
833         {
834                 /* For debug purposes it can be useful to test performance
835                  * or behavior when delaying after flushing the queue,
836                  * e.g. to simulate long roundtrip times.
837                  */
838                 usleep(jtag_flush_queue_sleep * 1000);
839         }
840 }
841
842 int jtag_get_flush_queue_count(void)
843 {
844         return jtag_flush_queue_count;
845 }
846
847 int jtag_execute_queue(void)
848 {
849         jtag_execute_queue_noclear();
850         return jtag_error_clear();
851 }
852
853 static int jtag_reset_callback(enum jtag_event event, void *priv)
854 {
855         struct jtag_tap *tap = priv;
856
857         if (event == JTAG_TRST_ASSERTED)
858         {
859                 tap->enabled = !tap->disabled_after_reset;
860
861                 /* current instruction is either BYPASS or IDCODE */
862                 buf_set_ones(tap->cur_instr, tap->ir_length);
863                 tap->bypass = 1;
864         }
865
866         return ERROR_OK;
867 }
868
869 /* sleep at least us microseconds. When we sleep more than 1000ms we
870  * do an alive sleep, i.e. keep GDB alive. Note that we could starve
871  * GDB if we slept for <1000ms many times.
872  */
873 void jtag_sleep(uint32_t us)
874 {
875         if (us < 1000)
876                 usleep(us);
877         else
878                 alive_sleep((us+999)/1000);
879 }
880
881 /* Maximum number of enabled JTAG devices we expect in the scan chain,
882  * plus one (to detect garbage at the end).  Devices that don't support
883  * IDCODE take up fewer bits, possibly allowing a few more devices.
884  */
885 #define JTAG_MAX_CHAIN_SIZE 20
886
887 #define EXTRACT_MFG(X)  (((X) & 0xffe) >> 1)
888 #define EXTRACT_PART(X) (((X) & 0xffff000) >> 12)
889 #define EXTRACT_VER(X)  (((X) & 0xf0000000) >> 28)
890
891 /* A reserved manufacturer ID is used in END_OF_CHAIN_FLAG, so we
892  * know that no valid TAP will have it as an IDCODE value.
893  */
894 #define END_OF_CHAIN_FLAG       0x000000ff
895
896 /* a larger IR length than we ever expect to autoprobe */
897 #define JTAG_IRLEN_MAX          60
898
899 static int jtag_examine_chain_execute(uint8_t *idcode_buffer, unsigned num_idcode)
900 {
901         struct scan_field field = {
902                         .num_bits = num_idcode * 32,
903                         .out_value = idcode_buffer,
904                         .in_value = idcode_buffer,
905                 };
906
907         // initialize to the end of chain ID value
908         for (unsigned i = 0; i < JTAG_MAX_CHAIN_SIZE; i++)
909                 buf_set_u32(idcode_buffer, i * 32, 32, END_OF_CHAIN_FLAG);
910
911         jtag_add_plain_dr_scan(field.num_bits, field.out_value, field.in_value, TAP_DRPAUSE);
912         jtag_add_tlr();
913         return jtag_execute_queue();
914 }
915
916 static bool jtag_examine_chain_check(uint8_t *idcodes, unsigned count)
917 {
918         uint8_t zero_check = 0x0;
919         uint8_t one_check = 0xff;
920
921         for (unsigned i = 0; i < count * 4; i++)
922         {
923                 zero_check |= idcodes[i];
924                 one_check &= idcodes[i];
925         }
926
927         /* if there wasn't a single non-zero bit or if all bits were one,
928          * the scan is not valid.  We wrote a mix of both values; either
929          *
930          *  - There's a hardware issue (almost certainly):
931          *     + all-zeroes can mean a target stuck in JTAG reset
932          *     + all-ones tends to mean no target
933          *  - The scan chain is WAY longer than we can handle, *AND* either
934          *     + there are several hundreds of TAPs in bypass, or
935          *     + at least a few dozen TAPs all have an all-ones IDCODE
936          */
937         if (zero_check == 0x00 || one_check == 0xff)
938         {
939                 LOG_ERROR("JTAG scan chain interrogation failed: all %s",
940                                 (zero_check == 0x00) ? "zeroes" : "ones");
941                 LOG_ERROR("Check JTAG interface, timings, target power, etc.");
942                 return false;
943         }
944         return true;
945 }
946
947 static void jtag_examine_chain_display(enum log_levels level, const char *msg,
948                 const char *name, uint32_t idcode)
949 {
950         log_printf_lf(level, __FILE__, __LINE__, __FUNCTION__,
951                                   "JTAG tap: %s %16.16s: 0x%08x "
952                                   "(mfg: 0x%3.3x, part: 0x%4.4x, ver: 0x%1.1x)",
953                                   name, msg,
954                                   (unsigned int)idcode,
955                                   (unsigned int)EXTRACT_MFG(idcode),
956                                   (unsigned int)EXTRACT_PART(idcode),
957                                   (unsigned int)EXTRACT_VER(idcode));
958 }
959
960 static bool jtag_idcode_is_final(uint32_t idcode)
961 {
962         /*
963          * Some devices, such as AVR8, will output all 1's instead
964          * of TDI input value at end of chain.  Allow those values
965          * instead of failing.
966          */
967         return idcode == END_OF_CHAIN_FLAG || idcode == 0xFFFFFFFF;
968 }
969
970 /**
971  * This helper checks that remaining bits in the examined chain data are
972  * all as expected, but a single JTAG device requires only 64 bits to be
973  * read back correctly.  This can help identify and diagnose problems
974  * with the JTAG chain earlier, gives more helpful/explicit error messages.
975  * Returns TRUE iff garbage was found.
976  */
977 static bool jtag_examine_chain_end(uint8_t *idcodes, unsigned count, unsigned max)
978 {
979         bool triggered = false;
980         for (; count < max - 31; count += 32)
981         {
982                 uint32_t idcode = buf_get_u32(idcodes, count, 32);
983
984                 /* do not trigger the warning if the data looks good */
985                 if (jtag_idcode_is_final(idcode))
986                         continue;
987                 LOG_WARNING("Unexpected idcode after end of chain: %d 0x%08x",
988                                         count, (unsigned int)idcode);
989                 triggered = true;
990         }
991         return triggered;
992 }
993
994 static bool jtag_examine_chain_match_tap(const struct jtag_tap *tap)
995 {
996         uint32_t idcode = tap->idcode;
997
998         /* ignore expected BYPASS codes; warn otherwise */
999         if (0 == tap->expected_ids_cnt && !idcode)
1000                 return true;
1001
1002         /* optionally ignore the JTAG version field */
1003         uint32_t mask = tap->ignore_version ? ~(0xff << 24) : ~0;
1004
1005         idcode &= mask;
1006
1007         /* Loop over the expected identification codes and test for a match */
1008         unsigned ii, limit = tap->expected_ids_cnt;
1009
1010         for (ii = 0; ii < limit; ii++)
1011         {
1012                 uint32_t expected = tap->expected_ids[ii] & mask;
1013
1014                 if (idcode == expected)
1015                         return true;
1016
1017                 /* treat "-expected-id 0" as a "don't-warn" wildcard */
1018                 if (0 == tap->expected_ids[ii])
1019                         return true;
1020         }
1021
1022         /* If none of the expected ids matched, warn */
1023         jtag_examine_chain_display(LOG_LVL_WARNING, "UNEXPECTED",
1024                         tap->dotted_name, tap->idcode);
1025         for (ii = 0; ii < limit; ii++)
1026         {
1027                 char msg[32];
1028
1029                 snprintf(msg, sizeof(msg), "expected %u of %u", ii + 1, limit);
1030                 jtag_examine_chain_display(LOG_LVL_ERROR, msg,
1031                                 tap->dotted_name, tap->expected_ids[ii]);
1032         }
1033         return false;
1034 }
1035
1036 /* Try to examine chain layout according to IEEE 1149.1 Â§12
1037  * This is called a "blind interrogation" of the scan chain.
1038  */
1039 static int jtag_examine_chain(void)
1040 {
1041         uint8_t idcode_buffer[JTAG_MAX_CHAIN_SIZE * 4];
1042         unsigned bit_count;
1043         int retval;
1044         int tapcount = 0;
1045         bool autoprobe = false;
1046
1047         /* DR scan to collect BYPASS or IDCODE register contents.
1048          * Then make sure the scan data has both ones and zeroes.
1049          */
1050         LOG_DEBUG("DR scan interrogation for IDCODE/BYPASS");
1051         retval = jtag_examine_chain_execute(idcode_buffer, JTAG_MAX_CHAIN_SIZE);
1052         if (retval != ERROR_OK)
1053                 return retval;
1054         if (!jtag_examine_chain_check(idcode_buffer, JTAG_MAX_CHAIN_SIZE))
1055                 return ERROR_JTAG_INIT_FAILED;
1056
1057         /* point at the 1st tap */
1058         struct jtag_tap *tap = jtag_tap_next_enabled(NULL);
1059
1060         if (!tap)
1061                 autoprobe = true;
1062
1063         for (bit_count = 0;
1064                         tap && bit_count < (JTAG_MAX_CHAIN_SIZE * 32) - 31;
1065                         tap = jtag_tap_next_enabled(tap))
1066         {
1067                 uint32_t idcode = buf_get_u32(idcode_buffer, bit_count, 32);
1068
1069                 if ((idcode & 1) == 0)
1070                 {
1071                         /* Zero for LSB indicates a device in bypass */
1072                         LOG_INFO("TAP %s does not have IDCODE",
1073                                         tap->dotted_name);
1074                         idcode = 0;
1075                         tap->hasidcode = false;
1076
1077                         bit_count += 1;
1078                 }
1079                 else
1080                 {
1081                         /* Friendly devices support IDCODE */
1082                         tap->hasidcode = true;
1083                         jtag_examine_chain_display(LOG_LVL_INFO,
1084                                         "tap/device found",
1085                                         tap->dotted_name, idcode);
1086
1087                         bit_count += 32;
1088                 }
1089                 tap->idcode = idcode;
1090
1091                 /* ensure the TAP ID matches what was expected */
1092                 if (!jtag_examine_chain_match_tap(tap))
1093                         retval = ERROR_JTAG_INIT_SOFT_FAIL;
1094         }
1095
1096         /* Fail if too many TAPs were enabled for us to verify them all. */
1097         if (tap) {
1098                 LOG_ERROR("Too many TAPs enabled; '%s' ignored.",
1099                                 tap->dotted_name);
1100                 return ERROR_JTAG_INIT_FAILED;
1101         }
1102
1103         /* if autoprobing, the tap list is still empty ... populate it! */
1104         while (autoprobe && bit_count < (JTAG_MAX_CHAIN_SIZE * 32) - 31) {
1105                 uint32_t idcode;
1106                 char buf[12];
1107
1108                 /* Is there another TAP? */
1109                 idcode = buf_get_u32(idcode_buffer, bit_count, 32);
1110                 if (jtag_idcode_is_final(idcode))
1111                         break;
1112
1113                 /* Default everything in this TAP except IR length.
1114                  *
1115                  * REVISIT create a jtag_alloc(chip, tap) routine, and
1116                  * share it with jim_newtap_cmd().
1117                  */
1118                 tap = calloc(1, sizeof *tap);
1119                 if (!tap)
1120                         return ERROR_FAIL;
1121
1122                 sprintf(buf, "auto%d", tapcount++);
1123                 tap->chip = strdup(buf);
1124                 tap->tapname = strdup("tap");
1125
1126                 sprintf(buf, "%s.%s", tap->chip, tap->tapname);
1127                 tap->dotted_name = strdup(buf);
1128
1129                 /* tap->ir_length == 0 ... signifying irlen autoprobe */
1130                 tap->ir_capture_mask = 0x03;
1131                 tap->ir_capture_value = 0x01;
1132
1133                 tap->enabled = true;
1134
1135                 if ((idcode & 1) == 0) {
1136                         bit_count += 1;
1137                         tap->hasidcode = false;
1138                 } else {
1139                         bit_count += 32;
1140                         tap->hasidcode = true;
1141                         tap->idcode = idcode;
1142
1143                         tap->expected_ids_cnt = 1;
1144                         tap->expected_ids = malloc(sizeof(uint32_t));
1145                         tap->expected_ids[0] = idcode;
1146                 }
1147
1148                 LOG_WARNING("AUTO %s - use \"jtag newtap "
1149                                 "%s %s -expected-id 0x%8.8" PRIx32 " ...\"",
1150                                 tap->dotted_name, tap->chip, tap->tapname,
1151                                 tap->idcode);
1152
1153                 jtag_tap_init(tap);
1154         }
1155
1156         /* After those IDCODE or BYPASS register values should be
1157          * only the data we fed into the scan chain.
1158          */
1159         if (jtag_examine_chain_end(idcode_buffer, bit_count,
1160                         8 * sizeof(idcode_buffer))) {
1161                 LOG_ERROR("double-check your JTAG setup (interface, "
1162                                 "speed, missing TAPs, ...)");
1163                 return ERROR_JTAG_INIT_FAILED;
1164         }
1165
1166         /* Return success or, for backwards compatibility if only
1167          * some IDCODE values mismatched, a soft/continuable fault.
1168          */
1169         return retval;
1170 }
1171
1172 /*
1173  * Validate the date loaded by entry to the Capture-IR state, to help
1174  * find errors related to scan chain configuration (wrong IR lengths)
1175  * or communication.
1176  *
1177  * Entry state can be anything.  On non-error exit, all TAPs are in
1178  * bypass mode.  On error exits, the scan chain is reset.
1179  */
1180 static int jtag_validate_ircapture(void)
1181 {
1182         struct jtag_tap *tap;
1183         int total_ir_length = 0;
1184         uint8_t *ir_test = NULL;
1185         struct scan_field field;
1186         int val;
1187         int chain_pos = 0;
1188         int retval;
1189
1190         /* when autoprobing, accomodate huge IR lengths */
1191         for (tap = NULL, total_ir_length = 0;
1192                         (tap = jtag_tap_next_enabled(tap)) != NULL;
1193                         total_ir_length += tap->ir_length) {
1194                 if (tap->ir_length == 0)
1195                         total_ir_length += JTAG_IRLEN_MAX;
1196         }
1197
1198         /* increase length to add 2 bit sentinel after scan */
1199         total_ir_length += 2;
1200
1201         ir_test = malloc(DIV_ROUND_UP(total_ir_length, 8));
1202         if (ir_test == NULL)
1203                 return ERROR_FAIL;
1204
1205         /* after this scan, all TAPs will capture BYPASS instructions */
1206         buf_set_ones(ir_test, total_ir_length);
1207
1208         field.num_bits = total_ir_length;
1209         field.out_value = ir_test;
1210         field.in_value = ir_test;
1211
1212         jtag_add_plain_ir_scan(field.num_bits, field.out_value, field.in_value, TAP_IDLE);
1213
1214         LOG_DEBUG("IR capture validation scan");
1215         retval = jtag_execute_queue();
1216         if (retval != ERROR_OK)
1217                 goto done;
1218
1219         tap = NULL;
1220         chain_pos = 0;
1221
1222         for (;;) {
1223                 tap = jtag_tap_next_enabled(tap);
1224                 if (tap == NULL) {
1225                         break;
1226                 }
1227
1228                 /* If we're autoprobing, guess IR lengths.  They must be at
1229                  * least two bits.  Guessing will fail if (a) any TAP does
1230                  * not conform to the JTAG spec; or (b) when the upper bits
1231                  * captured from some conforming TAP are nonzero.  Or if
1232                  * (c) an IR length is longer than 32 bits -- which is only
1233                  * an implementation limit, which could someday be raised.
1234                  *
1235                  * REVISIT optimization:  if there's a *single* TAP we can
1236                  * lift restrictions (a) and (b) by scanning a recognizable
1237                  * pattern before the all-ones BYPASS.  Check for where the
1238                  * pattern starts in the result, instead of an 0...01 value.
1239                  *
1240                  * REVISIT alternative approach: escape to some tcl code
1241                  * which could provide more knowledge, based on IDCODE; and
1242                  * only guess when that has no success.
1243                  */
1244                 if (tap->ir_length == 0) {
1245                         tap->ir_length = 2;
1246                         while ((val = buf_get_u32(ir_test, chain_pos,
1247                                                 tap->ir_length + 1)) == 1
1248                                         && tap->ir_length <= 32) {
1249                                 tap->ir_length++;
1250                         }
1251                         LOG_WARNING("AUTO %s - use \"... -irlen %d\"",
1252                                         jtag_tap_name(tap), tap->ir_length);
1253                 }
1254
1255                 /* Validate the two LSBs, which must be 01 per JTAG spec.
1256                  *
1257                  * Or ... more bits could be provided by TAP declaration.
1258                  * Plus, some taps (notably in i.MX series chips) violate
1259                  * this part of the JTAG spec, so their capture mask/value
1260                  * attributes might disable this test.
1261                  */
1262                 val = buf_get_u32(ir_test, chain_pos, tap->ir_length);
1263                 if ((val & tap->ir_capture_mask) != tap->ir_capture_value) {
1264                         LOG_ERROR("%s: IR capture error; saw 0x%0*x not 0x%0*x",
1265                                         jtag_tap_name(tap),
1266                                         (tap->ir_length + 7) / tap->ir_length,
1267                                         val,
1268                                         (tap->ir_length + 7) / tap->ir_length,
1269                                         (unsigned) tap->ir_capture_value);
1270
1271                         retval = ERROR_JTAG_INIT_FAILED;
1272                         goto done;
1273                 }
1274                 LOG_DEBUG("%s: IR capture 0x%0*x", jtag_tap_name(tap),
1275                                 (tap->ir_length + 7) / tap->ir_length, val);
1276                 chain_pos += tap->ir_length;
1277         }
1278
1279         /* verify the '11' sentinel we wrote is returned at the end */
1280         val = buf_get_u32(ir_test, chain_pos, 2);
1281         if (val != 0x3)
1282         {
1283                 char *cbuf = buf_to_str(ir_test, total_ir_length, 16);
1284
1285                 LOG_ERROR("IR capture error at bit %d, saw 0x%s not 0x...3",
1286                                 chain_pos, cbuf);
1287                 free(cbuf);
1288                 retval = ERROR_JTAG_INIT_FAILED;
1289         }
1290
1291 done:
1292         free(ir_test);
1293         if (retval != ERROR_OK) {
1294                 jtag_add_tlr();
1295                 jtag_execute_queue();
1296         }
1297         return retval;
1298 }
1299
1300
1301 void jtag_tap_init(struct jtag_tap *tap)
1302 {
1303         unsigned ir_len_bits;
1304         unsigned ir_len_bytes;
1305
1306         /* if we're autoprobing, cope with potentially huge ir_length */
1307         ir_len_bits = tap->ir_length ? : JTAG_IRLEN_MAX;
1308         ir_len_bytes = DIV_ROUND_UP(ir_len_bits, 8);
1309
1310         tap->expected = calloc(1, ir_len_bytes);
1311         tap->expected_mask = calloc(1, ir_len_bytes);
1312         tap->cur_instr = malloc(ir_len_bytes);
1313
1314         /// @todo cope better with ir_length bigger than 32 bits
1315         if (ir_len_bits > 32)
1316                 ir_len_bits = 32;
1317
1318         buf_set_u32(tap->expected, 0, ir_len_bits, tap->ir_capture_value);
1319         buf_set_u32(tap->expected_mask, 0, ir_len_bits, tap->ir_capture_mask);
1320
1321         // TAP will be in bypass mode after jtag_validate_ircapture()
1322         tap->bypass = 1;
1323         buf_set_ones(tap->cur_instr, tap->ir_length);
1324
1325         // register the reset callback for the TAP
1326         jtag_register_event_callback(&jtag_reset_callback, tap);
1327
1328         LOG_DEBUG("Created Tap: %s @ abs position %d, "
1329                         "irlen %d, capture: 0x%x mask: 0x%x", tap->dotted_name,
1330                                 tap->abs_chain_position, tap->ir_length,
1331                                 (unsigned) tap->ir_capture_value,
1332                                 (unsigned) tap->ir_capture_mask);
1333         jtag_tap_add(tap);
1334 }
1335
1336 void jtag_tap_free(struct jtag_tap *tap)
1337 {
1338         jtag_unregister_event_callback(&jtag_reset_callback, tap);
1339
1340         /// @todo is anything missing? no memory leaks please
1341         free((void *)tap->expected);
1342         free((void *)tap->expected_ids);
1343         free((void *)tap->chip);
1344         free((void *)tap->tapname);
1345         free((void *)tap->dotted_name);
1346         free(tap);
1347 }
1348
1349 /**
1350  * Do low-level setup like initializing registers, output signals,
1351  * and clocking.
1352  */
1353 int adapter_init(struct command_context *cmd_ctx)
1354 {
1355         if (jtag)
1356                 return ERROR_OK;
1357
1358         if (!jtag_interface)
1359         {
1360                 /* nothing was previously specified by "interface" command */
1361                 LOG_ERROR("Debug Adapter has to be specified, "
1362                         "see \"interface\" command");
1363                 return ERROR_JTAG_INVALID_INTERFACE;
1364         }
1365
1366         int retval;
1367         retval = jtag_interface->init();
1368         if (retval != ERROR_OK)
1369         {
1370                 return retval;
1371         }
1372         jtag = jtag_interface;
1373
1374         /* LEGACY SUPPORT ... adapter drivers  must declare what
1375          * transports they allow.  Until they all do so, assume
1376          * the legacy drivers are JTAG-only
1377          */
1378         if (!transports_are_declared()) {
1379                 LOG_ERROR("Adapter driver '%s' did not declare "
1380                         "which transports it allows; assuming "
1381                         "JTAG-only", jtag->name);
1382                 retval = allow_transports(cmd_ctx, jtag_only);
1383                 if (retval != ERROR_OK)
1384                         return retval;
1385         }
1386
1387         if (CLOCK_MODE_UNSELECTED == clock_mode)
1388         {
1389                 LOG_ERROR("An adapter speed is not selected in the init script."
1390                         " Insert a call to adapter_khz or jtag_rclk to proceed.");
1391                 return ERROR_JTAG_INIT_FAILED;
1392         }
1393
1394         int requested_khz = jtag_get_speed_khz();
1395         int actual_khz = requested_khz;
1396         int jtag_speed_var = 0;
1397         retval = jtag_get_speed(&jtag_speed_var);
1398         if (retval != ERROR_OK)
1399                 return retval;
1400         retval = jtag->speed(jtag_speed_var);
1401         if (retval != ERROR_OK)
1402                 return retval;
1403         retval = jtag_get_speed_readable(&actual_khz);
1404         if (ERROR_OK != retval)
1405                 LOG_INFO("adapter-specific clock speed value %d", jtag_speed_var);
1406         else if (actual_khz)
1407         {
1408                 /* Adaptive clocking -- JTAG-specific */
1409                 if ((CLOCK_MODE_RCLK == clock_mode)
1410                         || ((CLOCK_MODE_KHZ == clock_mode) && !requested_khz))
1411                 {
1412                         LOG_INFO("RCLK (adaptive clock speed) not supported - fallback to %d kHz"
1413                                 , actual_khz);
1414                 }
1415                 else
1416                         LOG_INFO("clock speed %d kHz", actual_khz);
1417         }
1418         else
1419                 LOG_INFO("RCLK (adaptive clock speed)");
1420
1421         return ERROR_OK;
1422 }
1423
1424 int jtag_init_inner(struct command_context *cmd_ctx)
1425 {
1426         struct jtag_tap *tap;
1427         int retval;
1428         bool issue_setup = true;
1429
1430         LOG_DEBUG("Init JTAG chain");
1431
1432         tap = jtag_tap_next_enabled(NULL);
1433         if (tap == NULL) {
1434                 /* Once JTAG itself is properly set up, and the scan chain
1435                  * isn't absurdly large, IDCODE autoprobe should work fine.
1436                  *
1437                  * But ... IRLEN autoprobe can fail even on systems which
1438                  * are fully conformant to JTAG.  Also, JTAG setup can be
1439                  * quite finicky on some systems.
1440                  *
1441                  * REVISIT: if TAP autoprobe works OK, then in many cases
1442                  * we could escape to tcl code and set up targets based on
1443                  * the TAP's IDCODE values.
1444                  */
1445                 LOG_WARNING("There are no enabled taps.  "
1446                                 "AUTO PROBING MIGHT NOT WORK!!");
1447
1448                 /* REVISIT default clock will often be too fast ... */
1449         }
1450
1451         jtag_add_tlr();
1452         if ((retval = jtag_execute_queue()) != ERROR_OK)
1453                 return retval;
1454
1455         /* Examine DR values first.  This discovers problems which will
1456          * prevent communication ... hardware issues like TDO stuck, or
1457          * configuring the wrong number of (enabled) TAPs.
1458          */
1459         retval = jtag_examine_chain();
1460         switch (retval) {
1461         case ERROR_OK:
1462                 /* complete success */
1463                 break;
1464         default:
1465                 /* For backward compatibility reasons, try coping with
1466                  * configuration errors involving only ID mismatches.
1467                  * We might be able to talk to the devices.
1468                  *
1469                  * Also the device might be powered down during startup.
1470                  *
1471                  * After OpenOCD starts, we can try to power on the device
1472                  * and run a reset.
1473                  */
1474                 LOG_ERROR("Trying to use configured scan chain anyway...");
1475                 issue_setup = false;
1476                 break;
1477         }
1478
1479         /* Now look at IR values.  Problems here will prevent real
1480          * communication.  They mostly mean that the IR length is
1481          * wrong ... or that the IR capture value is wrong.  (The
1482          * latter is uncommon, but easily worked around:  provide
1483          * ircapture/irmask values during TAP setup.)
1484          */
1485         retval = jtag_validate_ircapture();
1486         if (retval != ERROR_OK)
1487         {
1488                 /* The target might be powered down. The user
1489                  * can power it up and reset it after firing
1490                  * up OpenOCD.
1491                  */
1492                 issue_setup = false;
1493         }
1494
1495         if (issue_setup)
1496                 jtag_notify_event(JTAG_TAP_EVENT_SETUP);
1497         else
1498                 LOG_WARNING("Bypassing JTAG setup events due to errors");
1499
1500
1501         return ERROR_OK;
1502 }
1503
1504 int adapter_quit(void)
1505 {
1506         if (!jtag || !jtag->quit)
1507                 return ERROR_OK;
1508
1509         // close the JTAG interface
1510         int result = jtag->quit();
1511         if (ERROR_OK != result)
1512                 LOG_ERROR("failed: %d", result);
1513
1514         return ERROR_OK;
1515 }
1516
1517
1518 int jtag_init_reset(struct command_context *cmd_ctx)
1519 {
1520         int retval;
1521
1522         if ((retval = adapter_init(cmd_ctx)) != ERROR_OK)
1523                 return retval;
1524
1525         LOG_DEBUG("Initializing with hard TRST+SRST reset");
1526
1527         /*
1528          * This procedure is used by default when OpenOCD triggers a reset.
1529          * It's now done through an overridable Tcl "init_reset" wrapper.
1530          *
1531          * This started out as a more powerful "get JTAG working" reset than
1532          * jtag_init_inner(), applying TRST because some chips won't activate
1533          * JTAG without a TRST cycle (presumed to be async, though some of
1534          * those chips synchronize JTAG activation using TCK).
1535          *
1536          * But some chips only activate JTAG as part of an SRST cycle; SRST
1537          * got mixed in.  So it became a hard reset routine, which got used
1538          * in more places, and which coped with JTAG reset being forced as
1539          * part of SRST (srst_pulls_trst).
1540          *
1541          * And even more corner cases started to surface:  TRST and/or SRST
1542          * assertion timings matter; some chips need other JTAG operations;
1543          * TRST/SRST sequences can need to be different from these, etc.
1544          *
1545          * Systems should override that wrapper to support system-specific
1546          * requirements that this not-fully-generic code doesn't handle.
1547          *
1548          * REVISIT once Tcl code can read the reset_config modes, this won't
1549          * need to be a C routine at all...
1550          */
1551         jtag_add_reset(1, 0); /* TAP_RESET, using TMS+TCK or TRST */
1552         if (jtag_reset_config & RESET_HAS_SRST)
1553         {
1554                 jtag_add_reset(1, 1);
1555                 if ((jtag_reset_config & RESET_SRST_PULLS_TRST) == 0)
1556                         jtag_add_reset(0, 1);
1557         }
1558         jtag_add_reset(0, 0);
1559         if ((retval = jtag_execute_queue()) != ERROR_OK)
1560                 return retval;
1561
1562         /* Check that we can communication on the JTAG chain + eventually we want to
1563          * be able to perform enumeration only after OpenOCD has started
1564          * telnet and GDB server
1565          *
1566          * That would allow users to more easily perform any magic they need to before
1567          * reset happens.
1568          */
1569         return jtag_init_inner(cmd_ctx);
1570 }
1571
1572 int jtag_init(struct command_context *cmd_ctx)
1573 {
1574         int retval;
1575
1576         if ((retval = adapter_init(cmd_ctx)) != ERROR_OK)
1577                 return retval;
1578
1579         /* guard against oddball hardware: force resets to be inactive */
1580         jtag_add_reset(0, 0);
1581         if ((retval = jtag_execute_queue()) != ERROR_OK)
1582                 return retval;
1583
1584         if (Jim_Eval_Named(cmd_ctx->interp, "jtag_init", __FILE__, __LINE__) != JIM_OK)
1585                 return ERROR_FAIL;
1586
1587         return ERROR_OK;
1588 }
1589
1590 unsigned jtag_get_speed_khz(void)
1591 {
1592         return speed_khz;
1593 }
1594
1595 static int adapter_khz_to_speed(unsigned khz, int* speed)
1596 {
1597         LOG_DEBUG("convert khz to interface specific speed value");
1598         speed_khz = khz;
1599         if (jtag != NULL)
1600         {
1601                 LOG_DEBUG("have interface set up");
1602                 int speed_div1;
1603                 int retval = jtag->khz(jtag_get_speed_khz(), &speed_div1);
1604                 if (ERROR_OK != retval)
1605                 {
1606                         return retval;
1607                 }
1608                 *speed = speed_div1;
1609         }
1610         return ERROR_OK;
1611 }
1612
1613 static int jtag_rclk_to_speed(unsigned fallback_speed_khz, int* speed)
1614 {
1615         int retval = adapter_khz_to_speed(0, speed);
1616         if ((ERROR_OK != retval) && fallback_speed_khz)
1617         {
1618                 LOG_DEBUG("trying fallback speed...");
1619                 retval = adapter_khz_to_speed(fallback_speed_khz, speed);
1620         }
1621         return retval;
1622 }
1623
1624 static int jtag_set_speed(int speed)
1625 {
1626         jtag_speed = speed;
1627         /* this command can be called during CONFIG,
1628          * in which case jtag isn't initialized */
1629         return jtag ? jtag->speed(speed) : ERROR_OK;
1630 }
1631
1632 int jtag_config_khz(unsigned khz)
1633 {
1634         LOG_DEBUG("handle jtag khz");
1635         clock_mode = CLOCK_MODE_KHZ;
1636         int speed = 0;
1637         int retval = adapter_khz_to_speed(khz, &speed);
1638         return (ERROR_OK != retval) ? retval : jtag_set_speed(speed);
1639 }
1640
1641 int jtag_config_rclk(unsigned fallback_speed_khz)
1642 {
1643         LOG_DEBUG("handle jtag rclk");
1644         clock_mode = CLOCK_MODE_RCLK;
1645         rclk_fallback_speed_khz = fallback_speed_khz;
1646         int speed = 0;
1647         int retval = jtag_rclk_to_speed(fallback_speed_khz, &speed);
1648         return (ERROR_OK != retval) ? retval : jtag_set_speed(speed);
1649 }
1650
1651 int jtag_get_speed(int *speed)
1652 {
1653         switch(clock_mode)
1654         {
1655                 case CLOCK_MODE_KHZ:
1656                         adapter_khz_to_speed(jtag_get_speed_khz(), speed);
1657                         break;
1658                 case CLOCK_MODE_RCLK:
1659                         jtag_rclk_to_speed(rclk_fallback_speed_khz, speed);
1660                         break;
1661                 default:
1662                         LOG_ERROR("BUG: unknown jtag clock mode");
1663                         return ERROR_FAIL;
1664         }
1665         return ERROR_OK;
1666 }
1667
1668 int jtag_get_speed_readable(int *khz)
1669 {
1670         int jtag_speed_var = 0;
1671         int retval = jtag_get_speed(&jtag_speed_var);
1672         if (retval != ERROR_OK)
1673                 return retval;
1674         return jtag ? jtag->speed_div(jtag_speed_var, khz) : ERROR_OK;
1675 }
1676
1677 void jtag_set_verify(bool enable)
1678 {
1679         jtag_verify = enable;
1680 }
1681
1682 bool jtag_will_verify()
1683 {
1684         return jtag_verify;
1685 }
1686
1687 void jtag_set_verify_capture_ir(bool enable)
1688 {
1689         jtag_verify_capture_ir = enable;
1690 }
1691
1692 bool jtag_will_verify_capture_ir()
1693 {
1694         return jtag_verify_capture_ir;
1695 }
1696
1697 int jtag_power_dropout(int *dropout)
1698 {
1699         if (jtag == NULL)
1700         {
1701                 /* TODO: as the jtag interface is not valid all
1702                  * we can do at the moment is exit OpenOCD */
1703                 LOG_ERROR("No Valid JTAG Interface Configured.");
1704                 exit(-1);
1705         }
1706         return jtag->power_dropout(dropout);
1707 }
1708
1709 int jtag_srst_asserted(int *srst_asserted)
1710 {
1711         return jtag->srst_asserted(srst_asserted);
1712 }
1713
1714 enum reset_types jtag_get_reset_config(void)
1715 {
1716         return jtag_reset_config;
1717 }
1718 void jtag_set_reset_config(enum reset_types type)
1719 {
1720         jtag_reset_config = type;
1721 }
1722
1723 int jtag_get_trst(void)
1724 {
1725         return jtag_trst;
1726 }
1727 int jtag_get_srst(void)
1728 {
1729         return jtag_srst;
1730 }
1731
1732 void jtag_set_nsrst_delay(unsigned delay)
1733 {
1734         adapter_nsrst_delay = delay;
1735 }
1736 unsigned jtag_get_nsrst_delay(void)
1737 {
1738         return adapter_nsrst_delay;
1739 }
1740 void jtag_set_ntrst_delay(unsigned delay)
1741 {
1742         jtag_ntrst_delay = delay;
1743 }
1744 unsigned jtag_get_ntrst_delay(void)
1745 {
1746         return jtag_ntrst_delay;
1747 }
1748
1749
1750 void jtag_set_nsrst_assert_width(unsigned delay)
1751 {
1752         adapter_nsrst_assert_width = delay;
1753 }
1754 unsigned jtag_get_nsrst_assert_width(void)
1755 {
1756         return adapter_nsrst_assert_width;
1757 }
1758 void jtag_set_ntrst_assert_width(unsigned delay)
1759 {
1760         jtag_ntrst_assert_width = delay;
1761 }
1762 unsigned jtag_get_ntrst_assert_width(void)
1763 {
1764         return jtag_ntrst_assert_width;
1765 }
1766
1767 static int jtag_select(struct command_context *ctx)
1768 {
1769         int retval;
1770
1771         /* NOTE:  interface init must already have been done.
1772          * That works with only C code ... no Tcl glue required.
1773          */
1774
1775         retval = jtag_register_commands(ctx);
1776
1777         if (retval != ERROR_OK)
1778                 return retval;
1779
1780         retval = svf_register_commands(ctx);
1781
1782         if (retval != ERROR_OK)
1783                 return retval;
1784
1785         return xsvf_register_commands(ctx);
1786 }
1787
1788 static struct transport jtag_transport = {
1789         .name = "jtag",
1790         .select = jtag_select,
1791         .init = jtag_init,
1792 };
1793
1794 static void jtag_constructor(void) __attribute__((constructor));
1795 static void jtag_constructor(void)
1796 {
1797         transport_register(&jtag_transport);
1798 }
1799
1800 /** Returns true if the current debug session
1801  * is using JTAG as its transport.
1802  */
1803 bool transport_is_jtag(void)
1804 {
1805         return get_current_transport() == &jtag_transport;
1806 }